-- dump date 20170509_024951 -- class Genbank::CDS -- table cds_translation -- id translation AFLA_122840 MKDLLDFKSALVLPHDIPGRDNDILDKMRPILDNAIDKSATIYI FGSSFGSGIHNVHMNQGSLPRFDNGVYSDGALLFQFNDEHWEAVFLAFASQRLPTDDQ GLPEPGSKTLLKMLQQGSRL AFLA_122950 MLRRQQEKLEKQRLVKKRKQIRAANKELRLLEAEQKKSQKEEER LAKEADNQLQNDFRVLQKGKKKCLKPAISQKKQDITIPEPQIDTESKIRAAGGDVRQV IMYGIFNTVLNPRQYESDPVIQEHNVSLYFLK AFLA_122960 MNLGHCRPPSPYVTGYSINEFLSNETHIDVNERLAKLMNSVKEK LKGETGIEDNDIYQVPVVFERGICWGPDDGVNPERNCSSKYVIALYPGL AFLA_122970 MRGFYIPLLAAIGSSGQTYAALAPAATSLSYVISPPVADGGKAW RNADAQAAELVAEMTLEEKVSVVTGQTGPCAGNSGKVTRLGISRMCFQDGPAGVRPSL GNTQFPSGVTTAATGDVDLIYARSYAMGKEFYDMGVHVAIAMVTGGPLGRSPYAGRNW EGWYADPYGTGIASWYGVKGMMDFGVQTCSKNFGFYEKETYRQSPFCPILAAILNAYK QIPEHH AFLA_122980 MEYPSCLEMKNLQASSTHWYACKAVPDLVVCTACYLDHFVLDYA SSWEFHSLTPEQQQQQFDCGMQTLQIHAALGICKQIGFAANTDEYDGFETLARMILES PPCDTDDMRNATWYAPKGCTLDVYAICRRCLLGFMAAPGFALEFKEVEPRPGGNRLCD LHPTTPRFKKYLTKYAAAVKLADFSIFSEYVLEWAPLPECPRNEAYTNRKWYGKGCFT ACALCYKEVMEGTSLASHLDCAVVPNENRCQMYSPRMRNLWRQACENNDLDSFLVLAK ERMNALLLMNMERNRQFAEMSIRASQRNTLMLVSTMNSGIDAITSAAGADNGTRWGNS SIGYNWHTSAGAEGRLQFDQAVGMNVVQASSDFARMAPLLRRWAELE AFLA_122990 MSTQTNAAANDEFPVLPRCVNPEGIFWTAIWYVPTCAPSFPVCA YCYEKHIQPTPHAGLFEAVWLKGGDTSLLCQWNTPRVMAHLAAGDWDAINAFMIERGN LPNCKGPAGHTGLDGSRWYGMIGAWEIQGFVICETCYHELVAWNQLRSYFATTPTIKS DASLWTCDAAVVPLIKEGLRRAIASPNRWD AFLA_123000 MSAGTADCFFQLIEWAAEQPWSSGKLGLLGVSYYAGNLSENKLH QQIQDQNAENTENKFLDNNYYASKIFQLEDIDIPILSVAS AFLA_123010 MEITGHPVAHLAISVESAQSSPPSNLDLDIFVTLRHFSAVGEEV HCTGSSGDSVPVTKGWLRVSLCKVNHDSSYHRDYLPRREYRSSDVLPYECDVELWPTN VVVEAGRPLVFEVASVDTEGAGLFKHNSPVDWPPSKFEGTNYIHFGEGRENYIVLPII PGDS AFLA_123120 MFRKEKKDVLEVEYMDEKAAPHDAIQQPRMPASLSALSGSEYTT LGRKAILKLDSRVMPCMVIMYIMNYLDRQNIASAKLAGIEEDLSMNDVQYQTCISILF IGYILMQVPSNIIVGKIERPGLYICISMALWGVISACMASVKNYSGILVCRFFLGFVE AIFFPGALFYLSLFYNRKQYALRTAILYSGSQLGNAFGGLFAIAILKLDGVHGLAGWR WLFLVEGVATVGLALILAFILPNSLKSLLGFTKLEKEYLQWNFESDQGQQDNADEVGA WKGTMMALTDPKTWPMMGTLYSIYICAAVTNFFPSVVATLGYSRNTTYGLTAVGLLIK NGPPYVLSVVAMIINGFHSDKKQERYLHILCPMIVCLVANVIAVSTLNTAARYVAMML MPGSFYSASTILLSWVAGSISQPSIKRASAIALINAICNTPNGEIIRLLGQLPWLIVD LWASYLYFSKPRYLVAFLVNLAAAALTIALATVTRMYLRRQNQRLDSGMDTGRSGPTA AQKSSGFRYTL AFLA_123130 MSVLITEDNFYELVWYYVLAAHADGVHHAEVFFDPQSHTPWGVP IASVTRGYKRALDRAESELEVTSCRIMCFLRHLPVASAEATFDSVNDFIRDGSIHAVG LDSSEVGFAPELFTDVFQKAKEVGLRRTAHGGEEGDVSYIKGALDSLDAERIDHGIRL VEDQGLLKEIAAKGTLLTICPLSNVYLCTIKSIASLLLREFLDSGVQFSLNSDDPAYF GGGILNNYCVV AFLA_123140 MKNFILPRWPRLQVPQTESSFVRGDVRWTNKDLDPVLKDMRKWT VLSFIGYWVSDCFSVANWQLASSIIAIGLSWKESLGMVALGFFILSIVIAMNGAIGAI YHVPFPVIARASWGFWGSYIPVLSRLILAVFWFATQVVNGGNSVAVMIGAIWPSFLDI PNALPANEGITTQGMVGFFIFWLVQIPFLLVHPNKLRWLFLVKSIVVPTAWIAMLIWA FVSTSNAGDLFSQKSQLTGSSYAWALMSALTSVIGNYATLSVNQADFSRYSCVNPRWH ILYVFLLPAFFTFIAFIGIAVSSAGQAKFNTDSIPWDPNVLISFWNNRACRFFGAFSF ALAALGSNISANSLAAANDFSALAPRFINIRRGQLLCALLAWALVPWKILASAGNFLN FMSAYAVFLGPIAAIMLCDYWIVKRRKYDCVALYRPMGIYRYFHGFNLHSLTAFVIGT VPSLPGLMHSVNANIEIGVGIHPYEFGWLLGFVGSAVVYVGLSVCFPYADANIMTAIL PDDHLEEGGVEVNIVVLENEQKGKEKN AFLA_123150 MWIRQSEAFIVMYSVTSSATTMVSTLVRAIVALWLSPSITGVLA TQPGVGSQAETNARGQNKALSQYTREIHYQCQNIQILPLRNTENIPPAPGRPDDRFAL EHSEINVTDLQPRPPPPARLVASCGSPSRRRRTELPLDNCLGWDAAGERLIAQQKYGY SHPFAVHRRY AFLA_123160 MMGLLCPRLRGILHTLSLVLGLVTATQGHLVLHDDSFQPDHILR VTAQDVNQACMDRYSVLINGSLPGPQLNIQEGKVNWIRVYNDMEDLNVTMHWHGLSAF TAPFSDGTPMASQWPIPPGHFFDYEVRPEVGYAGTYFYHSHVGFQALTAWGALIVESA QPSPYQYDEERIIALSDFFTKTDEEIENGLTSTNFTWSGETSAVLVNGQGRLATNATG SCKLAAISVEPGKTYRLRFIGATALSFVSISLESHDVLEIIEADGHYTKPVNTSYLQI SSGQRYSVLLKAKTEAELQQAKSRQFYFQLTTMGRPTVLTTFAVLEYPSPTTTDLITV PVTPPLPVANITYGWLDYTLEPYYPDLDFPTVEEVTRRIIINVHQNISDRTVWLQNGY DWVETFPKSPYLVDIYAGTLDLDASYKRAIASGYAFDNQTRLFPAKMGEVLEIVWQNQ GAVSNGGVENHPFHAHGRHFYDIGGGDGLYNLTENEARLKGTHPVIRDTTMLYAYRKT TTALEPSGWRAWRIRVTAAGVWMVHCHVLQHMLMGMQTAFAFGDQTAIKAQSGTPAEG YLTYGGSAYGNVTHFPPVKHFFN AFLA_123170 MVLLQHTLLALGATLAAAWQPQPSAWWKEGIVYQVYPASFKESR TEMAIGWGDINGITSKLDHIQSLGADIIWLSPFQDSPMMDMGYDISDYDSVNQLFGGS LEDIKTFISEVHKRGMRCIFDLVINHTSDKHKWFKESASSKTNPKRDWYFWRQPQYIN GSMYPPNNWAGNNNGSAWKYDAQTGEFYLNIFNPYQPDLNWDNPDVRQAIFQSALEYW LDMGIDGFRMDAFSIFSKVPGLPDVPANDSNFHDARDLYYNNGPHEHEYLQQMNREIL SNYDTFTVGEYGMTDNMSIVQDYVSASRREVNTIFLTNMCDIGRNGYEFVGWNLTGWR EAINFTQWSGSSSAGDGWNSVYLENHDLPRSISRFASDAPRFRVQSGKALSVLLTTLS GTLFVYQGQEIGMVNVPDTWTISDYRDLNSIAYYNNATQHGVSSSDALANLALLARDN ARTPFNWDRSGNGFSVNETTWTSRANLDINLEDQKDDPKSVYNFWVRMLRLRKEEKGS LVYGEFEFLDWASEDFLVYQKTGESDKVVVFINLSTRARKPPVATPKNAELLAHTHDD HSEGEFQPFEGRVYKL AFLA_123180 MAATSSPGYVGNLSSDQEAKLRQIWSIILTLNDIPQPEFQDVTL KNEEKRPRSIHRRSSSLTKTVSNTTKSNLPTDLDQTLLGLGINGSELKTVRDCLNQAS IDEIRRSLLSTAKQDHPDSLLLRFLRARKWDVSKAFAMMLEALVWRVKEQHVDEMIVS NSELRALKEEQDKSNPAKAKAGSAFLAQMRMGKCYVHGTDRAGRPIGIVKARLHNPKA QSEEVIKRYILHIIESARLVLVPPVESVNIIFDMTGFSLSNMEYAPVKFLIDCFQANY PESLGVMLIHNAPWIFSGIWKVIKGWMDPVIVSKVDFTYTAADLEKHIAPEHLVKELG GKDQYEYKFIEPVEGENEKMADTVTRDAVLSEREKIGEDLLKATAEWIKVSKEDDGDK IAAVKERRNDTIEQMRSNYWELDPYVRGRGHLDREGVIGVGGKISFYPMAESKTQAME TKAVAVKYIASAQARVVDAQV AFLA_123190 MPSHCPDESARARVKQDRDRLYVSTMHRSSFRILTAVAIKKALL KLGYSDVYHGYTAAMENPRDCEMWLDAMAAKWDGVGKPFGRTEWDQLLGHCQTALLKN VFHPWSSVVDTLAILTRSPNRFTRKMFIRAFTDYFQGDFQLHGVSVYESHYKMVRKMV PRENLLEYQIQDGWEPLCKFLGKNIPSEVPFPNGNDTLETTNRIWALVNSECQRLLGI LLRVLATLVLLYTLRAGSIIDIVLPMITAVEK AFLA_123200 MKHCGQKVLVDIHPKVRGNGDGDGDDSNQQKMLRYATALDRLTM AVATVAAAVAGAALSTVPVVIGAFTQHFSDFLNGKSSSYDLEHDARHLALYFIYLGII SFVGLSLSTFGFTRLAESCTYRLRKAYLSALLRQDVSYFESLGAGEVTTRITDDTNLI LDTLSHKASILLAGLFGFVAALIIALSRNWRLALVLMAMPIGMIATMGTLGAYMRRMQ QRSESHYVKAADFAEDVFSCARSVIADGAQGRLVKRYEEMLMPALGADLRSKATMAMM IALTMTIILWGYGLAFWQGNRFLQKGDCTLSDIVTVLLTSTMAGVLLGQSAPFAASVI QAKAVSTRIYATLDHVSPLDPSADSGMILPSFAGDIEFQDVRFAYPSRQSEPVMDGFN LLIKAGTSMAIVGSSGAGKSTLLALIQRWYDPLQGNVMLGGHNVRQLNLRWMRSKVGL VEQEPLLFDTSIHDNIVYGLGAEADQLDHHTIVSKVYEAAKLANIHDFILSLPGGYST RVGQAGGLLSGGQRQRIAIARAVISDPPVLLLDEATAALDTKSEQAVHEALQRVSKNR TTVIIAHRLSTIQSADRIALMEHGKVIEQGTHDELIFRGLKYTNFIRAREFTRASLPL RSRQDDERNAQEFVQKPEDTASVTVSIDCSAKSSTWALVKFVWHLNTPERRFILIGIL ASAFAGAGYPIQAILFGNAVVSIVSPDLATDGHDPKFWALMYVALGICQFIFYTIQGI CFALTSSRLAYRTRTKAFTSLINQDMSFFNQVENSSGTLTAFLATEASRLTGVSGTTF GAILNSVMTLVAAIAIACSFGWKLGLVAASTIPILLTCGFLRFWIISWTESHAARATD AAGAACEAVSAVTTVTSLGIQDTIVDRYCEKLQAEQPQTLRFNIVSSIMYAASQSLVF WVTSLLFWYGSVKLVASGEYSVQQFFICFTAIVWSSQAAGMVFSYAPDIAGAGSAAAQ LVGLLFTPTTIDVGLQHGEVPGQTSTGVMLSSVEFEYPSPHGPCTVLQNINLTAKHGQ LTAIVGPSGSGKSTILDLIERFYDPTRGTILVGGKDVREYKLANLRRTMSYVGQGGWI VGGTIRDCLLSDEENVSEDEVIGACKSANIYDFIISLPNGLDTPVGSKGSRVSGGQKQ RIAIARALLRKPKILLLDEATSALDTISEKHVQSALSGGGGERTTIAVAHRLASIAHA NCIYVMDQGKIVDCGSHEELLARQGLYWQLFTLQETDTG AFLA_123210 MSFSKVAIVLLASLSLVAGHGYVSSIEVDGTTYGGYLVDTYYYK SDPPQLIAWSTNATDDGYVAPTAYDSSDIICHRGSAPGALSAPVAPGGTVKMTWNTWP DDHHGPVITYLAKCSGSCSDVDKTSLEFFKIDAGGLIDDTDVPGTWATDQLIDDSFSR SITIPTDIEAGYYVLRHEIIALHDAENPDGAQNYPQCINLQVTGSGTATPSGTLGTAL YKDTDPGIHVDIWQSISSYTIPGPALYTAGSTATATAAAVTTVTPTTPAAITSPPAEV AVEMSVAAEPSIPSQTTQIAFSTTTTATEDVASTASSASSVSSTTSGVLSGSCSEEGA WYCNGGTAFQRCVNGQWDASQNMADGTACTAGISDNLTISAAKVRRDTRLLRHRRAHG HSK AFLA_123220 MASNSIPNTPRVKLNDNVSIPILGYGTGTAWYKQAGDDSVNREL VEAIKTSIRLGYRHLDGAEVYGTEAELGVAIKESGIPREELFVTTKVITNIADIPSAI DQSLRKLQLNYVDL AFLA_123230 MEKVQQAGKAKAIGVSNYHQSHLEATLKTAVIAPVINQIEHHPY LQQEELLRFQREKDIKIASYGPLTPILRAPGGPVDPKVSELAAKYKVTEGEVLLRWSI DRGDVAVTTSSKESRLQEFLQVLTFQLTPEEVREISQLGQGRHYRAFWRKQHGEE AFLA_123240 MLIPMAARIRLISARARCTTFIREPTWVAVSGFSSFQPRRFEPD EKDRFTKDPKALHTFRRWLEHNANKTYPLFFARSEAQGEARNEFVNSMRDALKNPGLE EKVIPPWAVCCRRLIESPIAAVNGGWTVVSCGRVDVRPQADYICKHITRIQQQGIKSI EVTKEAVDDFIEHRDQYMKDMVWNEDCRSWYKGNTADGKIVALWPGSTLHYIDTLRQV RYEDFKVQYFGNHFSYLGNGMSKLEMAPDADLAYYIRQRDDAPIIGAKFVYKKAGPEL AAINMTAAKASEETTTDVSRLKFTNRVRHICIPQAYLCIYTIESSLSLDNHPIRVQQ AFLA_123250 MLSPMLEQLKAQPLYQDLNWRLALIGGVSSLGALGFGFDNGWWG GALGLSQFQQKYGEYDDSLGHFVIPSQKTSVGTGTGSAGIILGCIIAPVVASKLGRRN SFLVLSLLMLIGITLEASAVTSFWQLVVGRIVVYSGIGLASNCVPLYLSECSPPRIRG AFLGLYSFFNSLGVFLASLVVYLSRSRTDKWQYLVVILCQLLVPIGYIAFYAFIPESP RYLIYRGRFDEAEQVLRSLSNYPDTIPYEVELLKAQAEEQQELHKATSIWDCFRGSNR RRTIIAIGVQVLQQAQGVSFIQNFIVTFMEQLKFPDPLRTNLMVTGCSFAVHIITFFS FDKIGRRYSLCIGAILLGGTMFGTGIAIATGDTSSGSSPAATASIALLILWYCMYGFT WGPGCWVVAGEVGTGQLRERTLFLASMGSFLTSVPINFVNPYVQARLGGSVTFIYGGF SVVALIWVFLMVPETRGRSLEELDDMFQAEVPTRRFKKYECSGIGAHITGAQNLQSKE VKVIQEEWVENKGDTGAQ AFLA_123260 MSRHTKTVVQSAILKSAANLTAQLFRYSTNPTAPPLDWNAVFEF AIFGLIQAQVNCHWQEFLEDSFPSYSTFAKPADQTTAPKKIIQWRNIIYKILLDQTIG LFLMNTIFLVCTNFKQSGNASVLVAEVNRKIWPLIVNAWKVWPACSLCNFLWVPVESR VLVASCVGFGWNIFLAFFTMVK AFLA_123270 MAWLYNVFRLLLLTGLFVQPSLQSQCAGLTADKPRVLVLSDIDN EPDDAQSLVRLMVYSNELRLEGLVATTSIWLNDTTRPDLMHDIVNAYELSVPYLRHHA SGWPKASDIHSLIASGLPVYGMDGIGEGKDSSGSTLLINAVDKSEEPLWVLVWGGASV LAQALWHVNATRSPADIDRFVAKLRVYSISDQDNTGTWMRRNWPSLFYIASVHHFNRY AVAGWGGISGDNYYHFPNNANKEVISSAWVQQNIQSVGPLGAKYPDADFILEGDTPSL LHIIPNGLSDPEHPEWGSWGGRYGPVTFGEGHFADSVDTIVDDSGRTMMGSHVTIWRW REAFQQDFAARMKWTTASRFSDANHAPVVTIDGDRTRRVIHIPVEPGQEVVLDATDSC DPDGDNLTFKWWQYLEPSSNNNNPRRDVAELSLSSTDSPRITVTIPPSDVIRREGRNT HPESDKHLHLIVQVSDGVLVSYRRIIFTVPGLEAVRDKQTNHDEL AFLA_123280 MASSSSIYILGVGSIGCFVAHSLRSLPDKPPITLLLHRESLRRE FVSTGQKVGLQVGEDGDVDEQSGFNVEVLGVDSTPTSPIRCLIVTVKASVTVDAIRPV KERLGRDSVICLFQNGLGQVEELNQQLFPDPATRPTYMFGIVRHGVYLKSAFQAVLAG RIGCVSVGFVDADGLATSQPRNRFLVDTLLQSATLNCEELDWTSLFRDQLLKLAANCV LNPLTALLDVRNGLIADMVQVKPLITRLLEEISTVFGRLPEIHHLSNHDPSWFSPASL EAVVMDTIRKTARNSSSMREDIRKGRPTEIEFINGWIIKRGRELGVECVANLSLIELI LARSSISDQQATT AFLA_123290 MDWKLVPRQGVLYSAPFGLAAEYASPIEVMILGFGTVSSPILWC ALTGNLYILTIGYEVLWSLHHLLPFWAGADHHDLYHEKSIGTSYHPLDTEYTPDALQR QKGKQVEAKKTQ AFLA_123300 MGLLETANDSLTTFIISLQSSKAPRVADANIILEPEARLVPGQI GALCIPYGKKLRSWGRFAN AFLA_123310 MAPRYIPKPGTAPSVPRDARETYNTLKRGGVVIIPTDVGYALLT STQTGIQRIFSAKDRREGHNIGIIGTYKQHRQIHVLSEAKFEMTRVLTEDMAMIVGII AKYDTKSLHPRLAALDPATLSQVTKGDTVSIAVPEGPFLRELGRLCDEDPEGMLMFGT SANLTGQGQRFRIEDIEPRVIDAVDLVVDYGLQKWQVYRRGGVNFDAENMKVLRKGAG YEVFRDRMLRWFPNLLKDAGVSLEEDPDFQISDPGMPAT AFLA_123320 MSITICPNKVSPSVLAAREELNRALEAKYSETRQWYEYPSPADY RRAELDGTAGFAKPIFDSEAIDFFLPSSHGDHSIPLRQFIPKGKGSKGVFLHFHGSGF CISSARLNDGYLRHLADTLSLTVVTVDYRKAPEDPFPAPLDDAIDAALFALSPDGEHK LRGPLTIIGGESAGAYLSVWVTLELRRRGIDVMSRIKGLVASYGIYDLTYLPSVRNYC RRLVLSNEDTPRFIDTALPKDVFPLDVRKQPHLSPLYADLKGLPPALFLVGSEDPLLD DSVFLATKWGMAENETSLKIIPAAFHGFTLFSIGEMADEGISEIVNFVSALLDVKD AFLA_123330 MRGKSAPDIMELANNGTYLGTPLFFAPTSGSGNSLNNVRPTLEK GSWADVPVMYGTNKNEGSIFAHVRRLNTAKEAEKRAVPPQQVLIDDVQSADAETNMLP LCPNLSNRWCFLNWSKCVDDRGSIYLPDVRTSQVYREAQPQGVWRYRYSPELPNLTPY DDAGAYHGAELPQVLGTYNATTATENPIVLSAFMQKTWTDFAKDPENGPGWPSLNEDS KLADFGNDENPQGITLIEAKDADNNCGIWFRESETYDLAW AFLA_123340 MVKILCLAALGLAALSQATKLHVNKGYITVDDAAVRSSIDVSPP VTIYARFDGSSNKKRVKPGCKLEAKWPSNYGDIYFGEDNCLYDSKGQNINGQCCKPSG NLPEVRNPYYG AFLA_123350 MPGLLWEENVDLQAYNTFNIKSTARYLVRIRSPSELAELVALPQ FQSNRQLILGGGSNILFGTDRFNGVIVKNEIQGIEVVSEDHRHTCLRVGGGVGWTSLV NYCIDQDLGGLENLSMIPGTVGAAPIQNIGAYGVELGDVLLSVEVCDLGTGDMRTMTK EDCALGYRDSIFKHTSMVLMVCFVTIKVTKAQFHRVTINYASMQHALQEKGITAPTIR SVSEIVCLMRRRKLPDPTVLGNAGSFFKNVICDQSIRNTLQQMHADIPWISKLDGRCI IPAAWLIEKYGWKGRQIGRAGVYFGHALVLVNLGGAQGSEILSLSEAISQDIRINMGL LLKPEVNIVK AFLA_123360 MRVSITLLISTFVISAYAAGTPPPPFVNARPPSPPRDICRCDDG SNRYDQVAGEWTQMCCMQQHGSLMTKSGVQVCQHFKDKKALGDFKTCCQSAAQTNTEY RDFEALCQ AFLA_123370 MRLGLCRLWRLWFGDHLKATASSAALASVIVQISEAAVRPALSV VNMVSACDQVTHVAPTSLAHRARYAVVEGAILKAAIAVTTVATVVLEATVERTGGR AFLA_123380 MIPHHPYLDIVPFPSFRAKALAAISSGTPEFSEDELCFDLAHDS MRCWGSTATSLHGRGNGAPWDARSWEVSPWFLRKWGFLVGNEDDTIYQNSLWWWSQR AFLA_123390 MGLFSSAEPPLTEANLSNQSGKTFVVTGATSGYGLHLATILYQH GGKVYLAARNASRAQSVIDDITRRHPESKGQLVYLHLDLGDLSTIKKSAEEFLTKESK LHVLWNNAGVMIPPQGSTTAQGYELQLGTNVIGPFLFTKLLYPALAKAAADSPPNSVR VVWLSSSAVRMAPTPAIDFSNMDYHKDEGAWTKYGRSKAANVLLAVEFARRSKKDGVA SITLDPGTSMTDLQRTMPCWQVAAVKLVGQRPEVGAYTELFAGLQPDIDPVNSGNWIV PPGRVVAGRKDLYDPELSEKFWQWNEEQVRSYL AFLA_123400 MHSRESSGGGWEGGPRKYAYNREGAREREMHLYLPYWGFSDSEK HAQQSIGTHPNVSRDNVLTVFAQLAALRMGAQRALISLFDKTMQHVVAESTPGLSLRG TEGCEQTEALWLGVRRLPRQKITMCYHAVRSFVEDEQDIFVAADLAEDERFKHHPSVT GYPHNRFYVSVPIRSPDAYVIGTVAVLDDRPRDGVSDEQVRFLKELSATVMDHLLSQR AMREEYREEKMVRALGLFVRGKSDLAEWFSSRNTSDKKYGSKMAHVNRRLEQLQVSGS SPDEDEKQECVNGDGEAPKGKAREQKKHRHKSPVRKFENHQEAESGDEDEADNKKSKR QRPRLSPTTSQLQETLTPSSVRSVVNRAASLIYQALDVEGTMFIDASVYARRQTVGST ETTHDTPGAYNVENKTDEDQIPSASYPESGFSGSSDDEKEARSLVLGHFTSSTSDQAE NLKDSHYVSLSGAFVSHLIDRYPKGKIFHIEEDGSIALSYEGLADDMDYSESGGRGAQ TTDAHKKDVQQETMDLKQLMKVLPDARCIAIYPVWDFQRSRWFTINLVWTDDPGRVLS EPKDLTYMAAFSNTVMAEVSRLDLEAADRAKGDFISSISHELRSPLHGLLGTVELLQD MVNSYAQRSLIETIYSCGRTLLDTLNHLLDYAKINTLTRPRPSDVAGKQGETDVSKPQ SAVPGFLQDEDLGVLVQEVVEGLLAGAEYQRRGPNDNNEVALKNDTNNPESRLMTIVD IEWQDSWQFSVYAGAWRRVVMNLFGNALKYTRTGYIRLLMKKDTLIIDGKDPRPAVHI TISDSGRGMSKDFLQNHLYSAFLQEDTTSPGLGVGLHLVHQIVRSLNGQIKFTSEVDR GTSVDVVLPVTPPERSTSIQSSDYPSLRDKLNGMTVSLFTRSSQKGDLGFDSQKFEDV LSSLGHMVSGWFGLRVLNQKELDHERPDFAIITEHEYYKYYQPGSNEPAPDSSEIKPS LPLIVLSARTSSWKALGESADESVIFLTQPVSPKTLSTAFEHCLGLSGPSQHSMPQRH HSPAIPVRESSQRSNRAPEIKDNNSDSADVDKTDVDGVASDNKQGPSDDEREAQAKSL SGNILLVEDNQKKLPPATIIILTAVLSASMQHETMMSGANMFLTKPTPLKQLKETLRK LSEGKDVSDDMRS AFLA_123410 MMLSKILLSAVCAMTASALPHHYPRGSPVSVAPTTTSIAASSSS SASASASASASASGSGNVQIVNNLGSTVYLWSTSDTSSDTQTISSGGSYSEAWKTEST GGISIKMATTDSETSVLQFEYTADGDTVYWDLSSINLDSDSEFITAGFSATPSDSSCS SASCSAGDTDCAASYQQPDDTDTNSCSASAGITVTLG AFLA_123420 MDHPRKSAQPAASTNRVPTVRSNPVIKRSVSSATAGIHNQTGSG PTDQPQEPNKQALHYSLQMKNALTELLNDVRAKGSDQGSRCLQNILMENERELTASDL AKLARVSHELNDLATPYLYQTVHFHSPGRIKPDDQLLSQLDILGNLHFNKLVYTRRVV VSGSWYEAYAAIDSELGEHQILSPAARMFSNIIRNCIQRMPNLEEFIWDMQVSLTQVL LTTVLLQQRLRCLRIRMGTDCTPKPFFRPTLHLHTTVKLRVMHLTQVDNVVVLQSLGV ALKHATQLERLSIWADDRAELSISSLVEDWHKPAPFRLRSLDIRGFSDIGIPAKSMWA AIPPTELRELTLELGPSLLVQDPTEFWDASVEADLRPLRLRVNLGIKGIQEFISSFSG LEAFHLVPSDTLRPMEPIRVLVDALRRHHSSTLKVLGLSPFLDGSKYVLDVPDIKYLV GALPDIEELRVSQASLNQVRLCSSRCYFGLSLTRFPHQEFMQIALLLPRLRVAQIDLV HEDPATESIFLDFIVYLLRKGYARNLTYVAFDDTTVREIRRNPIRLSDGVSRVGYVGG TVLLNEEVFDWVRTSF AFLA_123430 MPNTKPMVLPPSTISNLPSESFEDPSRGEVSWRTLFTQPKTPTN DLSAGIAVCPGYSGYLCSHHHAQAEIYYILQGRGVVTIDGVQHKVEKGCAVFIPGGME HSVTNNAEEELKWLYVFPETKFSDVVYHFTGNGRPKL AFLA_123440 MAPSRVDDDIQSRPNTQILPNVKPNGEGHLLHRSLIEHPVMVEK AKGLTLHLSDGRTVLDACAGAAVALIGHGREEVHQAIMKQMQNVSYIHTQSYTTSSAE DLADFLLSGNPYGLEKAFFVGSGSEAVESALKLARQYHYENNEPDRLHFVSRQQSYHG NSIAAMSISSNLARKVPFTGFMYPHTSHVTPAYAYRHQMAGETEAEFTARLLRELEEE FLRIGPEKIIAFVAEPIVGATAGCVTAPAGYFTGVRAMCDRYGILLILDEIMCGVGRS GTFFAFEQENVVPDIMTIAKGLGGGYAPIAGVLVHKKVIDVLRKGSNAFNHGHTYQAH PVSCAAALAVQQVVRREKLVERCAALGQILEQQLKTELAHCPSVGDIRGRGLFWAVEF VQDSTTKATFDPTFKFGLRVQQAAFDRGLAVYPGAGTVDGMRGDHILLAPPFTVTEAE LRQICEVLREAIKSQEKEYMTC AFLA_123450 MEETGEGPSAPHTKAEAPFPITAESEPSRPHDDVVDGWRGWIVV GAAACSLFVYLGIIYSWGVLQIQLLESTSSSLTTLTFVGSLATSFMVSISIPVGLIIR RWGYQRTALVGAVLMGLGEFLASWVTEYVGALFVTHGIIFGVGGGLTILVWFSLLYST FGVHPFYFLCISRTK AFLA_123460 MQWFERHRGLAVGIVFGGGSLGSAIMSIATNMMVKQLPLEWIFR VLAFLLWGVCTPAACLIRQPSHAKNSEFLILFVGTGLACFPLFVPPYFIPIFARSVTH SQNIAVIMLAIWNVASTVGRVLAGFLSDSVLGPINSLILSLTLAGLSALVIWPFSSTT AVLSIFIVLNGFGCGAFFSLVPSTIGAMFGGITHCIWNLFALWKSGQRRVISASGILC RCYVHSGTSFHDRSALNVFNALAR AFLA_123470 MPTAESGPRGPVDHPLLEAEAPVGWWESSALELFSAAEHIARLL HEASECGAHLMTPFVGFCAFSASYMNLYIYRFPRMNLGRSPQAEQLMNFCLAYLEDFR HVWKLGEAWVSTLKHASLLYERASTDRGRYLGKSRQDFDHLHQSIHEFRVVDRSNQHI QEIEGAGGPSPGVTYSQPAQRIVPSSESDNLSAPLTHLLTEVSTYSHEQGAWSQWWPT LEDIDLALVSG AFLA_123490 MISEYVGEIEELPPDPRIWSGKRYRKYLYHTAQAAIWTSTVYYP IRLLMILLEAQQSWPMWLMLAVEAIFGRLSYQDQRLTVAAGGEPERGPRKRLRLRGSH NLPRVDVLIPCCGEPVSVILDTVRAACTMDYPESQLRVLVLDDGASTQLRDAVSELHS KWPYLFYHTRGRQSGRVFAKAGNLNYALFTIQKDTPPEFCAILDADSIPKPDFLRATL PHLLLSPQTALVTTRQYFDNLPAGDPLSQSRLHFYTCQNAELDRCGRAIDAGSGAVFR RNAIIDVGGYPTFSFSEDWQLSLILRGMGYRTVQVQEPLQFGLVPTSLEGHIAQRNRW HIGHSQQLFTLRPPTSSSIPRHLQWSIACGGLAITLGLVGHVIGYGAVPWLLMSRSLI PASSSFLIKTQVILGLLHVSTMWAYGWLQTAHAGIRGSPFSQLENSWLAGAHLSAVIR FHFISSAPKGSFVTGSKENSWNRITKSSFYKMLYQDLWQNGILQSICLLLATIAAMLL STWTTLTTTDSELLTTRLLTTIAWPPLLHICYLTVTNHWVPVAYLLSPPVYPARASQM AVLGSKE AFLA_123500 MMPRESNRGRLRSHEACLNCRRKKTRCPAERPSCSNCVRLNQPC LYTKTGWHPWKRRPVKAARQDQKREELDDIPEAPYSKNSNPSSGEPAFERFSPGSGSF SFGFGNNEDSNLHPSSAAVSKALELYFHYCHRQPIWCFDYEDLEEKGSLSDELIYSVL ALTARFSREPGQSQRYGNTARTLIMLRVANGTVDLETIESLCLLSYSSFIDGDVHLGR FHLGLAFQLCRSAMMDVESGYPLESPLTERKKRLFWSLQSLEQTYGQQNGFLSLPAEN LRPFCAPNGGDRGSSKEFEPKPPQLPRDDIGCSSPNDIGIWSLAVHFGWVWSRVRTYV SHCAQNRLKEPWRHDSMYTMILSDLTEVENKLSQCHRYDSVKFYERKADELRMNRGYW TPWLKAQFTYHCILTVLNHPFLYIVASQSNPNLSIPNAFWRRSSELVVLHATWLVRMI DMVSERKMRLIDPFFGHAAAIAATVHLYYCCAADPRLKQKSKIDFDKCRTFLKSFVPF SAACKALDQTLDKMTRIACGSENVNYDWMPSKIHLSIPLMWDLLQINCMPEPREMSGG LLHPTLIPAISRDDTGESSCTLEVIVAMSPEVTVNTADGGQAAHMQPSVTNMSSVQSS PDSLAFADKLVAPADSLMMNTPWLWTGQFPDMDNMDYQEPESGMGNVDGFSAWWDIGN L AFLA_123510 MSSIWKNYFGQDEVASSGATPPNDKTPIQALPANWYTSPEMWEL ERRAIFSRKWMLITHKLRLPSTGDYLVYSIAGYPFILVRDKDGNFNAFHNVCRHRAFP VATEEKGKARIFACKYHGWSYGLNGKLAKAPGYQDLEGFDKNKNGLFQIHVHVDNNGF IWVNLDAKEQPEIAWEDDLSKVDLQERFNGINWDEYNFDHTWEMEGDFNWKILADHYN ECYHPDTSDNGATTDSSIVDYTNSTPEQIARGLRITSTYYFPNASMTIFPHFFFLQRI VPTSATKCMTRYEVYRNKDSSDEDFELISQTYKRIMSEDKDLCTDAQKNLSNHIEKGS LDFQTVVRELVLEHYKKEQEAGREIWPTRQTLPTSATTSEEDMTFCNKLDAQAKGSDC STTAGGCCGGTGCQPNETLVF AFLA_123520 MSHPEDTIHRLHPSDPGLMPEKPEKASSQHSNLSSEFVPDIQRG TILGNDVHAGLQRRLGNRQIQLVAIGGSIGTAIFVTIGDALRKSGPGGLLLAFLIYNA MLAMVNNSMAEMSTYMPVSGGFIYLAGKWVDDALGFMVGWNFFLYEAIMIPFEITAIN LVLSFWRNDIPPAAVCVACIVIYACLNALAVKGYGEAEFWLSGGKVILIFILFAFTFV TMVGGNPQHDTFGFRHWRHPGPFAEYLRTDDLGRFEGFLAALWAASYTCVGPEYISMV AAEAKYPRIYIKNAFKTAYWRFGVFFVGSALCCGILVAYNDPTLVAVYSGESEGAGTA AASPYVIAMNNLGVGVLPHIVSALLVTTIFSAGNTYTYCATRSLYGLSIDGKAPKFLS KCTKGGVPIRCVAVVMAFPFLSFLTLSSSSSQVLTWLMNLLTAAAIIDYIVMCVTYIC FYRACKAQGFDRSKLPYKGWFQPWCAIIGVLWMTMVVTCYGYTSFTPWDVTTFFTHYT MLLFDIIAFAGWKLFKQTRILRPDELDLVLEAPDITAYEEAAKINNPPIGFWAELIQP FRPRWKKSQGQLDNTA AFLA_123530 MASIVILIRYDYHPLPPESFSQELKTMSAPNDNYTIHKVSLKRT RQACGPCRRKKARCPGEKPTCSLCQRLGQRCSYGPQAAPSRAATTRASPANGQERVLG EAGDVGAAMTW AFLA_123540 MGNVIILWEIYLAHFHDQPYCVFPKDWLLSRDISLPPEVAFPLV ALTSRISRRSPGPTGSEVPPTKYYANKAWDILSHQYKSGKLGLSFLQGTFLMAQVDFA NGQSHRGYSSVALGLRTIQSAGLNKDKYTSSLTDSEAEERRRITWSFFMLDRSYNASR DYSLCLADKHFTLLFPAPDTPASLSGEESLARGTLHDGPEKQGEKLDHGILACLLRLY SIWGKTTDYVFEPFDKDALPPWRSGSSVAGLESDWMQFETHFADTHRYRNVDFRRRAR EEPHCRSYLCTWLCVQFLFHSVQCLLHHPFVNMVKLRHIDGNIPATFLQKSYESSLIH SRWIARFIREMDEVDIRLYDPFIGYLCAIAATIQLENTSSKNPHIAQLGNSDFRTLVD YMTELSTHWENMGVLVNRVNKLAARQKNYKSLYYSQDTFSGELTRMPTPSTIPRMSEA DEALMWNILDFSSSFTPAEIGQLGDLVPSPYQRMETTSPNFPVATGQTTEQGLESLSG RIPGPIGADPISEWPFSDRPGNGGFDAALPDLPDWMMFGDYVAEQL AFLA_123550 MAAKNERIIIIGAGIFGLGTALTLKEKGYRFVTVLDRAMPPVPD GSSNDISRVIRFDYGDEVYARMGKEAFDLWKTPAYKEAFHQSGCLWVTQKETPGQPVQ PAAEEYSRKTREILTKMGEPWHSVPTVEDCKREFPEFTGPLGNPGFYAFFNNSGGWAD AGLASARVAARCIAAGVSFITGPDGQVTDFEKRADGTIEAVRTSSGNRITGDKFIVAT GAWTASLVPSWNSMVAAAQIVGYMRLTPEEMIRLRNMPVYFNLSTGFFCFPPHDGTNI LKVAVHSYGYTHSQNGISAPPAAPPSARANFIPEEAVERLNLGMKDLFPDLEPKGWER VALCWYNDTPTGDFILDYHPQHKNMFIATGGSGHAFKFLPVIGKYVVGCFEKNLSQDL LQKWKFPTEYKERFQGDVFKGDGSRGGPERRELTPQERERFAGALTAASTRQSKI AFLA_123560 MTDTEVVAEWYNQNAALEHNRLNACRLEFSVSLRVIDQCLGQLR QRRDQPLKILDLGGGTGRYAVELARKGHSVTLADISRSELELAKSFAAESGVTLDAIV EADARTIQVCPKEEDPRSVFTTSTYDLVLCQGPMYHLLEESERTDVLCACAFMLRAHG ILAVAFVTQYAHLRDIAQRDPSRLATEFDDFYREYLASGRYTRNPFMASYHTNAEGIR GLIRKVDGLLRAHDSVGLALSRIVACEGFLGGGLAGKLGDVSPEVYEHWVDVVMQCAE DEALLGNADHLLAIAERR AFLA_123570 MRSRRTSGASVCERPLLGARSEELEDSDSKDFSNKRHLGLFSTA LLLTNRMIGAAIFSVPSSIFLSVGSVGAALSLWVVGILLTFCGFYIYLELGCLMPRTG GEKVYFDTAYPRPYRLASTLYAFYVVFGFPGMASIVVADNTLLAFNIVPSEIVQRLAA VGIMALVAACLSISREWSVRIVNSLSLLKLATFLLILATAFAIVVGVLPNNVDTGSNF RQPFAGSSTTVYDYTVSLFKVLESFLGWNSAGMVLGEVKNPQRTLKVAGLLGVGSVGI LYLLINVSYFIVATPDDISRAGVQLVARLLGNLFGSAASRVTAAMVALSTFGSMISTA FAVTRVIRELALEGIIPAAGILSKMSKSGNPASATSVFMFGPSVVAVLLLPFGDAYAF LLDVNQYFLVMVYGAIVVALFIIRRHVPSAQYPFRVWTWVPYLFLACQVFLLLSPLVS PSGAGDTNLPFWLAPAVSFLVIGLGVMYWRLKSFVAPVGEEPIWTRRDNLQSYRSVAA S AFLA_123580 MMFSVAAFASLLSVVSAAAVPSNATLPAAFTLVADGGRTALTDG LLPVLHAF AFLA_123590 MTEQTQQPDYHLIGTHTRYSSWTSRVETVLEYFNIPYSAQIIHL SEVKKISHTGLVPLLECRSLGPNIRLNDSLAICEFLAESNPELNLWPRDRQLRALARS AAAEMHSGFSTLRNNYGTNFIARYTGNVPVSEQAKKEVERLLVIWDNARRTTKARLAE LNERDEGFLFGSFSIADAFFWPVLWRFRTYNLPLDTASPDALAWMEKMWNNPTMQRLA HHYYRQAEIPETRIAHYDDIFHDRDDVQYGTFLEGWTFSVAEKRFN AFLA_123600 MTEKILSISDLEAAASKILPTSVREFYNSGATGQVTVRENSSAF QKYRLLPRVLRDVSRVNTEIPLWGRNITFPLCVSPAGIQAMAHPDGELATSRACAKMN VNMGVSSFSNHSVEDVVAAGMAIGPVHHVMQLYSMKDRKTEEGIIRRAEAAGCKAIFL TADSPVLGVRYNEWRNGFQPSPGLGYPMLNRSPEDIAQQSHDDGFNSFNSDSHSWAKE ISWLRSVTNMEIWIKGVLTPEDVELAVEYKCDGVIISNHGGRQLDETPATIDALPACA QAARGRIRIHVDGGIRSGVDIFKALALGAECCWVGRPALWGLAYNGEQGVELMLRILY EDFKRCMQLVGCTSISEIGPANLGVVRSDGPLARL AFLA_123610 MEVRLLFRIAHFRLLGHQVVIGKAFVRITIQAVHTFIHRLNKVP DSNISKRRPIKLNNGGNIGFDHIYSLIVAVKASGTLSALEPIKHRLGPHSTICLFQNG LGQVETLNEQLFTDPLTRPTYVLGIMRHGVYLSPRAVHTTRAPAPPAFLSQAIRFGSI IFCSGQIGCDPETGRLIEGTIQERTKQILSNISSILLAGGSGLDNIVKCNIYLTDMGD FGPMNEVYTTFFSDPMPARTCVCVKELPLGTDVEIECIAAVKGDQKEDLVMSALL AFLA_123620 MYRTCAACGRDLPQSSYTANQFSKGPGVSRCASCVHGHHADTVS AGQTNSGRYNNSNKCSVPNPALKNSFAQGAFRWVAKGSYTSGSRRGQACVVKWFKTGA VFSDDYFTLDIKAVDKALEIVNRFNQLNIINKVVKINVPEIWHFTDDSSDEWKFNSNT GWNDDSKAWGEVMQALSHFSYHISGGNYVLCDLQGGIYQHELVLSDPVILSRTREYGV TDLGPDGISSFFSLHAYNNFYRPNWTQPANPVQRFRPVPGTTMIRRTVRTGYSRPRET RLFV AFLA_123630 MASPSDPLLHEHTGPRSLRQRPIYLPEEQGQRIIAQWRRAARDF LSSRRGHYLVLLLVSVDVACTFADFLIELHVCELTKHGSHVAIGWGVTQKVLAIVGLV FSCLFMLELMVTVFSFGKGYFSSKFHVFDALVIIVAFGVDVALHGIEEELGSLIVVLR LWRVFKIIEELQSAKEDTLEEYEHEIERLRQENTYLRQRLNVSLSNADPMD AFLA_123640 MTTCPTGNCTFVPYQSLGFCSRCANITDQLTLNKTTLGLSTIET YDYKLPNGFSFSTSQTGMYLMNSTNGLPLLQIDTKNLPLIINFTAISASGYGVPPQVS ATECALYFCIDTYEATVKDGKFDERITSSGTSTNLTTSFSLENFSLTPDTCYVNGTRR DDKSECTYPVNAFSRLSMVNSLTPLLNGTGQLYMSNRPYWSTDTAKALYGVQGNFTDI STIFTSLATSLTTHARNQVCKASVKGMTWNVESFVSVRWVWMILPIVLVGLTIIFLLV TMIKTRNQYIWKSSPLALLFSDLAVDGQHSFERNLSLSGMEDVSKKMKVWLEITQAGV KLKGIPR AFLA_123650 MAAISEEYPPEFSDERGLALISDIVDWQINHGSLLKRIDTETQF PDDAPQCYPVGVTVFPTLFPREQFDRACGLQIIYNELYCAMAEDEHWIFETIRDMIPI DPLAAALWGIHEEVKKVGYAQKVSVGIFRSDYMLDAPGMEFPLRPGETFDGSLKQVEL NTFSCAGATHANKAVDMHRYLARTNAYDTGDDRQINISLDTLPRNNNIPSLSACLAAA HDAYGPKKSSVATDTAVLFVVQPNNFNIADERPLEYALWDRDPPVPAYRVDYPNDFLQ YTTLTESRELLFHPPWLGSKCVEISVAYMRAGYEVHEYDTSGKEARLRIELSTAIKCP SLLAHICTFKRVQCALTSPGALEHFISPAKAALVRPTFVSMYPLDGSEAGFRARRLAT DPELSRDYILKPSLEGGGHNIFGEDIPDFLASVPESKWGAYILMERIRSPSVSNVLLS SAGLDSGGVVSELGVFGTCLWRKGTEGRHCEMLLNSVGGWSFKTKHEDVNEMSVVKGY GCFDTPLLF AFLA_123660 MYHYFNVLCALLIGVAQGTPLLGSPTHHARNQQIQWSPCEANGT LATECATFPVPLDYTNEASNATLNLELIRIRAPNSPSKGSVFFNFGGPGDNGKLSLAT YGPMLQAATGGYHDLVVLTPRGTGNTILFSCYATDEERAAAKTLYPELAGNASDVALG YNNVSSGVFADTCYATQNNTGQFMTSAFAARDYMRVLDALGGDGLLRYWGLSYGTVLG ATIAAMFPDRIGRVILDGVANPHEFYQNRDLQMLVDTDKVLTGFCEECVATPDKCPLA QGRSAAEIEEAIYELIDHVKFNPLLLPVAGTPVLVDYTTFKTQIFSVLYSPTGWDDFS TFLDNIMTGKLEEAGEYFAKISSGPPSLDAEAQFGIKCSDSYRTDNSEEEVLELIKQR HELSRIGGDVSDFVLTRCVEWKIKPKERYTGDFQATTKNPLLIIGNTADPVTPLAAAR NVSAGFANSVVLEHGSYGHASLGQASLCTAKATRAYFMDGTLPEPGTKCEIDTTPFSG DNGWEKVLKELAADSQ AFLA_123670 MADYEDTIEVDWGLPTPDLTDDTSSEASTSLRSTVLEYEYRHGR RYHSTHAGNYHFPNDEVEQERLDMVHHIYYRLLHNRLFLAPIDLAGKRILDIGTGTGV WAMHLGDEYPTAEAIVGNDISPIQPQWVPPNVKFYIDDVERDWVEGQKYDLIHCRYMA GSIKDWPRLIRQCFRHLKPGGYLELQESINTLYSEDDSLPPDCDTVKMMDALKEGCLR IGQTMDPAPHMHDWVVDAGFTIIDERKFKLPLGNWPKDKRLKECGSFNRVNFVEGVDA FTASILPDILGWRKEEVTVLNAAVRREVMANTMHALFDFLVIVAQKPM AFLA_123680 MVDDNTYIADRKTHILEFNDPWDSLDASKNSMFSRIFYESVPRF WRENVA AFLA_123690 MSTRSPFPLSFTMLSFLAALSLPLALVNAYANPGTCNGNCWAHD PGLWKHDDGRYFLFSTGNGIHISSAPSLQGPWTEVGYALPDGSSINHDGNKNLWAPDV HKGDDGKYYMYYSVSTLGSQNSVIGVASSTTMEPGSWTDHGSTGLSSDGSQGYNTIDA NWIKIGDQQVLNFGSYWQGLYQIDLAGPLKIGTAAPVNIAYNATGQHAIEASFLYQQN GFYYLFFSSGKANGYDTSFPAQGEEYRINVCRSSTGRGDFVDKNGVSCLQSGGTTVLA SHDNVYGPGGQGVLEDNGAVLYYHYAPRNGDLSVSSYQFGWNRLNWVDGWPTV AFLA_123700 MRSSVLLLSTLAGSALALPGVFPRGFNTTSPSHSATHSHTLLPT GGGGGEKPTETGKGGESQTTTASGGSGSVPGTTVTSTITDTITKTTFKPCSTPVHTEG GTTYYSSWVTASTYETTTCYTTTTVLTSTPTAAPTAPAETTVAPAPSGGHGSETCPPA STVTVYVTVGNGGSGATNTVAPGGQGGHHCERCETITYTNTQGYTTTIVVPPIAEPTG TETEKTTTTEVPSTTETNKPTGTGSHPTHTKPTGTGNGPAPTETKTWHGGAHSGVRN AFLA_123710 MTKSISDSFKDRRTIYALTNESTISDDRLEELLTDVVLHTPSPF NSQTSRLVVLLKDEHQKLWDIAYEVASSTVPLEVFDKVYKPRIAMFRAGYGTVLFYED PAPIRPLEEKWPMLKDKFPQWSEHANAMHQYALWTLLEAEGLGCSLQHYNPMFDDRIA EQWKVPADWSLKAQLVFGKPIGGPREKTSDPVNQRLFVHGK AFLA_123720 MSDLPFSCALVTGGGGGLGKAIAAYLLSKGKKVIIAGRTESTLR ESAKEIGATDYFTLDTGVVSQIPSFITTVTAKYPDLDCLINNAGVQRPLEVLKDDPTD FLAKADQEIDINIRGPMHLTLGLLEHFKTKPNGATIMNVSSILGFVPFSVINPVYNGT KAWLHFWSMTLRTQLARGGYERIKVIEIAPPSVGTDLHRDREDPDDNKKHKNPNALSV EEFMEFFTSALERGDSMIAPGMSQDVVDKWYAEFGSMYDTLTREKK AFLA_123730 MPQSFATFRNRRSDELARLADEHLQQDLRQEDRDTLKSAASKVS FWTGIGSAVGIGMGLYVAFRLRSSRKAFFDVFRAQERPTQVVFADGRTESIPDITPLL KPTTLGDFATYFFASAGGLFLGGELGFLGGAASGSRTITADPEQKKRIETAFRRFRAD VLRKEADALDRGVSVEDKMF AFLA_123740 MTFPPASAGPNAVRDYISDILLFKHDTTADFAKEVASRWQLGRP NDLRHASTGTFERVFGKDMGHFLYRTVQEDIREQWYNSTAGVFNSWLFIFSLVFSAFF LVRATRTNSSSTSAASLRYAGAAFGPPMVFCGIQDPYSQWQFPRLFLGGIVSFLTVLA FLVASIDRRMEKQKADTEDKKQGEVKQKE AFLA_123750 MTALAASSKMPDFEYQKPVILSRRTLDTKEAEWKRLVKTIYRDP NGVQRTWESAEMQTRPADSDFDGVSIVATLNKPTGPELVLLKQYRPALDKVVIEIPGG LIDPGETAEQCAVRELKEETGFVGEVERISRTLFNSPGFCNNNFKLAYVNVDLSLPEN QNPSPELEEEEFIEVFTLPMKSLFLDIKRLEKEGFAIETRVVALAEGLELARKWNL AFLA_123760 MSSNTITVTLHQLAKMIDHSLLHPTMTDEDIVAGLQIARASNVA TACVKPYLIPLAKKELAGSDVLVCPVIGFPHGNSTTEIKVIEATAAAKAGGNEIDMVV NVGKVLGGDWDYVKEEIRQINEAVVANGAILKVIFENDYLQSQHITRLCEICTELKVA FVKTSTGYGFVKQKDGSYNYRGATVKDLKLMREKSGKDVQIKAAGGVRTLDDLLHVMS LGVTRIGATATVAILEEAKKRGIGNELVEVFFKPMAEDSTGAY AFLA_123770 MVEPVEFISPARSDFHPRSLTVCPSTVGREDTRETVDQRPVSGN HQTWNSSSTMALVDGAFHLHNAPAPGSAATNAIPGAGLGDLVSDASGEETPTRNPNNG RASPATSRLPPMIRSLAYSSQSAVAELVSLLPDYQAAALLVDTYFDRVHWFMLIFHQD DFRRRWPKLYRLPISRTSNSSQNLGFISTFLMVIAIGLQYIGDHRRQLLATYGIDPDK LKERIFSVVRTRLLDIVSVGSLEVVEMCVLLGTYYLYHGAPRLAWPVCGCGLRIAQAL GLHRKRSSSPQQSSSKSTAARKQNEAKKRGWWAIYEIETFCSMAYGYPLSIKDSDCDV EPLDPTFNSLVGQSPSSFEEPLTSEATLLSYKYFMSKLSVITKDALSELYNVRLDSPE GSRLHHSTLDPLHVINKVRTIDTKLRHWLAEVPSRLRWDNMAAADVSYSSPQAVDRDI GASGPVFENHIYQLQALTLKLAYENAKILVHRPLLSYKVVSQSSNSEPRDYTESTPNT MSPFRSSLQTCRAAAMSMAEIASSPIVDLISETYAAAFVSIHTFTAGVTLGILSSIDP LGPQSRDTKIGMHRLMGIQEKLKDRSVLAAQGLEILQRLARLVMEKELSVMLDVSKPI ELSEPRETEDNNSASGWIEHPQPIEKIDSPSDSPVSAADIQPSGDTACPVPLQQSEIP TADSTYPFNMANENALQYMEDPALSEAIYDFDQALSMYAPRLSVDPEESYNASLIRPP TDEGFPMLEQTWIWGLENNPPL AFLA_123780 MIQITFAGAIIVKLVRFIYSITPSLFPIVVVYIGLDVGLCNCVN AITTTGDMDSVLPPKILSQTTISDELRHPYYGA AFLA_123790 MSCPQRWQNLITLTLRKSIRLSKTHFQGLMPFFESQSYRNYQSF RPLQGRSKQMSSISQPRVFSNHASRELDSSGNVEEETLPHYIAERYYPVRIGEIFQSR YQVLTKLGYGSASTIWLCRDLWEHRYLVLKVHVRSKRKLPEIAVVEHLRVNKDDHPGQ RFVRLISDSFEVIGPHGTHTCLLYPPAGLDMSDCMQCLPGETLTVPLLRAMVRNILLA LDYLHQANIIHTDIHPNNILAGVEDESVLTILERDELSSPSPRKQDGDRIIYLSRPMF LTDGEPLLSDLGEARLGQSHKGTIMPSLYRAPEVILGLDWNNKVDIWGFGQTVIQPIP AIRRFANFFFKSDMDDIPRFTRISEREHGGA AFLA_123800 MIFSDWVMQLSAAALFLALPKSVASTSDLSVPPWAGSPVAPKNT GKTCTVIPVGDKQDDVPQILAAFKECNHGGRIVFPEGHTYWIAQKLNPVVTDVTVDWK GRWLFSDDIGKWRNNTYWIEFQNHWTAFALSGQRIHINGHGTGGIDGSGNSWYNVEKT FTQPGRPMAFTPWNVTDLHVEHCGDDAIAIKPRSYGIYMQNVTIHGGNGPAIGSLGQY LEDSSVKDIIMRDVHILSYNEDMKNAAYVKTWVGELVPQDPSKNGWYESGGKPRGGGW AFLA_123810 MSDSFDTSKYSDEVQKVSKDTPLEEILYLLKRDGGVFVKNLIPE EDVHKAFNEVKDRLDNDVEWDGTFFPAQTQRAPSLIARSPTYTKTQLMNPLFRKVCEH FLTTRSWFWWGDEKKESVSKPYVHSCTAMRIGPGGKAQPLHRDDYIAHRYHTEIDKWD DARDMDRESAVGLFVAGCRITKENGGTQFIPRSHLWGTDRTTPPRVDQCIFADMEKGD GFIMLASAFHGGGSNVTEDEYRLAFATFITRGFLRQEENQFLAVPQDVARTYDRDIQE YMGYYMSDPACGYYEQMDPIYHLRPELLKDARPTDF AFLA_123820 MSTNGQSSRQLPSLSSNLIGKTAIVTGSSRGIGAGIALELGRRG AAVIITYTSEKSLPSAVSVSRAIEGTGSGGKGVLVQADITSATDRQTLIDAAVEVSPN KTIDILVHNAGNGDDCYLKDISEEFFETLVNVNLKAPVFLTQAAVPHMPRGGRIVLIT SAAARMGVAETTVYAANKAGVEAFARVWATELGQSHGITVNCVSPGPIATDQFHNSSP EFKQALQPMIESTPAEARMGEVEDIVPLVSFLCSEESRWVTGSVLSGTGGLLLF AFLA_123830 MCHIVSSSRKETENRSVARTIEHQLSAVQSLSSLLMKEEIYGLT DDEQDVLLAVVALLVLYNICETGVSSHGVHLTGAGYTCGKLARQPKVITSPRTTFLLT ALGCLEADHFNLETLVGCPVELPYEIGCVITAGSSIWTERCPVIPFRTYWTVRNNSFA SGTLRVSPFRVEIRSGYSPQKPTAMSILSGYCDSQIHGLSLVRMNESSRPFVQSLMPQ RKFRPTQRFSKGYCSLCS AFLA_123840 MHGILAVSALHISLSEVEPQKSFWLGLATAHKSEALPQFLENLH SVNAANAKAMLGLSGLVVAFAFGSALTGVSDADQPCLDTLHNVFVLCRGVQQIINVAS TLRHSNFAPLFDPTPPQVTYPDRAKESLDRLEQLNAACGTDGEHDTAAYARAIRELRG LSVHTFAQPTSMMLAAGWAIRATPEYLQYVQRHEPFALVIHAHYCTFLHIARDNCFLQ VWGSCVLREIYHLLDSTWRLHITWPISEVFGENVAP AFLA_123850 MSFASALILVVAIWCIYVGWPWYRNWKQAKALHVPIVISPISTS GAALQSLRYILDRDILPTWITRLAFVRLIQRNSRFQEKFAVHAEYGKLFILVTPATCE LYVADVDAAKQVLSRWRDFPKPSSLLGMIFPERNAG AFLA_123860 MMALSLAVLFKACLNIDGDDKDDTRILAGDVAACQWHLDVVLKG ISNPMALGRGFEGIKKLKRSHKALGELLTEFVEARTIRPKLSAHADLLSSILAPTDHR GLSSDEVTGNLFLFMFAGHETTANALIYIIHLMAIFPAWQDWALEEIDQLSHNRADGE EVPSYSQILPQTQRLRAILYETLRLYGPVPTLVRQTDPQAQTLVLPEQEVIIPKDTPV NVNTIALHTDPKQWGPDPLAWRPDRWILQPCDSSLQKVSNELLKYLFAWGDGPRLCPG QRFSQIEVFAVLICFFKSHRVELVPSRDQTMDQARSHALSLIQNSKVGLTLQMPDAES VGLRWVGR AFLA_123870 MNLSIENNPKIKFRLHIIIGSLLLLTFILVIARVADKGTPSSRT NTWGIAVCLKSAVFMIYQVVTAHAARFKRWASSKANMILNIIDTIFWFALFIISIMGT SGSHSTSSRALGVIIVILALVLCYYKENADA AFLA_123880 MRVQLSPEQVPRRMRIRELLPDLDLGAYPPGPLNSITDVPGVHV HTQELFGAQGAINTGVTCIVPRPNWSTNACYAGVFRFNGSGELTGAHLIEETGLLCSP IVLTGTFNIGAAHQGIYQYAVKHLGTNKDGQLEWLMLPVVGETFDGYLHDCTSFAVTP AHIVHGLESVVAGEPVREGNVGGGVGMVCHGLKGGTGSSSRQVLGTYTVAALVQANYG QLRDLRIAGVPVGKTLTEDAASDPSRQGMYEEVAQAKAEKDGSIIVVLATDAPLHPAQ LQRVAKRATVGLARVGGQGHNLSGDIFLAFSTGNEIPVNQHKRPASVARTIDVLDDSA LNTLFEATADAVEEAIYNALCMAESLQGFQGHTIEALPLARLKEIMRQYQRV AFLA_123890 MSYFLKQFRPRLLCGYGTRAFSSRGAILNQSPKVANPRSSNPES QAINTSRGKESRHDDTPDTRSVQSPISNQFGPTSEKHEGEEPSTTTEQVHNDPSKSPE EKRKNVEQAGRRPMGPEDEK AFLA_123900 MGYQYYHYDPSSGAAVSFAAVFGLTTVIHIWQMIRTRTWYLTPF VIGGIFEAIGYLCRFISATETPNWTMKPYVGQSLLLLLAPALFAASVYMILGRIIRML NAGSISLIRPSWLTKIFVTGDVLSFLVQSGGGGMLAKANSQDSVKLGENMIIGGLFIQ IIFFGFFIVVSIVFHRRMLSTPMHHMVVTEVPWNQYMKILYTVSILIMIRSIYRVAEY VQGSSGYLQSKEAFIYVFDAALMFACCIILNWWHPSKIVSSRRKVENSGDHEMLNNTH YDNTQYGRY AFLA_123910 MEFTFYYYTPSGAAGGILVALFALSTLLHLYQLLRTRTWFMIPF AIGGILETIGYVGRVLSTNEAPNYTKGPYIMQSALILIAPAFLAASIYMTLGRIITML QAEQYSIIPLRWLTKIFVAGDVLSFLMQASGAGLMVSADDPSTGEHVIIGGLFVQIIF FGFFVITAIVFELRMAKKHIGASAEAGRIWRRHMIALYVTSVLILVRSVVRVVEYLDG YDGFLMKHEVFIYVFDALLMFVAMAVLNYIHPSQINCLLDRGDQYFENFVVTRKYGPS ATHEMEVDSRI AFLA_123920 MTLCELCQSIPLGDLPRSPTERSISLSDNEDDRSPRHALGVPHH PNLDSLRGASAAGYVGSEASGDLVKLVEFNGETRGHYVTLSYCWGGDSTSATTRSNVA SMKEGICLRDLPQTFQDARLMTRALDVQYLWIDRLCIYQDDVEDWERESSNMGSIYAN A AFLA_123930 MCKWDLLTFKDEALSQRGWTFQEQDGIELIDVLKADHTNQPPHR LITDQRIDDGPVPPENVRAHWHELVTLYSQCKLTYPADKLPAISGIAQEYGKLLGGTY VAGLWEGSLVQGLSWQSSEECTAVSEYRAPSWSWASVDGPLCMCSVTTEPIASVMGLH IEVDGKNPYGRVKSGWIKIEAPLVPLVLSGENSLLMFGCIGLKTSDEEENCLTGRFDT INSQFDDPAETIRAMKLFGLVITDFSLTPEEPFYFSLLVTPTGDDLGVLKRVGWTLGR ESDYGPLDLRALRSIVTLV AFLA_123940 MAPDTTSIPGYTIFRKEDYDSAAAAAVTPQGIPHPLDQLSIAEI PVAAALFREYASPKQLKFNCITLREPKKQEYAAFKQGNGPRPDRRAFAIVIDRQSGRI AEAVANLTKSKVEEWKDVNDAMPTLTLEDLDILEGLARKDPRVIQACKEIGITDMSKV YIDAWAIGIDERWGFERRLQQGLAYYRHSEFDNQYAHPLDFSIVADTEREEILSVDVR YVNGERTAMPLTEHNYLPQFIGDKYNHDRLKPIDITQPQGVSFQMKGNELSWAGYKMH IGFNYREGIVISDVRAHDPYQDRERTLFNRISVVEMVVPYGCPEKPHHKKHAFDVGEY GSGFMSNSLKLGCDCKGAIHYLDGVMALASGEAAVVKNAICIHEEDNGLLYKHTDFRD GTVISARDRKLIISQIITAANYDYGFYHIFSLDGTYKLEVKLTGMLNTYPLHSTEQAA PYGTEVAPQITAHNHQHIFSLRIDPEVDGQNNSVVQNDAVASDYPVGSPQNFYGNAFY SKKTPLRTSLEGAADYCYETNRTWDIINPNSINPSAKKPVGFKIINTSCPPLLAKPGG VVHTRAAFARKPLWVLPYKDYELFPAGNYVCQSTGQENHPHNETILDWAKRNESIENT DIVCYLQFGLTHFPRTEDFPIMPAEPVSIMLRASNFFIKNPGLWVPPSSVCVDTMSRN AFSTSCCAGSKPGDSSRL AFLA_123950 MPSAVNQNGPQPVKSGKTSDPDFNVCGPLFAAAQKGELDKIKEI LDKDPKKIDEQCEKHDKYTPVIVAAVSGKLEAVQLLCKRGANVNLRDSNSYTIIKLTL DKGYKDIANWLVDAYPEMIITDPRLPKGEEWLKAQFAKMSNNIEDPASKPPQTVLDNL ISGNLKPDTDRTVSEVYWEHILLRYIGDIPKDIERNYSKKLKMAFCGTFDRTLQGHAG IKESARLLNSVLPTTQYNITGTHVAPKGQWVTERWEYHDYENNLQVLDGVDTFLINEE KGKIEVMLINYNVYELKWIDDPERPVKKAHNWTPV AFLA_123960 MPHSLNIAIVGAGPVGCLLARLLLNCPRVRVVIYEADASPDSRG QGGTLDLHEGTGIAALKKAGLYVEFLRHARFDGEAMLLCDKNLTRYLKFAKGVSDNSR GSPEIDRMVLRQLLLDSLPQDTIRWGHKLLSVSDGNVLHFPQGIEQGFDLVVGADGAW SRIRRFLTPVRPSFCGVEGYAFTIPDAAQTAPKVSELVNRGSLYAYSDGKALMGQQLG DGSIQVSVYTTESQDDDAVFSADDECRRHQIARQFRDWAPELLELLAPTSEYEMTRRI YTLPVGFQWPAHPAITLVGDAAHLMPPFAGEGVNLGFEDAMNLSDAIRGFIDGGYSTL NETLRMYEKDAFQRARRGQELSVGVMQDMFFTPGAPRASIERWMIRHVRYRIHPWAFP PQDPIESNSFSGDKKAVFTVSDEEKASPSTTEPVYDGDQYLGQAPTEEELYTLRKVAG PVSGSGYWLCTVEFAERASYYGCTWVFQNFIQYPLPPGGNGAGASAPGSEKPAGALGQ GLQVSSALTLLFKFLAYCIPIFGGWLADTKLGRYKTICIGVVICGVSHVIMVVGAIPS ILQAGHGMAPFIVSLMILALGAGIFKPNISPTVMEQVTFKHPYIKTLKSGERVIVHPE TTIQRLTLTFYALINVGAFFGLATSYAAKRVGYWLAFLLPGIIYFLMPIILALVYKKT IKTPPQGNILGDTLRVIKLAIQQNGFRKFGSEAYFDSVKPSELARKGIASYKGKPISW NDGFVDDVRRTLVACQIFLFYPLYYLNNGGIGSITNSQAGSMTTKGAPNDLVSNFNPL TIIIASPILNYGLYPLLRKHRIEFGPIKRITLGFILAALSCVVGALLQWRVYETSPCG YYATECDIGSGVSPLSVWAQIPMYVLQALSELFAVVSGYELSFSRSPKSMRALVVALF LFMSAVSSAISQAVVPALADPHLIWPFVGTAVPGVILAGVFYWMYRDLDKETFLREDS DSQQEILSEKDKS AFLA_123970 MPSESSVDVKDRGGQDDHKDGPTVEAHTTDSESGKGADEMRKPG EVDELPPVEAFKWNVDGDQSPFPEVAACVSNTDDPTMLCNTVRAWILMTIFVMLFSGV NQFFGLRYPSLTIGYVVAQLLVFPIGRAWEKLPRWRVPLGKLSFDINPGKFTVKEHAF IVICVNISASTPYAQGSLMAIIHPRFWGRDFGPGFSFLYLLTTQMIGFGLAGLARRWI VYPAALIWPTSLSSTVLFRALHEPEERSPANGWTITRYRFFIYFTIFGFVLFWFPDYI WTSLSTFAFITWIVPHNQVVNTLFGMNSGLGLLPISLDWTEINYAGFPLTTPFYITCN AFATIVIFYFFLSPILYYTNVWNSAYLPLLSSNTFDNTGQSYNITKVVDANLNFVESK YQAYSPMYISLGYALTYGLGFAAVTAVIVHTYLYNGREIWAKFKNSRAGGEDIHRRLM HAYNDVPDWWYGILTVIVLGLGVLTVRYWDTELPVWGFLVVCFGMGVVLILPEGILQG TTNQRVFLNIITELIAGYAYPGSAIANTMVKCYGYNSIKHAMDFAQDLKMGQYMKIPP RALFAGQIYASIIATMTQTGVLRWMMGHISRLCDPKNPNRFTCNGSKVMYNASIIWGT IGPQRMFQQGQVYNGLMYFFLIGPVVTVIVYFLYRRYPNSWLKYVNVPIFFNAAGNIP PATTTQYSLWFIVGFIFNFWIRRRAFHWWKRYNYLLQAAMDTGTALATILIFFALSYT GTKLSWWGNNVGSNTYDSQSVPYLKVSDGGHFGPGPGEFK AFLA_123980 MSHLQYFSYKGFGEHMREVLSYSQAVRIGDRIEISGQGGWDPST RKVHTDLGEEINQAFANVELALKDAGGRGWSQVYRVRIFIVQTNDEVIALLVQNLQKW MPDHKPVLTCVGVNQLALEGMRIEIEAFAHDG AFLA_123990 MSMYTIEKPLEGTFVYTLFGVQQPDDVQSPATENLIRTFSHLIT GANCHLDQVTQDGIVSPGIGKTRIWIATWKSIADFEAWWESDSVIKFWSSLPPDAGMW REFVKVPYGRSQYKATQNRQDGQGVHFAHKPTEKNGYWGWIRDSIRELSKENRMDSPL LVPPIPERKASLKEKTLGRVTFNGFPDNLCFNLERQDLSEMTGAERGVWFDQFDQAAC KWMDDLAHAAPEAGILTSRMCYDERLGTYKEGDSEFHKYNRKVELFYFMDLRSMERAG RSNKGHVALRNNILKTYGPGGIMSECGKVALWVQTNILKAPEIDAEYVGCVPGTGSMA NQNHEAFQCQKEASPCQHAKA AFLA_124000 MLNIMTLRVLSATLFILQCASALPALNSSIETYHFPEGLDRAHS YGIQVKQSTGGVHTVESYSAIVSEANTTSGKGIEHNTSFALFDFEGSADLIVTYNNGP VKSAVIRPYSYGIKPTVKGNTVSFSLDRPRNVVLQVNNDIFDTLQLFTNAIETDIPSQ RDPNVVYFGPGIDNGPSSKNGTLVIPSGKVVYLAPGAVVTSRLAFQNATSGSIQGRGV INPRSSGGILIEWSSNILVKDILILGAKGFSVTTGTSKNITMSGIRSMSSTGNGDGID FFCSEDVLIDGVFLRNSDDNIALYQHRWNYYGNSKNITVQNSSLWADWAHPINIGTHG NTDKLRQWTVLPSAILTSWITASRKCGIRGALRSIPEIVT AFLA_124010 MRSKGNGRSAQDQPPQQQQGQDTSKPEDDIPACQSCRKKKARCS REQPCSQCERFGVACVYDDRRLKPGLRAGAVDQLYRRIDTLENMFLGQSILWKQVWEA LHPNSAFPSAPDENLHNEESTGRQLAGARDAMKKSMLQLAETKDTEGPSIESEHNGDG DCRSPKRRKVDLMSTPQQPSRNATDFDILNSDLVHPLVEFYFTNIHHWIPILHVRRFR EQIQFYKGRQKATYILHAIVALCSRFSDDSRLGSDAEKAELAEKCRQKVILSSMESFS VENLQALVIIAFDTIGRGRGPSSWSIVGGMARTVEQLQLSVEEEHLSSHSQSGETLIR RMAFLKPSTSWREAEERRRVFWTVFLMDRFCSVSTGWNISLTSADVKRRLPCEGALWE QETEVRPPYFGISDARAASPHRPLLTESRMAADPKEQDCIGGFAYCIEATESLALVTN FFLHHALDIRDADKAQLWLMRFKELDLRMVQWKLFLPPKWRDASVLNADGIMDPNLTL AHTTHNTAVILLHQGIAYPPLHWQSCPVKLPSTSSAETCLEAASEISTIGQQFLLCSS ILTNPQFSFCLFIAGRMLLTHSKYYGTPIPNSLDSLIASLFEISRRWAGPQNVHDNLK DNLASGFAKRLVSAKDSFPTLSKPSLDIRQTAYSENTDDRAPPKRVETISTPSVLERS SVVPNNTYAEQEPPLPIPDNHSDTSVGLAFPPLPLSFQQTLQSFTDVDPFGISLTDRD QFNSQPSHVSIWNNATSPLYASAPIAFDCASPEHPPTTLSPGQRISRYGAVEVDRAVV MGENHAHLNHNS AFLA_124020 MPVHENTTISVKRGLETWGNGTNSPKKPKTDGLSPEATPSPLVK ALYSESATAKLWNVASKALNNAIPPTLCPEYTGADGVTYVYRTLDFWTSGFFPGSLYL LLERQTLYPGFYDIPWRVNKKSPLPHKLQLQHLCQWWTANLHANAAKRDTHDLGFMIA PWAMKAWSLNRDPQAYNSLVLAAHSLASRFDERVQSLRSWDVCHTKRYSFTDPEKDFL VIIDNMLNLDLLFWVAKETGNAKFHDIAVAHARTTAKHHIRSDNSTVHVVNYDTDTGL PKSKFTHQGYSDESCWARGQAWGILGFMQTFEWTGEMEFLTTARSLADYFIRRLPDDG VPYWDFDAPVDSSCPRDTSAGMVAGCGMLLIYKALRGVDEDAAEFYLKSAVRILAGTM NGFMTPGDLRFEVGESDTVVPTYPDDLPEHERRQSGALRVTDSRLTQNGNGTSKNTPE TIIDGATINNYEFATRRWANHGLVYADYYFMLMGNMLLELGLVSGTRCAIHGEHLL AFLA_124030 MSILTRPRNLSTWLGSMQKPQHMQLVLLSRSTKLPIDGYSGRSI NGYSCAWLAYVSCTDDRLSLSLIMVQTYFCQALDKGTLGFSSIMGIQEDAGLDSDKYN WLGTILYIGVLVGEYPTNFLAQKLPVAKYLAANVFLWGVIIACSAAATNFPGLMVVRF LLGCFESCVQPIFIQMTSMWYTRKEQPILTSLWNCMMGVQMMVGGIMAWGTSHYIGHA IKSWQLLFLVLGVATCVWAVFLAWYLPDSPMKAKCFTEDDKRLLVERVRANETGIQNK TFKKYQLVEALTDPIIWLYVLMQVSSTLVLGGLGVFSNIIIKSFGFTTLQTQLLNIAQ GAVTSAVMVGGASLSSWTDQTILVMHLWTIPPIIGTAIIFTIAPTESTRVGLLIAFYC TQFIWAEGNLLFSVISRNVAGQTKKSTVLAMTFIAWAAGNATAPQIFQSSDAPRYTKG FTAHFCLYGIMNGTLLVTRWLLIRRNKGKTDATSMAVAENGIPEDIGHSGAFLDMTDE ENPDFRVSRLGNSFSSLLMVSTSMYISFVPGLSVIF AFLA_124040 MPSSKSYPAPLVIAPLKSDEHTHTIILLHGRGSNGERFGQVFLE STDIARCLPTVKFVFPTAKKSHSTVLKRIPINQWYDNYSLEGPNTRTELQIDGLEEST CFLRGLVDEEARLLNGEYRRVIIGGLSQGCATSVFCLLGGFPEDDKIRQLGGFIGMSG WLPFERDISRLLESGEDESETEDDPFSRDDEDGEDIPGNIQAINHVRDVLNLSALQNS SLSYLNTPVFLGHGSAEPKVSVELGRRIASILSDGFGMDVTWKAYKEFGHWYKVPDEI DDIVCFIKEKIGVQ AFLA_124050 MSGVKQAAIAAASAAAGAGAAFLYTSTVQPRQPRPIKPEPTLEI IPPESPGITPIPDIRTKSLPVQAPPPGLMITSSISPKVKPEDIRNYGHPGPVADELKA LSLYGAYDRRTRNPLWVAEHITRESVAQINATRKNKFREDLSIPKIFRAKVSDYVKCG YDRGHQVPAQDAIWSQKAIDDTFKMSNMCPQVGKGFNSGYWRLFEDFCRNLTSTYPSV RIVTGPLYLPRQGDDGKWRVSYEVIGSKEVPGAEEISEGEDHNFAPNVAVPTHFFKII YGEKEPIDEDGNECSTGEVALGAFVLPNAVIDNNKKLADFEVDVAHIERASGLEFVKK LDPKRQTRLCEEVECGFLVKQMNDKMKSKL AFLA_124060 MFNIRLPKIGKIIGINDDGSYRQGPIPDLGGPLEIAAEFFMAWS AKVQFGLSHDQLKDAAGSFADELSISGLAFKALMNDMAEELSKSNEGNEIYNKIAGYN SLSADAVPLDESPVLKLASATKLITSIALLQCIEKGLITLDSPLTEILPEFADIQILT DVSGSDFTFKPSKTAITARHLLAHTSGLGYPFTNRLLGLRAQARKTLKPSLRVTEKYR YPLVFEPGTGWLYGCSLDWAGVVVSRLHDGISLEEYMIDNIWKKVGLSAPFPRFNIST HREYNARIMHGAVQTSDGRLEPCDSWAFDNPEDQEGGSGLSSTAKDYLAVLADLISES PKLLKPETITEMFTPQLVPGSASVEMLLELRAAWGTVAGPVADDAVNHGLGGVLFTGP VAEIGQPANVLAWGGATNIVWWVSRELGVAGFFATQQAPFGNPTVTKLVNAWKKDFWM EFNRADHV AFLA_124070 MWAQSQNRITTGYAALIALSIGSAYATVFDIPGVLPAIPAVAPF EAIDMAQYFKRNPGDFVHPGIWHTHEDLERMRDNVLRNKEPWASAYQHFSVDQYSVAN YTMEGPAAVISRGKISNYTSFAHDARAAWQNALMWYITRDQSHWDRSTSILDAWGSNL TDIVGIDRSLLIGLDGDLFVNAAEIMRWEGNWTESGSKWQGGSGFSNQLYWLFSRQSA AIGQANYGMASIKALMSFAVYLDDVQLYNYAVHAFIHDRCAGLLAMYHPETGQSVEAG RDQGHTMSGIGWTAYGARVGQSQGSDLYSLGDGLLLRAAEYAANYNLNHTVFYDPQWY RCEAVLVNGPWTNISEANRGVTNKNPMWDILFYEYVVTRGNDGPWTTAAKEAQGFAGG VSSNDHPSWGDLIWAR AFLA_124080 MASSDVKDIEHGLDRRDNESEKPPFEDNLKEEPPQLAVDAFGAE ETAEVKYKTLDWWYVQCGILMIAETVSVGVLSLPATLASIGLIPAIILIVGLGIVTTY SGYTIAQFRHKYPYVHSMADAGFILMGPIGRHIIEVGQLLFFLFACGSHLLTFTVMMN TLTDHGTCSIVFGVVGLVLSLIFSLPRTMKNVSWLAVTSFLSIFSAVVITMIGVGIER PGYDQFQLTRKTSFVNGFTAVTNIVFAYCGHPAFFGFIAEMKNPHDFPKSLCMLQGFE IILYTVASAVIYRYAGQDVASPALGSAGPVVRKVAYGVAIPTIVIAGVVLGHVAIKNV YVRMLRGTELMHKRNWKSIGVWIGLAVVFWVIAWVIAEAIPVFSNLLSLVSALFVSWF TFGLPGVFWLYIYKGQYFASPMKIFLTLCNVCLFIFGVMICALGLWVSGVAIHNDKSH GSFTCANNAI AFLA_124090 MQYIKMREVTDSLQGTADGMGNPLVDWSRDIWPSMRPAEFAIPA VLTISSLVIIPAAYRLHKDYAWAIYKRIHGSPELRLRYLAYEIYLVLIKFDFFFLTGF IIQYDLIDVHFAEPEYSLTMALIPASLLVMVAGIYCVKSGLRVAMMVVIVCFLGSIAY LLSRIVVLCGNSQRAHTVGREMMLFFAVVALVLIVMTVGCAVQCIFNLSYGLQVGRPD SRWPQSSHAFQTLDAPMSPSIQDTRYHRRLSLD AFLA_124100 MGWSVARVLLNYFLHPIVALSLYQPLLATWYPVYRTFLAVSFVA ALAVSLAFIVWYLVKDDRQNNFFYKGAYPRNSSHDWLSDTLYMVPFLRGLAIGALQTS GLGQILVLISCELFILSCLLWNWRVQRAWRHACFATVRLVIVGMSCAFLPRAGVHEGN KALVGYFIISLHAAVLFTGFIVDCLYEMVRFVLSKLGFVDPRAADMDHHSDKAPVFGI GQLSRRSTRRMSFARLPALNPAEISVPYTQRPSTPRRPSFLGELPIRNEHPSFFRAPR SETTSTRSAWSVVSPSPPSQSGSESRGSSMESVELEALDLESKSSEGVDYSYREADQF YGRPPTSVPVVQNVVQSQGESSNLGKEKKRGAWRWKRKPKEKGFEVIRPNRTVT AFLA_124110 MDETKYVAHERQVDVTVQGPIDIENPLSGIPRDQLLRDVEDYAQ QYDLHDILPLLKKGALVAQRPNQYDDIPELSPEDRQYLRQETTNRWKHPWALYYTIIL NSIAAAIQGWDQTGSNGANLTFAHQFGIPQDSPDCTSPAECSRNQWIVGAINSVPYMT IAIFAGWISDPLNHWLGRKWVIFIAAVFSLIAPIACALTQSWGQLMACRVLLGIGMGL KEVTVPVLSAENAPTNVRGGLVMSWQIWTAFGIFLGTCANLAVVNTGAIAWRLQLGSA FIPAVPLLLGVYWCPESPRWLLTKNNARKAYNSLLRLRNSPLQAARDLYKIHSQIKME RKLIETSTEFSKSDNMIVRFIELFTVPRNRRATQASGIVMIGQQMCGINIIAFYSSSI FAKAGASNIEALLASFGFGFVNFLFAWPAVWTIDTFGRRWLLLATFPNMCWTLLAAGF CFWIPEEQKAAHLGGIALFIYLFNIFYSPGEGPVPFTYSAEVFPVSHREIGMAWAVAT NNFWAAVLSLTFPYILREFKPQGAFGFYAGLNIVALVLIFLFLPETKQRSLEELDRVF SVSTRAHAKYQLTEALPWWFKRHVLRQKNAVCRDLYDENFAVNVVPRNFKSGNVVQLE SVNV AFLA_124120 MGSGKSRLIQLDSLLRFGCQTAPFRTADGIANESEGVGITQTVG MNFHSNPKDNKLSFPEYQMQLAPSMRTRTLEDVG AFLA_124130 MDQGTTDKASQRCLANGGQAWTDPKISKHETGWRYVVRNFTPAW FSANMGTGIASILLNTLPYNGRWLYWISVVLFAFNVLLFIVFLLITALRYLMYPDIFP VMVTHPAQSMFLGTFPMGLATIINMFCFVCVPAWGVWASYFAWGLWITDAVFSVLTCF VLPFIILTPVRMTRKSDIALSAMGAAWLLPVVSCVVCAASGAIVADVLPDPQYALGTI VVSYVLWGVGVPLALMIIVIFLMRLLLHKLPPREVLVSMFLPLGPLGQGSYGYVFLGQ VSQNIFPKTDILRPGTGDVFEMLGFFIGLLLWAFGLLWLFFAVASIIRTRKFPFNLGW WAFTFPLGVYATSTCQLGREMPSRFFRVLGTIFSVCVVLLWILVTCLTAKGVYNRSLF KAPCLADLREKQRRLREEQRDVEEK AFLA_124140 MEPTVHSIFEKQTSTWQYIVACPETREAVIIDPVLDYSQEQLTI NTTSADSLLDVINSHNYKVVRLLETHAHADHLTAASYLQSRLQAQGADVPVCIGRRIR QVQDTFAKRYGVPADELDNAFDHLLEDNETFPIGNLTAKVLHLPGHTPDHVGYLIGSN VFTGDSIFNPDVGSARCDFPNGDAEALYQSMQKLLELPGEFKLYTGHDYPPSTSSVSR VPMPFATVNEQRERNKHVAGGREEFVTWRKTRDSGLSEPRLLHQALQINIRGGKLPRS PDGSRRIFLQVPVTLPESWA AFLA_124150 MASTAGRLSAAISKTSVLRPKGPTTQVKFGEQKVDVPKGGYYDR YRMNPNLDEVARDPAVGPDIDFFRKIPKKLVDSRVGQIYAPNFYYRTRSVQLILLAPL DRLQSKLPSPLEPITAFPGYGLVALTFYSYLVCDNDPYNEVSIAIVVRQPGNNSYSTT QLLSSVWNRTFYGHVLALPVDTEIARVRGVYGYQFPKWLANISMEMDDHNIKAELTAT DGTPDLTLDAPLPALTTIPSESSITTNNAINKIDGKWYQVTVQTNPLLAAQSILPGNV TLNRSEGPLSKLLNELGVSTILRMDTIKDAQMVLNMPTPLKAFDNVKL AFLA_124160 MVKRILNVVTNVGHYDDPSHPTGLWLSELTHAWHVFEEHGFEQT IVSPAGGPCPLEPRSLKFPNYDKTAKAWHADPARMALLENTASPDQINSADFDAIYFT GGHAVMYDFPDSEGLQRITREIYERGGIVSSVCHGYCGLLNTKRSDGSYLVAGQEMTG FSWCEEVLARVDKSVPYNAEEELKKRGAHYKKATLPFVSYTVVDGNLVTGQNPGSATE TAKKVVAALNRS AFLA_124170 MSALTFAIYFAALTSMNEQDAADLSLNRVQLLEHFKTGLSNILV GTELLNQPDMPALQALAIFLTSLRVHETGRGVWVLNGIAIRLAQSIGLHRDGTSLNLT PFESELRLRVWWHLCMLDARSPEDHGFELTDNLLNHGPRLPLNVNDDQLYLTMEELPT ESEGWTEMSFSLEGIKGTRLLHSILGTRSKDTLDDIAAKRRTIEDYKNWLDNILSSSS HSLDHLSNLAYRHYITACKKMEFLLLIREEIYQEKQARVRSGPDYSTRPSFKAARDVL ESSYILLQDSGPFRQYTWLFKTYTQWYALAYVLRCLCTSRRTLENDRVWDLVNDILQR ATNFDPSSNSCATIGNSSIWRCLCSLRAQALSARLAQHSPTGSDPLPPHLGSNEVNTS NDNFQSQDRNITQHGQNPSATDKNLPINNPVYFDDPIEQSFECFADTQHGLFPTSSLF DVPCVPGWNAVINGIMDDDYF AFLA_124180 MHDIAHNPHYGPQPELGIRAGDEFLTTSLHPTDSETQQVDSRPI PEGGYGWVCVAYGVFLSYYLSSDVFPGTTALEYAFVGGLSISCATLVSPLATYLDRRI STQLVLNIGTVVETVSLITTSFVRKNWQLFLSQGVCFGIGMGFCFCGSVGIVSHWFTK RRSLVNGITAAGSGTGGLIYSLAVGHMIPTLGFPWAMRILGIISFVINLVCANLLRVP SSTRSNMRHTPIFSRALLRRLDYLTLLLWAFLSALGYIALLFSLSSYAVAVGFTHDQA SLASALLNLGQAIGRPSVGLLSDYLGRINVASGASALAGILCLVVWVFAESLGVLYFF AIAVGLFAGTLFAAAAPLAAEVVGIEDLSAALGILWFVICPPTTVAEAIAVQLRDSEA NAKPYLRVQLFTGMMYLGAGGCLVMLRVVLYRSKYRGGNEKGAR AFLA_124190 MAATCPNYCGIEDYGIIGDMHTCALVSKNGGLDFMCWPAFDSPS VFCRVLDNNKGGHFTIRPAGDIKPMSKQRYRACTNVLETRWIHEDGVITLSDYFPVLS KKPSHPGDSASAWCSCDTAADGAEGAECRSGVVRKVECVRGQMDMVVEVFPAFNYALE QHTGQWVTRGDPGNRLNEYLFESATQSLQLSVLTSPDPSGEGSGKTPKISFEIQDRPG MKGPGVFMKMTMLEGQSATFVLHDREKTLPDASSLESYLHMIEHDTAEFWAAWINQCT FRGRYREQVERSLLILKLLTYKPTGAIVASPTFSLPESIGGPRNWDYRYSWVRDAAFV VYVFLKNGYPKEAESYINFIFDRVFPPANSEHQTDRPFLPIMVTIHGEHDIPESELDH LEGYMGSKPVRIGNGAASHTQLDIFGELMDSVYLYHKHGRPISYDQWLAVRRMISHVM DVLHETDRSIWEVRGQPQNFVYSKIMLWVALDRALRLAEKRSNLPCPDRIEWLRARDD LYDEIMTKGYNFKDNYFCMSYESPDILDAAVLIAPLVFFIAPNDPRFLSTLKRVMLPQ AKGGLSMANMVSRYDHTKVDDGVGGREGAFVMVTFWLVEAMMRASKAMSYNVDDPFYR QLRKLAITHFDNMLSFANHLGMFSEEVAISGEQIGNTPQAFSHLACISAAMNLGGGD AFLA_124200 MTDIHQSEIQISNLPTKSVVITPQRATITREIHTTIHPGQNAIT ILGLDPNVDLDSVQLDGSGPATITDMQTDVVPRREKFNDVYPDLTVDEASDIDQDMTT DEEGLDIDDSELQAILKEEQSLSTSLAKAQDDKSASLFVLHFLVGYGKSIDSTTSDPT KLNDFLQMYLQQRKTEGDRARDTEVKIADGEKALEGIKRKRERLESAHTKAKQAALKE VRRAREKRVRERQERRAQQKRDIKEKKMFWTDLVGQVKVYLDGHVSATPGSSRRSSVT ENVTYGGQPIEVTMNLTYIVPGPNWVPRYNLKINTPASTAALVYNADFQNKSSETWKD AKVTLSTSHAAFSGLDEPIPILQPWHVTTCPISDPKSISWQDVLRSPAEKNPNAMATN KDPYQAAIDAQKRARAHIMASARSAQESGTSPNAQQMQQQAMQQQAMQQQAMQQQAMA QQAPAARRGQGAARSASFTPRQDSRHSWVPSARRALGWGTNADEEGNEEADDSDNDTQ SIASPSLERQDSVPQNYGLTTTYELSGLRTLVPSTSHRRHLIAQSTLQSLTLTHVIVP KIRAAAFLRARVQNTSSVNILRGKTGISVDGTFLGTSTLPSCVPNDFFNISLGVDPNV LVTYAKPTVRKLSTGYISKEKAAVFRRSCWVKNTKSTAVDMIVSDQIPLKDNEQMRIQ VLEPKGLEKEGSEVDMALERDRGKGKAVMMRNGEVKWFVKLEPGKDVRMVLEYNAKVP DGNAVVTAT AFLA_124210 MEHDMDEQIPSYVYSPIAPDDFRVLKILEVHPQIKFSLEAFSIY EAPGYEALSYAWGTSPEMEESLCNGARFRISRTLGQALRGIHAHSGGGWIWVDAICIN QTDAEEKAHQVAGMGELYSCADQVLIWLGDAADQSDLACALLPELTEKIWSLKDSEGG WRPLSTDDIVAQGLPHPDDPLWCAALLLYSRAWFQRLWIVQEVVLARACVFLCGLQQI EWHVVVNFAIATSKSFFVSNIAGLHIKAMGEDRVSRSTNGIRLIRNSRRLKDSLEDDE KEITGLQATMDIMQSQGASVKVDYVYAVRDMLPDALRDQMVVDYSDEIKRNYGIIHAR FFRQCLERLSDWPSLRFPPNAGQKNIPSWCPPWGSGWNYSYLPIIGCHAGRPAAISPS SSSGRLCLEPSDDSEGILCIAGISADTVQEIVPFSPVFHGSTNVLDARRILRAIKACS AHISDGADRHERLLGVLIGQCGWLKSPQFSGRPDGDVLDGFVSFLEHLAANKEHEGDA DPVPVNLDTAEYFLDQHRFWRAYLNLIMIRWPGRSFALTTNGRMAIVPCHTKPGDTVC VFLGGTLPQVLSRHEDGVHWKYVGPSVVDGIMEGEVFDTKEEWIHNKEIFFLK AFLA_124220 MARKSHTKSRTGCRTCKARRIRCDESWPACKRCTSTGRRCDGPS KAATSVTVSFAHVLTVSTMKKSPARLVYENDQEARYVGYFLERIAFSSPAQSNIPAWR ALMIQGVLGDVAVRECAIAISVLMLEANSKHHSTSCLLASQCGNARYKLALKKYGKAL SSVRALLSHSDRQSVETALLCGIICIWFEVLIKDHLSALSHLDRCLNIVQMSRVLGRG MLVPLAANVGRANQCIALSGEIDSDIKEAYVKLDLQAAIHVGARAPVLLVEETKPIPY IFNTFGEAEQKFNAEYGNVMFLSRRAAAPYRYKQPDGIPLEILAEAQLLLERLEQWNS AFHYSYSCQKAQGDPRMTPQVCLLLIQYHMAIITASTCLYAEEMIYDRFLPNFNHMIQ CAKRLVSWWHSRPAGSMLGVPVDMGVVQPLYMIATKCRVTSLRQNAIDMLASMPNDKG VWEGPVVASVARRAKDIEELGLNVKIDGVPEFRRIHAIGFDVAQGTRRVDVEFRTRPN GIDGEWESWKECLSY AFLA_124230 MSTTSQPPTPSIITAPCTLQTCPLDWALIRYIPSLPGNAFYLAL FVPMFLAQVFCGIRYRTWSYLAAMSGGILLEIIGYGGRLMLHSNPFNFSAFLQYLICL TIGPAFITAAIYICFARVIVVYGASMSRIRPKTYARIFITCDLICLVLQAAGGAITAT AGQEQDGLRQTGINIMIAGLASQVVALGSFMILCGDYVWRLKRHVRVVPQPVDGDWKW KGFLIGLAIATLTIFIRSIFRVAELNGGFSSDLANDEVAFMILEGAMMVIACACMSAF HPGYSLVGDWKELVSPSAKSRESDDLVLTAISNSGKP AFLA_124240 MAAIVERRSRPQVTVNLDDPKDGIVNRYTTGDEINFNVMVTVRE DVMIGALQITFEDRQQTMPDDFAPNACQVSYIVKVSITKAASDDDSQFSSRVLAAVGK EVHIIPTVDEEPPLSAQEESVEYRPWAETLIRRGLFEITTGQLKLFAVQPRPLVVQLR GCEPSVISSILAKLDLRFDPVEDEQPPRLDTALLVQLSSSFILITSAFSPSIALSWLS LN AFLA_124250 MTFRVLMVEVSIDDALVDKPFFPFSPSLSSLSFYNNSAVSSSSL PRLKPPLHLCHRLCLPYLSTAVMASEVALSQDGQRPNELGNEPKQEHVSTRPSLEDQS NEGKPPKNTALIIFCISCITFISCYLGGLVTVSVPAISKDLSLDPGVELWLITGDINK AHEASTIICFSLSGVLLIFFVLWQSFQERRNKPTLIWNSLWKNLAFTCTCVNEFMIWG AFNAFEQVINLFFQNAQDLSRVETAIRFIPTPITGQLTALTTRFVLHRCRADAIINIT TIISCVSPLIMALVNPIWVYWRCAYVAICLKSITADSLFTVSNILIAGVFPAETQGLA AGAFNTASQIGKSFGLAIVALISNQVTDQQSQIVEKGSPEALIVGYRAAFWTLFGMNV ASLVVSLFGLRKVGNIGKKKTQ AFLA_124260 MSQPAQGYTHNGPVDCSLPFDTKNLKGKTAIVTGGANGLGEGYV RALVAEGVNVCIADLNEEKGKKLEAELKGTKYIQCNTTIWEDQTRLFREAVSFSPTGK IHYVVANAGIHRPDEVFLYSGDDQEPQKPDLSTIDVNIQGTLYTAKLASHYFIKQNGQ TPSPKQEDTCLVLIGSGAAFLDCPRAPQYCASKWAMRGIMHALRRTAFYYGSRVNIIS PWYVKTNILSEDAFAHVSSLAVVLATVEDAGQCLLRILSDTSINGHSIFVSGRKWAAQ GYLDLDLEDYAGSALIQEIQEDQMKSAPASLGLFVIGNPAGCPTSRRVALVGIATDCS YTSSFDSTESLRRSLINMVNAASEVFESSFNISLALHNLTISDANCPSTASDSAPWNV GCSEGDMNSRLQEFSSWRSSLSDTENAYWTLMTGCPSGSEVGISWIGQLCSSQSSTNV VAQTSNQWQVFAHESGHTFGAVHDCDSSTCSSSTQCCPLSSSTCDADAQYIMNPYSMS SQTEFSPCTVGNVCSLLGSRNMRTSCLLSDTSNIPTLTAGECGNGIVEAGEDCDCGDN CDDNSCCDGSTCRFRDNAVCDDSTGPCCTNCQFASSGTVCRESTGTCDIQETCTGNSS ACPTDRYAPDGQTCGNSSGLFCASGQCTNRDMQCQQLLNTNSTGVSSCNNDSCTLSCS VDWYGSGVCMGMNRQVQDGTPCSDGLCRGGRCRSESENNGSWVDRHRSLIIGLSAGIG GALVLAVLLGIIICCCCRGKKTPKKAIPPAMPVTGQVPRVPPPYSPASPTRSIPAPNY RYA AFLA_124270 MNNLTESEEATRSSIIRLEHMPQQAWVRTAGDDWTGIIDRKERR RLQNRHSQRAYRLRKKGKVVETQEDTPSTSSSTAASGIVLRSPSEESQVEAGEELKCA HAPPHALQFRQWFEAIARDSYLRGSPRTEHLITLSRLNVHRAIDQNICAIGMTNDWTK SDDSISIFNLVQPGFLEDNIPPSLRPTLIQRSVPHHPWLDFFPFPQMRDNLIAAGDML DDDDLCHDLMAFWDTRNTGATLLVWGEPSDPRNWEVTEEFARKWGWLLRGCSELLISS NLWRLKRGERPLLWRHVLQPQSSASQGYM AFLA_124280 MRTTCQLSNWLSPSNGSTKIKISTFLYSRHQPTFDFTTATTGME SESAVRLERRKTLMQLERTVEQLQVPKIDLSELNSFNIAAHPMTLTGNSDSRPSFFAR CLSLPEPDDELLEREYPTFPRENDPAYKEREPFLRPENCAMAFCDYLTAWIFDDCGRM PGPWNSK AFLA_124290 MRKRGEPEPQEEHDAIRNQLVADAARLRQLEKFNSSTNIYDFDG VNPELAMHLLSLYWDRQLDVGAVVYRPVFMKDMACSGPHFSKLLLNAIYFTASKYSPR LEVRDDRSDPLSVGRMFRRRITQLLSDHVTRSEITTIQALLLIASSLFSWCDEKSLAW LYSGMAINMITDLGIQMDNRIYRKGHGLSPEQAEVRRRVFWGAYGKSPSSLYQGRPIR LREADSAIPLSFLDEYEEYDLFEPASYGTSIESSPALSQLVSILKRYCSLSSIMSRIL DTLYTERSTSRDPVVLFECSTSLNHKLQSWYEELTSGLTAAMTGSSTATASPHILALT ALYHTLKILLHRPFVSDGHLRSASPSVAGIAFEACATAANAIHDTLGIYGKSYSMQLA PYSISYATYVSATIHARIAAHSPAGSHAHDCLQNCLSILTEHQRLYLGPKRALGVILN LTKVMNIDIGDSTVTASSPDEAIAQVTSQEDGVRDPGHLASHHAGLFHTQNLPSDLGH DLQYDLPTYDIDAIIQSFDVSQPVNLRRFENFSLPLDPLFGLDNIDVVV AFLA_124300 MQSILQYRRFGNLAEEQLATDPEKGLGSRKPSLKCKKHFPANDS GSKASVAGDEVSMVSSQDTSPNSRRPRETYEDEKVSINPSADPLNRSVTTEAGGEDTS NVSKTVPVGFEPENDPMNPRDWSFGKRLMVTIVVSFMGVIVGWSSSIDSGIIPQYAEE FGLSEVVATLPTGLFLVGFGTGAVMSGPFSETVGRNPIYIITLIVFMLLLVGAGLAQN LAGQLVSRTLAGIFAATPLACAGGTIADVWTPEEQVFTFPIYAIISFSGPVLGPVVGG WIGQSNLSWRWTEWVTLIGAGIILVTVILFQPETYAPILLKWKAIHLRRVTGDDRYRA EIELRQTSLPARLLLAMYRPVVMLFQEPTIFLFSLYLTVAYIIIFTFFTGYEFIYEGI YGLTQGETALCFLGLAIGLLLCIPLIPLNAKLRSRDITRTKETDPAGKAPPESRLYWA TIGAPALPISMFWMAWTARVGIPFWSTLAASVLTAFGMLCIFITCYQYLIDTYGTYAA SALSSLTLMRYLVSGAMIEVSIPFYKNMGVPYTLTILGCISAVLVPIPYVFYKYGPEI RKRSRYGRNSC AFLA_124310 MLFPTLVVTAAFAGGTLALPTGDDAPFLKVVSSTEAIVGNSIWN ATVGASVVKPIYYQGKEIVGDAKGQYYSYVDQTESFNFSNATIVDSDDDFIDVQFTTS EGEQHWVIYRNQHGAYQYFINKALPSPLGEWRSVSRLDNATFTNGHTTYRQGALPELS EIESGEKVQDETWELSDGSYITKYDWSDFINSAKAWGVYGDGIGCWYIHPSKEYINGD HLKQELMLHRESSSGDTVLLNMIHGLHFLTGEEHEFAEGRVWGPWLWYLNNGSVEDAN AKYDEEVQSWPYSFPNADIEAGHHQRASSVSGVITLSDGRAASGASVMLGDNESSQLP AEQGVNYYYRTTADSDGNFSFENVRAATYALYAWAGNNSDIGDVSTNLTANGIEISES TSAIDLGEYTWDAQNRTKIWQIGTLDRLACSFKNGCSGYHHGLSDESPADLEYTINSS ETSNWSYVQTAEGTWQVKFELSDDPASDAVAILSTSLAGYSSGVNIEITAQGGNVTVG ELSDLTNDPSVYRSSTFCGLHRYEEFEVPAGTLVKGSNTIEFTVTKTSQWHGFMWDSI LLEWS AFLA_124320 MFMRDGSQRPLANIARVTEYPQTKIYTRMDVEVSNWKETALGLA RACETDEDCSLNGVCIDGPDSPKNSDHPGILSRICKCDPGWFGEDCGRLDLAPATRYT GYNYTNVTDPSYYGKYGNSSWGGDILQDPDDPTLFHLFASQFSYGCGLSGWRPHSFIM RAESRNGPQGPYHFAETVAPAFRHNSYVFFSPADNKYLLYTIGVDAPKPEKCQSISNT RWPNNISVASSDSIRGPWTPFKMILNSKDPQSTNPAPWPLWFPENPTSQIIMGVEGNA IFIADTWDGEYKLMYTQKWNTTKYSPTWTEDPFFWRDKRGNWHTLTHWMIDIVEHDGQ KWPRVGAHMYARNLTGPWYFKLHEAFNSTVAFTDGSVETLKRRERPKIFFSDDGEMTP LYLINGVQSMNESSRSYTLIQPIGTKWKQYEKDLGFYSDLQYRYLSNDGHPGPIGDDF GKERT AFLA_124330 MAPQVWFITGASSGLGLSLSLYALSAGHHVIGTVRNASKSADAV QTIQNKGGKIVELDVTKADAIPEAVKKAESFYGKIDVLVNNAGYSLLGAVEDLNDKES ALQMETNFFGPLRVIRAVLPGMRGNRSGTIVNISSVAGQDALPSCGLYSASKFALEGL SESLSRELAPFNISVLVVEPGAFRTNFLSAVQRNESGLSEPYKGGPVDTMLGNFESAQ GKQKGDPEKAIARIFEVVTGEGAAGGLKGQILRLPLGPDCVQRMQAKLDKANADLNAA REFALNTNYD AFLA_124340 MGCEGPGGNECCPPNWRDDVYYSPGMCPAGYQTCTLPTSKQRIE TTAMCCPDNFACNGQGRCTRPLNTRVPLTMTDATRTTTRTEYAITATPIQIRFKAAES TIVPIPTASLKLPRGYLYKREKVGIGIGVSAAVIGFAIGIYFCCCSGNKRRTMARVPT APLENYPIPETPDVPPPAYPGPSDGLGTAATVPGSSTDNWPMGGRK AFLA_124350 MTSTITRTILAYIYTIHSSAFYDKLTQTTLISVWIPEIANCQSE GKVREPDITVCLSAYSGFKTLDQENGKLGMEAEIVSTPHVTPAKAALGTKSQPCGKWD TPLGAMGPRHINLG AFLA_124360 MAVYYANRNLTPDQSDQWPMSFPPLPPSEYDRFLGWSMLGMGLA SSEPVPPPQNAQMEYADVSSTETYSLDTTKDFWYPSGYPNAIGTTEYHTPGPISDPQA AIYPEHEQRGINDGTPSTTYQHPRTDAPQQSMRKAKRPSLSPKSHPEPRMATPIRPKP MPKKRSSQDSTASQRRRSISSDSKDDDPNICMLRKKAHNQVEKRYRANLNAGFKQLED VTKQDSTTATSDTKMAKGLRPGRKALILQHAYEHIVCLQAELRSLQKRLGER AFLA_124370 MRAPHPVALWHLGELSLFVSRLVASAADDLFYNAVMDKHLTLRC IPESHPECTYVR AFLA_124380 MTESGEHYHDAKHQKWQIASAGHNQFFILNDATGTYLTADSKNG TPILIYSDHGTKNQQWTLEQLDAAPPPGVIKNTPVGGEGGTPFEEFKYVPVRVVETWS GEVEDETVVRGLRWTWDDGSQSQLYGAEKGDHQVLVVPPGGKVKESSVSSGKRVDSIV IVTKDGKKFKAGGDGGEEHKQDVGDGVLVGFDGASGLDVDRVGLIFLKKDGDEEWKTN AFLA_124390 MHMLSFIGALALPVFVCAQSCEPASLSPRLAGVDLEKFRLTPNA EYVDSDQQIPISTTNVGLIEQSYVETAIKLVRETFPTASFRLREDHYVGDNGVAHVHF RQTVHDLDVDNGDFNVNVGRDGSVFSYGNSFYTGPVPSITQLTKRDFTDPVAALKFAL THLQLPITAGDVSAESTEHPHKYILRGTSGAVTDPKARLVYLVKPEGTLCLVWRVETD VDDNWLLTYVDAKTAEDIHGVVDYISEATFQVYGWGINDPGQVDSRAVLTDPWNLKES PLTWFSDGQKNWTTTRGNNGIAQENINNLPTYLNNFRPDSPTQNFSYEYPAGGSPKDY INASITQLFYTANAYHDLLYTLGFNEKAGNFQWNNSGLGGKDKDYVILNAQDGASRNN ADFATPPDGSPARMRMYLFTHTTPPRDGVFESGIVIHEYTHGLSMRLTGGPDNSRCLS AFESASMGEGWGDFMATAIRLKPSDTRATDYGMGMWVYNDEKGIRQYLYSTSMETNPL NYTSLNRMWEAHAGGTVWASMLYEVLWNLIDKHGKNDGPRPTFDERGVPRDGKYLAMK IVIDAMALQPCNPDFVQARNAILDADQALTGGQNKCEIWTGFAKRGLGQGAEYGRGRR VGSYDIPSGVCQKKI AFLA_124400 MDNHVAANVFGTLGAVLWSLQLLPQIWKNWRRHDSESLSAAFFL SWAMAGVPLGVYNISDNFNIALQVQPNILISLSLLTWSQCKYYGDKWTLKKILPLAIV LGAVLGGVEAGLVFALRVAYRRGERWPSTLMAILSAVLLAAGVLRHYVDMFRTRSDAG LSLRFALLDASGDVASILSVIFQPSLSILGLVIYGTEFVIWVGLMVILLYFRAARRRK GRDIRVDGPFDSTSN AFLA_124410 MGTIRIDLNGKFICPGLIDCHVHIAAVPGSASLREMKDLGENVS LLRQPSVCQSMLNRGFTTVRDCGGAGLALKESIQEGVIPGPRLFIAGHALSQTGGHGD RRQPHDRNKCCAGHVNGIGRIVDGVEQCLKYAREEIRQGSDFIKIMGGGGVASPSDQI HHVQFSDEEIKAIVTVANNAGTYVTSHVYTPQAIQQAISQGVKGIEHGNLLDEATAKL MKENGVILTPTLVTYATMDSPEFRSFLPPASAQKNREVLHKGLQALELASKAGVDICF GTDLLGPLHFAQSKEFAIRSSVQTPLEILQSATITPARLLKQDGFLGQIVPGFAVDLV ILNANPLEDITVLDRFNDHILATIKDGRVLASRWSQLDVEAILLPKIE AFLA_124420 MAATFHRCMNGLLALGLLQTASAAVIHKQTELVRFRVPDVTADS LHNVHIDFLDSGFQGEIHLLYGDCDLSTSSERHHEIGSIFVKRDAHPERFVWATPSNA PHLHCLHAFSGSTVVGRSTPVSVAAPVVRRESIADVADAMGPWFDGIAYMEAKEPGKA VVAQAKDASVAIIGGGMSGLLTSHLLESVGIHNWHIIESSGRIGGRIRTEYLNNTRPD QYQYQEMGPMRFPVSITYANTNETLEIQDHKMVFQLGDVLNKMNSDNPELAVNFIPFV QNSPNVPASTGGNRLPNGLIPTAADIAANSSLVYEAASSNATAAADATQAYTDHTTAD KITPKIIANMYQAHKSAVENGYFHWSEAGYLRYALGYNDNITDYVAGTDDTPMWDSLY EGVYFSATKWRTIDKGLESLPRAFWPHVANKTTLNRKIQGLSFNETSGKIAVNWRDDP MQLVPESAEYDYAVVSAPFSKVRLWDMPRYSSLLSRAISTLNYAQSCKVSLLFKTRFW EHQENPIFGGCGSVDLAGIGSVCYPSFNINGTGPGVVLASYVSDTPARSVAALSTEDH VALVLRSMVQIHGDIAAEQYTGIYDRQCWEVDEHQAGAWAAPVVGQQELYLPAYYQTE FKTIFIGEHTSYTHAWIFSALDSAVRGTTQLLLDLGLVDEAKEIVNTWMGRWIKV AFLA_124430 MNAVRIQGSFQTPLVYNELLTHLRDLGHPVVLPPLPSCSDVEHY DFPTRTLADDALAITKVVEQLVEDGKTVVLVMHSYVGIIGSEAIP AFLA_124440 MGTWLNLADGWVKKDPCGTTEEDVWGCNLFTANQGLRTLDTNTP SEPYTLASLAGGPTTHEQIRLCGGQPRFFIG AFLA_124450 MASSILSIPPEILRMIFAPLSPSDLHAFLRNVVDRPELGSFVHV MILRGDCFYIDARSYRDKSPKLLVTEVVLDELVNYIERIHIPYAEQWIEELRAGTIDA FVTLLLSQLPSLRCLYLDQNFARETRLMGMMLRSALCEEPQVSHLSSFAHLQDVTIVY PFIGLDIRRYTDVRNTTDVLPLLYLPSVERIRTFVDNPITFMWPGKYPPNPSRLASLD LTMLREGHLGQVLSVTRGLRKLQWDWYYRPDIKDHFVSNIIDLDQIAADLSHVQETLT DLTITAGSDCSEADAERPEVTFSGSFKTFSGLHMIEKLEAPIPFLLGFSSSAPYVVGL EEALPGNIQWLTLTDDLCLQYEWEWQWETEYLLGALRSWLQDWRKSTPRLQGFRLLMR VFKVRHWDPELIQGLRDMGAQTGIRIEIIEERRKWAGKMES AFLA_124460 MLVIAVWHFPIVSGFIWLGLFLPTNYSIDKQWPHRDARSPAWEK VPIHTVCAYSSILLFIAGSLGLMLLSCFDYIHYMSVHYSSLALFM AFLA_124470 MHQSLSHNTTRKKQFEEFRPMCSEQLRSTLVYEKLASYFQRMEF LNSPDIQEIFSNNSLGQDVPAMPMFVYKSRHDEASPTVDSDNLVSWYCREGARIHYRM QTQESHRSLALTGILQDLAWSKERFNGLVMPEGCQNSIHSFASTDFDALAFLGRQLSA Q AFLA_124480 MVEFTTELDGVYQLALVPSGTQDPDQNICSKKKRVTSGKVYRAF WGMTHHITQLERLQSISVLVRHLSSASAPDRKPSSWVLSTRELRVSRCPSMTPVTALK IGEDVFVEWEDIAMGPSHHTMAKTLDKEYSVIIGSLTRTNYVLESEPLPISINWGYAI QVIWSVEAATPTSHISQSSKPSSVPSALQVTLVDPSKTNYRISQPSRPWFLPQINDSL DPPCLKSTAHFDGGITLSWSDIHCPNISSSKGLILHPVREGGAERVLENLDKVPRFNA TKGQTTSQSS AFLA_124490 MSESYILIKGATVISAKATGEEVRENCDILIKNDRIKAVGNDIS PPVEEEVVTINAENCIITPGFVDGHHHMWQHLLRGITVDWSLFGYCCHLRTVYGSLYD PEDVYFANYAAALSLLNNGVTTVLDHSHIMNSPEHADAAVKGLKDAAIRGTFCYGFYQ NPKVPGDIASMATDTFDKAARIKDAVRVREEHFSNNDPSVSLLTFGIALDEAPMQTRE QNVEGLEIARKLGARLSTVHTSVISHGEPKAEIVEQFADANLMGPDIVFSHGGWMTDS ELAAVRSSGAGIVGTPDTELQMGMGYPIVWKANDLGCRTCLGLDITSNQGNDFLAQMR LALQTQRAREYSNTVHREVGRKTADVLRMATLGGAEVMQMESLIGSIVPGKKADLVIF RCDDIDTVPVADPIGSVVFHASPKTIDTVIVDGKIVKQDGQLVGVDWPSLRGEIVNRS QRLRNQAAKVDMEKPESEFRSIFEKAMKA AFLA_124500 MQSTQVCEFQTIIKNNPVLASTGCTPQFCQAGRLIHSDEPRVGE TRPLEVVKQEALGFLSQLRQEGVYTEDQYTARHLDVLKALKESEVLEPMMVDGVKTVG KTATWTQTSEELLHGIRIAWKNSRKCIMRSHYKELDLCDLRHITTSVGMVKTVIEEAV KAFNKGQIRPTVFAFPPRSTSGTGPMFLSKQLLNFAGYQQEDGSILGDPSNVELTQDI IELGWVPPEPRSRWDLLPIVAMAESDAPAWADVPAELRDLVDIRHPRFENFQKLGLKW YQFPALSRLGFDIGGVQYTAAPFIGWYMDAEIGVRNLADSFRYNTLPDVAKALGFDIE NYKKNPEYAEIEAMEDLPDYEQLVWMSRAQAELNYAVRWSFLQKGVSCIGTLAASSDW TRFDDEHASKYGYRLNSDPYWIAPPQGSIVPVWHRGGAPNYQPKPLIARHRLDPVKSW RRRRSVVKTPVTRLVKVGEDWVTEHITPVQNSLCIENGTKNLTNGVPTIPKKKVHIYY SSTGTSALKLTEKLRRRVKELPGGFQVDFNILNLLDLRKIKPSDPLLMVVSTTGDGRF PANGAEFEAAMKDRVMEYNGALAVKYSIFGVGDSAYPTFNAASVKLHEFMEAVGGIPI AKGLTKGNTAVEALPMKAFNRWWSFVKDSLTGEGANEVATESTEDECFEHHRMLAGFN TGRLLSKSPSETGEGRIVMITMDLGDMDYIEMSHLRLLPYNTSEQVTRTLAALGVSSA SQCVPFRDTTMPSLSYGEFFQHYIDLEGRFKDLAWLPEAFPAGDRWDTNGTVLEVLER LPGLQQITDDLRMKVCLDMPLLRPRSFSVASSAKYVGKGLVEIMVRLHKGGRFSDKFL SAIAPGDSIKYAPVTIVPGQDLISSQKHLIAICTGTGFAPVRSLLQQKIQVLMEAESQ GMDFVFQSPPISIFVGFKAHDEALFEETLAVAERYGLIDMLFRVPSNKQKRRVQHYVE DNKESVLAKITDGSIYVCGAKAMVNDMAAKLSDMIGGDVRQSLGRRYVEEIF AFLA_124510 MPFNEVGLRWKLSIAKRRVSIGLCQIDSDWLELLCLSELPFLSL TTNTMFEVGVVGNRQNASDYDADMKRAKEYGIDAFALNIGTDSYTDTQLGFAYESAAN NDMKVFISFDFNWWHFSQAREVGVKVRQFADHPAQLNVDGKVFVSSFCGDGVDPSAIQ NAAGCEIFFAPNFHPGHGDFNHVQGALNWMAWDSNGCNKAPSAGQKVAVADGDEAYRQ ALDGKAYIAPVSPWFFTHFGTEVPYSKNWVFPSDLLWYRRWREILALGPRFVEIITWN DFGESHYVGPLSSPHTDDGSSKWAIDINKRPHNGWLDMAKPFIAAYKAGVHDPDDFIH EERLVYWYRPTPKWVDCDATDTTMQENGNITSPDLFRGRPHGSDTMEDSVFLVTLLKE PADVEVWSGSNTRRFQAPAGAHEWSVPMGLGVQSFSVRRDGNIVETLSGVSQRDIVDK CPYGIYNFNAYVGTLPAEAEFDQLQPEGMALLSQGLRTSCPSNPVAGEGGVEPTATPS HTHTRPSSPEPESTSCCTIL AFLA_124520 MLGYTPYHMIDVMFKGRSPHMKVFTEAIIANHNQLSGIKRYETP DLERWVGNYDCLMEIPSYIGSRAMRGYIEDPDVKFIVTERSPEKWVRSIDNTIGEAVK AAHKFPLNILKRFDSELGHFLHLATVMYWAYADGANPGDADSEAALYQNYVEYIRTMK GTLPKDRLLVVKLEEGLGWEQICPFLDLPIPEEKYPRGNEPDKFHRIVADYMEPRVKA AMLNLGAMVLATAGVAGYLGWRVITSALHSGRLSRMNMDWTLRANLLVQTTNVKNWMS TFLRICTGPLRTFFHRRNLLFRGYGAGQCWAVGYHTAGAELIDEAMDMVRREAEECEC LQGFQIVHSLGGGTGGEWVPNDIRCTAYLPHDYDMSGTLLINSTSIQNMFSHVSEQFS ALYRRKAYINPYTWNGVDEMDFVEAESNMNDLIEEYREHQDGPI AFLA_124530 MAEKEPKTVPVSSTEVDTDSLGASVKYDSDRERQDKQSQPLPGK STFETEDHRLYRPIDSYEGIHRWDPDFEWTEEEERKIVRKIDWRVCTFACVTFFALQL DRGNINQALSDTMLQDLHMTSNDYNTGQTIFLVCFLIAEMPSQLISKRLGPDRWIPFQ MVAWSLVAACQAFLKTKSAYLGIRALLGLLEGGFIPDTILFLSFFYKSSELPKRLTCF WISYTLTSIIGAFLAFGLLHIKDSNGGGSWRYLFAYEGLITGVIGILAAFWMPAGPTQ TKGGLRGKDGWFNEREEKIMVNRVIRDDPSKGTMHNRQAVTPKLLWYSLKDYHMWPIY ALGLIWMIPYSPASNYLTLQLRQQGFTTFQTNLLVIPSAVVSIITMITTTWIAERTNQ RLLLGAAAEIWYLVLLIALETLPMKSMPWPRFAILTLTVGGPSIHPVLVALTSRNAGS VRTRTVASALYNMSVQISSIASANVYRTDDAPYYREGNKVTIALAVVSFFLFIGSKLY YDWRNRYVLPVTDLSRRNTEKWDALTSEQKQQYVRDNSVMNNKR AFLA_124540 MPPVNEAAWILAPKGDLKRFSAAYNSPLEDELVIENHAVAIQPF DANVRARAYIDVPYPFILGNGVAGTVHEVGSSVTRFKKGDRVVSDTPVYQVKQSKYGG WQKFVVSREATTAKIPTSTTFEDAAAIPFSLLTAVAALHLHLGMNKPGTKCSGKVLIW SASGSVGGYAVQYAAGLGYEVVATASPRKFEYVRGLGASAVFDYKDDEIVSKLKGLGP YDFIMTASGDAIGASALSEVLQPGGGTFASVRPQSDEMHLAGNVHLVYDFFSMTTQKP ENTAFTEWWYRDYLPGALGGNVTPTPLEKRPGGLNKIQDACADVLEGRASKKLVLDPQ ADVFE AFLA_124550 MLDRNDARQFHYYQPGFGTFTTSIWQTHNTNQNRIRRWFSNTKD AAVGTTFDEHVMDGYRFLMRFYCPGDGIYIFGFSRGAYVARMLAEMLDHIGLLEAGNE GKVRYVWSIFSKWAKCVNSADSDRKKKDDLYTYMKALRETFCRPVSQIRFLGLFDTVN SIPRFELNRNKFLFPFTTKTSARVIRHAVAIDEHRAKFRQDLLSDDNPNTRSTRRKRQ GHREPQGHLQQGRCTGEAFYRPVPRVRPQTVNSGNPRIDKEAHKPIGTPYDAGGCPFT ENEDTTQDVEEVWFAGCHADIGGGLTLDKDEDLALSHVPLVWMVQEAQRAGLRLDPEK MKLFHCFDDSAGNGSLSGNIEHSIDGQEAGGERDFKTSLWKATINGRVHDFLQYGHGV PWPTVLMWKLVEYLPFRRMALQSDGSWKPIRWPLPLGERRDLPKAAQVHGSVIRRMQA NPKYRPVNLLRYGNGKHRSPLEDGIGAWEVHAHRGCLVRETYHKKLSETN AFLA_124560 MPPAYKRLDRDDCVFLFIDHQSGLIQLVRDFEPTEFRTNVLGLV KTAAYFNAPSVLTTSFDTGPNGPIAQELVDALPNAPLIRRPGQVNAMDNDDFVNAVKA TGKKQVIISGVLTEICVAFPALSLIEQGYDVFVVTDASGTFKEHTREAAHKRMTQAGV QLLNWAAVAAELQRDWRRDIEGFGKLWTDHVPGYWCLMQSYSNNPASNE AFLA_124570 MTINNLTNYVAYLEPNGGPRIGHLDFKTSMVTPLSFKSGTPLTN LYQVITVGEQGIKASGAQSFLLSSVKHLPPISGRDVLAVGKNYVEHAKEFNASGYDAS DKSDQPTHPVIFTKRATSIIGPEEPILLHPKFTSTVDYEGEIGVIIGKPGFQISEENA SDHVWGYTIVNDMTARERQRDHKQFFLGKSPDTFCPMGPVAVPKESLPENLKIQTFVN QELRQEASLSDLIFSIPTLIATISAGQTLQTGDVIATGTPAGVGFGFRPMKFLQTGDE ISVSVTGLGTLTNRMATADAANTTSECAESHIPVSNQKAPANSGLTNINGKHLFYQRL GLESGPPVFFIHGLGGSSNYFYPLITKVQSTHSLHLLDLEGHGLSPTSALSTLSITSF ADDFYNMSQVVGVNQGVTVIAHSMGSLVALKLALEHPSLVSKLILMGPPPNPLPEAGS QGSNARAALVRKEGMLSVVDAIVQAGTSAYVQQNKPLSICAVRSSLLSQDPEGYAKAC AALAGSATDPLDIKSLRIPVSIVTGEEDKIGTPALCQKYSEATGGSHVEVLKDVGHWH LFEDVEGTVKAVLTQI AFLA_124580 MGWLSLRRPRNGPTAHVTSVKPSEHVQNIKHAEDFEHAEHVEEL HLEYPLQDSIPDNDLPFIPAAVVRDHKLSFSKGHGRAWIVVDKIVYDCTKFIREHPGG ETVIRSFVGEDCSWQFWRFHDKGIMEEWGRPLRVGRTEGIGNRFKEIPKYFGRSEMR AFLA_124590 MFKVSWMSKDCPVEHYDGPPRNIAYIDQLDFDHNLQPVNYEIAG TSASSKILILDVDILEATGREPYRGDVLIVGEVPKKDTLLADSSVRVFHGKGRTLMPG LGDSHTHFTWNGGDLDRLGELGVEEHTLLTARSAECFLDSGYTMCFGAASAKKRLDVV IRDAINAGDIPGPRFLANGQEMARRGGELVRGITAYADGPEEMREVIREHIQLGVDQV KLSMSGESITETRDAEDCYFTPQETAACVDEAHKMNKRVCSHARARDSVQQSIDFGVD VIYHASFIDGKGMDALEKKKSKHVVAPAINWLIATLHDAVAFGYTTEKAEQVGYQREL DAAVLAMREMHRRGIVVLPGGGISDTCFSDYGFAWTPHGTYARDLAHFVERFGFTPHE SIIAATYGMAKLFMRSHEMGQIKVGNYADCLVVDGNPLKDITILQNHDLLNIIMINGR VHKAGAKEYVIPTQGLTGIHSTTN AFLA_124600 MDKESSFKLLDAYFEAGGNFIDTANLYQDEQSEIWLGEWMASRQ NRDQIVIGTKFTSNYKSHELGKGKTPNFGGNHRKSIQLSVRDSLRKLQTEYIEVMDTL HMMVEQGKVLYLGISMAPAWVASAADTYAQAHAKTPFCIYQGRWNVMVRGLEREIIPV ARHFGMAIAPWEVVGSGKFQTKKAMISREQAGEGLRTMFGPGKQSGKEKEVSEALAKV ASEHSIESVTAIALVYVIAKAPNVIPLVGDRKVEYLHDNIQALSIKLTEDQIEYLESM GPLDLGYPYSSIGPDPKSTGKPTRMLSNSAPLAFEKTTE AFLA_124610 MDSNDFATTKSEEAKMSSSSQTNHLEHTNLGRIHTAGGHVDDRS QPALPVYHRTFASPSPLGLISFATDIFLICVFGLQARGVTAPNVMIGCLIFYGGVGQF IAGIMEFITGNTFGATVFSSYAAFNLSYAMIYLPGTGILAAYTDSATGAISPSFNQAL SLYLWAWLIVTVVFTVAAMRSSWVLFIDLSLLDICLLLLACGYMVNVQSLLTAGYAFG LVVSFLSCEYHLGGM AFLA_124620 MWKVYKGFSELSRPDIDFVQGTVISIDPTSQLMRYQDLEGRSQH LKYDYILISSGLRRPWPIVPRSRHFCSYLSDASTFIEKIIEAEKLGVVVVGGGAIGVE FAGKIKTHYTGTPVTLVHSRHQLLSNEPLPEEFKTRTLELLRAQGIDVILNQRADVQE LPDGTFYVKFQDGNRLHTGMVIMAMASPTPSSQFLPSYILSNGSINTDSNLQIISRDE VIPRMFAAGDIVNVAGIKLGGNAMLMGSVAAANIYSLLVAQHNPSWRSAMERYEPMEP KMALSVGNSAVCYTSDDGVKYGKEWVEPIFGSDLGWSSKSPFPAFGQFAKMDVTQKSC PHSA AFLA_124630 MPKRLPLSCENCRRRKIRCLGSCVPCDTCRKRGVASSCRFKRDV EHNLQPAQSEAIALQETLLRRISDLESLLVKNIEFTSMSTTQHNRSIRSPVLQNDTPL TEDELSANPASINTDGQFFTRNITTSQRDEVGSIITSQSGYVRYVPYSTSRDPDVFHS LQNQSQMEFPSRAFFCCDTPPSKQALLDMLPPFRHCDELITTFLNVFSPLFHILHDGM FRSNYTKFKQDPRNAPVSFVGLIFVTLGLAVTAIDKESPILSDLGREASPAESARSLA AKYRQATMKCLAADNFMWQHNLQTLQCLILLIYAINHAQGPAWALLGTTLNIAIAIGC HIDPGLLSLNPIEVQERRRAWAGLTMLYTIQNTCLGNLAPFNVENNVQLPADVEDEEI VADSLPTALNGIQHSSRRMHPTKMSYILFKFRLYSLASGVCALSAGKSECSPAKIQAL DHEIELELQAQAERFSDQLDLPPYHQAHSFILSNYTNHLVLLLHRICLLKPEGFEDGS VTGSYEKCEQAALAILSNYETLNLRNEFSPYKWYIFGLGSFHAFLAISTLLVLFGKAR TTQNSKHLILQAVQNCFQRLHENAPFSEICQRACSILDSLTPGEPYQPTPSLVFSDGV CNLESTAGFGSNEPPTMDTTTSSDRFDPSFWALPESFEEIVTNIPCEQWLSPAVFPWA GFGYNIP AFLA_124640 MSGFKVVIACLPKDELGASSAAVVATDMLFTFPNIRVGLMVGIG AGIPHYDDNETRNIRLGDVVIGSDRGSSGVVVYDFGKRLPDGSFENIYALDRPPRTLR TALAKMEAEHQTRENKICQYINSMLDKYPYMRKKGFAYPGSSYDQLFWDNYRHTGGRS CAGCDPAQRIHREDRFDTSPEIHYGIVATGSAVVKHAPTRAQIKQKHGAICLEMEAAG LINNFPCIVIRGISDYANSHKNDQWHSYAAATAAACAKELLGFVQPTALDAERKAKDT RPTSDEIWTALHTIGSSYEKVFIIIDALDECQVSESGCAKFLAELFRLQDATPVNIFA TSRFIDDIKEVFQKRRAIFLEIRARDNDIEKYLEGHMSSLPSFISRELSLANEVKARI VEVVDGMFLLAKLHLDSLQDKISYSDVKDALRNLPKGVDAYERAYSEAKGRIQGQMGG FRNLAMRILSWIVCSKRPLKTSELQHAIAVQIDTRELDRDNITDVGLMTSVCAGLVTV DKSSSIVRLVHYTAQEYFEKRWTSWFPDANTDIAITCITYLSFDTFGSGICKTDDDFE KRLLLYPLYDFAAVFWAHHVRSSRVDMEELIAKLLKDGSKSSAVCQAIMVSGSSRHPN YSQKVPNDITGLHLAAQVGLVDIIRHFMERGYRVNDKDSHGRTPLSWAAAEGHSEVVK LLLSYKDTEADLKDKDGRTPLGWASLGGHKETAELLLAQGDVDPMTKNLHGQTPLIWA SRNGHYDIVELLLNAEVDPDTEDKFNRTPLWWALRNGHHNTARLLLEAGADPDLEESN GQTLISRAPNSEHNEVVMMLQERGLHHPRRPGQTALSRAAETSSLARVLLLLRKGQDP DNKDSDGRTPLSWAAQSGNISIMKLLLEAGANPTLKDDCGRTPILWAVKHSQVGAVRH LLGYGADHMDIDGRTPLSWAAQFGDNCLVNVLLDHGANLELQDNTGMSPLSWAVKNDQ MSVISPLLKRGSNPNSSDIEGRTSLFWAVLNRQEEAILLLLEQGANPNCKDESSQTPL SLAVRCEQEAAVVTLLKYGADPNMKDDNNASPLLWATTYSQQNLVRLLLANGADPDIP DIHGQTPFMRAVVTAQQEIAEALLQHGANPNTKVTAYGTTALHWATSRRDESLIRLLL EKGADPNCADAVYGQTPLLWGVQHGLNQVILLLLEKGADPNVTDINGQTPMSWADPDV MGRVSRTRGSREGNG AFLA_124650 MAGRLCDFCRNTAPTCKHSKPSFMVRTAFLSQTTRSISPTPKTT EFMKQLGWIVLPRNG AFLA_124660 MKLLSVTFAALLGLAQLGVAQKVSGAAQGFASGVTGGGNAAPQT PKDINELKKLLADPSPRVIVLDKLYDYTGTEGTSKGTVCANWGEGAKCQKIIQDNCGN AGKSTGTWDTAAKTPIDVASHKTIIGVGNKGIIKGKGLRFRGGATNIIVQNIQITDLN PQYVWGGDAISFDGADLIWVDHVTTARIGRQHYVFGFNTSKRVTLSNNFINGDSPFSA GCNGYHYWTFEMVGKGDQITLQNNYIYHTSGRSPALSGGTLLHAVNNVWDGNTGHALE GGEATAKGIFEGNVFTDVKAVVADFKGKAFFSPDANSNKQCSSALGRACEVNVLTKSG TLPPLKDTSFFGDFKGLKIAPATPASQAAVNVPKNAGAGKI AFLA_124670 MSKPLIVLPRPGTVPPSGATQPIPAPSEAAFVATFGNRLPPASY LQTPHGKAAYYELPPSSPVSTDGKQPISRVLFVHGVQTPAIGLQPLASALSSRFASAH CVLVDLWGHGLSETPFVAHDPALFHGLIEAVMVHLGWTDAHFIGYSFGGSTTASFAAA HPERVASMTLVAPAGLRRTAGLDEVQKGYLRGGEGLEEAARDWVLKVLEGGRLVVPSD WKERVGRGEVVAEAVRDWEMKEHAGHAASVVGIFRDGGVFDKHGEFEKAATTGIKSRC VLGELDDLCSVQDLHELGMQDVVVVPQVGHGVVRERVPEVAGFIEEFWNRLRQ AFLA_124680 MLWSYYNIRVKTLPDPKKVQNKSSALLWAAKNGQEETAKKSIAE GANVQLVSELNRTPLSWAAWNGNETVVKLLLATDGVDPNPPSSGGETPLSLAAWNGHE EVVKLLLATQGVKPDSRTSRGETSLSLQASAKPASPLHTHRFLMGPTAKTLSREIGAI QTSMICTHLYQFRQYNISNDRSA AFLA_124690 MSLLGLTSEILGILDTLQTGISGNESPAIWDFLHDAKRFLLKNR QIVDEAPLQIYCAGLVFAPRTAIIRRQLRSEGPSWICQFPQVEERWSAELQALEGHSQ PVNSVAFSSDGRLLASGSEDMTVRLWDTATGTYQQTLNGHSDRIHSVAFLPNGRLLAS GSEDRTVRLWDTVTGELQKTIEGHLGTVQSVAFSPNGQLLVSGSTDRTVRLWDTETGA LQQILKGHSSRVLSVVFSPDGRLLSSGSEDNIICLWEVVKGALQRTLTGHLGGIRSVV FSPNGRLLASGSEDRTVRLWDTVTGKLQKTFNGHLNAIQSVTFSPNSYLVVSGSTDKT MRLWDTETGALQQTLVQSGAIRSVAFSPHGQLVASGSRDSIVRFWDLAAGAPQQTFNG HSDRIHSVAFSPDGRLLATGSHDQTVRLWNIATGALLQTLNVNGLVHYLEFAPDGSYI WTNLGSLDVQFGWENHAPNLTNVDLDIFDKERQWIQLNGSNVLWLPTEFRPTSFAIHG NLIALGHASGRVSFIAFRI AFLA_124700 MNRPQQRDPGSTDSYTVAWICALEEEYFCACRMLDEEFTGPEIS EDYDDNTYVYGRIEKHYVVIGCLPAGRYGTNSAACVARDMVRTFPHLRFALMVGIGGG APTARNDIRLGDVVVSQPRDGFGGVIQYDLGKLRGGRFQRTGQLNAPPEKLLGVIPEM RRLFSDIRKPDRLAEHLQRLDDMEDYKRPAVDQLYAIEFPPVDRKACDEGASYSVVVR PERRSHRVFHVHYGNIASGNTVLKDAIVRDKFANDPELNILCFEMEAAGLMNTIPCLV IRGICDYCDSHKNDDWHKYAALTAAAYARGLLLVLRPQRVDAMPPWAERVAQKFEQG AFLA_124710 MAEMADAAGCSKCSIITISSNRTDLRDHYLHQLSDFDFRSYHLV FIDEPGCDKRAGFRRTRWSPRGVAPVQVSRFQRGQRHQILQVYCQDDILMS AFLA_124720 MSECKLVKLVLHFSVAAYRGHQKVVKQLLTTDGVDPFTKNFKGE TPLSLAAGNGHEDMVRLLLKVEGSDPDTKDFLGETPLCWAAGNGHETVVKLLLVTGVD PESKDSDGRTPFVVSGRKFTRPVILVDEAGLGPSLFALRG AFLA_124730 MASGEKRPQSVEFESQDSKRMTADHIQLLPLIEQPKCASQSQVH ASDSTAEVMDSTYIRALEGLSRNSYAEENEDSPKDQKIRLKSTIPWWIS AFLA_124740 MLKPQQTTTRDLISLDGLWKFALASDDNNTQPWTSQLKTSLECP VPASYNDIFADSKIHDHVGWVYYQRDVIVPKGWSEERYLVRCEAATHHGRIYVNGNLV ADHVGGYTPFEADITDLVAAGEQFRLTIAVDNELTYQTIPPGKVEILEATGKKVQTYQ HDFYNYAGLARSVWLYSVPQQHIQDITVRTDVKGTTGLIDYNVVASTTQGTIQVAVID EDGTTVATSSGSNGTIHIPSVHLWQPGAAYLYQLHASIIDSSKKTIDTYKLATGIRTV KVQGTQFLINDKPFYFTGFGKHEDTNIRGKGHDDAYMVHDFQLLHWMGANSFRTSHYP YAEEVMEYADRQGIVVIDETPAVGLAFSIGAGAQTSNPPATFSPDRINNKTREAHAQA IRELIHRDKNHPSVVMWSIANEPASNEDGAREYFAPLPKLARQLDPTRPVTFANVGLA TYKADRIADLFDVLCLNRYFGWYTQTAELDEAEAALEEELRGWTEKYDKPIVMTEYGA DTVAGLHSVMVTPWSEEFQVEMLDMYHRVFDRFEAMAGEQVWNFADFQTAVGVSRVDG NKKGVFTRDRKPKAAAHLLRKRWTNLHNGTAEGGKTFQ AFLA_124750 MHKWLLVNFDASCCFVRNRKDLAEALEVNPSYLRSNVSNTGTVV DHRNRQIPLGRRFRSLKVCGLRAHIHNGIQVGIEFAELLRSRLDLFDIIIQPAFALTV FRLLPTSQSSELDSKARKVYETIHQQGDFFLTSAVVDHVYAIRVVNANQAAHVEHVRK VFELLVTLAESFTMSE AFLA_124760 MGISCSAGRDFARHITWLNMDYLYWIIFLGLMVVLSQAISRLLL SRYFAQDDDNDDGDDGESGLCNPPGAYRTELRGYEGTWILNEGASRRYVNQAISAGST WVK AFLA_124770 METVNPDMYPLPSIELLNMQFAFARALRLRGAADLGQEEVDSSD SEKEEDVLVAQPRGRAYNLQESQYPSRVSSRTSTKGAPGSRDTSRSFSLFARSQH AFLA_124780 MVALCLAVFLTGMDQTILATVTPSLTSEFHSIDDLGWWTAAYLT MLSVFQIPYGKLYSLFSIKIVYLSAIGIFEIGSLVCATAPNSIAMIFGRAIAGAGAAG IFTGGIMITTKIIPLDRRASYLGIMSAAFGVAAIVGPFIGGAFTDRSTWRWCFYINLP LGCLSILVCFLLVNTPVDSSIASLSLRNRVRQFDFFGMVSMMGGIVCLLLALQWGGTQ YPWNSGRIIALLVVAVLLMMVFVLLQIFVPGSKTIPRSVSRHASVWHAVGYAMCITGG IYVAIVYIPVWVQAVQHKSALDSGIMLTPLIVGYVVFSVIAGVLTSRVSYYNPPMILG TILASVGAGLLSTLSTNTSNGCLIGYQALYGIGVGLGFGQPSYVVQTVLSEADIPIGV TLVTLVQHLSSAIFVAVAQGVFQNTLAHTVRSLAPGVDPAKLTKLGATQLSQFVDDQD MPHVLEAYSTAIARTLYIPMALSCASVLGACLTPWISMKKAKPQPTEKQPTEDELTKE KDGCTSGVNEA AFLA_124790 MPLIVSRANLTQDWDELITSYWTSWSSPLQAVGELTFAHLGEGN EAEATALADVKKSLRQAAESDPNIIWLKCYDTESGRIVAGGMYHIHHSNPYRAGAPRV EAKWFPEGSEMRLLAEEFYAQLWAWRGRLMGDRHVCGNALWALPEYRSRGATELIMDE FVRHMDALGMEGYLEATEMGFALYQRYGFVAIARPRMRFSEHKERSTQGRRLIHEVQA HPVWIMWRPAGGEYRDGETVLPWEGRAKRIKL AFLA_124800 MVTAHEDLQGYSVHVMGYPTPYPISDDPKKALAKYNRAYETEAK IEFYFQLFMIAAYGFIKASIILFYRRIFVSNTKSRFTIVSNICLAVTVVWAVAFFLLF LFGCKTKIYLHWAPIQEVREKCGDPLAAESALVISDLITDLAILLLPFPKRVMSNGLE TVTTMLWWSMIEVSLGLIAACLPTLRPLVYSARGWLLREGKKGFSGRWSRKLGSIGDR TSEGGTGTSSVDANKSSSVVIQEPRTMV AFLA_124810 MGHAEEEEYESLSSEHGTQNTWEARERAGFLAWLKPHFLLGLLF LSILFNVLLILGWTSSRDELSAPKQPVWVGSSYRREELKLGFTKEDTMWWNTKYSGSN EREVSDLWHNEIPWENGIIAIDKQEASSLGLPESQSFPWDVTKGIYILNAHHILHCIY PGGDHVRGR AFLA_124820 MDSVVDVLIAGAGPAGLTAALTLARQLHTAVVFDNKSYRNANIS HMHMIPTWDHRDPAQFRAEARDEVLHHYDTIKIEDVDLKTAEKTSDGLFQLVDANDKV WKGRKLIITTGSENVFPDIPGYAEAWGQRIFHCLFCKGYEDRSTDRAGVLAIQSAGNV PMAMHQTESAAQLARKVTIYTNGAEELGAQIFSTLTDKDKTRFTVNNTPIKKLTHTGS SIHLELADGTTKEETFLVHSPNTTVKGPLASQLGLELTPMGDINAAPPMHQTSVRGVF AAGDCITPYKVIAGAISSGCNAAVAASAQLLAEKHGHQPLF AFLA_124830 MVHALRGTTVKPSPGEASIISDDNATERAFSIMSNEEASSVWKP TEYRSGHKHPTHSINAGRAWSLREGLSRPRTAPSLVSAMFPTRQRSRATSLLSVRQAK RSSQWLSSIALWDLWGGETSPYPSEATSPLKNTPVVPTSQRVLLLGSSKSGKSTALNV LNLLVGGPSDISQLFQSKVTVLESLSNHLRQLLEVGEGRYDTRDSVDEEYAVMRETSD SVAQLLESLYVLPVKQGQGRMAEICTDMTDIWGYVQKCGFLDEVKLECIDDGAE AFLA_124840 MDNSGSMVARAITFPPSQSSHLSGDGISSSSEHSDSRSFSPRNT IRRRRGSFAVNEVPSARRLIHGQHEGDEDELIMLRRKLREAHTKIRRQEILLFRLQTD PYADHQYPDTVIDREYQRFIYSVQQTAWRMCDILGYDSGILCDAISAMRKLEHNVHQD ESSALQLTMLLSLIRSSSYSKALYRSSVAMIVFLSFERWAFSPRNISASISPDENVAF KSIFQWLLSDIKMDQTSDQDSMARLKDAEEWRLKTALHIARSKSAPHGRDLTKQRILR ELCQLFVTRDSSSEMRSVLYGLVDSGINLASFLQEQQSIYYFVRLKGRYDPETMLPHE PQQAAISLNQGSGAEVMVCIYPSLVKLVASPPFTFNVSKGNALCRPAPDGEELVYGPP MRRRTT AFLA_124850 MLAVLGQLTPLPDFARRAPKRFILTVPAIWTDQAKNATKQCARR ALGQHANIELIAEPQAAAVYTLKQGHMVGSVRPGDHYIICDAGGGTVDLITYCVKRID PLELVESIPGTGEACGSIFLNRAFEAFLEDRLGRYYSGRRTESLEKWLQRTRQSFELD IKQQFTGDRNASSTRVVRRSNTWHRRKLSDHHRTRRH AFLA_124860 MGNNVQCRHCRSFNYLANTTTFRDPPPYNVAPGLGIAGAILLIC CVCWMNFVVPRLAQQVERGRSRSRRPSVSLSAPSPGPQFPRRPQPVVGVRSTEPNVPV VGLREE AFLA_124870 MTVNVSSVKEATSYMLYASYARRSYARACTASSENHQNILQNGS FAVDHFSVMGAKVTTSFLKEYIFIDRIKELMEETGNLVWEDSVEIPSYTYWTLSLPEI FKKQHGYAMTPYLMLLSGNEGYQTGNQGPIQFISDGNDQGAGFVADYRSTMTGLLMEY LEYLNKWTHETLGLKSSQQVGYNLPVDMLEAIPSVDIPETETLSFSNLIAGFRQFSGP ANLAGKNVISIELGANFGQAYYQTWTELLQEAKHAFVAGVNQLVIHGETYSHTYDNTT WPGFTSFNY AFLA_124880 MDYSARCQFILQGGIAKVDLVFWDKQTAQDAYPGILYEPTDLQD AGDVYVYVYNDGDFSTGSISFQATGSPFAPDAWTGEETPITEYSVSQGRTNISFSLKS TETRIVKFSASRNSANNESHVIWSSDSVLGYYVDSGKVWAKAAASDSATSVKLSSGKT VTLDQQGQSQISLGNWSVVLEQWLPPDNLYDVETVANKKNVSLSVSGFSISSWKDLGY QNSSGVA AFLA_124890 MQLFALLIATCAPALVAAGRGPGEICAPYPGAFCEEGLTCVSCH AGLPGAPGVCAFRDPCRRDDDDDVAWHRWFSCTVTRMGRC AFLA_124900 MVEVRQYHLSPTDLIPNSPRPLLHYKNVLAKPNNTHCDPVDVWD LFTRNEWDVQWIFRYSPTQISHYHSQAHECMAVLSGTASIRFGVADTSPDMEENTHGS AWEDGGVLLEAEAGDVFIIPAGVAHKTHNTKPEADFALLSPGNGHGIKADDKKALSEI KLDGFTMMGAYSGGDWDFVATGGDFEKVWSVPKPKYDPVFGASERGLCKTWRGSDTES KAHL AFLA_124910 MAAERVTPPLRADETNTDDEVVALLQQLEEIDLFGGKQKGKGRA DKPLGIDLAMTFFRDEVQAHIGFLNDLKLAHSIGHAVFMDGPAIAGVMQESCKPKVID K AFLA_124920 MRISANDPELQNPPRGRVSSQSYHLHIPKGLLDGKGDIDRDSDE DKGGPSKTYAERQKDAMERLSRAKLQCCICFERYESSDIIRLECGDLYCTDCLKSLFM RATKDEQLFPPRCCRQHIPLSLITKQMTTEEKDAFQRAKIEFSTSNRTYCSNTVCGRF IIPSNIFSEQAKCEYCGSSTCAMCKNPFHSDDCPEDAALQEMLKLSTSQGWQRCLSCK AMVELTIGCYHMTCNCKAEFCYLCGKKWKTCRCAMWAERRLVARAEEIVD AFLA_124930 MLPFPAAGFSTSYTATYTMASNNFPSSLHDEYPQRADLRQMMGQ RPLPTIPAGTIDPASMAGDEPVKQARAVLDRLSAALAVDDAIALESCFFASQAYWKDQ LALTYHLRTFSGPSVIAAGLLETKNLREIAGGVAVDGGAVFLPATPTLQFIDCGIIFR TGSPGATCKGKVVLLPVKNRDETIEWKIWVLSTFLESLDLQQEDEALLHSPGRELDGL ATFDTDVFIIGGGNAAVTVAARLKALGVESVMAERNPRPGDNWASRYDCMRFHIPTSF CDLPYMSPHLLTRDELASQVRRYVETFKLNMITSAQILSTKYDPSTRLWEVKIKTPAG QQTAHSKHLVLATGISSQEPYLPSVADSDFYQGTSLHSAQYRNAKQLAETGAKVIGSA NTAFDVLEDCHAAGLETTMVVRSPTYIVPVEYLCDNHSLGAYDMGVEIADRLFLTLPS YVDAQLARGLMTQFAAQEPHRYDALAAAGFPVIDSRDPDMALMHNLLERAGGHYVDVG GTKLLADGKAGVKAGVEPIAYTATGLRFSDGTSVDADAVIWCTGFADKDVRDNAFRIL GGQSCSREADNGTTHKLGAREIAGRLDATWGLDAEGEVRGLWKRQSRLDNIWVAGGYT QQHRWHSRTIALQIKASLEGVLPPAYMNTPRPVRGSPQKCTLL AFLA_124940 MSPEELDALLAAPALAPPPGVTPNFDNPSRHNDYAWGITTVCMV VATLCLFLRWYVRIWLDRRVRMEDVLTIGAYGAYWGTAYAAYGMIYTPGYYVHTWDLR NRDLIRPLYLILVYGCCYSATLPLIKTAILLDWCRVFVSVNRSRSFFWWGCMAVSFVQ CLWGILCILLLNLQCRPHRAIWEFYVPSKCYSLPDVMLCSASVQVISDVCMFLLPQKM IWSLHMNWQKKMGISIIFGVGILASIAACFRLAHTVTFAKSTDSMYFIGPLLFWACAE MTCGFFIFSVPCLSKLAMESGLRSRLSSALGLSGKTISGPSDQGGNSNSGPRSKPKPW RMSETNYSKIEEGSVVPLTNVSVSQDDPAEGRLSRDGNKSPLGVIRTMDVDVRSTDGA GIKLQDHRVPWEH AFLA_124950 MFQHLLTAVGILSVATDAQTQPRRRCAYGDDCWPDTQTWNDFNA TVGGRLIRSVPSAAVLGRSSGKGAFGIWTHNLKGIEFQSSFTPQGAPPDSSGIPAVTL QAGEQWFDVYQAAAKQGVLVVGGSARTVGAAGGYVLGGGHSPFAHYYGLAADNVLEVT IVSADGEHRVLNTYTDPDYFWAVRGGGGSAWGVVTSVTYKTHPVPQNLTMGLVQLNAT SESSFKRVITESVKLLPAVTEAGYTGYGTIENGFAAIFLKPNSTIADFNQTFAPFFNL SRVPGIQGVVAAYPSTWDGYLQNVLQDPNIGSNIQDTSRLLTLKVMQEKADDLAEFIV DNKQGAGFNFSKSLGAPKTVIRRSHSSIFVLVGKVNNDERDNTAVHDVWKHSHGLLSV SVDWADTAADREKEAKRQQTVRLSKRLTEIVGSGGGTYVNEANPYEPDWQNVFWGKKY DRLLAVKQRVDPTTLFVCNRCVGTDIVIQP AFLA_124960 MNTVAINIFHWPAKHADIHKINQGNSIESERIDLQFITISRDNT RFSWAMNGGCLVFNKFAIMPFQNSGDDARMDVALFSTFVLLLKPFQSRTLCRVEQAAL AVADPEEWNPGTAENRF AFLA_124970 MNLASSTAPVDQEQQLGISPFTMDTIFQTSPSKFNAPPNLCGNC HTGAKPQAVPHSDPRSADICAANMPTRPIGCYQNPETVRASGVGPRTWTTPLHISVSR GHLTAVRLLLDGGADPNAIDGEGSTVLHTAVRSGHHIIVRELLRYGADPSAVDAAGWL PLHYAAEAGDENCLRVLLQPGGE AFLA_124980 MSTDPKLNDLHRELLEEGLKMRRAVLGHEYVDRAWNNATPFTRP GQQLITEYAWGNVWQRPGLDRKQRSLLTIGIIIAQKAWLELALHTRGAINNGVSELEI REAVLHSTVYCGTPAGVEAMMVTERTINEMIEKGEYKRPEETGL AFLA_124990 MKYSIPATPSGTTHAHVAIVGMGPRGTSALERLCASATDFLAPG ARLTVHVVDPSPPGAGRVWRTAQSSELLMNTRADSGNAPNFLKEIWPLVSKEVETVYY EALLRQHGFELGDFRDRFLATAHKSLEEAQVLTDFGITEENRWSWDRISRPYGERTFT AGAWRDWMLEYLREDAKEASLGNVNGPLKAALDVMRDLRNELRLIVDHAGLSGLSHRD HLDRWYTPLNAFLSIGPPRQRIEQMIALIEAGILDVLGPRPQARAEDGAWTVYSPEVP GLKVRVTTLIEARLPEPSLRHTADELLSHLLKTGQCRPHTVDGYETGGLDITLSPYRI IDSQGRAHERRFAVGVPTEGVHWVTAAGARPGVNSVTLSDTDAVARAALSAAVSGNTA VERQTEVKAWPNVEVSEVTVLEVGV AFLA_125000 MGEGKAFPPLLPNEDDYIVTFDGPDDPEHPFNWSFTVKLYTSVM VCFGTFISSFASAVFAPGTAGVAKAFGVSTEVGILGTTLFVLGFASGPLIWAPSSELI GRRLPLTVGMFGVAVFSIASAVAKDIQTVIICRFFAGLFGASQLSVVPAVLSDLFNNI HRGPAITVYSLAVFVGPFSGPFIGGFISSSFLGWRWTLYIPAFMGFACCSVFVLFLKE TYAPCILIPKAEALRHQTSNWGVHAKQEMVKVDFQELLEKYFTRPLRMLVTEPIILVV SLYMSFIYGLVYALLVAYPYVFESVYGMNPGLSGLTFFGLIIGQVLACGFVLSQQSIY VKKLIANKNVPVPEWRLPPAIIGAPIFTVGVFWFSWTGFTSSIHWLAPTASGVLIGFG ILCIFLPCFNYLVDSYLPLAASTVAANIILRSSVAAGFPLFAKQMFKNLGVQWAGTLI GCLAAIMIPIPVVFKKYGPRLRGKSKLMP AFLA_125010 MYTAMASNPGPSKRKRSRFACEPCRERKRKCNGESPCSTCSSWG YDCYYQNERRMKPKPTGMMVDLPAIPGPSPEHAGSYTESLEANSGAAFVRKIGLKMDP ANAPKLNLFGWNVGRRNPPSGLATGTVLAVPLVDILSLNHMKNLANVYFTKVDPCYGF IDSAMFFRRLEARWQSSAEGDSYDGVLAGVAALGALFSETTINITEAHLVELTRSITD THIGSAAPSVEVVTAWALRVIYMRMTAPPYPTWIASSTLMHLIEASKLHQTSSCEPYD LDIRQRLIGVAQHQNLWISYDLGLSRVSFPHEAVALPSPRPGDFTVELLGLLPLSTSL GPENRRQDEEIEEFLLQTLSRNHTQPPSILAQCNLVLCLLRRLHMRNLMTSPATMERV VEQLKRSLGAARRMASDCSPWQHVANVPFQMISILLEMDTSASLELLPEAMETLKLVS ATYNTETMREAYGTARLLILLYQRRRRSDTRLLSGLLEDHHEPSTTESPVQPMIPTSD EVSWLEGLVADVPSLHGLDFGQFLQLSPNTPGMWGR AFLA_125020 MKHPSDDLLFFILGIGVNMIQYPTILDMLLALPGAKGTKYESED AEHAAKARKAAGDTHCQGGEQAQSPKPTTTLWKRNP AFLA_125030 MIDRFGRRLSQAFAETAQGEVYFFTRSGLDRTAFPGTTVWRGWE YPALTRKPRVTKIIQVDPFKEGDLGHTIWTPDQGPSRNPPKSGNVAWNKVWGLRYYAP LVSNCVCLPCTGLMGKGQFFELQRFGSGW AFLA_125040 MATPEDITIGNLNGNWVMNKGLSGEIDPILKLQGVPWLLRKAMA AVTLYINITTYQTPQPETGEPVTNIDFNQTAGGKLGGTTEKRTLSWEIKEHKDYIFGE VQGQSEFVHGSPDSGGHIRPDFELQTEVDNAQEVKTFLRGENHLDSPDGTGFIYEGSE GAWVHTFERSVSSGWTAEQVGYLDDPLFILQRQLVKSILLTVELTCIWLQIWGFELID NKRHFTRRIVVANAGGRYLCLRLVFDYEQPKVSI AFLA_125050 MLALRFLIYSKELLVTSYVRTIQPAVQATPAISSAPSVYFGFPE ARILRFPPGPHPPLITPASQVGFSWNHPFDIPSTVYSFSLDARVPLFTACFYIVFVCV LNYLNRRRHYRPWPITRTASFTYIAFAHNILLGLFSAWAFLGVCRTVISSLPPRSSAP YHITDVFCRFDRGQGRLLSDYSPASNTSVPLATLDNDFSGTYQAESLWERGMNYYTWM FYMSMYYEIMNTILLLVKGKKVSFLQTYHHAGVIICTWVVVSTGLHKRLWASPSTQEF TR AFLA_125060 MMWDYYCDKSILITGASGFLGTAITHRLASKARPKRIYILCRRG ESQLIRKWQRNLPEADFKWLFNLESITVIDGDIMQADLGLSPQMITELQKEVNIIIHA ASTINLTYSLERVFDHIVQPSESLAQLALGFTCLDRFVYVSTAFANTHLYKLSSKPQT EINEEIYPLLGEGKLLDDSLLSAQDARDQITKTGSSTEFELHDFPWPYAYGKHLAERL VLNLFIEKGWASKVLILRPSVIGPAEKYPYPGYSVPLSTPSTALATAMIASSVFSVVV PTRCSKPEIEATIDEVPVDVVVDRMVAHVAFNTTGCVHAVGGERGRLSFMDFWGAAMK LRRLPWEPRIIWSSTVDWHSPDLHPVLRLYVILGTAFLFTEEKTNNLWKMLSPDERGD MILFRNTAGKSYELISRREHIRFLIRLFAKRTIFPAWLLVYLCR AFLA_125070 MTETDPNPDLLLSSLEASPSKLSTTQIQYAQSIPDSRHGASARR AADERDNGPEGKKLQFWIDRKVTLAFFPLCLLTLMVALEAASLSIALPVVTEELGGSA IEAFWSGTSFVLCSACCQLIFTSLSSAFGRQLLIVIAVIFFLVGTIVSGVATNFTQML VGRSIQGTGGGGIIALSEVSVTDMVPFRLRGAYWGVLGSMWSVGSVIGPIMGGGFSTN SDWRWIFYINIPFAALSIPLIVIYLKIKTKKTTRREKLKNFDTVGLVLFVCSTASFLV ALSWGGVMYAWHSWRVLVPLIIGTIGIIGVMGYETHIPKDPILQVSGIDNHSLLINYI GTVLQGLTLWCILYYLPLYGEAVHGLKPLPAGVAALPLAFAIAPSACASGIIAVVSGR YRILIWIGWAVATLGFGVLCYFNRTTKGAIWISLIAIPGLGLGALVTSIAYAIQACSE TRHLATATALFSFFRAFGQSLGVAIGGVIFQNRMEANLQKYPALAPMAKKISHNAVAL VGKMQTVPASQNKDDLRQAYTDSLRAVWIFCCVCTAVGGILSLFTKEYSIDQTHDTDQ GLEE AFLA_125080 MLLVLPSQCTLTAFGKYASAKQLLSDSDTLMEYINCANDEDDTP LQLAARNGQSAVVELLVYIISLTGCQYPDQSFTPLLLAAIYGQESVVKMLLEQGADEV EWCEWNVEANDLGKRKMASCHPF AFLA_125090 MPSNRQFDPNFTPYVINSMGPKTPERTRVLLGALIKHIHDFARE VELTPAEWMLGVEFINSIGKISTPIRNECHRICDVIGLESLVDEIANKIVTEDGVSPT SNVILGPFWSPNAPFRELGDSIIQDPNPNGKVTYMHGVLRDMETGKPIEGAVLDIWQA SANGQYDFQDPNQTENNLRGKFRSNEKGEFWWYCYHPTPYSLPTDGPAGVLLNLMDRS PMRPAHIHLMITHPDYATVINQIYPSDDPHLDIDSVFAVKDDLVVDFKPKTDDPKASL DLEYNVKLALKKHHPNPNSAPPVSSFERFNKGQNKL AFLA_125100 MEFRSGESANPRKRPHRADDENVEDSGTATAAEGFFEALSEAGV TNCFVNLGSDHPAMLEAMIKAKQENSSKFPTIITCPSELVALSAALGYAQVTGIPQCV IVHVDCGTLAMGQSIHNASVGRVPVLCFAGLSPFTQNGELLGSRTEFIHWLQDVPDQA AILRQYCRYSGEIKTGRNIKEMVYRALHFAMSDSKGPVYLTAAREVLEEHVDRRFLGE EILSPIVPSALPESGYLGRNPQAPPLLAELCDKLPISVLESVGSDMSMRTDHEAYLGV TITTHPAVCEADVILILDCDVPWIPTAGKPQKGTTVFHLDVDPLKQQMPLFSINATRR LKVSCEIALRQLNAYIDKQGIEKTNYATAFEARANRYLRRKENLRALESPSQDGAIRV PYLASRLRHHLPEDTVYLVEAVSNAGLIIQHLNLTQPGTLVGSGAGGLGWGGGAALGV KLAKPGAFICAIVGDGTFLFSQMESVYWIARRYDLPFLLVVLNNGGWNAPKVSALLVH QDGLSSKSNRRDLNISFEPSPDYPGIATAAGTAWGITVKEQEKLDSAIQEAANVVRGG KCAVIEVSVPSIWKEN AFLA_125110 MLFHACNQMGMQYLWVDPLCINQSDETEKMNQINQMDRIYACAL CTLVALAGKDSNYGLPGVTRPRSWAHETVQIGDLTLATRAPSLATCIDCSTWSTRGWT LQEAMLSPRLLYFTEYGTYQEYPDPGVKFESNALCEPVTTYNFPTVDKHWSVVEQYTT RHLTFPSDALCAISAVLRAMHGDEGVYYGLPISQMDQAVVWVPTGNGSNTKRDRFPSG SWVSHDGNIMHPHVLAGLAIWMTPKHGSKSGLSICKPEARIGRSSFGTRNAIDIAAAW LEGCISSQFPIDPWFNSETVYALDARWPTYEAFWVDAFGGFANDNINLIEHCELAPGH ILVYGQVAQFTLDTCKFGKRRDMFIIRSRAGIPSGAIWISAYNETAPMNTTREFVALS IGDGAILGPALDLAFEKRFTENPDLDDFELQYLYGNAEILPVLNAMMVERNPESNIAR RLGIGTIFLKEWADADREFKTLVLE AFLA_125120 MPTAKATFNGTLLAETSTWEEVEGNIYFPPSAIKDSLFAKSDHT TYCGWKGDAKYFSIVLGDVTVPNAAWYYPEPFDKAKHIKDFVAFYPNKVDVKVE AFLA_125130 MAVPDCNISRFLGFLSGPQEWTYLINPEHMKVDQPLNLDDDDFE CHSGLPRPISTPTDMSFSLERLKLGIVCREVIDATSHEHLYGIEISYEKILELDRKFH QALAEIPEFFRLDPTSRRRFASLYQNRPTIAWQRCLLQQGYFSRLCRLHRQFFVRGAR EPLYSYSHIVCLQSARKVLEIKKIMDEDEPKFTPPSSVVWSVMHHVFMAAVILLLDVC FNWDDILAEKRKEEVLDACRMLSNAQLSSSLVKEGINAMMGVLQKHWKHEKLAASPNR NSIPNVNAAGPDMPRQPLPSTSGASYTPATTIELDPPGDLPSNLDDTDERQLEDIWSE MLDNGANLDFGDTAWTGLLTELTNATMPG AFLA_125140 MLHVTRPPGNWPRSNDPRHLSTSPVMKRRIGPELHQKPRKRATR QDPVSCESCRRKKLKCNRQQPCSSCVTRRLPCSYVIAPAAADTHNAGLEDGNQREATV PGQGNTPEIQEMRRADTQSVANPQPSYRSRESLMTADWLENIHMGQRVPTATPKLLRD ELDELRNKDDSVPPGTLLPVSCRSWNASREDPATVNLLSFLPHKHEALALFRYYTNYI DYLYHIIFPKRAEDQIDGIYRAIERGQPPNLNHLALFFSMAASSLFLQLSVDLLFMQS FAVASSPF AFLA_125150 MAKGSSAPPNQKPPSEYTPRACLTILGSFTGLFCTVGFMNSFGV FQEYYGKEQLADKSESTIAWLGAISIFCIFFISVFSGRLLDVFGPTFMLCIGSLGTVF SLMMVSLCKEFYQFILAQGILLGVSLALLACPMLALVGQHIKVKRGAALGIVLGGSSL GGVMWPIAINELLQKPNIGFGWTMRIVAFIMIPLLSVSCICCRPPKTSPPPTQRPASD EEVTITETKASAPKTDYSVLKKPSLQLSCLAFFIIYFGMFSPFFFTTSYAVAEGFSTD LAFYTISIVNGASFFGRVLPGIVADRYGKFNCCIVATFFSGIIALCWTKATSVAGLVM FSLAYGFASGAILSLQQACAAQVATPQTIGLTMGTVMAATSFSAMAGVPISGELAGKY GYLALSIYSGVSLLVGSVLLAAARFVQSRKLLDVV AFLA_125160 MKAIFDLVFAIGAQIRGIGNDSNITTSYFLRARAAAFKGMLMSQ TLDTVRVFTLLAFYTLGACNRNAASMFLGIAAKAAVILNLNGTGNDDKLSEEEVCASF IFGRPKGLPASCSVLAKSTQFDTVGGRNSRALFTAMVKACNILDHIVDTLGKNNDILH VPTAEELLRQLRQWSRELPEHIRRIPVKCDLNATLQPADRQALLGSLHISCVHYFAVM LITRPFLVAYLVSRLRGKAPDNLISDPDEGSDASIKNSKVSRLAQVCVSSATDMVDMC VKAKNCSFTFRNLSLLE AFLA_125170 MILQTSWTNIQEEQAFYFNMLEMTQLKHFSRFMKPIFLPDTLIK RTANPQPFIQCNAESRFRQILGPVSTALEEKKPELAAVSETRVRLSSVISILDFEYAA SQNLPPAAFAFLKSGSEDEHAAKWNRDSWKTIRFRPRVLRPIDGIDISRCILGTKFAA PFFICPAGGAKLAHPQADLCLTMAAGRHHILHWVCNNSHMSQKDMSDARAPDQTTFWQ IYARSDLDTTTQEVKQAINLGYKGFALTVDAVRAGKRERDLRVTLAQREQDGIRVNDD DEEDDNFAREPSVGRPAVHPGFDWVSAMKWLRGMTDLPIAIKGIQCWEDAVLCMEYGA HPWLSNHGGRQLDSAPSAVETLVSIRQHCPEVFDKCEVIVDGGITRGSDIVKALALGA KGVGLGRPFLYSAAFGGAGVSKAIRILKNEVETTMALLGITSLNQLNPSYVRIPIIKL DPVVVSR AFLA_125180 MLLPNAKPVKLTDNVTIHAPLSRQGHGPGIIIIRDDTPTSQRNE RSTLDPEPLQKWAEESYTVVQVTVSSDHPAVKEDLHRAIDALGGHDNCDKETGYGVII VSASFIIPSHKDFNASSAAVAHTRCLSFLKKELDGPWFDLEEIWDEHTKYEFDERSVE NTMSTMVQEPYVNHIPTMTGGIGREKLTSFYANHFIFSNPEDTKLELVSRTIGIDRVV DEFVFCLTHDKPVDWLIPGIPPTGKELRIPFMAVVNIRGDRLYHEHITWDQLTVLFQL GLMPEYLPIPYDLPNRPDSQAGRTLEYRVPGAGAQTADKMVDESSVASNEMFSYAVRE RSV AFLA_125190 MEDIFAKIAKDTIKFDVGDDEYWRGVYEPLHESSYEGIARVKDE VYGPAERNRLDIYFPLNDKREKKPVILFVHGGGFFSGGKEWSEKIWGNVGWFFAKHGY VTVLANHRLVPNVTYPGGAEDMQMAREWVYYNIAAPKYGQGSPEKLYFLGTPLVRFHA LTGIYRKCRMGSRPTIGGVWSEENCYEGLKTNNLGGTYEFTDFPMGEKYGIKEDRHIP GSVLHSYLNDFATHFDILRRIDFNTQVLDIEKLGQGWRLNTETTDSSSTVVYTCDKII VCSGLASTPNPVNIRGIDEFERPVLNHSQLREEGARIAHDPNVETVTVVGASKTGYDV VHMMASNHKRVDWVIRESGGGGVWMSSPWAKFAGAKTKLELLATMRFFTWFSPCIFGD FDGFSWIRKVLHQTRLGRYFVHKFWEGIRMDIIDQNGYRKEECLQHLEPLESLFWSAR VGILNYPSDIHDYLRSGQVKIIRKDIEHLSGPGVVTFADGTSLHTDALIAITGWKLAQ SIKYQPEGLESSLGIPCSNTSAEDRSLWRRLDDEADKEILGRFPYLCKPPPAIPYKQD VSPYRLYRGIAPPSLAAKGDNSIAYMKMVHSTSNIIIAECQALWTYAYLNGKISLDKT EVYHQTALLSRYGKQRYPCGFSAWYPEFVYDAIPYADMLLHDLGLKRWRKPTLKKEMF EGYTIHDYRGINQEWLAAQMKAAPKRS AFLA_125200 MHIMPMIFVIYCLQLMDKNSLSYAAIMGIKQDTNLTPSQYSWLG SLVYFGYLVGDIPVTFLMQRLPISKYFSIMCMIWGIIVALHAVCHDFASLATVRFFLG AIEVSTVPVAILITGTFYTKEEQVTRVAIWYTTSGWAAVFGGFLAWAMYHANSFRWQG LFVLYGAMTFLTGVVLFLFLAASPTEAKWLTEEEKVIALERVRGNKTGTEIWKFNASQ LREALHDVRLYLTFLVLISIGMPNGGLTAFGPTIINNFGYDVPTTQLLNVGSGAAQVV GVVLALFVAKWTNRTIAGVFPLVLACVGAAMMLGISSSNNNARYGGYVLAYQFPICVL SINTFMTAGISGTTKKFAFGCAYQLGYAIGNIIGPQTYRASDAPDYYTAKYTMLAFFV VAAILIGIYGVLHHRWNQRNEKHGPAPMPGMYPSLPTSHLHSNWSEHSSAIENEEFAD LTDFQMRNFKYPL AFLA_125210 MAERLVPVISLKDFEQRKDQITAELMEAAEYAGFFTLVDHGILK EEIEAQFSISKTFFDLPAETKGKTPHDPKTNNGWEYMAQLRPSTGTYDQKESLWLRHN SDWPSDDDVPGFQNTTREFMNKCAGISDQVLTCFARGLGFKEDYFKIANDPSQPDCLT QLRLIHYPASENSIGTWRAGSHTDVGCLTLLFQRDGEDGLEICPGRESHSSFASARRD FVLQGPLKKYPAITVGEYVAQAVAKNFSPSMRAAA AFLA_125220 MIDSLVHRDSVNMIYELKEKPSGATSGTSWSHRLTCPQLIESLL ERLHRVLAVALKGRDSPRALEVVARRDGLTRPRRCFRIRASDPWQFTVLL AFLA_125230 MGAVRKPTYSGQLQRALSLSSFETEDTHSTPKSSAGDTTEDQIS IHSENDGSSVHSDLVAHSHEAHIDPGLFSTARDVEKIEGWIDLPEDRDMIALERVAD AFLA_125240 MDRDQFRAAAHATVDDIINYFDSVPDRRVCPTVTPGYLRPLIPE QPPTEPEEWSQIQADVDTKIKPGLTHWQHPNFMAFYPATVTYPSILGEMYSAAFNAPA FNWLCSPACTELETIVLDWVAQALNLPKCFMSSSENRGGGVLQVSASDTIATVMVAAR ERRVRELALAEGLKEGTLEYEDRVMDLRPRLVAMGSNQAHSSTAKGALIAGTRYRSAT AKLENNMELTGDDVRAVLEQCEKDNLTPYYITLSMGTTSTCAVDRISEVTAVLREKPS WQRIWVHIDAAYAGSALVADEFQYLAKDLAEGVDSFNFNMHKWLLVNFDASCLFIRNR FDLTDALDITPAYLRNPYSESGQVIDYRNWSISLGRRFRALKIWFVMRSYGLNGLKAH VRKTIRVGDEFTSLVRSRSDLFEFITKPAFGLTVFRIKDPRAQANGSAVNGTTIVKPN EKHDALTKEVYELINERGEIFITSTVVSGIYAIRVICANEAAEEKYLRRAFEILVETT DEVLGRSN AFLA_125250 MSPSGPKHILVTGATGFIGAHVVDNLLARGLAVRAATRSKQKGE QMKAARPQHASRLEFVEIQDFSQIGVFDDIMEGIDGVIHVASPFTYDTKNNEQELINP AINGVKSILSASAKQASVKRVVLTSSFASVVDISRKYEGDFTYTGSHWNPLTYEEAID PATDAVVAYRGSKKFAELEAWKFIEREKPSFDLVALCPPMTFGPVVHPVNGVAGLNES NAVLWSVASGADPLPTARVSAWIDVRDLAEAHVQALLRSEVGGKRFVPASGEPFSYEL AADIIKGRFEWARETVTGNYKSGKKPVQAYKLDGDAVTRELGVEFRSFKETVVDLVGQ VKETFA AFLA_125260 MRADGQKLDISRAGIIFVSILLGLDLVCLLALALYSDSSMDRNS WLLRNATYPGLVIRKDSSVATRHVDGVKSLDETPSWIGNTANGEMVKLCLGGERPLAK TMSYAGYNTDYVAQTTETSNPDGDQTSATPSLV AFLA_125270 MASVEPIKTFEIRQKGPVETKAERKSIRDLNEEELDKLIEAWRW IQDPARTGEDSFFYLAGLHGEPFRGAGYNNSHWWGGYCHHGNILFPTWHRAYLMAVEK ALRKACPDVSLPYWDESDDETAKKGIPLIFTQKEYKGKPNPLYSYTFSERIVDRLAKF PDADYSKPQGYKTCRYPYSGLCGQDDIAIAQQHNNFLDANFDQEQITGLLNSNVTSWL NLGQFTDSEGKQVKADTRWKIRQCLLTEEYTVFSNTTSAQRWNDEQFHPLESGGKETE AKATSLAVPLESPHNDMHLAIGGVQIPGFNVDQYAGANGDMGENDTASFDPIFYFHHC FIDYLFWTWQTMHKKTEASQITILPEYPGTNSVDSQGPTPGISGNTWLTLDTPLDPFR ENGDKLTSNKLLTLKDLPYTYKAPTSGTGSVFNDVPRLNYPLSPPILRVSGINRASIA GSFALAISQTDHTGKAQVKAFVPLFELNEDDAKRKHANNELAVHLHTRGNPGGQRVRN VTVGTMR AFLA_125280 MDSRASNLSNLSSERYGYDFVVATTQASINSDLRVFLSEEDQPV SYTCFCTDGEGEPTKMIGLEELLKLTDCVNPFEIPKGTPYKDPRVQKLFGVCFAVEIK MQIGRPPGIAPMDLLPIISLGESASNVKFTMWCSDVTVVELKPPGGWVSDGKWNVWSQ PYGDPWYVHTKVNLTMAYLNEQLDTPYLNQHPRIKKRLKEALGNLSGTAFSLQQLLID LDSAFLETAPTFKGIDDSDALAVLQKYFVKIYSDHAKDGLPLVAVTAVAQSADKSSLK LTAFEREVNPPIGSSGGRIEHPTSFQQSATTLNYLCAVNNHTLPGTASFDWNWVLPKD IDNMSGIIAVNRDTFAEHLRDELVALAAPYCIKPRCIITDQPKNWDNPVKFNVRLNPG QKPDTAHASGNEIFISYSKPGYEGRGHNGVTGKGQTSGSKLLAGHFRTHVSELRILGD SPPFDATDNVIDDLLTVLSNEGEVLGTTYTQLKELAKDFSSMNVDDVLKRLAGILGDV VLSSARVVVDALLDVLHDMASAAVTLLDTKIHIPVITDILNAIGVPDLFFLDLFTWIA AVAYTVVYKIGKSEGPFPDSNEVQTIISATSWDQLAGMFSGPSMSISPYMQKCIFVAG HSISGFLCLTGDFVNAFEAEAETGDNPFSTPSAIMGFIAAGSQGASDLLVPKDAIENK AVSTISTITTCAVIAAKVVFSSPAQKRFGAPEGSKFKPLAVADGRATGAIVNSILVIP ALVVCGWHFYELSTKPAGATRSAAIVGEVSNLASYISRIAYAVAVNDEDPSSKQVPIG IMAVSNLACAGLQAAEAVID AFLA_125290 MSTFKYYSLPGFGEECREKYGFSDSCIVGDRMIVTGQTGVDPLT LKTSPIFEEEVTQAFQNINDLILLTLKKEGRTIEEGKTGWDYVVKLHAYLVNLSTMRD EARETMVRHIKKFCPNHQPLFTMVGVESLPFPEHHIELEVDIWLK AFLA_125300 MSITSTFLLLNLSTPPGIGKGLVTAYLAKANTTVIATCREVSEQ ITKDLFSLSRGHGSNLIIVSLSLDKPQSVINAVSQLQSHQSINKIDIVIANAGVCNHY GPLARMEDADLVSHFDVNTLGPIRLFRATLPLLQASSQPKFIYISSELASITGLEHSS SLTAAYGASKVASNYLVKKIHTEHPDMIAFSIDPGFVQTDMGNRGAQCNGLEKAPMTI SESIEGIVNQVCQHSPLALPSYLTSVTGRWLIVDLLIFLIGGKSLQRNNLRQIHKT AFLA_125310 MSPQTIVVIGATGSQGGAVVKELLANRDLYHVRAITRDVTKPAS QKLAALGAEVRSADLNSGSDALAQVFAGADGIFALTDFWQTQSTATEIAQGRAIADAA ARTETLKHFVWSALPDPVKLSNGQLLNIHHWKGKSLVTEYIQKEHPELWAKTTTVLFP NYFENCITTPDRYLPQKDANGAYTLSFPHSPETVMPNVAIADTGKLVHTILQAGSKYF TKTIAFYSEALSESEKLAKIGSQYNVPTKYQKLSSRECQELLESRDGMSPEIALDFTE QLMMFELFGNVYANKEFVQAREVCGSPFCPSDFLTELLTTPRYLGSHFKPGRSSFAKM T AFLA_125320 MPHDSGTTLSPRYVEIWCHEAELKNAFWSAATGIDSGLFLPDYL RADLLNWKTFSEQNVTLWRGVTLHRCPGHTEGSLVLELTFQSSGTVIMTGDLFHVKEN YEEGRPTGFLMRDYCEWFRSRDYVRRLVQRTNARVCLGHERGYFDMFERSPRYLE AFLA_125330 MGVNSAFLHAATIGDATTIENEYLKDKSILTAKDADGRTALHLA ALHKDVKVLELLLNYGIEPSTTDNRGQTALHIAAQQSSLAVVELLLKRRANWSIRDHD GNTPLSYAYQQYSVEVLSCFLQYTPTYPAEIPCGLTPEIVLRSSANRKWTPLQISVNA HGR AFLA_125340 MVRVLGFSGPINLCFPKLVLLAFLSFSNVARATAVHASTHDEWG DDGFLSSSHRILSTYDKCVLTLCGAVIFICAVALLVQACRILIDIGRKERLWRQEDYL AEEELLMSALEAGYAKGGNLPRYATRFGTLSEQEIDVSGVRKVVLVPNYTYATTAAQD AYADWFVRWCHLQEEASNYAVEESPDEVAPLLDLRSPKAPRYLAEAVTSSSQGPSDGM APLIDLGSLEAQQDVDDLASSPRPLLAE AFLA_125350 MNMVKKHLKNIRLASGKTHGQIELPVAAPFVHPDLDRAAARAME GKGRVAEGSREKVKSAGAWEAKNPGSSLAVPELGRKGFVSRYNYPNHPVNNGKTSSVL PGGLLGSRSGLIERAATSIKESHDSKRIARGEPGSEPIKEKWQRYQRKKKPGLAKNVL QQDVLYLLNVNMPTEDELQQSVAQLEHLMQQAG AFLA_125360 MQIYSIVAAATLSLAGTAHAWAQAADGTWIANQNSYIFTDTRGL SLVENARLLLDPLVIRPT AFLA_125370 MTLITKSSANTDFHLNPAFYHRDHDYRDDYHWTINSFYACSSHT TFPHIKAIMHHSEAKDPELVLRKEVMMIVATMHSRPTTETLLDHLIVPVMLFSFAGCC VRILIAIHDGQNLRIAMSGFMEYSEGSQDLWDMLTRYLGCGINNKLSTEKLLSAYLEE ALPWREECS AFLA_125380 MLYGAWLSKIITVFGATGNQGGSGINTILEDPRLYKKYKIRGIT RHSSKESGQKLIKQGVEVVSGSMKKLITLILSSQQARTTEPLY AFLA_125390 MKTTFLTLLVLFVGLVVASPMFQADDDETVEVSEKGQCDWTDPS CETWDPISCTCQDEGYVSQSEPWDDSPDVSGDKLSSRAVKIRTRSTKGVKGFYSGKVK GNWVAVDNDAYQKAKQAVFKQLSSDPLVSFGQVDDLSKKFRAKGQSWRWTGKVDKRKL SEQAHTDHGPFGQFKNWQGTRFYDFTFYFRVT AFLA_125400 MGSIVDEPYRPTPADITINNHKPGDPSKILLKNVCILDSTGRAP YHGNVLIEGERIAAVGDVSDSAARDALVIEGEGKKTLMSGLMDAHTHLSFNDSPTLDG LTSAPLEEHVLSTAQSAKTYLDCGYTMCFGAASAQPRLDIAIKGAIKSGMIPGPRTLA NAPEITTTGGAIVPGISRNADGPHEMRKQVREFIMLGADNIKLSMSGDDIHPTMPSTE TYFTLEETVAAVDEAHNRGKRVCAHARSAQSVKFALQAGVDVIYHASFIDQEGMDMLE QKKDHVWVAPALNFFYTTCTGEATLWGLTAEDAAKKGLTYEVDTACKAMQEMRKRGIR VMPGGDYGFAWAPHGTAGLTCPKHGWSFDIFSGQADRGNYKLKLWEVQLRDPQAKDES ADSTDQEVWVRRKQRIG AFLA_125410 MDDTHHSTGASQNAGEKRPTFESAKVNEPQEFPDGGLRAWLVVL GAFFGLLISFGWTNCVGVFQAYYETHQLQSKSPSIVSWIPATSMFMIFITGPVVGRLY DNFGPRYLLLIGAFLHVFGLMMTSISSQYYQFILAQAICSPIGAGMVMYPCFTCVTTW FMKKRALVMGIVASGSSLGGVVLPILVDRLMPQIGFAWTMRTCAFLMLALLIVTNLTV RPRLPSQPKNIGIMAFIRPFKDLPFLLTALASFFYSMGMFIPITFMVTYGKYRGMSVE LAGYLVPIFNAASGIGRILPGYAADKLGNYNVSLCAACLSSIFVLALWLPGQDQATAI AFAALFGLSSGTYTAISPALVAQISDIREIGTRSGTMYACMSVAALTGSPIGGALISA AGGSYWKLQVFTGTMLVMGTIFYIIARMHLAKGKLWMKV AFLA_125420 MAVKYPLNIDDADIRADGPSPNSLPEDQPTSMSFFIQRIKLAEL CRDMVDSMQNIHQRTDIPDHEQIRQIVVWFNSFEGNLPWYFQMDEENRNKTAVLAAHH PYIIRQRHVLLFGLYSRLGRLLRPLLIRGGSHPSCSDLVNLGISCAEKLLNIRHTVEP EDLCPSFHSHSIDQHSFGALLLLTIDIMRDKDELRARDRRKNLIRECEMLRGKQRSLG EPTKNMIRALDQLIGIIQKPRLSLPREFVDVNGNHPGPTSTSTPYDSAGLPTQPFSSE ETEIPGSQTVPTGKADDPEEHAHMSFTSADNFPCAHEHPANNPAGQDITELWTELLNS FPYSPGFTWEETFDWTWS AFLA_125430 MIYRKEHQGQAALDKIKEEAGKDAKVEWVPCDMGSLSQVRETAS HLVRKEERLDPLILSSSINTNQYSKTSDGIDRHFQVNWVGQFDLCNLL AFLA_125440 MAHHASPDTSERLQCIGSSFMKITDVLLMHHYVTETTGTMSSSL DPTVKEMWCIAVPQMAFEEHGLLHTLLAIAAAHRATLIPSESVRLHIIHQTHMDLALQ RHQMMVVDVSENGAPISDTLCLNSILISLYVLGLRSMKRDRPYELPMQWLYLSRGIRV LMRQAFYRLLDSGTRIQPLLAASAKMLPSEIELNMSDEALFDFLLECHGEDGDLDPSS LQAYRTCTLRLNFALKVVQNGGSEFILRQWFMCLGAAVPGRFIQLLSEKKPRALVILA YHFALVHCVQNIWFLSGIPAQEVRGINNILPPKWKWAMTWPLRVTDGRKMDIGELATV N AFLA_125450 MLTEVEELEIHVIVNDELDPISPSPNPAVKAASRFMGIPLTPLK SNTQRGGATMEMRMDNICCAAHGISLLLIATKGSQKHYLLFDAGPEGDVWERNSRRLR SEIGKIEHITLSHYHRDHSGGLTTAIELINLNDPGSKKVVVDVHPDRPAYRGVQADQP ISLEADPSFEELEAAGATLLKSDQPHTVLDDFFLVSGEIPRKTNYEDGIYGGLRFNDS TARWEEDTLIMEERYVMCNLKGKGLVVFTGCGHAGIVNTCRDAARLGNGNPLYCVVGG YHLADADDAKLNATMDDLKKLDPKVLLAGHCTGWRFKCHIAKDMPNCLVPCFSGSKYT L AFLA_125460 MHCTAEVPSAIDSNKVEEGKKTYTPNPARAPYNPKPLLDTTAHH ISVIIRPGNGRVFDDRVSVNRVDLDDETSLARCLVGQDVLIITLSPESYAKQTSLIRA AAAARVQYIVPTEFGSDPTHERLNREIGLAEMQKPFRALIEELGVSSWIGVVNGLFFD FNVRNGFWGLDIRNRKVTFYDDGQVKINTSTLSWIAISLARFFSMPDEFIRQHQNDWI YFSSFLVSQRDVFESAKRMTGTTEADWEVVCVNSKVAALEAKNCMEDGDHMAVFSLLF ALTFQEGFGSDFSDRVIDYAAMGLSPPTLDTAVKGLVDNCI AFLA_125470 MDQRGADALNSSGGRTLDPGSACFLFPPLTRRGIGPGMVIVLPD DHSSQPTRGNEAPAPAFKWAEEGYTVIEILESALKRENVLRLAVEALVRHDKCTPNDM IGLVAYGSELWGKLCCLPGLDKITAAVIYAAAGDAVQLPSMNIPIVQHLCGRVSSPLQ RTTGTMQYDYPDTSTQFFALPSSAAFDYATEGVSHTRNLTFLKKHMNGPFFDLEAIWE EHTYFEFDNRSVEQTMDTMVQEPYVNHIPTMTGGIGRTQLTKFYRDHFIFKNPRDTKN ILISRTIGIDRVVDEFIMTLTHDSEIDWLIPGIPPTGCKLEIPFMAVVNIRGDRLYHE HITWDQATVLRQLGLMPEYLPYPYQLPEGKSPVCGRSLEVKAPVAGAETAAKMRDKNS VPSNRLFQGGIREARH AFLA_125480 MAVRWKTLGNTVAACGVGAGIWFLYMTRDIQLIPLASADRIFHS KYFAEFNPNGNPAIHDWHVKSVPLDQINPKLLDDRRKLLERFCGGVWAGNGFALQRSL HTLFDRRGASGGQIWSPTELLESDYRVGTDIAGNFEVLDRSDGYILIRGGDRTSYRSL RPLDGLIELTACIDREKNVAEFGFKSLFFQGSGVTSKLPMSKPLV AFLA_125490 MSSLIGFRFLAGSAGSAPLALGAGTIADIMEPQNRGLAIAVWAT GPVVGSVVGTVCGGFLTENASWRWAFWVIATLVPSFLSTIEGKRPPILLSRKGKRLIR ETGHSNKRSTLHTDITPSGLFTTSIMRPLQMLVFSPIISALSLYVAVVYGFLYLLFTT MSQVYGNQYNFTAKFVGLTYIGLGAGSTIGLLAMGRFSDVVSKRLAGGTKGPWEPEFR LALMIPLSLGLPIGLFWYGWAAEKKEHWIMPIIGTGWIGIGVVASFVAIQGYLVDAFT TPAVSAAAASTVLQSLLGAVLPLSGPSMYSALGLGWGNTVLAFIAIAMLPLPLVFFTY GARVREKHLFVL AFLA_125500 MASACELRRMSHDEPWAGSEPYDILDWDGPEEDANPLYWGTPKK VLNVACVSIFNFLTPLGSVMFAPGVTQVMEQFHSTSKPLSSFVVSVYLLGFAFGP AFLA_125510 MRELVRDTAFGKIVRLLSGHRLLLYPEEQDPSFIQEMVATAAEV GVALPELVRNESIVEPNGLETIMSQASYRSRQGSWPMPAPRDEKAIIVSWRTVDSENW SFAKKLLVSSLVWVLTFAIYIGSAIYSPGIPGAAKQFGVSNVAAILGLTLFVLGYGDI LFEALVRPFQLCFLEPIVLVMNVYISLVYGILYIWFEAFPIVFEEIHGFNPGQSGMSF LGIITGTVLFAIPGYFTWKYFYQSKRIGDDGSITPEYELPPACVGSICLPVSLFWFGW TGNFGSVHWIAPIAAAGLFSVGGCLIFNPIFCYLAHAYPRYAASVLAGNDFMRSSFGA GFPLFAAAMFHNLGVGWACTLLGCLTCLFVPFPFVLYWRGRQLRLSSKFARHDI AFLA_125520 MNEGHLSALRSFLHPGEIITPDSPAYLSKAQTWASQKQLNPRLI VRPASTETLSKLVAYLYTTDLDFTIYGHGFMSASAKDVLINMSAFDDFHFDKHSELAT LGAGQTWEDVYKKLRNAAPDYGRVAGTVISGGFSWLSGEFGCISDPENMLDAKVVKYD GSVVWASREPQLLWALRGGGGGFGVLVQVVLRVFPYPQTIWAGPILIPREQLEEVAGG IANFVSKPVDPKITMFLYVVKKRLLESIGTDTDMLVIHAFDAHGEVHGRASFQWALDI PGAIDQTQVTCLEGVANLQDKAHIVKGSMKQFWAPLLLPEISKKTIIRAIRWSDEIQQ LDESLADCTYLIFELLSSREPVGSSSNCAWARPAGGKHILLLGTGCPAGSGPYEETLA RELAMKAASKVLGEDAEYCYLPNGFEDFHDAKKVLPSHLR AFLA_125530 MTHSEKDAGYTVQCGRLKWLSLAPGVRIKIIKLVPETGEYTIMV HAEPGGLLPRHRHVESAEIYVLKGSGAHPQTGHFSSGDYVSEHKGAIHDPLPFEHETE LLMISRGPSVFLAEDGSDLYTMDVEMLQRMIDAEV AFLA_125540 MADSSNSWWMSSYLTGSDNHQYMVLSHVLATDTFGLYRGGIYDI TEPAYSQFSEMTTQNLTAHSQDGKFNIRTNDFFFGSALANNSITKLRTVSNRTNLQFD LTYELTAPVLFNAGLGGLFQFGPDQTGEWSMPAGKTSGSLVFNGKKITVDTKRSQTWY DRQWNVGPAPTSHTWTWFQLHINNGESDENELVSLWMYDSDVKGHRQWATTQSKAGIN IVQSVPTVEPFGNSWTSPHTNATYHQAWTIVLQDNTTLTVKTTYEDQELWAAGGFATY EGFVTVNGTDADGKCVTGFGLVEIQGHF AFLA_125550 MAKAEGQSSVYISGEISCCAGGETAYQLSGLNACLARMEVIGGS MIGKDNLNRIAMLSWASEVFATWISGDKFYGFDNGGPDYSLISPEWIKENIQISPLGK AYPDAIFILEGDTSTFLYLISNGLGSPEHPDWGSWGGRYLPVDRPPVSAYNHFHDATD RDVGAKGLAEVLKV AFLA_125560 MNLRRLGSSRDEAEQKLATIKRTLEAVRREPEGASYLECFRKSN LRRTTIAVLLLCMLSAAGASFAASYSTYYAQTVGYNTEMSFKIQVIQQVLSLIGNVIS WWLIDRVGRRQVTLWSPFVLMVFLFIIGALATEGSSVAFLPPPT AFLA_125570 MPRTKMPLKKGLFVAGFHISGTGIAVAPPNDTSVLPALLAHVIV GIKWPNNATWETVRNASLAVTSWTNVLRDIAPESGAYMSEADLIEPNLQEAFYGANYP ALYALKQKYDPTGLFFALTAVGAEDWEVRTTDPLPYSWNNNGRLCPVTS AFLA_125580 MKGFVSSTLATLAGATVLIGTQGASVVTASLTAAATTVSPKPTT LFPAQTVQLTNEVLAAVSSNVRNANISDVFHFADPYTDSTLSKKNPRSCKVMPGDVSW PKNLLWNTLDTLLGKRLIKTVPLAAYCYPEWPEYDADKCANITSQWAVSNLHVVGGEA VTVGLGGGHTAGGGHSPLSSMYGMAADQVLAMEVILANGRFITASSTENSDIFWMLRG GGGSTIGVVTSLIVKALPRLPTTTVTFNFTINDAPNVDAFWKGIEAYFVNFEDFINAG TYGYYYVGASATEIGTDDAGSTDYYFRMHSVVAPNMTIAETKALLAPWYNVLDSLNIT YTPWYNHADSLFVYPLPFSSLISS AFLA_125590 MDRRPNLEGVPPFKRKKLRRGTRSCWECKRRKMKCVFERPEDAV CVGCHRRWTQCVSQEFPEQVPAHIDSSRQLRDRLRRVESRLDQVLPQDANSTSARSID QRPHPPPAYTEDTTPGGNISHTLYSALPSLQDIARITTANSHHSIPFHEILTTPYSIL DRDGPRAHSPLLSITGTGVHPVLIARHMLHLASFLQHLHPDLHDEIRGLSESPSSMRD RLAEMAIRLVTTRDQFVGSVEFLECIMMQSLYEANCGYLRRSWMTTRRAMTIAQSMGF HQSGARLQYHVLHPDTKAYPHFMWFRIVFYDRQMCLLLGMPDGSPDRSMGSEAMLAQD SPVGRLERQHCVIMSRLLERSHVNPAACSDYALTRELDGELHRCARTLPSRWWLTPNL SKEQKKEALFCDMRRLSAQLCHYNLLNQLHLPYLLRHSVDRQYDYSRISCVNASREIL SRFMMLRRWNRVAFSCRTIDFTALMAAMTLVLAHLDRYRSSQVDDFLAVQALGDRALI EQAQEHMEELDRLNADPLSARSACLLRRLLTIEAKAVDGEQLHCAQVVRVQNPENAIQ PEATHSEDKHVYIPYFGVIQAAGEIVSAAAAARPSSRPSTPTVTSPGPEGPTQTRTVA ATTMPSRPAPNDHVHGLSGPLVSEVLSDDPMQLFGYPGMAGSEDGTFQDMDLAFLDNL MRGTGEDIMGATGWAVP AFLA_125600 MSPPTPETKNDTDHGHELPSSTSASGPGDEKDLAYATPLRLTMI MCTLSLSTLIAALDLVSLPTVLPRRGIVATAIPKITSDFHALDHIGWYSGACFLLVGT TSAPWGKMYKYFSATYIYMTALGLYLVGSVVAAAAPSSIALIIGRALQGWGCAGTLGG SVLIINFTAEPKLRPMLIGLWMSVFMIATTIGPLIGGVFTSEVNWRWCFWVNLPVGGT AMALQFLFLRMPKHIKPTPATWTKILLHLDLPGWTLLFTSVICFLLAMEWGGLAKEWS DGAVIATLTLWVVLSLAFVAVEWFQGDFAMMPLRLLKSRTSWSHLLYAWIANLGNFQI LFYLPIYFQSVHGSSAIMSGVYSLPFMAFYAFGAIVSGILVGKTRLLQPIELVSGLIT MLGAALIYCIDTGTPKAWWIGAQVPFGLGIGLGNQVPVTALQGFATPETVAATMGVAF SELYGVDCSDLCQAISGAYFVSAGNSIFNNYMLKTLSVTAPQLNSSEISYMGVTELKN AFHGEDLALIRQAYMVGIKDVFAFALAGACLTVVLALIVPFEKLPDHEAKKDEDKEAA DKAS AFLA_125610 MGMCSLQSKKDTLSKGNPTSAMPTVECQTLKLECRIATNNTKDT REPKVEEDVLSRLFDAQPRPPPPKNVVDVHRQIFQAKFDISGRQSAGPSLVTTSDDGR ARPPVLDQKKADHLLNKFRAKSSYFPFVTLPHNIASAEHRFLYLAVLTAASSDDIALL RSLDNRFRSVLADRIVNAGEKSLDYLQGLLIYLAWYNLHLRPRSFQSYQYLQIAISMM VDLGLDDEAIAKTEFDRSSPSSKNEALDACLGSSINIARLTLSLLEEASIYRLQPALL EFDLLKFQSIMSKGRAFLDYFISVPTSDFANLSFSEWERLIAAIHVITEIISGAASLP GIVAAVGEESRTLTRYLECLANRMEKLSQSGRNPGEHPDMFYLFKSVLDLLCPLPLAA TYDQGLPPAVNPQPHHGKKRCPVLSGIRETEFWDAYQTSLPADDIDIDLDMLFTNGFL SELSPEQWMDASEDTSYT AFLA_125620 MAPFQLGIVLYDFQLLDVAGPVDLLISGSKTMLSHINRDGFVAD ELVDQGIDINFHYIAPTMDTVCLMSGFKIQPTTTFAECPKLDAILLGGPGPDFWNNIP DSYREFLHRKVDEVDYFFTTCTGGIVAAKAGLLRGKRATTNWEFLDYVKKDCPETTWE TARWVIDGKFWTGGPAFAGIDMFEHWLEGRRSDAVVALSRASLAYQPRDINGKEI AFLA_125630 MSDGTLRPVQPITTYRIGDIEAAFRFMQTGKHQGKLVIDVGMED MVTTVMNTKPNFTCDGNATYVIAGGLGGIGQSIAAWLVDRGARTLLLLSRSGAKGPEA MKFINSLQSKGARAIALACDICNESSLRKVLEEWQPQLPPIKGCIQAAMVLRDRTFES MSYKDWEAAVKPKAQGSWNLHCLLPGGMEFFILLSSLSGIIGTHGQANYAAGNTFQDA LARYRVNMGESAASLDLGLIIYTGAVANNPKLLPRWQSNSVSTPITESELKALVDCYC SPLHGYELDCQPSIGVQPEVRERANWPEKPLFKCMTLDEAHSQDLPSREQTFQLAAAM ENARSLQEGSHAVTRALTAKLSSALAMDEKDIDPDKHLSKYGVDSLVAVELRTWLDTE LKANIAIFDIIGSTVATIAQLAASRSKLQKGYST AFLA_125640 MSEIATHYHQAIGQVEGTNHKGKRPNILSSVTGTWISHSEMQSA SYWVQNLVSTVNFSDALTTLCSNTTDVTIKKLDGSHRQSLRMHHLLEVGPHSVLQGPV KDIQKIIEGPAAVYHPVLVREVSALESFLQAAGHLYAAGYPINLSHVNRCTIEQERPM CLPCLPEYPFNHKAAKQLASPGRVISGFKLEDISLLASIRIPQGEGGVETNLYMRPDD SMENKYSHLFSFKLCTYVNDCWTENCRGRIHILYQPDEPDPVNGDRLEREDLADSLYS FSKAPDGCGSTVYSDVIYDHMARCGFEYGKTFRQIHALAVGGKGEREVIGDVNNVKVS MQDTIHPTTLDGIIQTMVWSIIQGGTRTVPTSVPTYIASMRVAANCSKCKALKTHTVT ETSETGTLLTSIRAFDRDLREVMVSIEGLKFTAITSKAPTESTSPVKDNLCHRFECKP DINLLSNDEIHTVCRGAYPDLAIPKDFLIELDFLVMVRITETLQVISQRDIEPQKPHL KKYIDWMRHHQERLLGGELMFSTEPWKSRFSDTKFIQGLESRVMRKGKQGELLVRVTR HLVEFLNNELDPLAFLYQENLARDFYAEMVRLILSNAHANPQMKILEVGAGTGCATAA LLRILGKSYEHKSMSPKYAHWEYTDISRSFFDEAAKQFASEGSRIAFRTLDIEEDPAD QGFEYATYDLLLACMVRAHRHIEHSS AFLA_125650 MRADISAVLTILVTSTLALAAPTTPETSGHTQDLQRRQNNALLN AVGLEDHSKYADNSGGLFSNLASNAMIIGDHIKYTVDPPSAEEPLPKGQDPPAREAHR KAHVAINKGLGAS AFLA_125660 MEEKAPNAEATHLRWQTVDEESGDTERPRLNRLNRSASYSSQSS GRNLKARTTVDPSLSLPIHYRTVSFEIDEAEALHRTKAAQVKSEIATDLSNLDWHTIT VEELQKRWQVDASQGLSSEQVQRRLRQYGKNSLAALPHRWFWQIFGYFFKGFGAILLV GCVLVFVSWKPLGQPPSQANLALAIVLLAVFFIQAGFNAWQDWSSSRVMASITAMLPE SCLVQRDGSQVTVDGPDIVPGDVVYLKAGNKLPADVRLIEVSNDASFDRSILTGESLP IHGTVDSTDDNYLETHCIGLQGTHCVSGNAKGIVVATADSTVFGGIAKLTNEPKKGLT TLEKEVLRFVLLIVLIMLTMIIVVVIVWATWLRVDHPDWINVPTLIVDCVSVAIAFIP EGLPIALTASLTITANLMRKNKILCKSLKTVETLGAVSVICSDKTGTLTRNKMFVTDC AISSSTLSPESARDRMVMDGKASGVHQLRAVAALCNAAEFDASSSTLPLVERRIYGDA TDQAILRFSESLGPVSELRQAWKMTYELPFNSKNKFMVRTFNAAQPNGYGLALSAAEA VQFRQSDGLLTIKGAPDILIERCTHTIGLDGNVEALDDNMRRKMLEVKDRWSSEGRRV ILLARKILPAAEILVHPSSREFESEMMTQAKTGLVLVGLVGIVDPPREEIPDVIKTLR RAGIRIFMVTGDFGLTALAIARQCGIVTTESTVDTVASLHRSTHIAEKIPPSTPSPAI VVSGPELMSLDDCQWDQLCRYQEIVFARTTPEQKLRIVREFRARDEIVGMTGDGVNDA PSLKAADIGIALGSGSDIAIEASDMVLLDSFSAVVEAVQYGRVVFDNLKKTIVYLLPA GSFSEFWPVFTNVMFGLPQVLSSFLMIIICCFTDCAAATVLAYEAPEADVLLRPPRKP KRDRLVNWKLVFHAYGILGMLESVASFAMAYWYLERSGIPFSALWFKFGAVPSNVDPD YYQARLNEASSIYFINLVVMQWFNLMAVRTRRLSIFQHPPAFNKDTQNLLLFPAIAFA LCMAIFWLYVPPFQEVLDTSSVPAEHYFLPAAFGLGILCLDELRKAAVRRWPKGILAR CAW AFLA_125670 MDPLVSSHQATGEGIILSPGTHSLSESSYAAHPRFLELQEELRS ALFTAVADSTPKQSYLVEAPTEPGLSELRHDVDLFARSPVPKARLISYLKNWITECAP YLDKFDEARHFGVHIPILARESPGLFYAILAFSARQTERKAGLRNCHDSLELYQESIR WVSPGLQAKDPNVLVTACVLAVMELMSVSPQDWRRHVDGCAALFDCFNVNGFSGGHLQ AVFWCYVRMELCGVIVSNGAERTVLPLDKWVPAGSMGGASESIEDNEKRVRELFLDQS RLTPDMHANWAVYLCAKACDLVCRRTRHLELGEFDTTDPRPFQEQWKRLWDELQFWLE QRPSAILPAKSTSMQGDRMFPEIFYAHQAAISSNQLYHTSCILLLEIKPPAILLPSSS HLTSSVWHARRPLYIAGKLLTHRREHIAVAKLFRTIEQTTGWGALWRLRDLEAVWGYE TNEILSVI AFLA_125680 MTVDTSSDREANDFQHVQVAKTSMWRSLMNNPKVLFIAFFASFG GFEYGYQQGVLGQSLVMTRFMENFPSVVNSSTATGWLTSILQLGGVVGSLSAGVLGEL YSRKYTMFVACCWVILGSYLYVGATEGNPSLLYAGRFFTGLGVGLFSGVGPLYNAELA APEMRGLLVSFYQFATILGIMISFWVGYGSNYIGGTGETQSDMAWRLPSIVQGIPAVF LACGIWFMPFSPRWLVKQDRDEEALTTLAWIRKLPQDHELVQMEFLEMKAEALFEKRA FAKATPWLAERENKNVFMSQIAQYANCFRTMGNFKRVCTAWLVMFFQQWSGVDASRSS FSPSPLENLLTRRTVIYYASNVFTSLGLTSGTVALLATGVTGVVFLISTMPGMLVIDK VGRKPMLLVGSLVMLLSMVIVGVIVAKFRHDWPSHEAAGWSAIQIRLTKATALIWLYI AGFGATWGPCSWTLVSEIFPLSIRAKGASIGAFSNWINNFAIAFFVPPMLEAWAWGTY IFFAVFLGVGIVWVWFFLPETKNASLEEMDRVFKSNTGEQDAEMLREAQREVGLTACI ERLSKGPVPVEKDSYGSHLEQV AFLA_125690 MALALTHSSLQQNNNAIGVNVTLQQEAERGLAHADDPIKSMGQA LLMLHDFLFSHPRQWRDFLRARTRGLSTLDFARTPQGVLNQPWWLLHSRIVPQQRPAK WVFLRVLFLLADTLSLLFSPSDTLSSSSERGGQLAVHTLLQTDFTSRWTSIWTSCHEW YHTRHVEMRPILDISGVEADEINSGNCSSFPILIYTSALALLANTIYHISSFLLLIHK PRLLKTLPGPKRFISRIWHAQAIAGIATSNEFKEQWDPILIASLLTVAPEMTHKSQQS ILLNLLGSITTVTGIKLDSEIDDLRCGWNISQYDEEAVD AFLA_125700 MAAKPQICVIGSLNIDFVTYARRCPGPGETLTGSSLSIDAGGKG ANQAVACARAAFTSRTQQDVDVRMIGAVGANDPYYPTLLQPALEKSGVDTTGIEQRSD CQTGSATIIVEEGEQGENRIVVVPGANHDGMNDVDKLLSVVQTQCPAPPQVVVLQGEI PRSTVIGLMQHYNKSENPTNVVLNIAPVYPDGIPLAALSGTAVLIMNETETIQMAESI PDFPVGSQTEADLQPELLAPLFHQVAKIPIVLITLGAKGVFFSTATGRNGSVRGVRVK NVVDTTAAGDTFVGYFSAEFARYAATGQPLEDFDALIEPAVQQANQAAAMCVQRKGAI ESIPFAYEITDRQ AFLA_125710 MKWTAILIALSAVSTQALESRDFDGKVTCGGGLPADYKAIKGGI DYLNGVGGTPVEDPNKCGRVSCSYNSAIYMCNWVSQSLNGVLEEL AFLA_125720 MNQRAGEFVLARITAGLVIGIFGGLFDDPTETMILLGLLSARKP ILVGRV AFLA_125730 MSSEYLESGRYYIKSKESGEYLTVSQEDGSIVARPEKEKPFEFS SADENGFSISLEGGDALGIQDETLVAGASSAVWNVTKSEAQHAWVFVEVDGSKGWWLN GEEPKTVNVRPLAVAPCYPPQYPTSELFVLESA AFLA_125740 MSFRPMALVTIRVAIHPRGKCTWQSTELLITSNAGKPIKSLSQK VRIDPHKSTEELYDVLAQMSGLSIFRLRITKKSDGILVARSKETTIEEAGVKDMDVIT VKDLGPQISWRAVFITEYLGPVFIPGLFLFQLRPYLYSNHGTIPKPSSFQLLLCALLI IHFVKREYESIFVHRFSKVTMPARKIVLNSAYYCVMSCNMAYWAFRPDTTPSNPVLCC AGLVLFAFGELANLNTHFVLRDLRRPGTPERGVPYGFGFGVVTCPNYLFEIIAWIGIW FVSGLSLSILIFIIIGSVQMAIWARKKEHRYRKEFGDKYKVKRFVMLPGIY AFLA_125750 MVQPIETPRQAFLAHPLTVILVGIILSLLVRRLLWNLKQRELER LHGCERLHNEGGCLRYDFLGIAKAIKLGFHFRRRTSLPYTNALFKRYGETYASNVLGY RLIFTCSAENIKHLLSTAFADFDSSPLRKPLFQPITPDGIFTLDGPGWKKSRDQLRSR LSNLRKIVDLNQCERHFQAFLQHVPPNGQAFDVQACAFALALDMQTLFSLGESVDALS FCQSREKKQFLEDLLFVKEKIVQDGFRGPLRYLYPKRRFLHCCKRARRYVIAHVSRQL TGCSSMSEKAEGAQPTNAEEEVSLLADQALSILLANDSMSTTLSGLFFCLSQDERVVK KLTASILDTVGLEPPTWGQLGSLHYVRWVLQEAMRLFPAVVFNARVANKNSTLPTGGG TNGNSPVLIRKGEIVVFSTWARHRLGKDFGENPDEFYPERWEHLSGDMIGFIPFNKGP RACPGQHYAMIVLTYIVARIFQTFSTVSNYDTREWTERISMTLENENRVLIGLS AFLA_125760 MTTGHRQFDDGLSERERLIHEAGLTLQRSMDYAYNVVRSDGHWC GEMSSNVTITAEYIFLRQALGLDLKTDGAAYCRHILSQQNSDGSWGLAPEYPGDVSTT TEAYLALKMLGLSTDAPAMQQAKAFVLNAGGVAKVRVFTRIFLATFGLFPWKAVPQLP VELILLPSACPINIYKFASWARGTIAPLLIICHHQPVYALPNGVFAENEYLDELWQDP TNKSEPYSPSIWELLSQGDITGLTFSLLDKLLYQLNGLRSIPLLRSYALKQCMKWILE RQEPTGDWAGIFPPMHASVYAFVLEGYKLEDPPVRLGIEALENFAWEDAKGKRVQPCV SPVWDTTLMSIALSDAATPNHQIVDRAIQWIRDRQLLEPRGDWRVYRPRLAPGGFSFE YTNSHYPDIDDSAAIILAQVKHDPISANSSSVIAAATWILGMQNPDGGWAAFDVENDK LFLNKIPFSDMDSLCDTSCADITGRILEAFGLLIRRVPDKDSSQLFQLLPAIRAACRR GIRYLASTQEANGAWFGRWGCNYIYGTSHALCGLAYFLQEDQQVPAMVQPALQWLKSQ QNDDGGWGESLLSYQSPERKEQRSTASQTAWALMGLLAHLPHTDIVIERGIRWLVSSQ RPVETLGSTWPEPVYTGTGFPNHFYLGYDYYRHYFPMMALGRYLRGVQG AFLA_125770 MGLLSTFGRPRPVTVPTDRVVPLRYWDDLHYLRSLCHDFTFRFD DVLDASKLEAALDRLMEIGDWGQLGARLRLNDNGKLEYHIPAEYDKSTRPAFGFTTAE YGISINEHPLGARLPTTGQDQSVLSPSCAEFAPLVRHEDSPRELADWIYSDRPQLHVH VAVFEDATLLTVSYLHTLFDAVARTNFFKAWIAVLEGREEEVPPFVPFDQDPLCQLGK EVARENYTHFGRLVAGLSLVLFGLRYLFELLWFRKEEEHPIRVPGHCVERMRETAQQE LAAATPEGAEVPFLSEPDVVSAWWVKTMVTALNPAPSRTVMVMSPFNVWGLFKESFPA GAKGFIGNAFFNSYTVHKAGEVLEDNNLAHLACKNRQALVEHRTKEQVQAMTAIQRQS FMQFPPLVGDSNLLFMTHTNQHKARYFETDFSAAVVATGVPLTERPHALGRPSYINDI EHCRLYPTRNVCRVIGKDAAGDWWLLFKTRSEAWPSIHRQLATLLGIDPAEARDVPNA VDQVAITHNGSSPAKMYSEARGMLLQIRLLWRLLV AFLA_125780 MSDEEILLGEIPPIASTPCAADIIECQIRTEPSTANLFSVYRYA NAPWLCVFLISVICSVVAGAAMPLVTIVFGALAREFINGEENTPDDVRDRVKHLTLLL LYIAIGSFIATMVSTLGLNVVGEHITRRLQRRYLCSVLQQNMAYFDVVGTGELTSRID KDMKLIQTGISQKLGNIISGVSGFIVAIICAFIQNPRFASIMISQPVAMILLVGLMGF WLSVTQQRGLPHSVKLENLAQEVLNAMRSVIAYRSQERYARKYHDTLLQPAALDFRER LIFGVIVAGSFTILHWANGLGFWQADRLFRQGYCTVSEALSILYATVVAGGMLCQALP YVVDVTKASQAANRVFSVIERVSPINSMADTGRIYGPVRGEICFDDVEFAYPSRPERT ILRDISFTVPAGHTVALVGPSGSGKSTIFGLLTRLYNSIGGGIMLDNEPIEEMNIDWL RSQIGYVSQDVNLFRASIHENIAHGLPKHTIECPLDPHQVSSFILEQALDASAVNDLV IEAAKTAQIHSFITNLPDGYDTVIGSNGSSLSGGQRQRLAIARAIVSQPSILLLDEAT SALDSQGEKEIQEALSKAAYGRTTMIIAHRLSTVQNADAIIVMKDGQILNYGTHSELM VTSALYRDLVEQQALRQNNAVGHSLSPAKSIETKSSNITVNHDTAKRPQDAPGLSPSP ESSIKQVWLLNKPELPYVIAGIIFSALAGMTYPVQAIFFGNGIISIISPGASTGGHSV HFWARLYLIHGIVVFIVYSVRGYCFAVSASQLHLRARSHLFRALLLKKLAFFEDKDHS AGAMVSFLSSGTPKITGVSGTSLGLAVESIVMLTTGITVGCIFGWKLGVAAMAIVPLV AMSSFLQCYIEAQVQKHVKRETNAVAIAHEAFSAIKTVTTLNLQTNIIESFHRESHQD KKSGYWVISAALHACTTSLRILSISFVFWYGGTRLIATGEYTIQQFFICFAATVWGSQ SAATLFAHAPDIAGAHAAAARLAELLRPDDSPSQFPVKSQKDSSTSTLVPNTMEDLAM QQISFRYPTRPSQLTLNDITFNARSGSFIALVGATGSGKSSVVNLIERFYAAESGQIT LGHNSIERYDLNHYRGYFALVDQNPSLIGEDLRECLQSDERVVLDEEILIALEDVGLA DFVLSLPEGLSTPVMANGSTLSGGQRQRMAIAKALLWGPKIFLLDEATSALDSASEAL VQEALQRAKKGRTVIAIAHRLKTIVDADEILVLDHGHIIERGRHEELMKLGGKYWQMA KLQQLHGEVGR AFLA_125790 MAKIPALLRLCAPHTGSSSSKVSVTIHIAGAGDKQPKVFTTSDK IEGVVTITVVEKTSFDDIKITLEGISKVMTWGGINGPPLVGARQTFMKLHYPIEKSTY QLPSILEPGWCYKFSFTFVVPENLTLTPCEGKTDDAGIKNAHTRLPPSMSKETDTECF SIKYIARSTLFDP AFLA_125800 MLQVSPSLIAATLDRTGIVSIETQELAEEDEVDLTSPNDRQSEQ ANVDATTGYSSQYETDPNTPSVTLPTPSVVSDEIGEDNMLRSRPRPNLWSVSDFPLET TPRPIYNPAPLDIEYRSILDNVVSAARRFIFPTRDSIDTSPLAEFQNLRVREQSDNTP NLRSLEKLERDKRIGAAGELFMQTFSEDESESSEPDAIYIIFRVFNIGTDSVSMRVYV DPERMRRRRHLVFTAETWSIVPGSSEA AFLA_125810 MATSTQQQWVLEGSNGFDSLVLQEAPVPKVGDKDVLVRFHAASL NYRDLLIVKGQYPFPVKDSVVPLGDGAGVVQAIGPQVTRFQVGDRVIPIFHQGHLAGS LDAKSIKTGLGSSLDGTLRPYGAFDEERLVKLPGNLSFIEGATLPCAALTAWSALYGL QGKAVAQGDTVLTQGTGGVSLFALQFAKASGAKVIATTSSKEKADLLKQLGADEVINY KEFPEWGEVVRGLTPNNEGVTHIVEVAGPATMKESLKAIKIDGVISMVGFVAGSPAVD QPSLMDTVFHVCTVRGIAVGSRIQFEEMNRAIEANDIHPVVDNRIFKFGEVREAYQYL SDQKHVGKVCIEIQT AFLA_125820 MSSGSTNNMLRVSIESQKFPGSYLRMDGRGVTEYSGSGGGAVNV QNHVASYETLIIVNHPDDNTFSIMSSAFPNVYLRMDGSDIKSGDTYAQGAGKVNCQWG SHSWEKFRFENQEDGTKAIASVHFPNAYLRLENVTGQGGPSGAGTVNCQSYIGSYEKF KIHVV AFLA_125830 MSVGRKPSVSLSPNSLSTPTPSFNPGPVGLSFVNSDIDLLPEDL EHGLWPYQEGLESHTLLHSPSMQSYISLDDLSMTPHTTTGREMDFDSNVSADVDLRSS QSALGSEIYPEFQAQDIASENLSWYRQGNIVSHDFGTYQNDPHLNGHLNGRLIQLDPA MQELLRVENHIVDRRMGRSTKKARRNLRCTWKGCKYKKQFNRSAELERHVRTIHLFPY SYQCTYGGCLKRFNRKDNLKEHMIRRHNVSF AFLA_125840 MSDASVSTSSSSFYDVSSNGLGHNHTIPCPMHNDLGFPVHDVNM EPLPPNSAVIPGSPFYYPPWQLGVPLHDGSIVSRPIVSYDQEMRPNLHMPTGFQSDQI ATAGYSNGHLPSNTPLTLPTLPVEPQSMRVQQETRANNNRESRRNQQLAQRCRWEGCT STRCFNREADLLRHVRYIHIAPQSYQCDVNGCLKSFNRGDNLKDHKLKVHGWDS AFLA_125850 MDCQDVIPSAFVPRRLKVVVIGAGISGIQFAHDITTRMSDIDLE IYEKNPKVGGTWYENRYPGCACDVPAHGYQYSWAPNPCWSKTYAPAHEIHAYLESVVD KHDLRKFFQFNQRCVSAVWSEERAQWTTTFRDERSDEEAVVRSDVLIYAVGRLNDYQT PSFEGRDKFKGQVFHTARWPEDVDVRGKRIAVLGNGASGIQCVAGIRDEAGEVLNFAA HPTWLGPEPFIENREYDEQEKLKFRRNPQAYHDFRMDIEKVMLPAFAFLWKDTSPSKS LRSRAESYVESKVEDPELQQKLTPDYTPGCRRWTPGEQYLTAVQQPHVHLIEDHVATL TENGIRTDKGDEYECDMVVCATGFSLYNPRVPVVGRNGNTLSDCWGSDGPCESYLAAM VAHFPNFFGLFSACGTWEAFHPPNCPINGSAFPGIERTADYMIRVIHRLQTDCLRSVS VRPEAQRDFNKWVQSQMSSMVWSDSCNSWYKNKDGKIIVPWPGTTTHYYAATEIIRWE DFDLMFKDPAQRYMSFGNGVTKDGFKPESIPWVQPPEYLN AFLA_125860 MTIPDIPTRQRAAVRQGTGESATTTIENIDVPQPGPGQILVKIN WTGLCGSDKSLLHDDWKDFGVNMLPQSQGIAGHEGAGVVVAVGEGMQKRWKVGDRAGI KWIASTCGECEFCLNGVDEVHCEKQINSGFSAPGTFQEYCLVDGRYTSKIPDGVSDEE AGPIMCGGVTAYTACKRSAVKSGQWLVLPGAGGGLGHLAIQYARAMGMRVLAIDGGDE KRDLCEKLGAEAYIDFQKFKAPADLKDEVMRITKHGAHGVVVTAASKTVYEWAPMYLR PGGTMVVVGLPNDPSILAGAPPLVLALRRLNIVGNITGSLRDVEEALDFTARGIVHPI LSKGKLEDLDSWIEKLKAGQVAGRAVLQVAA AFLA_125870 MSPTQESATPVVQGRLIGHFHDRPLEEHGSGWSSLWDSNESDLW DRGKPSPALIDLIEQEKDAAIFRPLKPDGQRKKALVPGCGRGYDVIMLALHGFDAYGL EISATGVSTAKKYAASEMQRPQEYNFGLGWAGPVTPGNASFVEGDFFKPGWERQISAN GDIKFDLVYDYTVTASQKSLLGNNCQDETDEGSSSVLCTRKCVRSGQRA AFLA_125880 MRVDIAAGECKKATQILESFLTAPYFGNPGKELPGKVLVNAKGL AICTVAKAGFLGSARFGSGLVIARLDDGSWSAPSAISLTGAGFGGQVGFELTDFVFIL DDAGLRSFLRMGSLTLGANISIAFGPVGRNAEFTSNATMEGISTMYAYSKTKGLFGGI SIEGGLMVERRHANKKLYKSKVSASQLLGGEIPPPLDATPLLQLLQSSSFQQRGAIPH SQPTTREPTTRGLNPVPQGPELPTGPENQSPAELSPEEVQPTARHFPAELHGESSLSL PSELPAETIINIPDEPTATPVSAEERPQTRTPASTGVESKPHGTSTPETAPLEIRSEP TTDTPAELKRPIVVEPEVRAPSQTV AFLA_125890 MGRVRTKTVKRSAKVIIERYYPKLTLDFEVNKKLCDEVAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFSQHSETGKL DVDQDTKDLLKSIGFDSIPTNVIQVSQQQVSDRPRRFVR AFLA_125900 MVVGAFLRHRLADDRHYNGETWDDNLQTDQWNIMKRLELASTSV FFSFSNFSCIPAIKMRFFQTLVALPLIAGAVASPLDARATLENCTPEGKQTIDNALSQ AAKMAQAGANLIRSNSDYSANLFQSFFKTNDAQSRNRVAGVLDKIATEATNGNQGVVT YYCTPEGIDCVDTHAFTMTAYGETDGTYGRIRTCPAYFTKFPAWSDSCSVLDQATSSL HEMAHTKGIFGPETYGYDAVHGLSSSAALENAESYAFFSKCKVFQGFHE AFLA_125910 MEKYQKIEKIGEGTYGVVYKARELAHPNRIVALKKVRLETDDEG VPSTTIREISLLKEMNHPNIVRLFNIHTEGYKLYLVFEHLDSDLKKYMDALPVNDGGR GRSLPNGLSMDMGLGEAMIKKFMSQLIEGIYFCHSRRVLHRDLKPQNLLINRDGSLKL ADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGPQYSTSVDMWSCGAIFAEMCTRKP LFPGDSEIDQIFKIFRLLGTPDEDSWPGVTSFPDYKPSFPKWKRDNDEHLIPGLERHG LRLLDALLEFDPARRMSAKQARSHPYFRHRSS AFLA_125920 MSPNKSYKTGEAFNTALGAGLRSTWAGADAIHGAVNAGVKIQGA VAEHKWTKARVNEQLQRAQHPDGKPRVDHSAQYQAANPTLSNLGNDKNLYNRVNGPRD WITWRILLTCLILWYLGHN AFLA_125930 MPAFTLSNPEQTHALGNASTHSLAWAAAIDNPREDNTGPSKLQD FLSQKAL AFLA_125940 MNNTALYADENGELCGRRDLPIPQPIEGEILIQVLFTGVNRSDI TSVKLLGSRNRVLGNDFCGRVLDVSGLGDTAFEPGDIVAGYTIANHDRPLRYGSHQSY ISIPPKNIFKVPENVPPADAAGLMTVVQTANDALLNLHLPLPSMTQGPTDGVFVIAGG ATTVGISTIQLARSIGIKSIIVTASPGRHELLESLGATRCFDYRKDSVVQDIKAAVEE VGLGPVFGLDAAATPDAAKILLDALADRDDTHLASLSPWAGDRFEFVLGGRDYDVIFH PPGAPEPFVSLARPADAAKMWDSLMWVLQHYGKEFKLPVIEVFEGPAEEALEELEKVA DQGKFGKLVLKHPLI AFLA_125950 MRFTTVFAMLAAAMGVVAEEAINIKICNGINEAGDCITANVYIQ HQCYNLNGTPVSNNVRSFTIPSGYRCRFWSSTACNGGGTGDVQSPGSNQNGHPQVNSV KCYAN AFLA_125960 MSWISGPDNASWQPLGSRWGLGYADLGDLNRAFWNKLDLTLGDD HMTASYAMDKLNVSVTRWIDTSSKSFQECYSFLNTGDDALNLSDVGSESLGIYLPFND HYTSTEDVLEYRAHAHIWASGESSSWVKLTRMGLRGPHLGLVLTEGALSGYSVESRNT VTLSNTRGFFLIHPKVPSLQSKETSQICWTMFWHDDWQDFFEQSLKRSDHFIHIEASP WTAVEGDTVNLTVFGRPGDSISFNGVDLPLHRETGLYSTSVQADKAGERQLTFTLRKG DRENNATVVLNTVQDIDQIIANRVKFITTKQQLNASFPDRSKAGAYAVYDNQMEGIAS FETASDRNTGRERVGMGVLVARWLLDHSDVDVEKSLRIYYNYVNNKLQDSSGYVYDWP IGSKIASLRLYDWSWVMQLHLAMAKLGNEAVTSHGNYTATPVERLMSTMERFYTEGGE THYSIGLPIFESLTFLKAYGYRDAYQRALELFTAHGKQIANIGQNYPSFEVNYEQSIV APAAIILLELYRSTGAKPWLEAAHAHFDRLEAFGGRQPDYHLQDIAIRHWDGYWFGKD RMWGDTFPHYWSTLTGIAMHHYANATEDKTYKTRAEGILRANLALFTEEGRGHCAFIY PTTVDGRKGHYLDPYANDQDWALAHILQVRENH AFLA_125970 MLLHLLFGLSVAVGLSAAERGLPFDFPFSQTPTRFNIRVDSELV DFAKSRAASYRPSYGISDEWTKEGPPAASMAELSTFWAEHYNWSEVEDRMNKRDHFSV VIPGAAGYTGDIPIHFVHHRSMNDSAIPLLLLHGWSSTHLEWDKIIDPLAQFFHLVTP DLPGYGFSPAPTESGMDARTMGAAYDVLMKELGYGTYGVVGTDVGYFVSSWMMSDVPD SIIGHFLDFMLVPPTQDDIDRYSGNQTTPEENAYLGSFTAFESDHSVYSAVQAQKPLA LSLSMGDSPVGFAGWLWDLKYAGSDGYQYTMEELITEAFTLWIQAPYGAMRSYLETLI VSLGHTTSGSKSWNK AFLA_125980 MPAERRWDQREYRIVESASHNNNARTVIIETTTPGTSVPPHYHS RFSETFELINGSVSVHTGPADTSELAFKSTLTPLEPGKPMTVPLGWYHQFIVGNETKP GDIGFENMLKVLDGLKRDGELDQLEEDDVFLAVLMDLTDAHPLWKVGEMLINVRKERS EAIEQMKEALLKKYDTPEALARLMAD AFLA_125990 MASTTNVLISGASRGLGKAFVEQYLARPNHTVIGTVRDVKSAAA EELRALPAAEGSKVVLVKVEYTSTTDAVDAVKELEAQGITKLDIVIANAGIAGQQGRI ETIDPKGLAEVYLVNAVGPAVLFLALKPLLDRAQTPKWLAISSGLASLHDLHKYPMFP GFPYNGSKAALNHFTKTIHVESPKIIAFAVAPGFFETDMGRKTAAFFNFENPPFSDIN TNIKSIIGLTPKKQPGQVAGEKTAIMICDSLLVKMARICKIKALAARSIMRLFNFMRF NRPSCLCAVKLIFKLPTSMASRKPLQVENWYIRP AFLA_126000 MILSLYFGLATITASLASPVSQPLPTAIIDSGVIVGTTTCLPSS TAVVRQYLGVPFGAPPVRFSPPEPVARWSTFYNATQWGTACIQQIGHSGKELFGMLDL PPPANGEGEDCLNLNVFTPEAASAGSKAVLVWFYGGSYMNGATSVNLYDGTSFAANQD VVVVTVNYRTNFFGFPGGDVPATERNLGFLDQRLALDWVQRNIAGLGGDPSKVTIFGE SAGGGSVDALITAPPDPVPFRAAIMQSGQSSISMPLNGGTEKYAESWKKLAEFAKCPS DNTLECLRKAPALELKQFAENASLSFGPVPDGGVTLSATPRLDRLHSSEGNSSIARVP ILIGNNADEPKPYIRGVNDTKAYLETLGLGEYVDMILEVYPLGQPGAHNENDRLSLIA TDLLMHCPSKVLADDSSKIGIPSYRYFFNASFPNNEAFPGSGAFHAAEIEFVFGTYPK ENATQFEHEVSQAIQKAWADFAKDPSQGPGWGKGPMIGVFGDGVKAGMSDVGKKALVA VDSTIMDRRCELYKPLYDQLVLSSSS AFLA_126010 MPDEDDSALRHLLQTRGMEDVQSVLKRSESDNKKVPDRKGNQNQ TPDSEGEHPFIQDLNPDLIDPNLFLMSFPEAIKDIPQGPTQPEVAPTLPAASDDSLFQ DCSDDTFVEINTESPTGAQCRVNDSPSRTKGSSNENGARESIAHPRPDTTRLPLSIQQ LLSNEPRCQHDTSTKDDNPSDSEGVEELVTQLSDKMGSLQIGSDGHVRYYGPTSHFNL LRMPTPDNLTIHRTVRQDGPDVLDRLGVNKEIPAGFEEHLINLYFTWHNPLFQVVDRE MYEPARQQWRTKMEETPYYSEALTNAMCCLGAAFEPRYHPDFITYPRSVSDFFADRAK ALLEIELDSPTLATVQAMVVLSAHDVGCKRNSRGWLYSGMAMRLAFDLGLHIDTAHYV TEGSINAAEAELRRSVFWGTYTVDHLWGFFLGRPVRINMEDVTVDKPGRHQTREQDRK WVPYGLPSPPLACLAAPVPDPVDLLSQHRIQLCEIMTPLGHVLYGCSRVSKRILQGLN KNTTDQLLKWKANLPEVLQIDLDNTDAPVLPHILLLHMQYHQTIIHAHRPWISKRYIQ PQPPQGPGHVHARKMCIESAIAIAQLLHLYETQYTFRRMNVQAVSITCSAALMLIFAT IASLKREGDQEISAYLSICFRALEEFGVSWESAKRAQNFLISLQRRWESRVRSYTSAK RAVSQSQSRSQSCFPTSKKPRISSESDPGSSESGVLVGEEDVRAFDPVGSGFPIDPDM MVELDWLCTETMRDMSP AFLA_126020 MESTNKPMAVYQKDVESINADAQSLLERYSGLSPAEVIPHVLSL RDEAFKVYHYPCIGQMRFLAFNFRRMPFYHQVVDRLRADPSATFLDAGCCFGQEIRYL VDQGIPGKQLYGCDLEQIFIDLGYKLFRDKDRLEATFVTGDLTADDPAFAGSPLSQTL SGNIDIIFASSLLHLWDYDTQVRAAIRLVQLCRDKPGVMIIGRQLGSRLGGHYPIHGV EDNALHYRHNVESLKGFWRDVEIATQTRWKLEATFFVDDAVEQTRKVVPDYDSNATMI CWCVTRE AFLA_126030 MATTTTTTTTTTLTVKMWANRDPSTTTTLSFKLAEIDQSLRREC IQLGNVIKGIDDLDNLIFNPQAWTSQEGLGIEPMSLQLHNYSNTWEKGAWNHDTFIGL VEWGVARIKWCYDIETLKITSFVPSPTFLAQLNEQPCVVNAIKRSLSRCVYVITGVAE ASGTNVSVCRFKRCGIIIRSRPFSLFVGQGITQSMSRSLGGPRPIPVGYSLHRLTLGK GKLMAEPVTASSFPKKLLSFDIDFPLSISDQSS AFLA_126040 MSNMTTLQPREGFKLFYYDPSLAAAVIFIICFLATTILHTYQLF RTRTWFFIPFLLGGYFEWIGYVARAVACNQTPNWTLGPYIVQAVLTLVAPALFAASIY MELGRMIVVLGAEKHSMIRIKWMTKIFVAGDVLSFLMQSAGAGMMGVKSKISENGPHI IVGGLVVQIIFFGFFMISSAVFHMRMNRDPVADGGAGFNWRRLLYALYGASALILIRS IFRLIEYAQGNGGYLVAHEWFMYIFDALLMFGTMLIFHVEHPSELNAWLRGSGVVCRG IIRFERIGGSGMMMS AFLA_126050 MPSRRSHTKSHHGCTQCKQRRIKCDEARPSCGSCQKKRIVCAFL SQEPLPINPLQQYAPQPSSPSPALHSAPTIPLLDLELLHHWHTTTAASLAHSKSIQDL FRITVPDVALSYPFLMHSLLAVSALHIGHKCPPECRRKYTEAAIRHNDLSLSLCTPLL SNVTAENCHALFAFSCLVVIFAYAAEHAASSLDTLDEGDVVKVFKLVRGAGFQLEGAS SNNSVYYLDSYLNRDPRKNGESADGFACKEGSGEGNVLRGARLWNNVDDGLDLWYVVI GGALWSSYANLPREFKSAVTIEDTISYGNGYNRWGFSPFEGDGNGYKLGGGDDADIGP ANHVITNCIAFGNSKDGFTDNSQPGDFTLTRNTAWNNAKVGFKFGTAVATLSKNIAAE NGESPTSLSEEQISTGNSWDGSESWGNSSFVSVDATLVQGARNADGTIDPSDFLLPKS GEEIGATTAW AFLA_126060 MTKTSEKKSWILNAFAMFSPGHLSPGLWKNPQDRAGDFLDLSYW IELAKVLEKGKFHGLFLADHLGIYDVYKGPGNKEPALLSGAQFPIGDPFLLISAMASV TTSLSFGITASTTYETSPYALARKFSTLDHLTQGRVGWNIVTSFLDSAAKAYGMDEQI PHDERYARADEYMELTYKLWEGTWRDGAVVKDPKTGVYSDPNQVRAIEHNGKYFKSTA ASQLPASKQRTPLLFQAGASSAGKRFAAKHSEVMFLPGLEPEKTKAVVDDMRKHLAEI GRPTDSIKFIAGILVIVDETDEKAQAKYEEYLAQSDLEGVATLFGGWTNNDLSKFDDD EDFSFTAVGGIQSLISSWSKTVPNSNGLKWTKRRVLQELALGGAHPRAIGSPSTVADI LQRWVDVADVDGFNFSYAVSPGTFEDMIEYLFPELRRRGVIWDDYEVKGGSARENYFQ DGLGSRLREGHPGREYTWN AFLA_126070 MQLTKAILALALVVSPILAAPTEVGEGSVNTNVEARAVDTVTCT PDQNQSGVKFEVDVKAAKALAQKIGWTTDSTKSDYPHPFGDKEKLWAHIPLEANKCNS KTRMLEYPVYWTKSKVKEWDPSKKKKEQQKTPIRIVYSNLNGALHYCGTMIHKTVAKD FGGSGDFKLCQ AFLA_126080 MALDSKSFQPLDIKLSGPHDDEEDIFFKNLLLSLVGGEITPNEA ANNLDKWIVEKSNTDLEERKKYPDPWNVPSPENPSWVAPNPSGLITCFFESFARLCSA FPPGHVGQDRLIRFLEALRDMPKHEVPNYLPNDPPEDFYYLLELWPFGGSWLGLTEVF RTEAEGTFFPFTGSFH AFLA_126090 MSREALTFPPSNRTFLEPHLPPNNEPELPKSNLPFTTLTFATSL DSSLALAPGTRTTLSGPQSKAMTHYLRSRHDAILIGVGTAVADNPGLNCRIEGVGGYG GEGLLGQPRPIVIDPRARWDFTEQSKILDLVQEGKGRAPFIITSTGTEPAAEKKALLE SYGGKFIPLDLFLEEHGERILDWTAVLECLRNEGLRSVMIEGGGTVINSLLEPRWAHL VNSVIVTIAPTWLGQGGVVVSPRRRVEGGAVVPAARLRDVKWYPFGEDVVLCGRV AFLA_126100 MRASESDIIPVVSVGYGRPIGVEFLPPLFEGTPYRAVSFLDLVS SREPFRYSAHNMGVVLETLHPRPKAFVVGIAIDPSYCEEMEKVWNSYVENVLKPESEN GQWENNAFVPLPRTHFVDPKQVTRPPADLGWEAEMFRQLDSVFRPEEVDKGNHKVVPE N AFLA_126110 MEISPDLAVINRRRLRLYPRRVTGLISRMDMLTQPNFPPSSGHA LDVAFHSVEFEKDVAKPLARREQTSSSQGREETHMLSNREHSDHSTYGHADDYCLTPS MAWLDISSPPK AFLA_126120 MTKDTHSPRLVESTKFDSATDPMERPPRNDVTDKEQWAARVIQR TYRGYRTRRELQGCGISATTRWVEAVKEAEWRLLHRPSAPEATVENNSSAHARRNWQR AVSVAKRAGGDDDLDQEPVSPTRNATRQSSGNLASQPAQLDLPPGTTAKMMDLQYFLE LVDLKHRHGSNLRVYHSYWKNSTTAQNFFFWLDYGEGKDLDLPQCPRDKLERQQVRYL SREERMNYLVRVDEAGLFRWAKNNERVWTDNRRFKDSLKGVVHIDEDAPQFQGNTEAG DPDSFLTSSSSSVSSLSSSDYDSDSDMCVREAKETYVNEDYKAVKKLKKVVHVSPSTI LSSIRGKSLKKEDMWIFRVQVADTSFRLYIGIKQSGAFQHSSFLRGARISAAGLIKVR NGQLRSLAPLSGHYRPPADNFRAFIHSLQDRGVDMSHVSISKSYAVLAGIEGYTRTKH KVRALHEKVDDVKQKVLQNHHNGEKDQDHARCQNNDHSKPRPLVGDKRDKIEKGHLGL PLRTKPANEVE AFLA_126130 MSSFKSEDYKVGAYIGYRMEELGVRDYFVVPGDTNLTLLDSLLE NPRLRMVECCNELNTGYAADGYARASECKTAVAVVPYIVGGLSILNAISGACSERLKV IVISGCPPTSVLTSSKPTHHTPSPTNKDVALHAFQGVTAASVRVNTAESATEVIDNAI IKCIQQSLPVYIELPNDIAGAPCPFPIPFTRKVEEATQLNRNGEALEAVTDLWNSSHR PVLLLGSQARVSLPRDIVQRLAEKLGCPVLCQPDGRWLSESHPQYWCTFWPGLLNPEG EKLVLDSDLWLAIGVSWSDLHTHSIDPKEENYRLIALQHDGVELPEDKVISPVNLREL VSAMIDSNIIRRSDSLPSSKPSHPAGIQTEIKTSDDALTVRSLLSGVQAMLQENSKLV VDTGDTWFAASHVELPDGVDIYMQLPYASIGWSVPATLGAQVAHPHGRVILMVGDGAF QMTAQEISTMVRMKLNPIIFLFNNLGYKIETAVHEGSYNYIANWDYTKLATSFLDKPH AQPPSPYATDKPGDDEMPVFAEKVRTQADLLRAMKRVSAESDKLAFLECCIQPDNMTP ELRALGEKVSKGVSK AFLA_126140 MSDVQDSDCEDIHCPPGRDYDTFMQETAGIRQLYEAGVPFFTKE QLQDLSRQLKQAETSDKPEILIKGLEGTEETFEVKTGVTRAGSEPGTEWVLKAPAIEY RTFGFLTSYRAYQMDGYSDLSLRVLHYMELRDEVTKELLPGFRYAVDSVEIITNSFTS CIQAWEASESYAQLQEIVESRENFPPITKIVALALGSMQPRSLDNWDHRSEYQHALAL TLRDIVGKRQGETSGNVQCYVQDPAYTEVDKSILKTYDITILEDPDAFVEIDGSTIVL TFAPDVPVRQIVADIARPAMMIWNTCEEERWVHNGREQFMIDLLSDEEKFGEVAIFIR RE AFLA_126150 MLQAEFLPGVVTHRTKDPSSLERKLRQRERLRKRLYPSKEDVQD EISDLAGVRIAVCFPQDRERVQDALSQRFDVELKKSYGEVNTNSIARDTFSNIHQRPG YCATHCWVYLREGEPQARDGSRRRRVEIQIVSMLRHAWAQFEHDAVYKAQSKMNLEDR QLLHSLSCAIHRGEWLLNCMSENEAVRHISTDLLFEMVYEVGCLVADVAKQESRARGT TKPLEEFLRNLKMTRPKRSSGPMAKARL AFLA_126160 MRYPCDTPLLEPTTFGSTATGCTNKVTPLGQWRKWAVPAEIISQ GRCILSHSWVIY AFLA_126170 MDKLVSKLSGGSHSKDKEGGSSNDRDYVDKGLDSIEKKFGGGRI NPDDPKVRQTNEKFTDGARSKFESMTGKHIPEKFSN AFLA_126180 MVVPMISEVYWGSLDAKPDRVHNYEVGLSIITLAGALVGQVLFG IAADIWGRRKMYGLELVVLIFSTLGMSMASSGKFDSMSIIGVLLFWRFFMGLGVGADY PLSAVICSELAPTRIRGRMLAAVFLCQSLGEAAAAVVALIAVAGFRHSLPNDPEIREC TGSCVQNLDSIWRLIVGLGAVPAFIAIWFRLTIIESPRYTTDVLQNSLQAAADVSQFY HSVELENASSPPLHFWRDLRSFLKQKRNLRTLVATSLCWFCLDLPFYGLGLMNVDIIN TIWYGNHIPSAGVYESLLRVSYQSIVVVSSGAIVGSCIAVLTIDRIGRRNLQLLGFCL LFILNVIIGAAFRYLSTHGDSSALVVPAELFPTRFRATCHGISAASGKLGSILAQCFL GYVDFGNGATWRNVPDWLGYALLCLSFFMLMGLIATLWIPETRDKEGNNKSLERITED MQAKDVPSVDEEDNGSIHTQATTSLPGPDWRSD AFLA_126190 MAQVGIGDIITGVKFVWTIYESVRDGPSGAAGDFNSFRQEFRSI KLLLERIQRVKESKSTDVPDLGAFYNETIQECAKFVNKHKLLAQDKPSTGNSRGGSIG KKVSVWFEKVTWPLERSEAERLRHKLERCLKVATLKSTEETRDATLGLIRTAENNQLE NLEMLKSIKTMTAQISLLLRRCIIDGPIDTEAYDTHYPSQRGHRLRPAGLKPENILSG IPEDGEMASVDSQGYQKVLDRIREISERLGNLTRRLDTHSNHGQTVDPPRSISRKYML NPDGTGAETPTVDAMVRFLHQVSDDVRDALETVGYGHELVPKYDASHRHQALVKTGQS INDTAEEWEQFRHWLDFQLVHAFNTNSIDMKPLHPSQPLLLDLTPSPECLSPSISITR TRSVESDLSIGSPQSTISIPIPDRKVPLTNHPVQVEFPDPNIPNRALFRTLICTVVAC LNIQTHEPEAIEAVDINGGVKVTQTVIRGSTTVKSSMLPYIPSSRVPPSSSASCSIWF QGSHKVKIEEQQSVIRYSISPIYKCRDRSGKSYQIEYSYRNELRGCVPVDFENFQRVL LRRKVVACFDVRKISVGSDYYSNSEETVRVLEDPITKAHSLLFFASFPGTSRRARFVD MPGSNPRSKYLELEFYEEKGVRWSGKGITLQEDLVDAVMTRDGTESFFKPSMPQDYEK GNLMEIENLVGEPLREGEVLGVSMSTLHLIYNLVKGFASQGYGGERLVGS AFLA_126200 MGCFGLRKLVRKKSSLENNNHRVYTVVHRWEAVNPPVHEKEPTK EKAQNVVDDAPSEEILARDESLWNRAYQQLDQGIVNRHEDLLAKQREKINDPSKDENN EKHETNRQEDLDIILASKNEINEKHETNREEDFDIIIENNLEDAQQQRTSDTLHEENL RETASSIEDLEKALQQDAETISNEISGEHQNQFEESTRHNIESTQKLPPLGQRHLEVN IEALNILRQFYEKGLSDDECKCRQLFWLASNDMDSSYESYKSGVPDRLDGTGEWLLEQ PHLHQWLEDDKGLLIVSADSGCGKSVLAKHLIDNVLPESCPSATICYFFFKDQVQNTQ KQALCALLHQLFTSKPALITLAMKSFSENGDNLVDMLPALWDILARVLKDPDMGRTVF VLDALDECKESELTGLADKIKSIQKDAESSTRFFLTSRAYGNIMWEFRELIDESPHIH IPGESQSDKFTQEVDIVIKHRVGLLARKKHLNATIQSHLEERLMQMDHRTYLWVQLVF DYLGKHSFRKVKDGIDDVSNLSDQLPTSVNDAYVKALSKSEDIHMARKAFGVVLAATR PLTLTEMNIALHLDLERDELQLESDKDFQVSLRKLCGSLLTVNDKKVVFVHQTAREFL VQENGFSLGSQRGPIDMKEAHRVLAVSCITYINKFMPRVVQTHSADSTSLDLNRAFSN YSAKNWLHHLEAAGLRDGEGLEPYLARICDPKSDAYASWSALTYLVENLPVNKRDERN ALWMAVSFSMTSVVRRLFQHEESKPWRVIGLAVE AFLA_126210 MDGWSALGAAAAIAQFIDFGIRLVSTTVKNHKTPREVSELQGHK LIVERLQALVGRLTDESSSTGLGTAENDLAIKAICDECKRTGGEMLAVVERIYGDRST GVVTQLKGHGNRRKWKSFRQALLQVWNEDKVVELSNRLHILRQDLTVHLLAALSTQAD QRAQEAGQMHLIGQEFLQTLAEPEKWQEYLINALQQKGVEKRLPPPDMERGGATHHYE DSIISVMKSLQYPGVMDRETTISQAHEDTLKWIFRDPEPQGFQWASLVDWLESNTSPN LYWVTGKAGSGKSTLIKYIHRHELTMRALGKWSPSQPVVTPVFYFWNSGRPMQMSLLG LLQTLLLGVLRAKPEYIPLVSRSRWEAHRLFAMTMPPWTQEEVLEALIVAIRHCAQSS KLCLFIDGLDEFEGKPEDLIALVKSFLQPNVKVCAASRPWPVFRDAFGRDPGLILEHL NLPDISLYVTNHLNNNAGFLELKAHEPKHAESLAHEITLRSSGVFLWVSLVVHELLQG LSRGDRLSDMQRTLEELPSELERLFEVMITSLGLLARKRAAEMFRIVGAARGPLPLLV FGDADEENPKYALEFEPRVRSQDQRLSRCLGIERRLNSYCKGLLEVKMLWISKDCTEG KPIKVNDAQQMLSLLQDPEDIAGYVDGICNSRVEYLHRTVRDYLARPNVKTQLDEWSA SAFHPDLSLASAFLISLKTIDPITVTRAGFWWPINRCLAYAARFEKGTASSPDSLLNE LDRVACNLSLSRTGDNQTVWRSIAKVPKRNTVPPWPSTLAPIGNIEERSFLGLALQCN LYGYVQTTLQNRPLTELEITGWPLLLSAFTGYNTSGADIPMFEKQQPPASLLQMLLRN GADPRASSVANLTAWQFVLRECHCASGTNAASPGSLPYWVDVLLVFAEWSADSRQPIP IDAWAVIQRYWPDIAKVRRAKIRKCRPPGIIRWLSSLHQAGIS AFLA_126220 MAEVWERSLSPERIAYLAQSRIPEIIFCNTALLAIATAGLLLNS SVTKYGFGKHIGTVQDFGDRAMFLKLDFVTMLSYVLALGAIKISFCLLYLHIFPGKKF RMACWWLLAILVAETIEEVLVVIFQCWPVHKAWDATGLVEGKCVDMTLFYYANFGIKL ATDVALFAMPIPKVLRLKMEVGKRVGLVMMFSLGLLVCVTSIIRVTYFNPFSVDHTWV LVDAMNWSCVEVAVAIFIACIPSFKTFISYRSPTLQRLLGFASKDDFTSPSRMYGSST RRTYNGFSTGGRSSIKLKPVTGHSRADVEASHNGSQERIIHAGIQVTTDVSVKETV AFLA_126230 MVAINYSVLFTIQTVLFGGPGANVETDTGISSLANPGTYADLKC TVNDKPVTIGTDAVNYVHHHFPHPGNDDDPRRYPHQFFNKDEITWGNERCNKPEGGEQ PVLLEFPILLDKSQKKAIQELYNYKQLKPRPEPGPCRIVTRKKGDDLCGVMCHIEYGK KDAKPGFKRCT AFLA_126240 MMLIFVALCYFACTVSAVKFYGDTPSVAIYTTAMNRLAEPVEDN LSIGSVFVTSDLASKNGSDYLADNGIFDTTLVDFVTQRKGLYAAPVSSTGLDVRAPKD QLNCENNPVVDKISPANQKHICNAVKSLVGGGVAAVSALIDNTVCSERSTGQPVKCHT IVAFIGTAGVTMTTSEVGDYCSEYLSANDKKCGSQGVTGDTGNKRAYVAVVNTQADDT SCSGLRGKCTEIPV AFLA_126250 MASRPVTRQQTRRSPSTVACEACRKLKMRCVRPSRPEDSSEPCD RCRRNGRTCSIPPSQPLGRRPGANAEKEVFDLLLSKMQSNEGQSHRPTNGKAANVQAA SSNPVVTESTTIKQAGITSHVGHDPVSNPLALLVAASGAIQSESVSNTPISTVESTDE GPTPYLTSIGNLNERSTYVSLGLQLSSESLQSGLDALFAAPTSNPKCTDYFRPPDDGP PRDVSPDLDPVELGLISMEEAYHLFPIYFIRLHPVNGILDPKLHTVDYVRSQSALLFT WMLALTAQFDHGSAAIAKRLRLHGEKLSRHVHASGLKSVEIVQGYYISLLSATPARTL SEERSWLYTMYAFGVAAELGLDQASPTYTYNNDGKIIPKRTPGPPTRHDIEDQTRRER LTRNRERTWLRILLWERANSGASGRMNAIPETDLTRNIERWWMHPLADSTDKHTVAFI LLRRHLADLHAEVQRQTLMPHSNPHWVRDRIDAALESWRKTWIYAIAPSSEPLPDVHL HHVYLHNRLWTLSFALQASISNNRDLKAIRDDCFQAAVHCCEVAVRDLQQIGEPLYCM LAPTWAMISYAAILALKLFPFVHQPRSEGEIELLALLAQVALQLERAGTTPSHRFGIA AILGQQLLMILRTRAAGLASITTIADSDHDDNNSGVRNTSCPLSREHLSNISSATGMT QDTDVLGPLVSPYDPCLATATMGMDSDLMGDEFADLFREIFGPSFGEVF AFLA_126260 MQTISVDVLVCGGGMSGMACAAFAAESGAKVLVVEKQAVVGGSS NYSAGMFWAPKNYNSLRSWVPDGDPELQAAWMKDYLPAVQWMRENSIPTAKRFDGIMT IGIGFPIKIPQLHLHHQQRIRDSTGSQIFTNTAVVKLLQKQPGVPGSPIVGAIIRRGP VDGAGAVYYEVKAQHVVLATGGFQGNAGLTSMHLGQGGDNIFVRSNRGSVGDGLTLAT AVGAGTSRGMNTYYGHLLAAPLRSEAVDPKNFLSLAQYQSKYCLLINEHGRRFADETT GDEIVNQYLAKQEKRRGFLLFNDRTRRQHCISAPFPNAGEIDRLEKAREHGCNVASAP SLDGLAEILNQWGVDGSQALRTIEQYDRFIRLGDKTSTLDAPVGKAGKPPVSLVEGEG PFFVMEVQPSITFTYGGVLINAEGRALTPDKTPIPGLLIAGVDGGGFSNLGYAGGLAL AFVTGLWAARTIATELKLPVPQLPAADLRDAGPHEDPVIASRL AFLA_126270 MPSSQNTSCDEATSLLQETPGSPDQVYRGKKAPSDSHWITTVIL LCGGAVLFDLSNNLGSVAEVAILEDIVCRDYYATTTANPILPSLERCKIDPVQTEIAL LNGWRETFETIPAILLALPYGMLADKIGYRPVALLAFLGNAMSSNWSRVVC AFLA_126280 MTIGVLPETIGPAAAFIVYVLIARRSGQGILDPAKAFSALSLVS LLSKPILNFLYAFPILVASLSSYDRIQNYLLMNQGRLNSALASLEQSKPRERDLYPVK VPVLDTVQLQTLDQPDRRKPSNSLIKINNASFSFKTDDKPTFQGVSINIKRDSAYILI GPVGSGKSAFLLALLGELKLTEGTMLKAPECGIAYCSQEPWLPNLSIRSIIQGPSDFD EIWYAEVINVCCLETDIASLPQQDMTVIGIKGMRLSGGQRRRISLARAVYSRKQLLLL DDITSGLDTVTENLVIQRLLGQKVHFKHMVDTIIEVNPDSSTVNVQRSYQIPETPEIY EDDPMIQLDVCPGNPQPDIPEEQATRVSEAPSDVSRRIGDTSLYLLYAKEMGLASVIM VISTSIGFCFFSRFPNIWLKWWSEAESREPSKRNVRYIVGYAAFGVSATVCFLLLYWI FLVESIPRTSIRLHRRLLKAVTAAPLSSLILVDTGFILNRFSQDMSLLDMRLPGAMIQ TLDGLLDAIAEGVLIAQSSPWTALTFFPLLAILYAIQKFYLRTSRQIRHLDLEAKSPL FTSIIETCDGITTIRAFAWQETFRQLNMSLIDESQKPFYLMYSIQCWLTLVLNLLVMG ILVVLVALAVELRNTSGGALGVALNNVSAISATLAYVIQAWTSLETSIGALARLKSFQ SETPSEHLPNECHDPGRNIFIDDVDITQIPRETIRRQLAVLPQDPLILSGSIRLNLDP LQKHTDEAITSALSRVGMLKSLLSKGFDLDSLVRKDTFSSGQQQLISIARTLLNPSPI LLLDEATSMMDMQTEATIMNLVREQFGNRTVVAVAHRLHTIVDFDLVFVMYQGTIVES GTPAELLQNREGWFTNLWMKQVQDGAQHVDEPA AFLA_126290 MTFFQRNDLPLNGLKSFLLRNAFYLLKKSNRICSFPLLKVLFVF GPTKVIITERHRSFKWPSNLFNHRHRLIWSTKIHGKTNKLGEYAASGSSSRSVP AFLA_126300 MDALKAWLARSSRKNEPTETIEQPVEPTESTSALAASPTTSRKR KSTGELRSRSKRPRLEWSLAVRDMAEERYIGCCAIIKMGEPVQVCHIYPFALGIEAEW EKEKFWNILQIFWDLETVDRWKKDIIGPNGTEVPQNLLCLSTVVHDLWGSARLAFEPV KMSEEKTSLTMRFWWLPRRAYSKEMDMCVAPSLPSDLKASPYNAKLWNCDTDQPIYSG QLVTMTTRDPEAMPLPSFDLL AFLA_126310 MDEEIWNFDCTGTVIQFDGKEYKIKEQLTEVLDNRMGQRHVLAL AENTETAEPHMVKIRYELNPKYFDFDNPEEEREIAIDHFSCEVDAAERLGNVGYGPKY VAHWGQYQGLRWPFDGGAVFFLVMGTVPGEDVDEIRDELSDRQLASIRSQLARILEVW RTGLPVRSAVLKPHAGRLVVGWVTTSESLLLYVFFIFTLLIYSSLHPSSTCE AFLA_126320 MADVVDNTAAPAPQVAGGGGKPPGKRGNGGRRNPSPDRQQERGG KRKRQEKACRTCGETDHETEEHFEWTLMNAINALTGARQNRLQAKCAASSSASASNNQ VAPAAQEGRQGRKTKRGRRPSLRERRRRRDAQQQQQGAEQSLVLAQRAHRQPHPPREV EQPMALALQAHQQPHPHLEVEQHPAVTLQALQQPHPHAQHQGCEGPQVLPQRADEPLL SPPVEDEPSDPEDLLVFSDSADSDL AFLA_126330 MSGSMKERAKSASLSLLRRETVSAEPSYESAERIEEGQGRWESF PPRSPGKTNAVMPRQISSSMFGSRPPQYPEAKGIVWKVSRDDGAGECPKTVYHVPMDC PGYEEAPQSVLGRQFDLNGSGGGTRRMPRTRLFAKSRQSFPWPLAGLPTCSRIPRLST LTTSGRGCISSAGIPGSNHLVRVSRGKRGGSTQMK AFLA_126340 MWTTTSGLSGRSLRLSITFAAVVGFSLFGYNQGMMAGLLNGDEF VDSFPILKMPDNPTAGEKHYIDVIRGAVTSCYELGCFFGALFSMFLGDKLGRTRLIFM GASILIIGALLTTVCFTGHWEVGQFVIGRVVSGIGNGMNTATIPVWQSECSGAHNRGF LVCFEGAMIAGGTFIAYWVVFGMSHAADSVQWRFPVALQIFFALVVAAGAMMLPDSPS WFVMRGLDKEACEVLGKLKGTSPDSDQVLHDFNFLKQDMESSKNTQSNWKTVFTFGKT QEFQRLLIGCSGQFFQQFTGCNAAIYYSTLLFQENLGMEKYLSLIMGGVFATVYVLAT IPSFFMIEKVGRRNLYLVGFLGQGLSFVITFACLIKETEENSKGAAVGIFLFITFFAF TLLPLPWIYPPEINPLRTRTVGASASTCTNWICNFAVVMFTPLFAGQSPWGVYLFFAL FNFLGLIFGFFFYVETAGRELEEVDIIYAKAHVEGKMAWRVANTMPKLSFEEITQQSR ELGLDTNDHGVHEKTELGLSSDSGQETEEVHEKH AFLA_126350 MERVTVRVVSGYCYHHIYQDYLYNCPKGNSKANGYNPTVLFDAQ IVHVQEAGYTVHGI AFLA_126360 MPSSTAQPSGVLLMGSIPFTTTEEVLSKVCSALPGRLRSIPDGE TNVRNNYIGWQLDCFPKETRNSILGVATAEVPPDHRGTFSLESVKPTQFDAAALESYK TFIKLRDKGAIPQGVRFQVSLPSPLNSIKAHVKADFQPQLEPLYEHRILESLATIIEG IPAEDLAIQ AFLA_126370 MPVPKDRDDSAYFEALSQLDVGGDVELYLGLVHANDTEGTRRRI RTAQSVVRRFGVTTECGMGRTPTDELNSILQISRDLTSLVFPCPAVSA AFLA_126380 MVNVLWKHQGDCSTIVYSCIYHFVTMPSASWEDLAADKRARLEK SIPDEWKFKSVPIEGSVIDLPEKSGILSPSEIKITNSSATELVAQLANGTLKSVDVTL AFCKRAALAHQLVNCAHDFFPELALAQARELDRYFETHKKPVGPLHGLPISLKDQLRV KGTETCMAYISWLGKRDTSDSILTALLRKAGAVFLVKTSVPQTLMVCETVNNIIGRTS NPRNLNLSCGGSSGGEGAMIAMRGGAIGIGTDIGGSIRVPAAFNSLYGIRPSHGRLPY GGMTNSMEGQETIHSVVGPIAHSAQDVRLFLQSVLKEEPWKYDSKVIPLPWREAEENA AQAKIAEKSLNFAFYDFDGVVRPHPPITRGVEIVRSTLEKDGHTVAPWTPYKHAFAVD LANKIYAADGSTDVYKHINASGEPAIPNIKDLMNPNLPKADLNEVWDAQLQKWRYQCE YLDKWREWEERTGKELDAIIAPVAATAAVRHNQFRYYGYATVFNVLDYTSVVVPVTYA DKAVDHRLADYQPVSDMDKAVYAEYDPEVYHGAPVAVQIIGRRLSEERTLAIAEYVGK LLGH AFLA_126390 MSLSRSYPWVAKPFIVSAPMRVMSGPALAVAVSRAGGLGFLGPA VKTQDMLVDLEKVSTLVEEARKSSSAFSSTTNVLPVGVGFQLWSDDLATAVSGIQKFK PCAAWLFAPKGGQEDYDHWSRSIRDASSQTQIWIQIVVDALAGSQIPIFAAGGIADGR GAAAALCLGADGVVMGTRFLASEEARISRGYQGEIVRATDGAASTTRTLLYNHLRGTM GWPEPYMPRTIINKSFIEHQAGRSFDELKVEHDQALKAGDSGWGPEGRLATYAGASIG LIHNVKDAATIVHDVREQVLERLGPEGRSKI AFLA_126400 MQNSVYETAASAVQARNRIRSHIYQTTLIPSRVQGKSNNARVLF KAENFQLTGSFKIRGAMSKMSGQPANGRLITASSGNHGIGAACAAQALSKDLTVVLPD SVVPAKLEKIKSYGVNVILHGAETGLAEQYAQRLAASQSYTYISPYNDPDIVAGQGTI GLEILEQCEEVDNIFVAMGGGGLISGIGAVAKAFSPRTKVYGVSAINSKALAESMAAG HVVETEHRDTLADAVAGGIDTDTITLPLAMSVVDHVVECDEDEIKAAMKTMAFDENMN VEGSAALALAGFSKVAGQLANQTSVIVLCGANFDQNVFRNVVLDI AFLA_126410 MVHLSRALAALSFSASALALPALSSRAQAASEHCGDYDYVILQD TPWIVYNMLYNANQIVGTQCTNYDQVTTSNSGTKEVIWSSVTDIEYVESTNNVPKGYS FVGLTQNLETKLSAIDSIPSTYSWTRTNTTAYKGNVCFDFMTNDVKGDSTSSSSRELM LWLQYEGGQLPIGWTNGAVATIDDLFGTSWKLYEDVNEDTGITVSTLMPETQFEGSFT GDLKDWLLALSKLGRFTESTYVNVGNAGTEFFYGNSVMNATLGLQINLA AFLA_126420 MKDKELVLLASNNWREENDTDYVEVEPSSYRITGTRMLLTTSQV LRAEQVTLAGMGLQIKPVIYQLATQTIVNGEPRAMSSPCGMNCSYEFSFDGPYLSCNN TDVEKVSPPFNRSIAWFSALESNWTVRPYANPKLNPLLKNFQMKNAEVYNWVRNDSAD TVQFEYVSHILTCSPRRAKYHVVQRFHNGEQSSTVTIGDVHDLVSMDEKFYFSKNNMT QAVVDTIRDRNIMTLIMAMTKGISGDVWAMVYGVNSLEPISQEAVFSTQLMKDNVLVQ STRLFNGFSDSWAGTLTTWYKLFTVNEEILNSLLANVTLSAINHFQLWPTSVNVTRQD LQTQYVWSRPLNLLLPYFLSLGVTLPLAVLGYWSLHKNGVPATDNGFLQVAMTTRGNY KLDQLARGGCLGGNHNESAELKNLEVQFGELVQPDRPRLDMGPNDLGSPIADVRLAGF APKDEVAPLLVGKRYGKLRPALEFLDILTIFDDSTLHLQTGGWAVKAFAALGSRFERI ILVDADAVFFQPPEVLLEHEAFVRSGALLFHDRLLWKDVFPERNEWYRSQIRQPSAAL NKSLVWTENYAEEGDSGLVVLDKSRTDILVALFHICWQNSYDVREEVTYKMTYGDKET WWLGLELTGASYEFSAHYGGIVGWEQVDSRGRHKVCSFVIAHVDAKDRLLWYNGSMLK NKGKSSMTNEYEVHTNWMIDAEWEKGARKEDMSCMKGGEARNLTQYEFDIMERSIKLA KNLDAMMYSV AFLA_126430 MRSAYAAVPLALALRAAATTKDSWAFGNGFYSGPATNAHITKAT WSLVPPTVPQGVEVQDSSDQVWVSLWIGLQHTNGDDSSYLYQPLLNWSPDQESQGCPA TAEEWCVAASTYTPNGQNGQAYVTIPTGAQVDFEVTVENSKVYQTVTMDGKVISKETD DLDAELLYLYSGDECYTGSGNCGTLEAYSWNNITIHLSAEDEKFGDTLFLYKGSNSSG FTTSDGGKTWHAESINIEQDSWTGA AFLA_126440 MAQAAVTSAGEMAAKAAASIRANNVTSLFQTFFKTTDSTSTNHV AEILEEIAQEASQQGGGLATYSCQPDSITCQSGSFTQTGYASKDGYRGQMSTCPAYFQ LPQASDDCSVLDQRMSSLHELCHTKGVLGYEVYGYSNMLGLDSQTPLKNAESYAFFSK SVYLGCNGQSGSSGSSWGSLGGTGSTGNTGNSRSSWWDPFATFGL AFLA_126450 MRRSEAEQQRDLIFVGYSYGGLVVKHALVQANGNQNFASISTYT KAILFLGTPHRGSSFSKWGRIAARALWLIGSSPSMLAEVEYDSTFLLDLHTSFEAAVG DRVQVFNIFEQRPTLILSVWFLRWREFCVREQSAKYGGVKVRNIGLPVDHSGLNKFGS RDSSYQTILAVLINTMSAKSPKRPRQALNKQAELSIPLQLPMVRNDRFTGRERLLQQI HYYLQEDCQDMQQCIVALYGPGGIGKTQTAAEYAYHYQRCYTSVFWIDGASEHTIRQS FSVAAGQILKSWRRLNHNETAYQIFAKDFGVEDSKTSSTPTADQAVKGVIDWLSQLEN NDWLLIFDNIDDLDSFDIRSYMPSSLHGNILITSRRADVSGYWRSVEVEKMSDKEVKS LLAKSSGFSGDMNEVSLELLQLLGHFPLAIEQAGAYISVQHKFLPHESGLYSQALQRY IHEYHLNAERLLKHKRPQSVWDYRNDTILTTWEVSLRRIEMDIPEASELLLLCGFLSN NDIFEELFTYSRFQQYTSTPAQPRDYTHRPDIPLQDLMHVLSSYSLARFSATMDAITI HPLIHYWAQQRLPLERKRRLSEEALRLIARALRLTEQSYSAQKYALFESRVSSHLHAA LKNVQNLSGSLEPGNSYDPPSDISLPHTLSVVYAITEGWFLWMWAAILDIKLFFLSML YEEEQAENDIWWLAYKLTIVLRSHTLHKNTEQLFRWQFAEARRVLHPKHPRALYIAGD IAWIVLLQGRIQESRKWYEWVLTSRQRVQGEQHYATLGAVMGIATILDKDGSHDEALQ LRIMAYEGRAASLGIENSLSLNSAYAIGQQLSNEGKYEDAVKWFQLVFYARNTTLGPD HADTLRSACHISHSLVLLDRHSEALEWDQIAWKERNKTLGPDHEDTLQSAHDIGYILS LLDRYSEALAWSQVAWKERHRTLGPDHEDTLQSAQNVGQSLFLMDRHSEALEWDQISW KGRNKTLGPDHEDTLQSAHDIGYVLFVMGRYSEALEWSQLAWRGRNKTLGPDHQDTLQ SALNIGEILLPLHRYGEALEWHQIAWVGRNKTLGPDHEDTLASSHNIGESYKALGQYD EAMKWFNLALQGSQRVLGMDHEETRVTMRSIEDLEQKRGRNK AFLA_126460 MNVSTASQVPFLPSHALDKQQLRPRFECKNPNISDQPIDPKAIS YLPGEPSIGLEETTVNDYLSKELKTYLLDELYDHLWLVGRRSGRSIDALHAQRLKGRS VVPTEDPSLHLIWHRNQIYIKPIPVCLFNYTFWDIYLGPTKDNNSPTSSTFDPSIAMG FLRSYAFLTPHRLDFILAKESHLIPDDIDWIAWSKFIHKFRELGDEQVAQRYHYGQLR LSRLNWAVRIGRPQHANTLWFYHLPHWSITSYLSQATVPLLFIFASVSVVLSAMQVAL SVPSDKGFLQRLEDAGLPQMELTFWAFSISILLLSALIWVLLLGVPLGALAWQLSWGF RKREREKGDRLLSA AFLA_126470 MAELMTKHGIDLSDLSQKGVRLQRPSGMVYSVFRLMIGVSHALS GRFCSCFRMQPDRPCHGDFETHFDSECDVAYGFYLTNSWERWQLLNFYRHLFNLPEFD PRAMAAAKESPQRGALERFNIARIRTINEPCSAAWSNEDPQHFVSALDEDDEKKYGDC STAETGCVWAIRKCEDIRTGTDEQDAPEYRYLFFDMVDGQLPTVGQAVPMGEPLEEKA GRFGVRRVHLEHIAGPGCCSTLGYSGADISLEEMRGCQTGQGLVHNDSGDEEPSPDDL ECEINSDYFLSGLVDCMPFPEVGGAGVSPARHQYDWIEPADPFDDVRSLWIITVSGHA NGLC AFLA_126480 MDEYSSLYKEIQSLTALTSLIFQRPPDDIDYGELGNDKPSSKEP EQGPDEELDKLPDETDFEDDVTTGDPTVALVLLKQRSLDRLAEVLARFKTRKTGRHGR GKKKDAHLDAKHVTSVAMVEDSILQRVTFLCAKNEGLKGEDEVFLERLCELLTSILKN DQKLEARLWEGLIINIDGRQREGSQELPDCLSDKDLDKAVIETCDRIRSLFKVSNSHS TRNNELRDFLESFYAIIRNPKQRPALKNLLKQALHGSEKLFTKAWDALLFLSRTFHAA VTLVELASKLKLKLFNSFRFVPVSTCMFKTKTYAPLGKELPLKVLGALPCQPEGNGWV KLLQDQRTINEYTNILRLPRSIHAEVQLMGYLETSLSKNNHQVFPYIGCSKKCCFFCE VFRALHAPLMAKLAAFLRAMLRRVLTLSTAQAEQQGESIYSERPSMFRNFLVPGAFSA SDRQVQFSPMPGEPGFADFLAPSMPHISRIKMFSMLDMVLLTRLSTTRLEEACFHLPH TETPQRHRLFQANCQTCEEGNDERKPRDDS AFLA_126490 MSYHRILPKPDVLDNESPALDRAQTSSVLALYQSKDGGILKAST AEGQADFTSEAFSQYRQNFLPAATAANPGLLPPAITRIVEEQWRRLSPAEKDQWLPLG EADWFKSPNNKDRHRCSGDEYPSREQDDSETRSGRSANRYNIPVPNLRIRPVGNDEAY PPTSLPSFTSLAWGTNDSFPQSHDLSRLKDFCDGGPAEAEHYWEAPFSHQPQYDGDTR EPNRVDTHHIEARPSSTTERRNDQRLASLTHASSGEHGSQTMFGIAPPSWMLQKPGAY SQPGKPQSQGLTATGPTSTPEITAKLLSVFNKISTLAYGSPPINPAFQRSGSRGPVIS VDGQDPSTIKTIIDYLHSTLPMEGFRTRVFKGPDARPRHMSRRKNGPIVEYLNNISAW HHISAQIVDYIQHPYRGLRAKSKRADDLGNGSVLSSREAGYPLPVALIARYQLTTVDA FACEISIDDPYSQMTHQQWMESLWRGCVGPDIVVYIQDHEIPEMGQSQDLVYSARLHD TLGIVIPRARGSSKEPKERVLRHVVWAIDSIVMSTRCQ AFLA_126500 MESAPRKPRKLNGPQGVQKSIASHNRLTRTIACQSCHIRKARCV YAAQHRRCTNCFQENQPCVPRGHVVRAIEEEPEDPISEASHNTFNLPLPRGIDHEVPR WAAVYSMVQEVLHFFPPEDNISDHHGDIEAPERDEVHPDMRAPKRIAPQPLSTLGDTK DPASTIENAPEPSLYKISAGSPWNDSARNKGFGLTASENSNGGLDLDDIDALLSF AFLA_126510 MFLVPTAEQCSSPEKGNSCRRACSPSCRSKSKRASSAWSGEKPD KPACSSPCGSKPEVPSNTFPGAKPVRPEPGTRSTGCCGKPVTSPCTSTEETPTDFIEV IPGTATPDLEKGFTGQEHVVLSISGMTCTGCETKLKRTLGTLQSVQSLKTSLLLSRAE FDLDVSAQSFDEVLKYLERTTEFKYERATDRGFRLDVIVPNASEFMKQAWPRGVTEMT LVDDGIIGVSFDARIIGARDLVEHGWGSPLSLASPRPDPTLQAGVKHVHHMGYMTILS IILTVPVLILAWAPLPDREIAYGSASLALATIVQTVIAGPFYPKALKALIFSRIIEMD LLIVLSTSAAYVFSVVSFGYVIAGQRLSTGEFFETSTLLVTLIMVGRYFAALARQKAV ESISLRSLQAPTAILTDDSAANEKEIDVRLLQYGDIFKVAPDSQIPTDGTVIGGSSEV DESMITGESIPVDKSLGSAVVAGSVNGSGILIVKLTRLPVDNTISIIAGMVDQAKLSK AKVQDIADRVASYFVPVIVALTIITFVIWIAVGIAVRKQSGSRATTEAITYAITVLIL LTGKGVEVFAPGLILRAGNSRWLNLSSDPLVRSILEKGYTAFCFTINDNLAAVFGLED SLRPDAREIVTKLQERGIFVHLLSGDDDGAVRSVARQLGIPAQNIRSRCTPGDKQAYI QALHDPLDQGSHKTRPTTIFVGDGTNDAVALATSTIGVHMNQETGTDVAKSAADVVLM LPSLLSILTMINISKKAVWRIKFNFGWSFVYNLFAILLGAGAFVDARIPPEFAGLGEL VSVLPVVFAAVLLRWARV AFLA_126520 MVCESDPRNTCSSGCCGEQDKGIKTRDASVPHTSDRGPTESRGS TEKLQEEDPNKPSCCKDKPSPCCDVSCLDRIALRECHKEQILSSNEASPSKFKLTTPD FDPGRLH AFLA_126530 MQQFVRNVNPARIGDITTPSDLSCATRSSHVTEVSNALRKSGIL KVSLQFKDDASKYLQNLILGLHKHHGHGLPITHSASRGWFWDIRPNSTTFQTPSHQAR SETMQEFPWHTDCSYEEAPPKYFALQVLREDRCGGGTLSVMNVGKLSSMLSPSTCAAL LRPQFRIDVPPEFVKNDASRHIIGSLMAADSSGAPNMLRFREDIMTPLNVEAAAALVE LKDRLLGLEVQAETLHLTPDCLPRGSVVLMDNRRWLHARNEVMDPERHLRRVRWDARP FPAMTM AFLA_126540 MKIPAPQQLQQLHVSLDGGHYEPVTTFDPAKATYLQDQEALQEN LLRLCSVNGWHKSSRAACSPRPVLVSSEHQRRWRELHEALVLAITDIVERWLTDPEAR FPERMPLEPEEEDLLRWIDEQVPHNLPQYRDCRGSWRPDFLVEEENSEDGSGPVENFR ISEINARFSFNGFMFATCGQQAIHDMGICDNGNGLVGATDPAKILKGLLRLFQPGLPL HLLKGDEAGVDIHMLVDFLDRYLGITPRFIMPADLRLLHEPQAKGGYKLCCVVKNPDS CDPATLIYHDGDILEEIHQVGLELHQREIRALEPEMLRQISLRCFNDMRTILLVHDKR MLGIVRQELENLVARNVLTLSQAKILDKGIPETILPGSLDLDQAIARCKEMPELKDEY ILKPIRSGKGDGIVFGEDLNSEEWISRLEGLRSAQLIPGGGTCIVQRKVKQLLYDVVL RPTGVKTRYPLIGTYHSINGEFLGVGVWRSSPDRICAISHGGAWTVSVMRDE AFLA_126550 MALSLQRAIEKEFPPSTQVMAEPGRYYARSFYTAACKVVARRKQ IGQDKLSQSDMLYLNDGIYGCFMNAVAENEIYRPILFKQETTSSTEREAGEHRYSVWG PTCDGLDCIAKEATMGCEVKVGDWVKFENMGGFTAQLRDASEFRQTLANIPAP AFLA_126560 MMAPTTFSLKEVLAVAEIHPFYNPAVEYPPTPETIKSAIELADK RSTDIDLSSLPLVSKKDLYKAIARLTDDTSPQNEYRRSSYVSITGGGSGGLPLMFVTD TKENRNQRAVFGEFLSTCGVVEPHDWILTTHTSGYFYRSLDLLSEILENAGATVLSAG NYMTPAEVVHALAHYHVNVITGDGSQVVQVVHHISTLPAEEKAKIKLTKVLYTSEPLT ETQQIHIRATLGPVKICSVWGSAEAGPCALSDPDLTSPERPPGTMDFIFDTRQVVIEI LPHSASEGDSSAGVKSVPDGEEGIIVQTSLVRRRNPLVRYITGDVGSLQPLPEKARAI IPESELEHLRVLRLRGRDRRFSFKWFGIYFEFENIVSFMQGDKTGVLQWQVILATLES SPQTKLEIRLLRQANNEHIMTKEELLNKLEKYFFILPENEHLFQVTFLDDLSGFEKSS TGNKVMKFVDKVH AFLA_126570 MPGPWSTKLYLLGYLQIAPALASIQWSPCTSNPSLDCATLTVPL EYADPENGALAYIPLARYNATVPASQRKGSLLTNPGGPGSAGTDFLLNGAGEGMANIT GGFYDIVSWDPRGTGSARPLLQCFDSAGEEADASAALPAAAEIEYSQFRNQSYMTSYY AALKDYDNTIAELADACADHDSPALYTSSTAYVVRDMAAIIDALEGTDNATLNYWGFS YGTILGAEFIQTYPERVGKIIFDGVFDTAANAQPYTSQLPYDELYVRDSINDLATSCT QASIEGCALNKAPGNRTTPRNSTTDIATRLANLQASLYRNPIDVSDGSFSITVGMFSF FMYSFLRLPSSWPAVALAVSALEEGNADPVASLLTDAAGPETNASAPDTGSFAGWPIQ CTDNAPSNHTKLPEVAQLVLNISLAEKTPCLGSLGLVNTIREYLLDGTLPATTEVYDV SQEIFSSEIDAGTITPDPVFNGTYSDSEKMLLESTYNIYLAFVSLP AFLA_126580 MEQYDVAVVGLGVLGSAAAYQAAQRGKKVIAFEQFEFGHVHGAS HDTSRIIRTSNPLPEYVKLARSAYKDWAELEEATGQKLLTITGGLVFVPREKASPFES LINTLKVTNLPHEILNATEVKKRWPQFDIPDTVDAVYTADTGIAHASKTVAAMQYLAR SKGAILKENTPVDRVVPKKEGGVTIQTPKGEFHAAKVILTTDAWTNKLLAPLGVHIPL SVMQEQVTYFKPTDTAAFQPNRFPVWIWGGDPAFYGFPCYGEPTIKAGRDWSNNLMTP EQRTYVPSPQLFEQLSSFMKSFIPDKERQPLRTVTCQYTITPDRQFIISPLDNNKDII VGLGAAHAFKFAPAFGRALAELAIDGMTKEDVSKFGIPKSASSSSKL AFLA_126590 MDPTFDPTKETLNLLVSSGIAKARLPWPDLLLKSFLAGAFLSIG ALFSLIVAGGSPSLRAENPGLATLLSSFAFPTGFVILTIVNTELFTANVFVLILSTFM RRTTWLDLVRNLVLSYIFNLAGCLFVAGFLCWWSDTLSTDTLKGFAVTQAEGRVNVQW SVNFLRGVGCNWLVGLAIFLSISCKDKVSKIYAI AFLA_126600 MLTKCSTSVGLKISFKEALPCLEPCRSNPGSLHPERSCDSGCCR LEPTADQRVHCPAHRPRSTALDCLQPFIDFHRHSLMPLIHIPIPFETPRKYLALAYDL VKLLSSEWLSNAIIYFAKLRRCVPDIEAL AFLA_126610 MWTMFQLALHPENQDITRREIHDLTRRDFVPIPMSELNMRTLRK ASCTDSFIREVLRMKGDTVNLVRMARKDLQLGDYIVPKGSLVLPLVSLFHWSPRYNEG DPKKLDGMRWVEKQKAASTTDSGHLSFGLSIWTCPGRFMAVAGMF AFLA_126620 MNLPLIWIGLFTAVSYLVIRSIYRLYFHPLSNFPGPKLAAVTHL YEFYYDVVKGGKFIWEMQRMHDQYGPIVRINPREIHIKDPYYFDPIYTSKGQAKDPYI VRTFATPLSTAATVEHDRHRYRRDLVNPFFSKRSVMGVDYIVQDKVDKVCKRLTQVHE KGTVVSLDDLFAALTADVISHYAYGESLGFLDTENLKNEFRDAVASAGLLCHFARFFF VVSMVAETMPALVEWMQPSSKGLWEAKRMIEQMARSSLEKDREKNANSRKTIFDALCA ESVRPEERTVARVRDEAMVVFGAGTETTARVLATGSYYLYRDKPRLEKLRAEIETVMP DSTDHVSLTQLESLPYLTAVINESLRMAHSVTMRLPRISPTPLAYKDYIIPPGTPVSQ SVYFMHMDPTLFPNPDYFNPERWLEASSKGERLTKFLVPFSKGSRICLGMK AFLA_126630 MGRWGWRLFEGDQDLDAACCLAESLGIHIGDWEHSMSSMVHQTD MLADEGIRAFYRTDEYKRELENEIVPYVRAKFDTDNFGDRLFAASCAKENEETCLPAR YRTIILGALMMRAGARIRAEDLQHLRDLVPQVHCTSRFALPLGDDGFRSPGRAQFLAA LDHYQAGVPRNYQEPR AFLA_126640 MSSLVIGTAPEGLDLSANRIAQNDGTVAAVMAIATVFVGLRFWA RTTNKSADLAYDDWFVLVALIIFAYVILYATTVPMVKLSVLLLYRRIFRLTWTLYFCA FLSIGYAISVSTTISVACVPSSFFWTQWVYPLSGGYCRINLYQFYLWNGVANLFTDVI ILCLPMPIVWSLQMPKGQKWAISGIFLLGGFVCVATIVRICAITKMKDSVDITWVIGD AMIWSNVEPCIGIVSACLPTLRPLLRQIPQLRLWGMFGSSGLSRDYKMTGEGTSGISG TPLQSTGNRSGYRASNGKKYQFWPEEDEIYLTTDVGRASTHPREEGVIPSNGSTASGQ ELNAMQIRVKQNFDWREENP AFLA_126650 MELFCAKNCIVPSSSLSASNATVTMALLVILGIGLVTYLVFLSI YRLYFHPLSHIPGPKLAAITHGYEFYHNIIRGGLFIWELERLHEVYGPIIRINPREVH IKDPDYYDDIYASSARKREKDPVLVAQFGLEGSGFSAIDQETHHQRRAPVAKFFSKRA IENQEHLIRDSLNKLVGHFQEACRAHTVVSLDAAFAALTSDVIHQYAYGFNPNNLDKE GFNAKVRDGINGLFQLAHLLYFFPILQTLMNVMPLPLLQVLNPPAFALASQKKELYEQ GAAALEKANSKAGSGTLIDTLAAPSMPEHMRSAHRLMNEGFALVIGGTETTARSLALG AWHLFTREDIRNKLREELKQVMPTPDSQPTWNDLEKLPYMSGVISESLRLSTGIANRS SRVAPTEALVYKDYTIPPGTPVSETNYFTLMDPEIFPDPHAFDPERWIRAAAKGQRLD RYLVNFSKGSRMCVGLNLAYAELFLVIATIVRRFDIELYETPKSNIDFARDFGTPYPD KGNFSVRALVTGVISE AFLA_126660 MSLHNDAWKGTLDRASLTQYLSRGVDIDGTSTSGDTPLALAVKN GQTSAVKLLLQAGANPNKKGADGKTPLYLVAFAKDKRDRLAQLLVAHGADVNEPVPAW NNSTPLMVAITEAKDPKLISLLVEKGASLTQSNDKGETAKKLAGYSMNPAIQRAILPP DQQDGYKPELGNLLTSSGLFAIAYFSNWKDVAKDSIDRISDFLNWQSNTDINSLRTED DFKTFLFNFIQDKGLEDFYPPNDQRVIDIARAAAAARKNPSVRAMSGRTLAIMAASAL YTPVWYCGMSISRHVFRQSRVLI AFLA_126670 MGGGTGRIENQRILVARMARIMGFVNPQAAAGVASLRFINADIG NADNLTEAQVNDYMNQTNPNGATPIGTNLKKKILDPLIHNVLSAGQNLPKPYLIMTIT DGAPNEENKSLSPLGTDNDVIRSVIADAVNALKTHQPIPYSPDAVSYTISQIGDDQNS KAFLAGLKNNPVPDNVLYVTSGE AFLA_126680 MRFKPLLLSLLGVSPLGMISIAQAAAGNCQSLSDGSTPVISDCC SGQKSGTASVDGHVIEYSCRNFKRSASINIKGPYAEGSAQACAKRCVFRRCTMAVYKD NNECRYTNDANPEMEYDDTVLAMENKGKDDMTDEVRKALAKCKEECEKDKEKLKKECQ EQKIELGDKCLREKEELRRQCTENEERQNKQCEDQKSDMRNKCQEEKNELRRQCAEDA KEESKACEDRVKVIQEQLTECRDKNLQDTRWVSNPGVLGTEVKAKAVAFSPKNERMAV GELSPQRVVVWDMKTRQPLAGWETGAGAGMPMSVALSPDGTKVLCGTYEGAVKMWDVS TSSEQIFQEPKGRVSRVNRVAFSPDGRQVAAGLADGKVLIWDVSTNTQITTQGHSGAV QALEFSPTSGKLVSGSKDKTIRFWDPRTGRKDNEISHPGGGLNAIAFSPDGKSLASGS DDSSVRVWNAETLAQRRLLPAHTGPINDLAFSADGRQLASVSDDGTLRIWSLADDYVL SSHQQRKAEAVAFSPDGKYLASVVALEGLLLWTRN AFLA_126690 MRFQYLFLTLGATLSAAAQDRGQCYSIGQMYPSTDRVSGRSECC PDGTEYNGNICEPLPTCFNPDEYFDTVDRVCRAKKDCGDGNYFYIRERKCKPIPPCLD DEFFDGQYCVRKPQCQDGYYFEKTGCVLKPRCEDDEWFDGRNCVEKPQDCGAGKHWDF KANQCVPNPPCDADSYWTGTGCAKRPRCRNPHHFFDGVDCVPCPNPDEYWNGNACVSR PVCAEDEYYDGNSCKKIPKCGQGQYWNRKKNICDQVQHCSLGEWFNGESCEAISYPPY GGRLCLISCDKLRSGTP AFLA_126700 MSKVGQGAPTNTHHIKPEAAFEEFPFNLGGDAVETDMAARENGS RGGRIRGSRGSYGGENESYLGFSSMSSGRDQWG AFLA_126710 MSATSVDMSAMESKPDSVVSSHLTYIRRHSEQILTKVELDSQLS SIMNNVAAARLPETSESKKNEATAERSFCRDTKPCEPIAVVGMAMRLPGGVRSEDQFW EFLMNKQDAVSKVPETRYNVDSFYQQAKPNAIRTKKGYFLQEDPTEFDTGFFGITNYE ASRLDPQQRLLLEVVWECMENAGQVNWKGRDIGCWVGVFGEDWMDLCAKNIQYTDRLH ALGAGDYALANRISYEYDLTGPSMTVQTGCSSSLVGLHEACRAISTGDCSSAIVAGTS LILSPTMTTTMSENMVLSPDGICKTFDAGADGYGRGEAINAVYLKPLKDAIAAGDRVR AVIRSTATNCDGRTVGITTPGAPTQKQLIKRAYQKAGISEITDTAFFECHGSGTVVGD TAELSVVADLFKDKGVYIGAVAVTKTSQAKPNFGHSEGASGITGIIKAVLALEKNMIP PNIHFKEPNPKVPFKEAMLQVPLEPIPWPQDRHPRVSVNCFGIGGSNAHAILDSAASY GLVEDWTPSQQENSQSFLFLVSAASPTSLSQRVNDLTEYAKQTVPRFQDLAYTLAIKR EHLQHRTFFVGEADGTITKSERTARQFDSTQLVFVFTGQGAQWPTMGKGLLESFPMFQ QDIQRMDRVIHGLEQPPDWSIEGMFSFLDIEDLKLLLTKCGRVDELVAGEDCCRIHEA ELSQTLCTAVQIGIVNLLARWGAKPDAVVGHSSGEIAAAYAAGTMSLESAIVVAYYRG QAVKMARAGSMAAIGLMAEEVKRYLVEGVGIACENSPQSTTISGDSEKVGEVIGKIQS DNPDTFCRQLRVDKAYHSGHMEEVGAFYEETIKSKIHTNSNMVPFWSTVTGSLLKGPD DLSAEYWRQNLESPVLFRQAMEAILQHEQQSGQVFVEIGAHSALSGPIRQIMQTGNIS KATYVPTLIRKRKANVCLMEAMGCLWAHGVPKVDLQGLVGQGRVLTSVPPYPWDHRAK LLNESRLVHNWRFRAFPHHELLGSRMFGATDLEPMWSNLLRPDDVPWLFDHELTKKIV FPAAGFVAMIGEAIRQIDGSESYSLHHVLLKAPMLLEEGHTVEVVTSLRPVKVSDFLD SHWYEFTISAYDGEDWVKHCQGQACASFGKNMESKKISPLSRKVCSETWYRLMATHGL RYGQRFKGLQDITSDPKQKIATATMIEDHTAHESHYTLHPNIIDQCLQIMSVAMCSGM AAVLDQLAVPSFFELICVSSGNGKLAVEADAHKTSTNITTGNSILVSGESPILAIEGA AFFSLTDSGETSQHGFPLVTHTKWAPDLDLLPPNELLSFRPVGRDGDLYADMILCALS EAHYRIKDKVPATEHLRKYKDLIAGWVEHMRNCLPPAPPHARRFLELDTQQRMRLIDE LSEKVRTQDPDGLAIISMVKTVLLHISDIIVGSESPLHILVDEDRLADVYYQPSLWGC WHELLNLLMHSNPRAKILEIGAGTGGSTTVLLDHLRSPDGVPMYGSYVFSDISPGFLH SAKEKYKDRKNMEYRVLDISKDPAPQGFECGSFDVIVASNGVLPGWWIGEKDGRGARP YISPAEWNTELLKAGFTGVDAAEFDDEGMSRLMVNILSRNPCHPPQATDVTIVHRAEI GEWENSVAQNFVAAGYTVRWMAFDEVTCPATSGIVFLLDRPGPFLHDISAEQHERLKR YLGENREATMLWVTSTCQTVCHDPRYSLVFGLARTLRRELELNLNTLEVDSYSSLASD SIIPVYKQVERHKDCHIIPDSEYILQDGKINIARFHWKNLATELCHMPSDESPKKLSM TSCGVLDTMYWKSVDLPTAGKDEVIVDVKFAALNFKDIMVSMGVLGQIEDLGIEGSGV VVQTGSDVQHIHNGDRVLFVASGSLQTRRVIPARMCLKVPECLSLEDAATMPAVFATT IYALLHLARLKKNQSVLIHSATGGVGLAAIQICQMVGANIYATVGNENKAQHLMDTYG IHRDHIFNSRDASFLQDLMRCTGNRGADVVLNSLGGNLLHTSWRCVAPYGKMLELGKR DFLGHGMLSMDLFKSNRAFFGVDLNQFLEECPDEFNDVIFERFLEMVEKRQISPIQPR TIFEASDIISAFRYMQQGVHMGKILIKMPESPGDLPQVKDKKAIVFNPDVSYLLVGGL GGIGQAVARWMACHGARSLVIFSRSAGQSDDHQIFIRELDAIGCHVHTVSGDVTDLPD VQRVVEGCARPIGGVMNLSLALSDHLYLEMSHDQWTVPNRVKILGTWNLHSVLQNVAL DFFVVFSSMSGQVGNPGQANYAAASTFLDAFVQYRHTNGMPASVLDIGCVEGIGILKQ APHVVQRMRAISVRFIEESELMDSLHLAILRSHPELSNKKGPESASLGIGLCPIKSFS QVQDLPFWRTLDARARAIPNYDSFQQSDSNGEPDNLQELMDEVEANPATLKNPEVEKR IRGEVGHLISTYISNHEDMTDEEINNITIDSLMSIEIRNWTRRRLHVDVAIPEISKAG TVGRLGTLVVEKLKAKYAAQLEGST AFLA_126720 MCSHEGEQVGSYGKFMNAAKYANGISGAGLHRNVEIPPSSDLAE EFGNDLRDMASWMLFMERETLEGGVYGWGFRTYRMKVCQK AFLA_126730 MELLAGALINQTNQGKEIRWSTIATRVSIIAAGPFIWQNAVGYL LATESARTYRVLIHIPVTILQLHNEISGEKLASGDGAKMRPRFSATLILLLGMMGNFG SLLEAKDAPTIIQGMQNLNEKTHVARQSLEGFDGSFIKGLLLARDLFETTKAAEASRK AFEDADPLSYDDVPDILENYHTVRKTIDDALKAVPAKVDSIDSMGVRMFATGLLRNFA ADRTAYEKATKAKIPVDNHTSIQGPVDSLANSFDDAISLFI AFLA_126740 MMLNVLGIVPVLLVFQLLGSAILAQCHFVAPRSIPDDQLVKFQL FAQYSAAAYCDHNNDDGILGSIRCIEGVCPLVEAANAQTIAEFNEEDIRGFVAVDDTH RLLIVSFRGSNSVRNWIKNFQFWKIDEPGPRGFWDKLFGSDKPQSGNDICSCGIHSGF YRSWQLLKPDVMDALTRAREAHNDYHVVVTGHSLGAAIATIAGAYLRTMQIPCDIYSY GSPRVGDARFAEFVSAQQGLTTRITHGYDPVPSLPPMSLFGIYDLGYRHIWPEYWISG VSLNGTDTIKVCRGLENLSCNGTRQTGFSFEIEDHRNYLGHITACGPKFTYRDMDESW SAEDLDRLRMLAGNDTFFATHYISNGTGV AFLA_126750 MRAFIILPLVTSILAAPTQTLVRRQPDSVIPSAPSGVNVGVKAM AAPAPSAPPQAPAPGGQSGGGSAAGGGLGDLANLIGQGVQGITKLISTIAGAAGGGGG ESGGGGQSSGGGGGNLGDLTNLVGEGVKGLSSLAGAAGDAGSGLGDITSLISNAAGGL GSIGNLLGGLGGLGGLLRRDLDPELVARAIENMELPDSDDDECIIEKLGGLLPGDAGR AT AFLA_126760 MSKAQPIKRRPDGRSKFDLNIDSPQLQHLTRHIDEAEHVRIENC IGFVQVPVGIAGPLRITGPETTGEYYAPLATCEPTLVASCSRGCKVFNACGGLQFEVL SEAMSRAPMFLFASPAHAVAFARAVPSFRNEFARWAESTSRYVRLQELQASVIGSSVH LFCSYFCGNAAGQNMVSKATQHACEMLRAHRCAKQFQIQDFLIEGQLASDKKPSWGNV QRARGVEALAWGTITNAACQEILGCSTERLYRTQMALKEGGIRNGQFGCNINTANIIA AIFVSTGQDAGSVAEASWSS AFLA_126770 MEPSVSDFKLVGLAAGFTLGFGFLTVWNAIKQTSEIEKPYKSPF VILIWIEILSNVVIGVMGWLVLEGIVPVIAPVLALLLFCWALEIQCCMQVIINRIYVV VEKKKTARKVKWGTACLITAINIAVFCIWIPAHMTPPVNHTFVLINRFWDPISKLLIC IVDACLNIWFLRVVRVRLVRQNGLKKYGPLVRFNMRMMFISVLMDAILIGLMFLPNPM VYIQFHPVTYIVKLNIELKMASLIRKLARDSNINNEIHEASMNLRTRFNPQSHYIKCD DEVREKRNLRHMQFAQLRQQGFESEDMKILKTTSVRVVTSPRPFVQDNLPPLPPLPKD GR AFLA_126780 MASIAHLVVSGLLAATAVNGQNYGGSGRSDDAFSYVQPRNTTIL GQYGHSPAVLPSPNATGAGGWEEALAKAQQFVAQLTLEEKADMVTGQPGPCVGNIVAI PRLGFKGLCLQDGPLAIRVADYASVFSAGVTAASTWDKDILYERGVAMGEEFKGKGAH VALGPVAGPLGRSGYGGRNWEGFAADPYLTGVAMERTIQGYQDAGVQACAKHFIGNEQ ETQRNPNYNPNGTLTDVIQEAISSNIDDRTIHELYLWPFANAARAKVASVMCSYQRLN GSYACQNSKVLNGLLKEELGFQGYVQSDWGGTHSGVSSIEGGLDMNMPGGLGQYGQTP EAGSFFGKNVTFAVNNGTVDISRVDDMIVRIMTPYYWLGQDQGYPEIDPSSADLNTFS PRSTWLREFNLTGERSRDVRGDHGELIRRHGAEATILLKNENKALPLKAPKSIAVFGN DAGDTTEGAVNKATFEFGTLAAGGGSGTGRFTYLVTPLEALKARGKQDNTLVQWWLNN TLIADSDVTSLWVPTPPDACLVFLKTWAEEGSDREYLSVDWNGNEVVDSVASKCNNTI VVTHSSGINELPFANHPNVTAIVAAHYPGQESGNSIVDILYGDVNPSGKLPYTIAKNG SDYNAPPTTAVETTGADDWQAWFDEKLEIDYRYFDAHNISVLYEFGFGLSYTTFSLSD IKTEPLAESISSVPEQLPIQPGGNPALWESVYNVSVTVTNTGDVKGATVPQLYVTFPD SAPAGTPPKQLRGFDKVSLAPGESQTVGFELMRRDLSYWDVVSQEWLIPEGEFTIRVG FSSRDLSQETKITPVTA AFLA_126790 MTKTGSVDGDQYLKKRAGEEVLAWYQSLKATGGFGPVDKDLLEN GRSTFDSDRVSNSETVETIKSCYQETKYVLDPHSAVAVTVAKRSLAKNGSNVHHISLS TAHPAKFSEVVESALKGEAGFNFDEQVLPDEFKAFAQKETRVTTVENSWEKVREIVKR QAEEDIKAESSA AFLA_126800 MSTRSSLFLLVLLFPPTWGQETPTCLSPASNGGPPNKAACCLEP GRQEESVDGVIYEYICNHYATNTNVFYHEVLNAYECAKKCSEEAGLCHAASWKPKASS SEGGRCFLAMAGFVEKPDRNGEWLLLVRTDRMEPVAGDPSHDYPQPGCEEEVDDAWTQ CETVVDEYCEMRTAHMQQQLDAKDADLVNCGRGMNPSIYISRSRKPYRAWCRRGRCDG LSTNPVPTLERTMAITLTRL AFLA_126810 MRRLKKKRSDSQRGLTLRFQNTSHARHAPPEAIQSKLTPMMMMM NILRRITRLLASQNSNECTITLAKPGTKYQDYLTDPTSYGNGKEVSVKMQEYGPYNMT IADEVRPFAKVHLAFTLVSQ AFLA_126820 MASIEIDESTISNQTTQYVYLSRDPAPALAIPPGKDLLNYTAKI ESIFIYEPNTESETCYTFGGSLSLGPGSIAGVVGNGEQFFLQYCVGSDQSRYMLPLVK ASGGYKLHRDVTSEALRDDTILDRMLEEPDNNLADHFATTMFAKGGKKDKAKGAAALT IQTRGSSGDQEHEIKKAIKMLNFIDSMISVYTANKLYQEGNKRGKPISAIDETSLYMK VTAEAYFDAINNKQLAAVIQKKSMASAKFSQTCNRADVHPQFIKQFAQDIKQFDQAEY ATLDKILTEQVRQILDGNIQVNNTLSFTLLVKQPLLKSVPGLKEQIVEPTLRFFYITS TGRTWTEVVKNGKSQSSVQKVQLDFQYIPQVGTINPDLFKPLKKVYGKKIISQDADDS FIQQYTV AFLA_126830 MASTKNLREFLETASKEPKEQVVAGQVPMPPVLLFAPRHHAEDR LHTELLEMTGKIFLELGESLVKKRTYNQIDTADQVLQAMVDVANAAQTAIASEGSVSK LVDVRPSPNMSINRDIPREDLHRELLDVLFSHLIHDKRTLRDLDYLLQKFVNAFSQLP TDAEGKHIVFTFFVNEVNRNNIGTEQSPIYEDVQSIMLNTIRMPTEVYRDLVTKNEKP LKTPATNATSSKNGPERHAPTREQEAPRYSTEQRTSFLDMLRNALGPPDASESDNEPE PPEDKVTLKMDYRIITAKIKPKKFKKAQQDIDDSMRRVVKLGAKEYGERVTKVLYVLK AFLA_126840 MFGTPLLLLLPLTTSVLSKTSDAEYNKICPRGDDLVTLPSGNKI RYLCDDCLIDPAAEPRSAKTIEECADICSTEDCKATIWGNGRCFSSKLTYIGPSPGSS PDCIWMTSQTCLDSKDDCAKCVNDKEECENQKRKCEDALAKCKPGDDECEKKARKCQN DLATCEDDKAKAEKKERKCQDDLTACEDGKNDLEKEKRKCETALASCKPGDEECEKKA RKCRDDLITCEDDKDRSEKKERKCQKELLTCEDEKNECEKNERKCQADLQDSQKKASD LQKAVDQCGNDLDKCKTSGSSWGKTDGEIKFWFVDHRYSQRK AFLA_126850 MKPILLAAPLLLSYTAAEIHRVPLEKELLVFGSNDDDTRTSSQR YIGSNTHQKALQDHGPDILGHDIPVKNHRNTQYFSTIRIGTPPQKFKVVLDTGSANLW VPSSKCKTISCKKHKKYKSALSDTYHNNGSEFEIYYGSGGMTGHVSEDIFTIGDLKVQ EQLFGEATKVSGFSNVKADGILGLGFASISVNSIPPPFYNMLDQNLLDEPVFAFYLSD TYKGRTSEITFGGVDEQHYSGEIVKIPLRRKAYWEVEFSGLFFGDHFADVEDTGAILD TGSSLIGLPSGLFETVNKEIGATRDYQGRYILDCDKRSFMPSLTFVLGEYNFTIDPKD YSLQEQNFCMSALVPMDFPGPTGPLVVLGDAFLRRWYSVYDFGNGAIGLAQAKRKE AFLA_126860 MDKMLCALLLSAGMLVGPVATQEAEPEQVRLSPPSLSRLGSMPL SPPRSITLKDLRVMKDQYGNEQCCPPGTILVGGQCILPHSNVCPEGTVQEGNVCVGKP LCPPKFHYDGQKCISDHPPRCQTGSRFNGKDCVSTGDPFCPEGSTFNGHSCVSTTPPT CPSGAQLKDNICVTKQSPTCPRGMQFDGEQGCVSTEPPSCPEGAQFSEGLCISVVPPS CERPFVLQGNTCIHSSKPECPTGATFDGTVCVSVTPPSCKTGVFDGGVCKDKQPPICP PRTTLKGSSCTVETGASCPAGQSLSIFQDQVRCCPDGFSWDGSFCVLKREGDNCPPGS HFDGTKCVFTPTVQPICPPRTTWNGKDCILSVPPRCSSGFTFVSGNCVSKDTPECPEG TTFDGHKCVSPTPPRCPGDTTLKGRDCMSSDPPLCPPRTTFNGHSCVLNVPPTCPPGS TLTGHSCRFPDVPDCSGGSFTGGHCVVPVPPKCPPSFIFNGKECIHENRPRCPQNYVY DGKNCVSSDPPDCGSDAIFDGTNCVDITPPKCPDGTSYDGHGCSGGKPPKCPEGMTFN GRDCIDGKPPRCPKDTTFNGEKCVSNEKPECPTGTTFDGSKCVNERPPDCPENTSFNG HACVSTIPPVCSDGTVFDGSKCVTKNPPVCPPGTRLENGMCVITTDPICAEGTTFNGK MCTTATQAECYQMFVCPPFSPSKPRAG AFLA_126870 MKFSVLSILTLGLTAGALAAPANMERDLPTITGVLSGIGPKVDA LDSAIQAYTGGDVTKVQQASDSLVDAINAGTTKVSGTSNLSGGDALGLPGPVNDLKQK ITTAVTHLSSKKSQIVQAGKGAQTYNDLIQQKTAAKKLSDTIVSKVPENLQNLASGIA GGISDAIEKGVQDFQDQAGKAGKRDVEGAEAVAAIEV AFLA_126880 MGVERKIITRGSGPSPASGDKVSIHYTGWIYDPKKANKGFQGKQ FDSSRSPGRGPLVVNIGQGKVIKGWDEGVMQMSLGEKSTLTITPDYGYGDKAAGKIPA NSTLIL AFLA_126890 MTPEKAAMSEHIENDNPARHDDIDLPNSSEAHTAIAKEREMTLW QALRLYPKAVAWSLLFSCAIIMEGYDVVLIGSFLAFPAFNEKYGGLMSDGTYGLEARW QAGVNNAMACGQIIGLFLNGLVSERLGYRKTLMACLAATVGFVFILFFAPNIQTLVVG ELFMGIPLGVYQTLVVTYASEVCPVALRAYLTTYVNLCWVLGQLLASGVLKGLAERTD QWAYRIPFALQWIWPIPIFIGVFLAPESPWWLVRQDRREDAVKALKRLTSANADFNAE ETVAMIVYTDALERRAETGTSYLDCFKKSDLRRTEISCCAWAAQSLCGAGLMGYSTVF YQRAGLAVSQSFTMSLVQYALGVVGTFVSWTLMSYFGRRTLYVGGLFILAIVLFVIGF VSIAPSTPAISWATGSMLLVYTFIYDSSIGPVCFALVSEIPSSRLRTKTVVLARNVYN ILNLVTGIIIPYMLNVDAWNWRGKSGFFWGALCVCCLTWSFFRLPEPKGRSYAELDLL FERGVKTREFATAKTGLEDLQGEGKDDMVKV AFLA_126900 MSPAPVGEKWWKNSIIYQIYPASFKDSNNDGIGDIPGISLLDYI TSLGVDVIWISPMYDSPQYDMGYDVSDYESVYPPYGTVQDMEVLIDECHRRGLRIILD LVVNHTSHEHKWFKESRSSKACPKRDWYIWKPAKYDANGNRKPPNNWRSIFGGSAWEW DEGSEEYYLHLFCKEQPDLNWENQETRRAIYDSAMEFWLQKGVDGFRVDTVNMYSKHP EYPDAPVIDPKSETQMSPALFCNGPRIHEYLSEMNEVLAKYDAMTVGELPNTHTVDGI LRYVSAAQNQLNMVFQFDIVDLGQGKDYKFLTTLPGWTLPELKTAVKGTQVIMKGTDG WSTVFTENHDQGRSVSRFGSEKTPELRVTSAKMLAMMQGTLSGTQFIYQGQEIGMVNA PESWTIDEYKDVDSTNYYQMVQKISNNDPLELETAMKSLQRFARDHARLPMQWSSEAH GGFSSSEKTWMRVHDNYPEINVKVQEKDDSSVLSFWKQVIQLRKEYADLFVFGDFEIL DEANEKVFTYIKRGQKQSALIVLNFSDDTLKFKQPAGVQDAKVLLRNVEGDLNELQPF EGRVLLF AFLA_126910 MEDHPRPRVHKACDACGRRKVRCNGQQRCQQCEHMGLVCTYTDN RLARSRKHALRRGEVISKHKIESSSNVLLAPALSPVSTSYFESLIPEYMHFVYPFNPI MTEDEVQDAVSKMDSDRENAAFVYAFAAATIDLAQSNRPTSSASTHITQLVNQAIETQ PPMFLGFRPSILRTMTNVFIQMCFMSLGQYDLGFIYLREAITMIHLLRIEDKTALANL NPTERARRQRLYWLCFIHERFMSIVHFSPATLSPHASFPEADPTLAPGISQGWTQVIK TFLILEPTFINLWIGDRSQVSAKWVEKKYQELDDARWELEVSMLSETQQADLVITRQW MRTLLWQMAMSNWLLSSRAPCPSLSLELPLRLSGQLRQFLTKISQNTIRVHGSSILTK LVEIINTIADVVIHLPQATLEQTTSRIGDIVFMKSVVFSFHNLQQVSKDILLEKFCLI RDRFPGIEAACQLTF AFLA_126920 MDRISIIALYLVALAAGFQTTRTPEELATQLVHRHCQNLRIESI HIGDVIGMTGIIAADCDKRLPGGRWEKRDTRLWADDCLGWNYTRPEGFTAEPYGRGGQ RGGCRDWVYEQIGDFQFRIRANCAHAFPRQVSFYQPGLLEVDLEGGHLGCLGVWRKGY AFLA_126930 MPQPGPLFDPEVYPNDSVYDESSRHLLHTSGISGQVVTEDPTFC GYTPGPQPVTGSKSASECCPHNPKHKAHVAQPADRWDWVEKLQTFGYNAQEINEILLE RARDAPWIYIEPEKFYIPLMSTTHHIRRCCHFLGERRQQGSTSDSMDTLQTGIDLAVD RYETVKAVEELCGICGISPKTRITTDWLGSASFTEGNTAMAVTYSLPTDKCHETRAST IIGRISGALKGFQDAASLLQLKCLCCDSFTVIRHGGWRSEVDGVAAGEVVSLGFHLVA NLAQALEQSMGKNTPSPRQILSASSAILRLISPEFEVAHGRSDMGASLHLGALAVQFL CLGFMSYVQAHIGPLEPFFLDTPISRVVLLGLDEDNKYPKLVAKLVDITCLGDMTRGP VWMFFRHRNSEGNQAFKRPYHSDK AFLA_126940 MTEPWEVQVSYCTGVARRVLLRTTVAHLLRTFFNSPDEHIDEFE RQLRESSRSVQAIHSWIKGLPQKSGQQILGIIRTILNTLKPTGLDPTGKYLCVAWPFD GDTTRCLKVPLGVGTPGLKSLRIHMILPLLHISLWNVSRQSMSNARRLEKPAMKTYAC SKQLLLEQYVTGQIPGH AFLA_126950 MLPGDTVPIQSTGGINIFALQFANMGGTQVIATTGNAEKAAKLK AEGADHVITYKEDPRYGGTAKEVSDRGPWADFVTEIGGR AFLA_126960 MAHPLPRSMKAWTFTRAGSAEQVLQLSQQHPIPTLRTNTDVLIR ITHVSLHPGTTIMMNLAPSIFRNTPCIAETDFSGLIISAGKGVPVTPSPDNEHRCFPP GTPVFGSIPLVSHIVAEEGHIVGRCSAASFDVAKTLGCHDVVSYNNSGESSTATDMEV YGEQPFDKIIDARGSQALWYSSPAILKSGADNSYTSVGPVLESYTYLSMFACLLKMGF NRFLPVWAGGVDRKYRQVTAMVNPDKLERLRKLCEAGQLGVLIGDTWSFEDAVQVGHD LY AFLA_126970 MGLNEEKTTKLEPSTSGEGGSIHVGESMGTLHRRLGNRQIQLIA AGGSIGTALFISIGGGLAKGGPGSLFIAYTLYSCILGLVNNSIAEMNTYMPVSGGFIR LAGYWVDDALGFLAGWNFFFYEAFLIPFEITALSMVMSFWNETVTEPGPTAGICAAVI VCYATLNILAVKFYGEAEFWLSGGKLILIFILFAFTFVTMVGGNPQHDAYGFRYWNHP GPFAEFHTTGDLGRFEGFLAALWSASFCVVGPEYISMVSAEAQRPSIYIKSAFKTVYY RFCIFFVVGSLAVGIVVAYNDPALVNIYFGDGDSSTAAASPYVIAMENLGVSVLPHIV NALIFTSIFSAGNTYTYCATRSLYSLAVEGRAPRILRYCNKSGVPVYCFCVVMLFPFL SFLQVGSGSAQAITWFVNLVTGGGLINYFIMSVTFINYYWACKAQGVDRKKMPYYGWF QPYGAYLAVTVHTLVIIFYGYSSFTPWSVSNFFSNYTMQLVAPCLFIFWKVVKRTRYV RPHEVDLVWERPGIDAYENSITTPPVGFWTEMIGLVGIGRKKNSQDPERDN AFLA_126980 MYDKPHGFSKPVSRSTCQYAIAHAIAHAIAHAIAHAIAHDIAHM PVQMPCTRAKCDQATRCPVYEGKPYLQKYLDIEKFTHILTSILTKAYAHAIEIQSPPT LLLLENTGNALRRYTHDDVTYPPVDPSFKSPFLGWELNEVVRFLREYTTGTVVDESVF LIADQRTAEDESLLLVQSLRDGESVEFVRVAAEFVNTQAVAVAVATTDVQELRSLIDE DGVFRGGSGRGGNLLPRKGGKAPRKQL AFLA_126990 MSNITTEPTKSRRQNKGLACEECRSRKLKCDMSQPQCSTCRNMG VPCITNTARRPRGPRKGHVNVLRSRIGGLDDLFFDRAYPFVPIVHQQRYYARATHESD AREPLTSLQYAIRTLAASMGTQFQGVLPLLYTHTCCLLDVWEQKMPNEALPIEVVQAR LLLVLYEILKSNPSKGWISAGRCFHLVHLVKLDQIDNPNSWQTSNLSWIEVEERRRTF WTAYALDRYANLVNGLPLAMNDQMASYSL AFLA_127000 MLTILARCLSHRNQCNVERILDPTSQECIVRHRALDTILSHEIQ TTLSSAAAGFEPCDPTFLFTNMLAQTAVLVLFTALDSVPEVAEMYQDMYGSYETKASM ATERVLSQAQKLSQVHPFTPIVLFICAEFSRSCNGFNQNFAAQSKAISATLSDMAPIN SLARMLELELQRNLEIVPGAIGF AFLA_127010 MTQKTIFQGDTKAQSHVSVGSSIYSEKQGASCTVHGSSQTPHLD RQLQQPQTKEILQALTVALNDIADERQCTKCAVETASGLLTGYVREVKAAKKNGQYSK EEKKALKKEIKDLAKGVKRDVKALWLEGNSN AFLA_127020 MMIVPTPDIATTPEIAIVGGGIVGLVLAAGLTRRGVQVKLYEQA RNFREIGAGIGFTKNTVGCMEKINPAVVTALRSGGAVNVSLDQQDPKSYLRWIDGYGQ QREGDPMYQKPLLKLDAGVKGWETVRRDQFLEDLVKVIPEGVVHLRKRLDTIEDNEDV DKVYLNFTDGTRAEAEAVIACDGIKSRARQLLLGLDNPASYPQYTHKVAYRALIPIDK VVAAIGEYKTFRQHMHVGPNAHLIHYPVNTNTIGATVVVSDPNDWPQDKPTTARASRK DVFEALAGWCTPVRNLVELFPEELDQWALFDLFEYPVPKYNKGRVCLMGDAAHASSPH HGAGASFGIEDALCMSTLMSELIMDLREHRTSKATALRTAFDTYDKIRRTRTQWLVNS SRRVCDLFQQPEWANPAKRVKTESCFEEVKDRSFKIWHFDSTAMVDDTSREYRGSMNL ATNKVKSVNGNNGVSVGHGTNKV AFLA_127030 MIVNANDSTLHLPRILCLHGGGTNARIFRMQCRVLERMLHPHFR LVFAEAPLPARPGPDVTSVYKDYGPFKAWLRVRPEDLAQNAHDIVRKIEESLAAARLA DDCRGATGDWVGLLGFSQGAHLAVGILATQQELRRRGEDDKVWPAYRFAVLLAGRGPL RWLRPDLPMPRGFVDAGQCTTGGEPLVAVDLLQDNRLRTPTVHVHGQTDPGLELHRRL LYQNCDTCMTRLVEWGGNHRVPIKVRDAASVVEQILSVAQQTGVLDGSL AFLA_127040 MSDTTARSEKSVDAKPPQPQEPPSRPAGGPSPPPNGGTMAWLNV LGSFMLYFNTWGILNTFGAYQTYYESGALFTASSSNISWVGSIAAFMLLFVGLFVGPI YDRGFLRTLLIVGGFMVVFGHMMLSLCKTFWQVLLAQGFVVGIGTGCLFVPCVAIIPQ YFSTKMGMAMGIAASGSALGGVIYPVVLYRLINEIGFPWAVRVIGFIAFGTLLIPLSV MKLRVKPPKVRAMLDPTAFTDAGYMAFILTSLVAYMGLFVILFYLSYYSAAERITDQS LAFYLVTIFNAASVFGRTIPNKMADKLGPFNLLVPASLLSGMLMLVMMAVHSKGAIIA MALLSGFMSGALIGLPPMCLAMLTKDKSRLGTRVGMGYAIIALGVLISGPSSGAILRT GGDSLNWHSLWTFGGVPTCAAGLLYAVIRVAKYGPKLAIKA AFLA_127050 MSEKYDWLVQIPVNASDMKAWANARDAHLLHLKPYVADGTIVFA GPTLAAHPKEPEDKMEITSSVMMFRARTEEEVRAIVSKNAFVEAGVWDMDRAVVAPFK CGVRTAL AFLA_127060 MGSNSAVLPTTIPTVDISPFLDENASPEAKEQVVDAMRDACTTF GFFYLVGHGIPEEDRQAVLNCAKRYFYLPKEDKMETWIGKAMGRSFRGYEPPALQVHQ EGLLPDTKEGFIIGRETHADAPEAGTFHTGPNQWPKALADEDFRIPVMKYHAKMLEMV KVILKVLARGLPEHWGYKSRLQ AFLA_127070 MHSSKVIVITGANTGIGLETVKSLLRSNQKYHILLGGRNLEKAQ LACQNLTFETSQSSVEPFQVDVESDDSIEAAYKHISAKYNLVDCLINNAGACFDAHIA DGRMTAREAWNKTWDVNVTGAHIMTTTFLPLLLKSRDPRLLFITSGLSSLQASSDSKN PKNVFPPAGLPKPLPFVGYRSSKAGLNMVMVEWAKALENDGVKVWSVAPGLLATGLGG DTELLKRLGAQDPSIGGDTIRRVVEGERDGEVSYVVRGYLTPIQPW AFLA_127080 MSEILVITCPSGKQCSLLIPLLYNKGKFHLRLAAHSEASAQKLK AQYPDADVVTTDLQSLSDCRKLLHGATAINAVLPSLHSHEKEIGFNLIDATVAESRRE GNHDLKSYVEERLFLSPLDCWTILKPTNFLDAYPVAALAAQEHPVLEKWWKPEHANSV IALADLAEASAKVLNEREKHYLAEYPLCSTMPISETEIIQIIEKRIGKKIELKTPSFE TGVNKLIRALYGGEEKGDGELGLGLASEGDLRGDLVRDTVEHLILFYNRRGLKGSPNV LRWLLGREPTSVVQWVDGTALA AFLA_127090 MVNSGSNIKSESLTLVFGPQDPNLDNTFLQSLRTTLLETPELQW ILETLTQLPQEWQKISDAHSELAAFQGQRYLQLLNEWVRRGTLPSNLFPLPNILVTPL VVTTQLAQYTKFITQLNPGITSNDSLRGALKLDTETAGLCTGLISSAAVAISETLAEL QKHGAAAIRIATAIGALVDAGDSEREDGDKWESLAVGWTAHGTESKLESIVDGFPEAY VSVISEARLATLTVLKADTPELLEKLKAAGVIFTKTALRGPFHCGKRKDQAASLLQLF DSDPSFQFPHASQLAFSTRSADSGKFRIEDKLHHAAARAMLTDKADWHKLFTALHEST STRPSLTICFGSQRFVPQWFLRKLGPKLAYAADLDANPGQLPPALGALLGPIEDDAIA VVGMACHFPGGSDLDEFWDTICAAESQCTEVPTDRINFDYAAWRENNEKRKWFGNFVR DYDAFDHKFFQKSPRETVSSDPQHRMMLQVAYQAVQQSGYFSKAAIDQHVGCYVGIGV VDYENNVACHAPTAYTATGNLKSFAAGKISHFFGWSGPGVTIDTACSSSALAVHHACN AILNGECNAALAGGVNVMTSPEWYQNLDGASFLSPTGQCKPFDEAADGYCRGEGAGAV FLKKLSAAMEDGDQVLGVIRGSSVNQNANCSAITAPSVQSLTGVFNTVLRKARLDPKQ ISVVEAHGTGTQVGDRAEYDSVRRVLGGPGRAEPLSLGSVKGLIGHLECASGVAALIK VLLMIQNGAIPPQSSFRTISSKLDASPLDNIEISTCLRPWATGSRAALINNYGASGSN ASLVITQADHAEVQSEAPRVVEAFAGKRPFWFSGIDDQSLRSYAAKMVRFLQTRKPND NRFTIDNLSYQLARQSNRSLGQSLIFSCASVAELEAKLASFADGWSELKSTQRQQSSR PVILCFGGQKSNFVGLDREAYDHFKVLRTHLDQCHEICLSLGLGGIIPAIFERTPRSS IVELQTMQFALQYSCAKSWIDSGVQVAALVGHSFGELTAMCVCGTLSVRDTLKMIVGR ARLLEEKWGLDRGSMMAVDGELESIQKLLRETNAAHTTEPPVNIACFNGPRQFTLAGT SKAMAVVKQTLSSNPFFSSIKAKQLDTTHAFHSVLVDPLVPELEKLGEDLIFRSPVIP HERATQEAIRDPPAFNVFASHMRDPVYFDQAVQRLAQKYPSSIWLEAGSGSGVTALAS RAAGSCGMVFQSINITGSGAVQNVADATFNLWKEGLNVSFWGHVGQTVKSLLLLPPYQ FAKTRHWLERRKPEVKEAAPVAPWPKSPKGLWTFMGFQDSGNTHARFQIHSTSDEFKK YVGAHLVAQTAPICPSMFQHVMAREALVSLLEGSDIIPELENMENDAPLCLDESRFVW LDAHRTDSESTSWEFRITSVDKDNAGSNATQHVSGRLLFRSLGDSTSVFTTFERLVNR RRPLDLLDGQEAEDVIQGSRNIYKVFAPVVQYNDDSYKGLQKLAASGNESAGRIVKQD AEQTILSVGLADTFCQVAGIYLNCMAECEDGKMYLSNRVERWIRSPNVPLDSRPNQWE VHAIHNRPSPKAYVSDLFVFDSATGKLVWVIIGLHFVEVSIAGMSRLLGRYTGVQSTQ QVAALAPEPLPVLPGIASPAAVPVAKPPANKPKTKTSTSSPTKKAPGRDVLNGVRELF CNLLGLEPEDIRPGSDLVELGIDSLLAMEVAREVEKAFSIKFELEELMQMTDVRSLVN CIQASMGASDSSTTDGDLSDGFDEASAAGIQTPPSEPAEDVKIPSIGSAPITGHLSAD SIIEAFTETKLLTDQFIEQNGLSGYSNYVQPKLTELVVAHTLDAFDQLGCSLRSVQEG QIIKRLPYLPKHDKVIAVLTGLLEKARLIDLDGSTMIRTAVPLPTKSAPQLLQELLHE YPEHAYDHKLTSLTGSRLADCLGGKTEAIQLLFGSAEGRELAAGMYGKSPINVAWLRQ LQHFWQRFLAHLPQQQQEPINILEMGAGTGGTTAALLPLLASSGVPVRYTATDISPSL VAGLRKRFKDYPWMRFEVIDIEEKPPTKLLETQHVVLATNCVHATHSLAVTTKNIHRI LRPDGFLVMLEMTEAVPWVDSVFGLVEGWWLFNDGRTHALAQPDFWKRTLHANGYGHV NWSDGQLPENSIQRIIIALASSESQNLVSICPPAPSITTADFAARQAVVDSLVQKHTH DFAVPTSLPISQTNNDSFRCVLVTGATGSLGSHLVAHFAAQPDVRKVICLNRVSGSDA TTRQLEALQSRGLQFNQNILLKIEAIETNSSAPMLGLSGNEYQHLVNCVTDVAHNAWA MSMTRPARGFEAQFKALRNLIDLCRDAACHRRAGMEKIGFQFVSSVSVVGCHPFLTNE AWVPEQRVNVASALPMGYADAKLICEKMLDETLHRYPDHFRTMAVRVGQISGSKVNGY WNPVEHLVHLIKSSQSLGVLPDLDGVLSWCPVNDVASTLGDLLLGCNTPAYPIYHIEN PIRQPWPEMISILSDALDIPRTNIIPYGEWLQRVSNCPPSIPASENPAVRLVDFFQTD FLRMSCGGMILDTTHSKEHSTTLRSLGPIDRELVMKYIRAWKDSGFLL AFLA_127100 MFRSLLTLTKLASPQYIFPTVDPKIDGEECRHDCADCTVKWPSK VKIDTTLPMYGYIKQFHTHVLVATGKTDWMGKVEQEKGSLMEAFKSEGGKSKHGRIMV SASNLTPPEGEDGTIDSGKTTVLLLPSFTFVDRVAYGDVRHVVDTFIDNPKQESRLSS RPCPHDYVVLLCSHQRRDARCGITAPLIKKELERHLRGHGLYRDLDDERPGGVGIYFV SHVGGHKFAANVLIYRKKEQQMIWLGRVKPEHCEGVVKYTILQGKVVHPDSQLRGGFD RMKGLTSW AFLA_127110 MESPRESATIVNDPNREEGNVQSETTPLLQKRASDVTGKIISTN VTLFVAGLNDAALGVLVPYILPTYGVTLFQLSQIYLINCAGCLTASFSNIHVCSRIGT GGTLVLGAVIQTLGFALMYWNPPFALFTAAFFLTGMGGAYQDAQANTFTTTVDNAHRW LGILHAVYGVGTIISPIVANVIASRTPVWHDFYFVMLGLGLLNLCLLRWTFREGLFKP NKRNASGTAASELKATLSNKAVWILSGFFFLYVGAEVTVGGWMVQFIVSVRNGDPKEV GYIASGFWTGFTLGRVALADITHYFGERRMVFVYLTLAVTMQLLFWLVPNIVVIAIAV FLLGFVIGPFYPIGLYVLTQVVPEDLRIGALGLTASLGQAGAAAFPFMTGAIASRAGV EVMQPIMLGLLIGIGLFWALLPRQRAISL AFLA_127120 MRLRLWFRSPLWSGRSRRSFREKVVQSPHLSYSLQNGMNLRISL PKCFVNCF AFLA_127130 MLSVATSIFGLLATVPLAAAGSSPNVLYKDVVVVGGGASGAYAA VRIRDDFGKSIALIEKQDILGGMVDSYTDPKTGKPYNFGVMTFLDAGNATGFFDRFGI EVGSPSLLSVNTDYIDFKTGNPVNFTLPPMADQMAAMAKFLKIVEPWEHLMQPGYGNF PEPDAIPEDLLIPYGDFISKYGLEAAVPLMYESTGLGLGDMTKETTMFVLQGFGASMA RAMVGKQNQLAPASGRNQDLYDAVAEDLAGDVLYSSTVIESHRSNSSVILTVKNNKTG ETTQIHARRLLLAIEPTAANMAPFDLDRNEKNVLSRFTYTNEYTGIVNNAAFAFNQSY FNLPRTAAPDNYLALPEASFTARIDYIGGGDLFRVTIVGNSTLDTAGAKRLVQNDFNT LLRSGRLAKTSNGEPLSWVDFATHGPMHARVSVADVKAGFFQKLNALQGGRSTWWTGG AFSVNFQTTLWEFDELLFPELLKGLN AFLA_127140 MYVSKVTISIKLKNLVANQVTAFNIFTDVLFATIPIPIVWNLRM KRRVRMYLIGVFSLGYLLALPSNLHSEKGPSNRINSTVGLGIIKAVAQLAYSNETDIF FAQFNVGILTACVPSLKPLARRGLKLSEYTDSRSRSHGLYGRRSTGRWTSSRHSRRMF SIHIRDQYGIEELHSHDLSTRSQSDEVKLTPYGATVSFTAHAERGTLEDSSEMDQSIR GETSLEKRVVGGILKTTQTTVVSSRAAD AFLA_127150 MVDNSYANIASCLLRMGKPNEAEAMYTSVPDVRDLTNEQLLREN LPRYSSGMQLLSMIRQAQGRLDEALDFASKVLQFRRQKFGSRFKTCDSLCHVAKLMLL TKKSMAALQLLDECISVASSLPKAEGYLALAYFRKYKIYRAEGHADAAECLRDAIRAK EIALGRLGSDYDSTLADDDEAAYTQLVAWLLW AFLA_127160 MGASSLSPTSRPRVWNIVRQRFKDSLHDESDFEICMESFSLDIL LSQLKSVAKAHRNENFLLARLSRFRPSLVYLNDFMTVLVLSFALDGKAGALLWGGICL LLSLLAPLEEIFSEVQTMLEELIAALPGIHPFGDNIILDEKLETAMVDLYTELALFCA RAISFIRVRRQHLLPIRQSWPQLSREFQQGLKRVQMLSQQVERETQRAATTAALKWNN EMITLFQSLSTGHKHAGPELPCFHIPLTLNDRFYIREGLLDQISGTLNPKAGRSGPRA LVLYGIGGAGKTQTALQYAYRAREQYDAVLWISADSTVKMAQDYMAVARRLGVLPETQ ETQDAFGAMAKMKSWLADTTCSWLLIFDNADNLEVLEYGWPNGVVGSILITTRDFNAS LHPASQGLHVNVFDNKMGAKALQRLLGPSYDYPSNAPLIAELNEQLGGLPLALNQISN YIRQQKMGLKDFLILYRNNQEKLHKRRPAAFDYQHTIGTVWELALEKLTGNAAVLQRL LAFLDPEVIDEATLCNAASTLSKQASLTDSSLMFLADPIDFEDAKAEVLRAALIDRDP RTKSISVHRLIQQVTLSGLSPVDKTRYFDLCCQLLCQAFPSSWTDQEHVWVYHAWKAC EKCLRHVMFLAERFAQVEGGLKCLDTFIELLLRCSW AFLA_127170 MELCQVLERLEGAHAQIERVRKICGASSISYGVLHYGDVIYMKS VGLRDQAQRLPADSQTIYPLASVSKGFLAAAVGVLVDEGKLDWHVPIRTYLPQFDPVH DRDLGQYANMIDLLSHATGIAQHELLHTGPFGSIISESSKLVHLLNALPTSNSHGSRF RRWWLYNNHVSALASQVLESVSDGVCYPDYLEQRILRPLHMLRTFISTNKFDSDSNIA LSYARLSDGSFAKLAFPEWLQETTHILASQGVTSCVQDLLIWAKAILERELWEQLQNK EKLDRPFPPNNPLRQVTTIRHGHYPHPLDDALGHPSHYCLGWLSLTLPSSNLGMISFN KETRRSCDHLDYVLGKDSPPLRVILHNGKAPGYNSAIYTFPETTSAIIVLSNGATDGD PADWGAQILTQELFDLRPRMGIVSLAEKEAALSRRWFDNCILRPLREDLQRCERGSRA GNSHLHDLKRYEGRYRNVYLLTTVNIRFDEPSLGLTVSFNHRTDKEYTLRFHNEHGFS FLPPDRDSWLRDCMLEVFDYRTGILKFTHTDDGHISGLWWKWSAWEEASFFTKQPST AFLA_127180 MAQKMRREGQHKNYLHEKRAVLEEILRRQRDQVNDENDGQEIEL SQPIGCGCTSICHYCVSRLLSVDNTD AFLA_127190 MKFPSSLALTALLTGTALAGPHGQGLRARRFQSPGWLGEIDLDS PSEAQAEQPSAQVTPAPQAKSKAIAHSQAQSEDDYLINENWAGAVQTTAPAASATYSY VAATFTLPSVTPTAASSSQTQAASFWVGIDGATSGDAIWQAGVDIYVQNGKPSFAGWY EWYPANSVNIDLEFNFGDVVFTSVESTSNSEGVAVIENLTTGKNVTVTASAPAATATL TGQNAEWILEDLAVDGDGLTFVDFGEATFTGCVAKAGGKEYGLGGSALKTPPDTQGQG QPGLPLAIHEVQQPKGAPSGKAAMVPSSKSKCARYFATLMEAHWKYFGLSSFCQPTSN LVSLFMELETFKANTVYCTLQSRIAMVELAREYMSLVDDGVSRGMSEEAVRANISRKL MSNLGMLNEGTAMRIKEGERDELIEEFYRSISRALRWKRLHDEFGVPEVFLIEGEPAA ADDGLLSPTFGLKETCLKLSGMVDMIQRLRGLDQLAAQRIFLVEELGRRIKNVLGDPE NLYESPEGDFDCSDNDDDDDDDEESGSIMEDDMLYTLGLLTIEPAKWIDRYEWDQTSQ MEKCAMGTYWKSFGDAMEVSYDSLPSGKKGFKDGLQFFEEIEKWCRDYEIQAMPHHPP GISDLQDQLIRNVALNGVPKILHNLARNMILVLMDDQLRLSQPAPWVYALTHTVLVVR KYILRYLVLPRPLLFRQLRVNPDPEERSPHRVRIWEAYPYYVAPTLWNRWGPYAWITW AQGRPLPGDDGDRFMPCGFNTRDIGPSYMKGRGRNYARQENVKLRRGRRGQCPF AFLA_127200 MPLIRKRRADPPPSNSEADSSGSEEDQSQGPTPQRRRTSNQNQH QTQASASDTDDDATHHPSSTDVMVKKMVRLALASEYARQPIRRTEISAKVLGDQGVRQ FKVVFESAQKVLREKFGMQMVELPGRERVTIHDRRGAFFLLYLKDGGCLCCFGGVSAN AFLA_127210 MPHAEPKKMGENAVNGEKVHSHFLDHLTSYPLVSDSISVFKSNK YGAKSIEYADQSYDRIAKPFLPYFSKPYGYIAPYLARADSLGDQGLSQIDSRFPLIKE DTEKLRNTIYDNATYPVRVVGEVKSHVFDTYGSEYKKCGGDGVVASGKALITTSLVLS QESLGFLSSLLQAKKEQVKDVVNDKTDKANN AFLA_127220 MKFMKVGRVAIITRGRYAGKKVVIVQPNDTGSKAHPFAYAVVAG IERYPLKVTRRMGKKTVEKRSRIKPFIKVVNYNHLMPTRYTLELEGLKGSVTNDTFKE VSQREDAKKTVKKALEDRYTSGKNRWFFTPLRF AFLA_127230 MPAAHTRDGSRDRELVVRHQSIPMWDSSDPERAPPPLPMNPGST SPATKGNVSPGIQAMAATFTEKMRENAPSPYISNPMPPKSSPEKSLIKGQFHKRMQSF QNSPDPRSEFLNYLESKSPERPQRASTFDSTTKLPEKSLMKSEIEEPESEPEPPNLLI SPRYLSKPILGESTPPSATMLALQNMQLPCEPDSQPKSVESDPFVGPSRPPNYSFESL STQIHSLTDIASNLQREMAQLSRRSKDNATDLVSLKAATNARDEDIRKSLRELSSNLN SRFLDADAATRFDFSTLLGSDSGVNHRESDSSPNSKKSYGRMSSPNPFAAAMERELAA SPTPISDGSASIALLEKVLREMATKEGQEKITELVDAIKARPVSDTPGEPLDSRITKM LEEILSIVKDEWESKALVRTRAPSVTGTAAFKTGRSKSMDPEHLYAPDLDMVHGDNGR LSTRSMSHSDEQTTEEMLSIMRRVKNSVIEGGGMTNEVKALVRELRGEVLGMGRNLAR KLEEIEIAREAAEDKPAGPGKEEISAIVNDSLCELREQLEAIMSENKQHSSALSEFRS AMDGNQIYSIVQRAFNDLNLSHLRDEPRGATMEKDDILDAVRDAWETYKPEIELENFG LERDEILECLSEGLKAYQPRHEDAVTYDQVLAAVQAGMQQFEQPPTITKDEIVHTIRE CLETSQTATRSVHDEKLDAIREDILQAVTESVASQSALTRQSLDSGLDREEIMSAVAE GIQAHFSSARQLEQPYVTKEDVASAVNDAFYAQQSTLSTNVQPTVSRDEILAAIAEGL EAQNSITREIELNKDDLMEAISAGLHEANAENHNVGDQILERVLEHHDGMREELKQQS LAKENDTIQILDAIKDGIAVVRQEVEGYAATAAEASGSHEIMDTVKEGFRLLQADMER TIAESVVASVPRNPDTPELLDAMEKEFEHLRSTISTLLRTEQSTSTDKEEILDAIRDV SEAQKAPKSQDIATIIKQEFEQLRESMNMSLVRAEPQAPKSDKEEIIAALRENLDSFR AEKSERSDKDEIIAALREHLESFRGERTDKDEIIAAFRENLETARGVQSEKSDKDEII AALREHLESFRGEKRETTDKDEIIAALRESFDTFRGEKSETSDKDEIIAALREHLESF RGDRIDKDEIIAALRENLETFRDEGSRSRDLGENDFSTGDLIDVFNDGVGNIRDDLGK LLERPVEFDYNELLDTLKEGLSSLKADVEMLRKSQLDAEDVTTTRGGELMLASQANQH IPHNQPDISSDMEALKSLISQLQTKVEAIESAPRAAEPATDALKKEHLDEVLAGLHEL QGSVTGIVARENPADETTAKKEDTDAIETLLRSTKAQLDEMKFPAADELARAEQLGSL ESMVKETKEALFELSTRLEAEGPTKSEIGTLETLMKDMWLALDELKGKSPEDASDTEK LVKADLQTVEAMIFEVKTQIDELKLPDPETLPTKTEVQDLSALVTEFKEKVEAENELT AQGFEARKVEHAGLAEKIEEAKAVVEGLGDELKSKLDGSSEGLSELKQLLEGLAVSAE SFTTVENVKELTELINREFERARGEQDAHKLEKEERDAASLVKQDETRAAIIVELGAK IDEKLGEVMAKYDDMQTAMDTKFSESVERDNAHLEAATDTKSLAEDIKLVIGTMGDSV NEACERISEDTKSFLEKVDVSYNKMEEMHNEVKTQQEQARSDIERAAAATDRVESKLH EFHPQVLESIQEILNIVGQHYDHSQKSAEAIKTDLSALPSTIPQMLPALPPPEPEKYD DSKVQEKLDNLLHHAKNEKVQEALNILVERVTNDQVHEKLDQLLSQTTSTNSQMYDKL DELLNHAAENNGPIHDKLDTLIDHATNTDQSVTQMMKLDEMHKDIMETSRKMTEMFAA QSAMVAEDNERKRREAEEAAVALERRNAQKEQVESEIVDLKDEKESLLKMIQTLKAEK EDLVKQTTKLGKELSSLEMALELRHEEMQVMEDRADSLEKRILEGVLDHARSVLLSRP NNGLNMKKSRGSRARGPSAAGTSSTVREARNILSNSVGMALRKRSQASQAATPTKDGK ERRILSLSNVTGNRGPTDRQSSVSSGFASLKRSHSVKSNVSDRKSSWGGRSSVVNKEN EVFPEEDENRSDIESDVGTERKTSYAGSMMYGAGSTVFNDRRLSGSSTATEIVGKGSH SVADDGDGASDIHDDPKADDPELDSESSKLVLYGQHSDSGIGVASVAG AFLA_127240 MLDPFPPPPAWLRDRVEPWALYLNVPALSDHIHEVILAFAGYQF IHSFLSPWLSPILFPRHYPQLNKRTKLNWDVHVVSLVQSVLINVFALYIMFVDKERKN MDTGERIYGYTGMSGLLQALAEGYFVYDIIVSTVHIRMFGVGMLFHAISALWVFSFGF RKLTETLRKLLLPNLHSLRTLLPIPQHPLVPG AFLA_127250 MNAPMPPTYGRGFPQAAQRSPATPRRGPQGPAMPVPMPQHPVPA QYIPAQRNMPHPNDAALRRSRKPTDKNIPDGIEDVVIGEGVQQYKNLRDLEKRLDAAI VRKRLDIQDSISKTVKKYRTMRIWITNTVENQPWQGATGQNGSATNPGSGRYKVRIEG RLLDDDTDPTAPEDSDNEGNETQANGDAMDHDGKDAKKNTPKRSKQRFSHFFKTITVD FDKSSTANPEEVKTVNWTKPQLPANTVTLPPTADFDSLQFSRASQENLNVTVSLVRDE TPERYKLSKDLAEVLDVEEETRSGIVLGIWDYIRAMGLQEDEEKRLVRCDHPPIHNAQ PHLPTISHYKP AFLA_127260 MPCVILPFNITTTQKFEEMPHTLPYNRSRQRSCVACAGGKRRCD RQTPQCSRCLARGLECIYIKGLRQQQRPQSPRSLPIEPSSSPSLTDILAPFPPDDFTL LDNTELIASWLTPSPSLLAVPTLLPAGDFPETSVIDRWSTKLLLQSIKSYPRMFARSR RTPFIHHRLYDVYLPEAIQDAFTVLEGYSSLDESDKATAALQYMLLCKIMLNLGDDVT TLLGSKQAQKYASPRLEAMKAVARAHANRSLEEYEKALSDYRFELGRDVFIRNHLRRL YDAMLEQNLIKVIEPFSRVELDHIAKMVGLDTPQVERKLSQMILDKVIIGVLDQGSGC LIVFDETERDQAYDAALETIEKLSNVVEELYTNQASQLE AFLA_127270 MAITELIFPQIKTDPDSLREIEQDWPIISKRLTHPNPGLLNAYR GFLLTENGVDVRNAHREFLLFEWDKAEDFQAFIKSDQFGNFAASIKHLVNGPPTLQLF ETNISPREAASASVVEIIRLSISNPENAETSTQAWARISRFLSGKKASVTYGTSSNLE NEVVGGIIGWHSPETRSQVIQEAEYIEAFNSLQSLGDVNQITVDVAAMELPSL AFLA_127280 MGDVYRHAAITINAFASADGMGQCTTAYPPTNLVDYACRIGGEV YVHEEDGGFADVYEPEGVTQTRGWVFQEEQLSPRRLYCGQHHLVWKCAEMWARNDRPC GFSGEVFDKPFMSTYPCSNWKFAYRQWRRMVQSYTRHNLTYAAKDKLPAISGLAAVFD QEFPGHHVGDYLGGIWRGDIYRGLLWYRDGNAIDRDRRIEGPSWSWASWDGPINFVFE DDDASWPARMTWQPQRKYPCKVREASTILTDPENKYGRVSSGRMVVKGKVLMNAGRLG EQSENTRPTDPEIHLDHDETFPEDSVLLPITQRSGLLLRMDPRTANDAMRVFRRIGLC IRPERHEDFNWMRGARWETQELALE AFLA_127290 MPPPQLSPAIQTISNVRLHSHSPDPTTYDLTFSNEQTLSSIAPH TDTKTSPNTSPPPLALPALTHPHIHLDKAYIHNSTTIHPQTGSFQEALTLTTQAKSTF TEADLHRRSEWLLSESLLNGVTALRAFIEIDATVHHKCLTTAIHLKHSWRNHCHIQLV AFAQDPLFSGPNAQTNRDLMHSAFTEHGAHIDVLGTTPYVESDLESAKKNIQWAITTA WQLDKHLDFHLDYHLDPTKGALVWYVLQCLRDVGWVKARTRKRVMLGHCTRFTLFGSD EWERLKLEIGDGEHALRVSFVGLPSSDLYMAAPPCALPPSSSCDSGSGPGSGSGCDVK EGGVGDRVRGTIRVPELIRRYGLDVVLGVNNVGNAFTPWGSVDPVALACLGVGVYQAG TVEDAELLYECVSTRARAAIGLEEESENRVCVRAGGRADLLLFFDVDESGCGIVRPRR SVAEVVWDPPSRMARAVVSGGRLVRGRGGLWDEDVFGFV AFLA_127300 MPASIETAPPAHGTSSGLHTSAPTVERVSPSLSSSLKPSRPPSA ISSKVQIPKLSPATTELVARVTGHRKGEKQRNETKFVTWNPPSLSQGWNHPRLQPSST MKASSTIIELPTAPFVYSSHMTTPAVSQQAPVTTPSTTSPSTHTYTSTLPQGGHDKPT SLVNIAPKPAGPPSLEAPAPPQDPLPPPLPSQPQPVAPAAKDSPPSAKRKRAAVGSRQ RRSTTNGTKRKKRRRGNDSDGEDIIRAGDSSSDESDVAPTATQTKSGRQVNRPSLYVP PSASPTVAKDSSNSLEASDTTQRQAAAVRKRKRVYRKPKDGIVNCIHCQRGHSPQSNA IVFCDECNGPWHQLCHDPPIDSQVVTVKERQWVCRECKPVPITILQPTVVRSNPSLTG PSLGPPVHAPLLMPKMEVGGEGFSADERRGFLSGLSHATLVELLVTLSDQHPAIPMFP KNLKTLQSKFSFKPNNAAIPTPTSTSSNTPTFTNSITHALTNGVDAAQQKSGVTPDFL PTPSSAPQTQHDLSEESDYEFSEHRLYPRAGNGFRLSTNADDMDIMYEDVSCRTFSYA LHGPARVRAQANEVAPIWGS AFLA_127310 MSVTLKLHQAFRARRPALRWSSSVLRTTAQWRTYSSTPADDTLP LKGVRVLDMTRVLAGPYCTQILGDLGADVIKIEHPVRGDDTRAWGPPYAKYQDESRQG PGESAYYLGVNRNKKSLGLSFQHKSGVEILHRLAKECDVLVENYLPGSLKKYNMDYET LREINPKLIYASITGYGQTGPYSNRAGYDVMVEAEMGLMHITGARGGDPVKVGVAVTD LTTGLYTSNAIMAALLARVRTGMGQHIDACLSDCQVATLANIASSALISGEKDTGRWG TAHPSIVPYRSYQTLDGDILFGGGNDRLFGVLCDRLGHPEWKTDPRFVTNSDRVKNRG EIDGLIEEKVKQKTTQEWLEILEGSGMPYAAVNDIQGTLNHSHVQARGMVTEVDHPAC GPIKLVNTPIKYSHATPGVRTPPPTLGQHTDEILEEILEYGKDDIARLKQDGVVS AFLA_127320 MFTGLVETIGTVSSLEPLDTSASGGGGTSLTITNCEEILTDAHL GDSIAVNGTCLTVTAFDKTWFKVGVAPETLRRTNLGSLSTNSRVNLERAVLSETRMGG HFVQGHVDTIATILSVTPDSNALVLRLQPRDRGVLRYIVEKGYVTLDGASLTVTKVVD GEEGYFEIMLIAYTQEKIVTASKKVGEDVNVEIDIVGKYVEKSVQSYFAGVGGGDFAI LEKMVSRIVDEKLKK AFLA_127330 MAKARTKKRTHVRAQNASAAAVKGSASSMSKTPKSMVIRIGGSQ VGSSVSQLVKDVRLMMEPDTAVRLKERKSNRLRDYTVMAGPLGVTHLMLFSKSATGNT NMRLALTPRGPTLHFKVENYSLCRDVEKALKRPRGGGQDHKTPPLLVMNNFNSPNATE DGKVPKRLETLTTTIFQSLFPPINPQATPLSSIRRVMLLNRELKSDGQEDDSYVLNLR HYAITTRKTGVSKRIRRLDPKEIRNREKRGVAVPNLGKLEDAADYLLDPSAAGYTSAS ETELDTDNEVEIAESTTKRVLNKRELQRMKAGEKEKAEKKLRAAPEVEKRAVKLVELG PRLKLRLIKVEEGLCDGKVMWHDYIHKSEEDMKKLDKNWEKRKKEKEERKRQQKENIE KKKAEKAKARAEGKEIEDDDDEEMDVDDDEDDWLSDDFGEEEEGAEQEGGEGDDESME E AFLA_127340 MPAIKKRKIAREAPQQEDLSDSEAHSSASEDAAPNTTEQEQEPS EAPKQAPKSFKELGLIEQLCEACDSMGYKAPTAIQAEAIPLALQGRDLIGLAETGSGK TAAFALPILQALMDKPSSFFGLVLAPTRELAYQISQAFEGLGSTISVRSTVLVGGMDM VSQSIALGKKPHIIVATPGRLLDHLENTKGFSLRNLKYLVMDEADRLLDMDFGPILDK ILKVLPRERRTYLFSATMSSKVESLQRASLQNPLRVAVSSSKFQTVSTLQQSYIFIPH KHKDLYLVYLLNEFVGQSCIIFCRTVHETQRLSFFLRLLGFGAIPLHGQLSQSARLGA LGKFRSRSRDILVATDVAARGLDIPSVDVVLNFDLPGDSKTFIHRIGRTARAGKSGVA ISFATQYDVEAWLRIEGALGKKLPEYPAEKDEVMVLAERVSEAQRSAILEMKNYDEKK GSRGKKFAKGKRSREDMDQEEG AFLA_127350 MASPAIKKAITEAAAQYVKPEGKVFQDVLNTVVFAVGLLAGLRS KKLSGQWIGVMITASHNPAEDNGVKLVDPMGEMLEAEWETYATKLANAPLDKIADVYE ELVKEIDISMENPARVVFARDTRASGSRLAGVLNAALTATEVNFSDLKFMTTPQLHYV VRCKNTLGTQYEYGEPTEQGYYEKLAKAFKGVMRGLKVKGSLTVDCANGVGGPKLREL LKYLPGPEEGGIDIKVINDDVINPDSLNFDCGADYVKTKQRAPPSSKAAALDRCASLD GDADRLVYYFVDESNVFRLLDGDRIATLAAAFIGDLTKNAGIAQHLKIGIIQTAYANG ASTEYIEKVLKLPSVCTNTGVKHLHHAALRYDVGVYFEANGHGTITFSENALKIIKST EPQSPAQQRALECLQGLTDLINQAVGDAISDMLLVEAILAHKGWTPKEWLCTYTDLPS RLVRVEVADRSIFKAYDAERKLESPAGLQLKIESLQSRYNKGRSFARASGTEDAVRVY AEAASRSEADDLATRVANAVRDAGAAKEILQS AFLA_127360 MYWSSPRRKVFFYACFLVFATFIGKSTASLGDHLPDFKECVKIC QTENCQDGNSEIPFHLRLMWWTCPAECDYTCQHVVTDRRVARDPPMLNPVVQFHGKWP FRRIMGMQEPFSVLFSLLNFYAHWHGLSRIRETMSTWHTSLRTYYLAFGYCGLACWTF SSIFHARDFSLTEKLDYFGAGANVMYGLYLAIIRIFRLDKEEPRTKPTLRRLWTVVCI FLYTLHVSYLSFWSWDYTYNMIANIVVGMTQNLLWVAFSIFRYRSTDKTWTLLPAICV VWIMLAMSLELLDFPPWHALIDAHSLWHLGTVIPTALWYIKGHRRGCAGQEIQSLNK AFLA_127370 MNGSEQTQSADSAGTMTSSADRMVGMDHAEAFMRRCLKTMSAPD LTAILSTKTVTSSRTRLFSTSVVELVSSACMWSEFAAKAGAKHVIGVDMSSIIEKAKQ IVACNGLSDKITLLQGKMEEVVLPYPKVDIIISEWMGYFLLYESMLDTVLYARDRYLV PGGKIFPDKATMYLAAIEDGEYKDDKIGFWDNVYGFDYSPMKEIALTEPLVDTVEMKA LVTDPCPIITLDLYTVTPADLAFKVPFSLTAKRSDFIHAVIAWFDIEFGACHKPITFS TGPHAKYTHWKQTVFYLRDVLTVEEEEVVSGVLENKPNDKNKRDLDITISYKFETTDN LRYSEGSCFYRMC AFLA_127380 MVRRAESTLAKPFAAEPDFSPVDSSPAELFSAESSSAKPSSAKP SRPAAKPERPAPYQIVYPFTSAEYRDQPTVMRTSGETTSELGRAKNTYSTISDIRDNC QCSKCVHPDTRQRSVDTFSIPPDVNPEKITYEGEIVKVQWSDGHQALYPVHWLNNFAT FDSCVVNESRPDNKMRKFIAHNPESISYPTVLYEDVMSSDEGLREWLHKIYLHGFCFV KGVPVDPESTQTLLERIAFIRHTHYGGFWDFTADLTFKDTAYTTEFLGAHTDNTYFTD PARLQLFHLLSHTDGDGGASLLVDGFSAAEVLREENPENYQLLAATPQPFHSSGNEDT CIQPAEQMPIFRIHPQFNYLYQIRWNNYDRAAKKDWSLEQQNRWYNAARHFNDIVTRE KMQIWTQLEPGTALIFDNWRMLHGRSEFTGKRRMCGGYINSDDFVSRYRLLRFGKEKI LNNIGNFSRSMDNPNFFL AFLA_127390 MSTLEDLDDLDREEREKKPQDGDGNGRKPSGDGDADMKDADKKD DEEDLLDDDILQSSTADIVKRRRMLENEMRIMKSEYQRLTHEQSTMREKVKDNQEKIE NNRQLPYLVGNVVELLDLDVEAEAAEEGANIDLDATRVGKSAVIKTSTRQTIYLPLIG LVDHEKLKPGDLIGVNKDSYLVLDTLPAEYDNRVKAMEVDEKPTEKYTDIGGLDKQIE EIVEAIVWPMKEAERFKKLGIKAPKGALMYGPPGTGKTLLARACAAETNATFLKLAGP QLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQRTMLE LLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARANILQIHS RKMAVDDSVNWAELARSTDEFGGAQLKAVCVEAGMIALRKGMSKVGHENYVDAIAEVQ AKKKDTNMGIYV AFLA_127400 MLNVHSVNWIEIEGYYTRGNKDGIIVQAKIAPLGKQHSNAFVIS RLTDDPASRLAFRVTLKDGKGVQKVLYFKSPQNSLEAIFKANSLVDWLDGATLDTLKT RCRRHIYINLKNIAVAEDLKLFLGGAYILDDGFTVQENTIYCKAHKSRQQ AFLA_127410 MVPREVELPLLTGIAVVVDYLDCREALGLYPESWVNKSRNSVPG IFCADATNWGFISYVFGDANIFSSTTQLAQQQGLDMFDSTNLGVIIKAIKSRGLLSRA ENAPFPGYLTAI AFLA_127420 MKRGSRLKLLRRTLRERKLLANLVLELRVPQMDLLFTQGKQSTQ WEEYRDLVASVVMVCPNLERLLGLSIPYNHQFDRLTHALSTRRKLKEHTWLLGEAIDV SEGSPRSTSCPGSLGPSQMFEFLDYHTSWTNLETLMLYGISENNALEPSIFLRMFSLL PSLRNLCIANFDAEAFGDSTLLCLPPLESLRLENLPGVTDTGLSQYTSRTESHSLKSL AVVEQNIESLLVISKILTSLPQLERFKIVQNDKCPTLNSDGIIFQPLFASSTLKYLHW DVACPNPNTALTRLDYAPFVKPPKHINTPNSHLAQSILSDGFPQLEALRAPSDIEPPG VLQAVCQPIPRGQALLQPDRYSLPRSSHGSVSTRPMALPAGNNLTSARIRAQTFIDMA AKDTETGMRVLVTDHSDGYVPDNALEDMSDDDLEMDMDESVEWDSPERPRHDKLPENR DGPVTVCDFRMPAYMGRVGSRRRGQDVSIPRFILRPDIQGQEADGGLVGWKHILASNQ SLTYAAGVGVHCFGNKGNTSPLPEEPPSPASTTVSRFGFGGITRSSTFGSSPNTPITP STPMSLSSPTALPWDKDTCTGSWNYSHKNGRDWWFHMERERPGHIKLIDVKQLF AFLA_127430 MVNKATEQMNTAPSPRWRKRKQDLPAQLLPCLPVFVHLCYLHDT TLTLAIKTLAALKKPHAGAGDRSFTIGDKTRAQLLQGRKYNGSRTKMQEYFVMTIHPS IELFLRIKEPLQEAFVKAEIKPPGQRHPHVWAQEALATDIGSRGRRHYRHYEAKTVYY CTRID AFLA_127440 MATTQNGSELRGDAGLLKTQNNGLQDDDNQDQIGSNSPSPSGMA TPQPEFSDKRQPSIMHNFFQNTPPLTPRAMSNEGSQTDKPPATSSPESTEPTHQADEM DKSTDEIAGKLEEAFPSPAETPQPSGPPVAPIKGNLYVKISEGRGLKPGFDPYVVCVF EWNEWISKSAQDEEEESIERRQKEQEQSDRDAGRPMAIPMKSRQSSNNSSLDGPDLRG RAPVTDPHWNHEATFDVFGDQSEIDVSVYDRKNQEAFLGHVRLCVNLKEDNSRLEGWF PLSARGAGDSAVSGEIYMEMRFEHTEKKQVGPDDFQVLKLIGKGTFGQVYQVKKKDTR RIYAMKVLSKKVIIQKKEVAHTLGERNILVRTAMAASPFIVGLKFSFQTPTDLYLVTD YMSGGELFWHLQKEGRFQEARAKFYIAELILALQHLHEHDIVYRDLKPENILLDANGH IALCDFGLSKANLAQNDTTNTFCGTTEYLAPEVLLDEQGYTKMVDFWSLGVLVFEMCC GWSPFYAEDTQQMYKNIAFGKVRFPRDALSTEGRNFVKGLLNRNPKHRLGAKADAKEL KEHPFFHDVDWDALSKKQVIPPFKPKLKSDTDTSNFDPEFTNANATLNDRAAAVANSY MPASTPLSPGLQANFKGFTFVNESSIDHHLKHEPTDHMDEDPDTWQRPHRPGGFDDHR MTGVPKAHEGGEPGIFNVDDNFDM AFLA_127450 MARKLRAAAQAAQQSLRNAPPPLPDASDEEMAEAPQSRGSSPAI EDPGDASDKDPDVVPEPDPPQEDEPAAVTHPPSRGDTPTRGRVSAIPRKRRIGRPPKN RPPDWDLPDDGTAAPPIHVSTPVKRRRGRPAASGGRWARNRGPSHVTQVPIDKEGNMM DVIDDEVALPDDPEGETKVDKNGVLKGDREYRVRTFTILNRGEKHYMLSTEPARCIGF RDSYLFFQKHKLLYKIIIDDDAKRDLIEREIIPHSYKGRAIGVVTARSVFREFGAKII VGGRKVVDDYNVKAARERGDVEGELAVPEDKLPPPGEPYNKNQYVAWHGASSVYHTQA PSVPLPTGKAVDSKKRKVTVTGDNWMLEHAREASHYNSILSNVRRENLGGVYDIHTNA MQYPKIMQPSHARWERLPPPDPRVATKLTKEMSTLSLTNGAEEEEPTTESDAQDDKTT EETTNDSIFSTIPYAFSRRFAIHDVHYETPPYSNMGIPGPDGDVHDLGSNGIISTANL SYPEFVSPEILAELPADCKEALVEAAAREWEWKSKWHSEVGDGARTTPLKSYAWFP AFLA_127460 MWSWFGGSAAQKRKDAPKDAILKLREQLDMLQKREKHLENLMEE QDAVARKNVTTNKNAAKSALRRKKVHEKNLEQTTAQIIQLEQQIYSIEAANINQETLN AMKQAGAAMTQIHGNLTIDKVDETMDQLREQHQLSEEIAQAITNTQIGDQADETELDA ELEGLEQEAMDERMLHTGTVPVADQLNRLPAAANGERKSPLSEIDLSPRKPSNLILNY SQRQIKGTRGRRRGSRTGEAARGDGYGINHVTLFYSIFPELSSFLTLLAHSIRYNH AFLA_127470 MNGPPPSTRAFLSSVPSLPAGLKVRFLGCVKTYNITTGYLILEH NYPRCKPTQEPPSIPVDVNAVLGTVTADELRVGAWVNVLGYVRREESSAYVEAVMVFR AGAIAVGEYERILHNSLEVDRRVQRPK AFLA_127480 MPSSKHHPSDCEKWPQHAQEEASPADTNSLAESTSTEDTEELQQ IVTQASRQSVPSLARKTTTIGTNATSDPRYEVDFEDENDRMNPKNWSLRYKGMGIAFL SWNTLVIVLYSTSYTSGVADIAAEFGTSSTIVTLGLTLYLIGLAVGSIEVYGRKPVSV GCLFVFMVLIIPCARAESVATLIVVRFIGAFFGSVMISTAPGMVSDLVNDEERALAMS IWSIGPVNGPVLGPIIGGFVTQYLGWRWMDWIALMLSGVALVFSLIMKETYGPIILQK KAARMRKETGDPYWSRYDQKASLGEILKVNLGRPFVMAVTEPICIFWNIYIAIVYGIL YLCFTAYPIVFRDIRGWSLGLSGLAFLGIGIGCLITIACEPFIRRMINNHAIDPETGK VPPEAMVSIVCISAILIPAGELWFAWTCAPASIHWIVPILAGVPFGAGNTGVFIYASN YLSYSYGVYAASAMAGNSVIRSILGGVLPLVGTYLYAGIGPNWSGTLLGLLEVAIIPI PIVFYKYGYKIRRKSALIVRMQEDKKRLEGKRLRLQQRLEARANAEATEKEKMEV AFLA_127490 MAIRTAHELKINETVSPDRCTLPAKLYLFLYFHDQCCAMSNNTP PLIKTTDYKANVFDHVLEEEPDFRPLFDILVANGQVLEALYGKPCDHSNIYHLEELLG CVSKSARKPMQPFLGLDGFTMNYEVPVQIHMFWARITLRVHRLPLTEDWIPSMSICVR SSQMILLLYFQTYNPSMAPNAAQNLHNPSASLLAMEGRLPLTWRQVKRIMTSAFILIY AYWHGEVTFEEVCRGTAMALVLHECQRVRWGRELDGAMAVLRDIAGICGMTILPNLSS LLPDVDLGVLRVIAG AFLA_127500 MSTPKAEPVPIPGPRGVPLMGNILDIESEIPLRSLEMMADTYGP IYRLTTFGFSRCMISSHELAAEVFDEERFTKKIMAGLSELRHGIHDGLFTAHMGEENW EIAHRVLMPAFGPLNIQNMFDEMHDIATQLVMKWARQGPKQKIMVTDDFTRLTLDTIA LCAMGTRFNSFYSEEMHPFVDAMVGMLKTAGDRSRRPGLVNNLPTTENNKYWEDIDYL RNLCKELVDTRKENPTDKKDLLNALINGRDPKTGKGMSYDSIIDNMITFLIAGHETTS GLLSFAFYNMLKNPQAYQKAQEEVDRVIGRRRITVEDLQKLPYITAVMRETLRLTPTA PAIAVGPHPTKNHEDPVTLGNGKYVLGKDEPCALLLGKIQRDPKVYGPDAEEFKPERM LDEHFNKLPKHAWKPFGNGMRACIGRPFAWQEALLVIAMLLQNFNFQMDDPSYNIQLK QTLTIKPNHFYMRAALREGLDAVHLGSALSASSSEHADHAAGHGKAGAAKKGADLKPM HVYYGSNTGTCEAFARRLADDATSYGYSAEVDSLDSAKDSIPKNGPVVFITASYEGQP PDNAAHFFEWLSALKGDKPLDGVNYAVFGCGHHDWQSTFYRIPKEVNRLVGENGANRL CEIGLADTANADIVTDFDTWGETSFWPAVAAKFGSNTQGSQKSSTFRVEVSSGHRATT LGLQLQEGLVVENTLLTQAGVPAKRTIRFKLPTDTQYKCGDYLAILPVNPSTVVRKVM SRFDLPWDAVLRIEKASPSSSKHISIPMDTQVSAYDLFATYVELSQPASKRDLAVLAD AAAVDPETQAELQAIASDPARFAEISQKRISVLDLLLQYPSINLAIGDFVAMLPPMRV RQYSISSSPLVDPTECSITFSVLKAPSLAALTKEDEYLGVASTYLSELRSGERVQLSV RPSHTGFKPPTELSTPMIMACAGSGLAPFRGFVMDRAEKIRGRRSSGSMPEQPAKAIL YAGCRTQGKDDIHADELAEWEKIGAVEVRRAYSRPSDGSKGTHVQDLMMEDKKELIDL FESGARIYVCGTPGVGNAVRDSIKSMFLERREEIRRIAKEKGEPVSDDDEETAFEKFL DDMKTKERYTTDVFA AFLA_127510 MLFIILAACIAVLLYLYHVNRAIMAVPEEARRLCPHRWTVDEIK AAFEKVQDSPTDVAKSLPPKQSRRYIVVGGSGLVGNWIVSHLTMRGEDPAAIRILDLQ TPRPEVLDQGVTFIKTNITDEQAVLSAFSQPWASSVADLPLTVFHNAAVIRPAERLKA FLPLCRNVNVGGTVNVLNAAKKSGATCMIATSSGSVCLRRFSFWVAPWTKTPEYLVQV VNDSSEVPKQHDHFFGNYAVAKAEAENIVRSADDPKSNFRTGCIRPVNGIYGVSDSAG SVTGNYLRTGGAPTWTYDVIHSWVNAENVSIAHLLYEQRLLEHTNSRSTLPNIGGQAF AVTDPNPPVIFDDIYLCLTTLAKTPAAFPHVPVMPFILMSYPIEWYAFLQQQYLPWLP KITGELAKLQPGLFAIANAHVIGDDSRARLSPEKGGLGYNPPINTLEGLCRELKAWNK KADMKSSS AFLA_127520 MTIPDEVDIIICGGGSSGCVPAGRLANLDHNLQVLLIEAGEDNL NNPWVYRPGIYPRNMKLDSKTASFYYSRPSEWLDGRRAIVPCANILGGGSSINFMMYT RASASDYDDFQAKGWTTKELIPLMKKHETYQRACNNRDLHGFDGPIKVSFGNYTYPIM QDFLRAAESQGIPVTDDLQDLKTGHGAEHWLKWINRDTGRRSDAAHAYVHSTRAKYTN LHLKCNTKVDKIIIEDGRAVGVATVPTKPLDGRNPPRKIFRARKQIIVSSGTLSSPLI LQRSGIGEPEKLRKAGIKPLVNLPGVGRNFQDHYLTFSVYRAKPDVESFDDFVRGDPE VQKKVFEEWNLKGTGPLATNGIDAGVKIRPTPEELEEMKRWPTPEFTSGWESYFKNKP DKPVMHYSIISGWFGDHMLMPPGKFFTMFHFLEYPFSRGFTHIRSADPYDAPDFDAGF MNDKRDMAPMVWGYIKSRETARRMSAYAGEVTSMHPHFAFDSAARAFDLDLATTKAYA GPNHITAGIQHGSWSQPLEPGQTPTETYLNSNKQETREPIQYSKKDIEHIEKWVQRHV ETTWHSLGTCSMAPREGNSIVKHGGVVDERLNVHGVKGLKVCDLSICPDNVGCNTFST ALLIGEKCAVLTAEDLGYSGAALEMRVPTYHAPGEVVNLARL AFLA_127530 MKVGINLNLIYTCSHYTSAKSYSVQFEFQRQFIQIAYLPYSASP TCPSSMSPMTLSLVGLVPTSELSPSLLIINSSLDIDAEPSAHARANAQKLIASELPAD YLSTIHPSIPAFPEPQFSPLMQQEVERKAAGLPLTGGVDLSRYEAPEPPTRSSEAGPN ATPNLDEWRQALQKAYTASSHLSMRRDNLTLLEENGKNAWLIGNSQLEDVLRELEKEL AETKEAAETVNKQRKIAQESSKGELAGLEETWKRGVGAILDVELASENVRMQILEQRR QLAQQHAR AFLA_127540 MANARLGVVVSGTFIAALFLLLLFSVVSDSNQPPVVDSRYKWAD RNTEFASDDSVFLLGAGRADITGPVVEIGFGGYASLDQIGTGLRQRIYSRAFIVANPN RPKDTFIYLIIDSLTGDTAVRHGVLEGLASLGSEFADYGEHNVAFTGTHSHSGPGAWM NYLLPQIPNKGFDKQSYQAIVDGVLLSIKRAHQSIAPGRLSFGSIDLENANINRSPYS YDHNPEEEKARYSDSVDKTMTLLRFDRATDNKTTAVLTFFPVHGTSMYNNNTLVTGDN KGVAAWLFERSVTADQKFADDFVAGFSQSNVGDTSPNVLGAWCEDGSGQKCRYEDSTC GGTMENCRGRGPFFREKDNGAKSCFEIGKLQYEAARKLYTQLDSNPTRILKSSDVNAF HIYQDLSDYTFISPFNASILKTCSAALGFSFAAGTTDGPGMFDFTQNSSGPAEKNPLW YAARAFIHQPSKEQKACQAPKEVLLDVGAVTQPYAWTPNIVDIQVLRVGQLFIIISTS EATTMSGRRWKEAIAKSAKDVLSVANPLVVLGAPSNSYAHYVATEEEYHVQRYEGAST LYGPNTLAAYINLTLTYLPYLGDSSSLPALDSKVKPPINTDKSLSFIPGVLYDGSPIG KTFGDVISSVDNATYGPGDTVNATFVGANPRNNLRQESTFAAVERQKPGTDTWEVVRT DRDWNLVYTWKRTNTVLGHSEVTIQWQIEDDYYNVGNPSSLKDGTYRLHYYGDFKTVK GDIGGFEGISGFFKVSTT AFLA_127550 MFTNDGLIVVAWVLAIGQTYTVWMYISTTSSGSSYMSTTASTFS TAIRTESSSSTVLHRTTAGEDEDDGSESYSAFDPTTTLTSSHMASSSSAPATHTTNTL THGHSTPSVSTSKTPDTTHSTSSLADTHSAVAVVRASSVPVASSEIAEPTVTTTTVTS TIFKHHSVTVISSGTQLSHSSRLFGASSSTWKTTKFTSNLLPKVSATSISPSTSFSWR ATPTSTSVQSSTITQTSSHLFRGSTATTDIRSTASSSPTVGVIRTLPGAASSGASTGA HQSSTATAPQPDHTSAHFTSGTVSNTHTSNTWTPHTTATAFHTDSISHTQTATSAFIT QPPSTGTHTTKTPTTPDITGTVVSGTGIVIIIPGISTSRNITIPLSPDDNIPVTGTIS MSTSSPPVTTPIGASSQSASATQNTTPAISPHATGLVTTIHPTSTETKVVTIEMPTTE QTQRPTPNASVSVPSEGSSQLTAQATGSKKAPGPAPAPTSDIADNGVVNPVSPMFLTV TETKTVTEKTTETKTVMMTVTATVDR AFLA_127560 MDQRTRLRKACDACSIRKVKCDTSGPPCRSCASLDIPCTYERPS RRRGPPNRHAEALKRQKLTASPVGSPTPSDQASPESTGLLNSQPFPPPAVFSLEMICP LSTIRLLIDDYFTYIHPLIPVPHEPTFRAALDRREDVTNRTFLALAASMIGTLVTSFP RRPRFHLKTAAEKAAYPHSMALVKRCRDVAVQARGAGYLERSLTVYDAAIGYFLSICS GYMYNMRTCRSYLSECLTILHVYDLCGHSTRIRTMSPPSPDSYSSRLSQDLPGQPGDG QLDIIEQELGRRLFYAVLVGYRTLQQMGSTDFTFHIPPETPTERYPPLPLEIDDEFIF STHVESQPADRVSRLVGFNLNVRIYNSYSQVSAWEVAFGSGQPFDWERQRAAVWESLQ KAKSAVADVPREYSLQGSVPGQGPGPSPTEDLNSYERRHIQYEIQKANIYASQLGTRS YLVEKYWALYAAWKAYQKRAEQATHTSPSPVKVEEDQSNTLEADADAQSDHIGATMAE ERRIVIRDMLVLIRSINEVNMEPNGLSFTAKVRQVASTLLNLPNPNKEPADSTTLTAG PHPLTVAEAESYLHAFIDILMRLEGMAVPSPSMASASPQTTGRSMSYVSDIDRDEEEL RKWASVKEYQARFAAAGGWLSEL AFLA_127570 MAQTTVIAFDVYGTLLSPGSIARDLEQYVNYDKERAQSIATLWR RYQLEYTWRLNSMGLYISFEEVTHNALIHALLDTGTSIQDDGIKELLQMHDNMAAFPD VEPALDQLARAQNVMPIIFTNGTSNMVSKSILQSGPLSHHREIFRDLVSVDDIRKFKP APEVYRYLAQRVGKCVPEMKDIWGVYYFASHRFLWPLFKTRLIPIVLLSAFIYVLLFL FTYLPQVAFLSIFQGRGAWVNGAVLVLGEGAAIVAALFEAFFVDETLVDIFDAVLVNE GHGELVTTSRVLYPQGDDVVKRLGKPIHSAVYAPFSLRQIVEFVVLLPLNFIPVAGTP MFLLLTGYRAGPFHHWRYFQLLDLSKQQRKEKIRRRQLQYTT AFLA_127580 MHRRAALELSRRHGVEWTCAARHRDVLFSPRLPYLPRRSFRSSL LAFNSSESSSSNAGSEEAGSNVATPKPKFGARWGTKQAQKPAGLSPAEQAMRDALLAK KAAEEQEQRRLQEKELRASQRRAESRADWNRPPRRQNKRRPFDDGESRSLQPDKDLEV NTRHVPRSLRASDWICPDCQYNCFGKHQTCPCCKAVRPDLAWPSWPSKNPAQKMERTR RAETRKAGASEETLKIRRLGHEMLSDMDQEQGGSAAVTRRENAIRTFMTSKGKPLGAR LVYDLKNEEEEAEAREERIAERRRERQSKPKPKKADTPVDPWSWDPSSLKLNDDTDVT HSEKASKRRDGRRPRQSEAEEDDEEEDDRRRRREERKRLKKEKARQKELESASIPLYL PEFISVSNLADVIGVRPAQFVQQMEDMGFEGVTYSHVLDAETAGLVAAEYNFEPIFET AEDEDLAAAPEPEDKSILPSRPPVVTIMGHVDHGKTTILDWLRNSSVAASEHGGITQH IGAFSVAMPSGKTITFLDTPGHAAFLDMRRRGADVTDIVVLVIAADDSVKPQTIEAIK HATEARVPIIVAISKIDKENINLEKVKQDLSIHGVHVEDYGGDVQAIGVSGKTGQGML ELEEAIVTLSEVLDHRAEVDGKAEGWVIEGTTKSYGRVATVLIRRGTLRPGDIIVAGT TWARVRTLRNEAGAAISEATPGMPVEVDGWREQPSAGTELLEAVDEQQAKDVVDYRLE KTETQKLGQDTVAINEARREVLERRRQEESEGIETAGSVQETSGPKPVHFVIKADVGG SAEAVLNSVTAIGNNEVFANVLRSEVGPISEFDIEHAASANGHIVSFNMPIDPAMSRM AEVRGVKIMDHNVIYKLIDDVKAILSEQLPPSVSHRVTGEAEIGQVFEITLKGREKTA IAGCKVRNGIISKARKVRVIRGQETIFDGSINSLKNVKKDVTEMRKDTECGIGFEGWT SFAVGDHVQCYEEIYEKRYL AFLA_127590 MEERALEDFEKSDGALRTIKDLGAGAAGGIAQVLLGQPFDIVKV RLQTTTQYANALDCASKILKNEGPAAFYKGTLTPLIGIGACVSVQFGAFHEARRRLEE LNKKKYADSSLSYGQYYMAGGFAGIANSVLSGPIEHIRIRMQTQPHGADRLYNGPIDC IRKLSAQGGVLRGLYRGQNVTYLREIQAYGMWFLTFEYLMNQDAKRNNVKREDISSLK VATYGGLAGEALWLSSYPFDVVKSKMQCDGFGAQQQFKSMTDCFKKTYAVEGLAGFWK GNGLNQLPRN AFLA_127600 MNIKTGIALFLDASGRRLAKPDIKSSSGKITQEVIAGGNRLHNL TQHNMIARQLCMRQSRQLHAFNRQARTLNSLNRFYSTEPPVDTTLQTRIKERSAEIES RYQNDAVPERISRRRAEQLAWKARGERKFKFQDLGSSVTDAYKPEDIIRNPPKPSDVT LELLLASQTHLGHSTSRWNPQNSRYIFGIRDGIHVISLDVTAAYLRRAAKVVEEVAAR GGLILFVGTRKGQKRYVVRAAELAKGYHIFERWIPGSLTNGQQILGHCETKVVNAMDE EIPKYREALADRSVLKPDLVVCLNPLENVVLLHECGLNNVPTIGVIDTDADPTRVTYP IPSNDDSMRAIGVIAGVLGRAGEAGQQRRLENAKNGVLTYPPITPEHLLSPERLAELA QIEAGNVAANAEAAEIANKLDEAVQSIETEQASTPQETSQSTESQPEPQTQVPETEKP AEPQPEQPQTQAQAPETPVKDQ AFLA_127610 MSGYDQYNQQGGHYGQGQQGYGQQGYGQQGYGQQGYGQQGYGQQ GYNQQSYGQSSGYDQGYNSHSGSSGSGAANDYYGGGGQSEHHQQSYGGQQHYGQQQGY GQQGGYEGSGEQAPGGAQEGERGLMGALAGGAAGGFAGHKADHGFLGTIGGAIMGSIA EDAMKKYKGKKEHEQQQQEYGGYGGSQYGAPHSQQGGSGMMDQLGGFFKK AFLA_127620 MATTLYLYLHLVLFSAFHGLTYASYPPTVYLIRHGEKPGDPLDS GLNADGWKRAECVREVFGEASPYDIGYIMAPHINKKGEHRRSYETVHPLATDLGLTVD TSCKRNKVHCVAEAVNDYDGPGNILISWRHGKMRELVQALGYDDPPEYPEDRFDLIWT IPFPYDNITDIRSEDCPVLDVPEELAVDL AFLA_127630 MDDIEDRLRSHAQAFDGLLSLIPAKYYYGEDGSDQWKRKKQTKE EAREAKRAKLDPDAAKTAKDVMEENARKRKRQEEGHNETASSEDEDLGSEMPKEGLKR ADAAKKQKQSEDSAKSEEAEARKKLKEEKKAQKKEQQKEKRKAKEASKKEKLKEQQDK EAKTPTADAAQKPESKPASDKNKKQEKPPVKDDDNDDDVDEEETEGLALEFNPEQTEQ SSSSTPNSPGFDASALQSGASSISSIVPPSAPNDASKNPSDQKPLKSTPEELKQRLQK RLDELRAARHADGLNGKPARNRQELIEARRQKAEQRKAHKKELRQKAREEEQRLKDEA LARRFSPGGSGSLLASPRSPAESVGSSAANYSFGRVVFADGQAADPSLNSVRDQPKRH GPHDPASALKAVEAKKARLESMDDEKRAEIEEKDMWLNAKKRAHGERVRDDTSLLKKA LKRKESAKKKSEREWKERIETVRKGKEMKQQKREDNLRKRREEKGNKGNKGKKPAAGK KKARPGFEGSFKGKSGGKK AFLA_127640 MASSKSVARLVTYRRPAPSLLTSSFRPLGSTANFSSSVCRAATP AGPPPSGFRLAPPKKWDETTDSSLDKASKYFLMSEIFRGMYVVLEQFFRPPYTIFYPF EKGPISPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYD IDMTKCIYCGYCQESCPVDAIVETANAEYATETREELLYNKEKLLANGDKWEPEIAAA ARADAPYR AFLA_127650 MFRSAVVRSLRASVPRAVRAPATFQIRSAPAAQFAPRFAFQGVR LYSAPAGLNKEEAEGRIVNLLKNFDKVSDASKINGSSHFANDLGLDSLDTVEVVMAIE EEFSIEIPDKDADAIHSVDKAVEYILAQPDAH AFLA_127660 MASAGGLTRRRGGGRVAGADENDDSRVSSPISRNGSSLDNRIPE TSFTSTENGHKIAFDPRDLSETEERSKQPKLTLMEEVLLLGLKDKQGYLSFWNENISY ALRGCIVIELALRGRISMQKDSSRRRFPLADRVIEVVDDTLTGEVLLDEALKMMKSSE KMSVNSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHP VADGGAKDDLHRRVRNVCSNRTVILPPNQWLPEDSEFRYLRTITMVCAAYAANVLENA LVTMSHEARERAFAQVDELLAEYSQWPFARRAGGSQAIGANLAGAINEEVTKAKDREL QLEIVAACLSVFTRLDSLL AFLA_127670 MDEQTFVPGTMRALYHRPASTAITDLTALDAPRVDSGIIFDTDF PVPKPAANQYLIKVQTAAFSHDGLRLARTLHPSKSIPQIPLHNYCGIVISTPTQDHNK PDGPKFKISDTVFGLISNTRDGAAADYTVATEDEIALKPKNISAAEASTIPGPALTAW QALFTYGGLDPSDTRHKLRILVTNARDNEVAAQALQLLRAKSLFPHHRPWICATCSCP EHDDFLRDQIKVDEVIDAALPLPQDFDLAKIFRKNKWEPVDIILDCAGEQMFNLAHSS DVVKNNGIVLTAVDDTAVQPKTADREAQNQKQGLFSRFVALKPDGKALTRIAELVEEG SIRGRVQCLVDLAHGVDVLASEAAGAGGGRRGGMMVFRVNI AFLA_127680 MPAHLMMLEIPQPNSTTIIQQLGPTNSNGISSQVVNMGSRHITD GSVVRSYLRGSRDNVAMRTYFAGPNGWLVISDIDDTIKYTKTSESTGILRTTFVEEPR PIAGMPELYSHMHRELAPAWFYVSASPYNLYPFLRTFIHTHFNPGTLILRDSSWLDIS ELVKSFTVNTMEYKVKQIEKIRRQFPQREVICIGDSTQKDPEAYAEIYKRHPHWIRAI WIRKVPDVPHLEDQNSPERFKAAFQGVPDQIWKVFEQPEAVFDFLVNLNVDTTTHFSH ETH AFLA_127690 MVRHKKDNYSRGGKKFSSTPRPRPVPRGDGESSDRLPFKAACWD LGHCDPKRCSGKRLMHLGLMRELGIGQKYPGVVVSPNAKKIISPADRDILEQYGAAVV ECSWVRLKEVPFSRIGGKCERLLPYLVAANTVNYGRPWRLNCVEALAACFCICGHEDW AREVLKHFSYGEAFLDINSQLLKRYAACATEEDVKRTEEEWLAKIEKEYEDSRVEGAD DMWTVGNTNRRADDSDSEDDKDSEEGEEGDQDKEKKDEEEEPEEEKDPFAISDDSEEE EQMAEIRRKILNSKSFQNPTVPDKPQPEKITRPDVGPVEDSDAESGSADGSDDEAFDN IIDATPVTDRTGIIAATRKKGNDSLSASFSRTVISAPKRW AFLA_127700 MAARDRFGAYADLGLTPLQRAIRHACDISHYEPNLALNLEVADL INSKKGNAPREAALEIVRLINSRNQNVSLLALALLDICVKNCGYPFHLQISTKEFLNE LVRRFPERPALRPSRVQHRILESIEEWRQTICQTSRYKEDLGHIRDMHRLLLYKGYVF PEIRNEDAAVLNPSDNLRSAEEMEEEEREAQSAKLQELIRRGTPADLQEANRLMKVMA GYDNRHKTDYRAKAAEEVAKVQQKAKILEEMLQSQQPGERIAEGDVFEELANALQSAH PKIQKMCEEESDDPEAVHKLLEINDSIHRTIERYKLVKKGDVEAASRIPKGTLGTTTG VSKNANNELSLIDFDPEPSSNGNTSQPAGGSSLENDLLGLSIDEPAPAGGISLGPGPV TPMPSSTPPIQQAPSAFKPNYDILSSLNSSRPASQSSMPAPAAMRPQSTTATPPPSDP FASLVSASPRHPSPFPSGVASQQPASAGSSLLDLAGASSPQPAAGNTKAADEDEWDFT SSLPESNALPTTNRVQVLNSSLRVEFVARRHPQQARQIHMVALFSNGTNQPLSELHFQ VAVEKAYTLQLRPQSGRDIAPLQANGVQQEMLVSGVEAGKGNSIKMRFKVSYRVGNEQ KEEQGMVPSLGIA AFLA_127710 MPSYVGHQSTPTWPAHIGPQHVRSPWAGFNPDMNMPVRHFRDRL GSAPVIPVHAIPSSAAYRPTPTPQHSRNRSEPGQTPAQRMPSHTPSSENTPRVETRID GLPNETLPTTFPTPDLCPTPSTPHSPTSTAKHSDVAFDTLDPKESDCVSFSQPFLDQD FVDFQGLSFSLGSHPTIPSFDNTLHHPETEWKPDEVVQMDDWLVGA AFLA_127720 MTDQQPGEPVADNSSGSNVTSPRSSTDSRSPSTRSQSLRLSHVS PNHQHRHSLSESLRGAPNSPRSRRQPSLTQAAIQSLIDNPPAPNHVNPAFVGRDWREI SIGELVSPNDLRFVEIDTGIEDATNVLIDSDAPVLLIRETPEHKTAVGTFDYSDLNAY LLLAAGLTQPDENTRASYDQLARKAKEGIKIPLKDVKDLGRKEPLTTLPASASVMAAV ETFGGGVHRVVVVDERKQNEVVGIFSQFRLVKFLWENGRSFPVIDQLYPQALRDLRIG SRDVICINGDKPLSEALHLMNNEGITSVAVVDNHTNVVGNISLTDVKLLTRSSSLPLL HNTCTHFISVILSTRGLVDGKDSFPVFHVNPGSTLAHTVAKVVATRSHRLWITDPLSP SSSGPPTPSHSAVHLPLPTNSGNTHSPPSPTPGSANVQPPLTHAAPAGLSHAVAPSIP ASALPGARLSGRLVGVVSLTDILNLHARASGLSPADPAESRSRRRRSSSSSLSVRRSG EIGRELFSR AFLA_127730 MFPTRVVDWRTGQVYSERTSTQAGAYSKGPSWVHETPFLPAGWE ETNAIGAPTLKHSAMRQALSDQRNLTPQLFANIPWPIASYLWDCLERSRKRTLYMWKL FATAYPAEFRHISQYRSMKIEGPRLAMREYFELVKSDSLKWQLVLNLATSFARVPDLV GISSIRNLAALEVATPPHIGTPADDTETPVTALSDRIIRSWSELAQTSGAFAHLRVLK LCHQDLSDVVLRYLHTFPSLQVIVAYGCPGIRSMFRDGLEIDGWKSRPGQDKPPALYE LYQTSLANMDGVPPALDPGGPILEFQIGRTHQESKRVPTKAKTLYLHRTKAGNRIPTE NSALHLPMKRPREEVSASGQRQRWSGPKRAVMRERKTKDLGEVLGNFL AFLA_127740 MHHQQSSYGGGYPGQAYRQQQPNNAYGYGQPSPQPYGSHHNGYN SPQQNYGPPSGGHMYQQQSAYQNSYNQGGHGIPARPPDQPVSFGQGAPQEYAYRYSAC TGTRKALLIGINYFNQKGQLRGCINDVKNMSTYLHENFGYPRENMVLLTDDQQNPKSQ PTKANILRAMHWLVKDAKPNDSLFFHYSGHGGQTPDLDGDEDDGYDEVIYPVDFRQAG HIVDDEMHRIMVNPLQPGVRLTAIFDSCHSGSALDLPYIYSTQGILKEPNLAKEAGQG LLGVVSAYARGDMGSMVSTAVGFFKKATKGDEVYERNKQTKTSGADVIMWSGSKDDQT SQDAQIQGQATGAMSWAFISALRKNPQQSYVQLLNSIRDELSTKYTQKPQLSCSHPLD VNLLYVM AFLA_127750 MAFAGQAPTIIVLKEGTDASQGKGQIISNINACVAVQSTIKSTL GPYGGDLLLVDGNGKQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEVRELVEQGVSAQTIIKGLRRGSAMAVNKVKEIAVDMIEAAGSEEKKVET LRRLAATAMNSKLIKRNSDFFTKMVVDAVLSLDQDDLNEKLIGVKRVTGGGLQDSLFV DGVAFKKTFSYAGFEQQPKYFKDPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDA EWQIIYNKLEAIYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASDDMDRVCQATG AATQSTCSDIQERHLGTCGIFEERQIGGERYNLFSECPAAKTCTLVLRGGAEQFIAEV ERSLHDAIMIVKRALRNTTIVAGGGATEMELSSYMHGFADRNVPHKQQAVVKAFAKAL EVIPRQLCDNAGFDATDILNRLRVEHRKGNVWAGVDFDNEGVRDNMVAFVWEPSLVKV NAIQAAVEAACLILSVDETIKNEESAQPQAPGRGLPPGAAQRALGGGRGRGMPRRGR AFLA_127760 MPAVHGDLASMVMPADQELLPDIYPRQVTLRDRVTVATLVPFMS PDDVPPSLLSYLSDQLNSEIEKGDTYAMIDPIPYDEFRHYWFSHFGAIMLLGDIKNTQ DVKLMDRTGGANWSKLCLGSFTVRPNYPGRSSHICNSMFLVTDASRNRGVGRLMGEGY LEWAPKLGYTYAMFNIVYESNVASCRLWDSLGFKRIGRIPGGGRLASNPGQYVDAIIY GRDLGPEGEDSVTQDRFDKIRYYLKHSKYPRGADRAEKSRLRSAATHYKLVGGGDGET EKLMLKDKEVVSDPQQQYDIAREMHVQQHAGINKTTAAIAVKYHWVRIKETVSRVIRD CPQCKETLKSPLTNGLFRNEDMPEEEDQTSSRESEPSMPSNEDMGTNPLMDHVSLDAH QSQNPFVTTHPSVVPGSVDSISDYVMPLDPQIIDIHQQLPRFQTHDAMTDPYTHGPHG LSSSHFDDDVRHHAASDYHMMVDDPSDPDPGSALHQDALGLVHSQVSDVHHHEQILAK YQYVGQPDDDLDFT AFLA_127770 MSAPQYYPNTLEPLQINKENLQKALHEFREAVDHGTHLVQQGCP PSAEWGSAGLYLGVAGIALAFLRLERQALSLTEPGRAPIDFGKLARERIVPHGPNLPL KSGFLSPLGSFSPVTGALMRILAASTDGSAISDADITSLEDAVKLAIKNGPMVPQGDK MMGGDELIYGRPGLLWSIFNLRVQHFDENTKKRLQPVFDALPNLVDVIVDAGRQGQKD YTKLHGEKDALPLMWSWKESRFYLGAVHGIGMYTEKKIMFFRQGFPRANTSPGLLVLM ACARRSSLVTEYWEPEWDEAIHLAAESIWREGLLSKGGSLCHGIAGNALPLLLMHDSF EYDVELMQTAKRNYTMRTEPIETKFLEDNLSSDYFLSRALTLLLHARETPPYSNSPEN IYRMPDRPFSLHEGLSGTVCAWADACVAIQARLRKMELEQEGDGPVVEATLRRDPTFK ELMNRQLGFPTIAHHRPTGLP AFLA_127780 MNTWQRNVRMIHQISEILDLDSAKSDTVADLIELIEAMREGLTQ INEECRIADSHINILFLNKLKSRPEWKGWATDMLRNSRLDSSSPTDKMTFQELSDLAM RHEKVMKGKKKNTQHSRSKSTPYASLDVPLDSGQLTQEEINAFVVQQMKRDDKAPRHN ETVRRHSKKPSQEEINQYVVQQMRREQERKTRMRSHSQPEPRAQATHVRSTPTRCTFC GDSSHQYNNCWRRFRVAVEVPHGNFVPKRVEFRTEVPGQPPMYRSGFSLV AFLA_127790 MVALKNNTNQDQVNEAVSHSYNVRLLLADHEPNTTSQGLAEALL RFQELIYLDLSYTTPARDCSVLSALSQLEHLQVLKLRGIGLRDSDAEFLANAIGLRVR YLDLRDNRLTDMAVRSLLQASFLSPGNPAVSHPIAPGPFAPATSLSTNSKWLSCPTID EQFMKALTQPLTGRSWVEGVPHVGITHLYIADNRITVEGVASLIASYRLHALDVGTVD TAESIRDTRMISSYHKDPRKLPGAEKLIPILGSAAKENLTYLRAHHAVCTADAPWKDP VSADVFVAELPAESEDQTHELDAANVIHELPAEEAPIFELAGSPVPEEWRTGFQRSRD DTQAPIREDEPLPIRRRGSVFAPEVVETPHIRTDGDATPITVSPLHTAQAQEGLIPTE WEFNENSEVSGTIPRCASPVMMDDPKAQKIQELVSKRPKNYILPRKDNKENNFPYLHP SFVPHLETLVLTDVPSHVPANSPILRSLIRFITACSNEALLATLQAGSDYSLPPGRAR ARAEQERSRSLFALRRLVLEVTPVEKTTARLTAWESTSYQQGAPKSSTGDRDLEKLWT AAADDFSFFGEDECGVPNHDPGRYFPMAALNEKVTLIPEDDDSDNSENSTPVTSVRTL LRPDAPSYGSHSRPSFINSGPARNDDGVVSPQAEAPKVDLVAELAAFRRSKKAEYEDL LRRDRKRRSTIGTTSSLLSPSGSLSSFGPLSPSPSLSTLAAVSAPQMAMSHYVEGYWK GEVKIVRNPAPKGRSGVVDMYGNYFEKGYLYP AFLA_127800 MTGLAQPHHESPGASRQPNPTSQRKAVKMVKKRANNGRNKNGRG HTKPVRCSNCARCVPKDKAIKRFTIRNMVESAAIRDISDASVFTDYAVPKMYLKLQYC VSCAIHGKIVRVRSREGRRNRAPPPRIRYNKDGKKLNPPQAAKAM AFLA_127810 MSATGTYRGTTTGHKTVGRGRLPDFDGSASHIPRPRPETASSTP NPHTPSSDIGSSTMSAASRQRQNQSKRDEAIRRKMEADLNKKKQAPTRAHRSRKAPPG TVLALKPSQALQIKPNMTIAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVG AGQKARDITVAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDIT KCFYDAMEKLERAYSSSRKLYDALEGVQTELGSSQPQQIIQYVEALRSKMSGPTLETV LDGLPPVTVSVRTSVKDAAAMMKEHHTTALLVQDQGSITGIFTSKDIVLRVIAPGLDP STCSVVRVMTPHPDFAPADMSIQAALRKMHDGHYLNLPVMNETGEIVGMVDVLKLTYA TLEQINSMSTHDDEGPAWNKFWLSMDHESDSMVSGSQQPNHRSVLSPESPKASYDARD SVLPNESASHHGGDEHSEYIDHHHHGEHVPFPFKFKAPSGRVHRVNVLTSAGIADLVA QVTAKLGSEADAVGGAATCEEGKLSNTGYALSYLDNEGDTVSITTDQDLADAVTLARQ SRRDKVDLFVHDPTQPPIPATLEPQPAPVKPVEEKPSPVPEEQLSEEPYMSKPRSQTF SSHHPEEQFIAGVPNDLLLPGAIVTLAAVIAGVFILSRATSR AFLA_127820 MSLLPPEVHSALSQLLRALTTPDNTVRTQAEEQLNNDWIQGRPD VLLMGLAEQIQGAEELVTRTFAAVLFRRISTKTRKDPVTNEAKELFSTLTGEQRLVIR QKLVTCLTTETVTDVRKKIGDAVAEIARQYTDNGDQWPELLGVLFQASQSPDAGLREA AFRIFSTTPGIIEKPHEDAVQGVFGKGFKDDVVSVRIAAMEAFASFFRSISKKSQPKF FQLVPDLLNVLPPLKESSESDELSAGFLALIELAEISPKMFKSVFNNLVKFSISVIAD KDLSDQVRQNALELMATFADYSPNMCKKDPEFAQEMVTQCLSLMTDIGIDDDDASEWN ASEDLDLEESDLNHVAGEQCMDRLANKLGGQVVLPATFSWVPRMMSSSAWRDRHAALM AISAISEGCRDLMVGELDQVLALVVPALQDPHPRVRYAGCNALGQMSTDFAGTMQEKY HAIVLNNIIPVLNSAEPRVQAHAAAALVNFCEEAERKVLEPYLAELLRHLLQLLRSDK RYVQEQALSTIATIADSAENAFDQYYDTLMPLLFNVLKEEQSKEYRLLRAKAMECATL IALAVGKEKMGQDALNLVQLLGNIQQNIVDADDPQSQYLLHCWGRMCRVLGQDFVPYL PGVMPPLLSVAAAKADIQLLDDEDQIDQVEQDEGWELVPLKGKIIGIKTSALEDKNTA IELITIYAQILEAAFEPYVLETMEKIAVPGLAFFFHDPVRVSSAKLIPQLLNSYKKAH GDQSPGFAQMWNKVAEKIIEVLSAEPTVDTLAEMYQCFYESVEVVGKNCLTQQHLHTF IESAKSTLEDYQVRVKARLEERAEAEDGEEENLEYEYAVEDDQNLLSDMNKAFHTIFK NQGTSFLPTWETLMPFYDAFITSQDPTQRQWALCIMDDVLEFCGPESWKYKDHIMQPL AAGLQDQNAANRQAAAYGVGVAAQKGGAAWGDFVAASLPSLFQVTQFNQSRTEEHVFA TENASASIAKILHYNAGKVQNPQEVVANWITTLPITFDEEAAPYAYSFLAQLIDQQNP TVLSNADKVFGYIVQALEAETLQGQTAARVANSAKQLVATTGLNADQILAGVNPDNQA AVRSYFQ AFLA_127830 METLRTAFADRPRPLLSYGVPFETAAAKHINDLFHASRVYIICS SSLSRNTDVLDRLNTSIGKNKIVGTRIGMRSHTYWSEILEIVHEARDSGADLILTIGA GSLTDGAKVVALALSNNVQTKADLSKLPVTPSQQATIHAPTIPIISIPTTLSAGEYSN FAGATDDTTQRKHTFQSPLKGPELVILDPSLTTTTPDSIWLSTGIRAVDHCIETFVAV EHTSEKTDRLALHALGLLVPGLLGCKVDKGDVEARLQCQLGSVDAMAACTAGVQLGAS HGIGHQLGPLGVGHGETSCVLLPAVCKFNARHGANGERQERVKGFLLRQGVVGEVLER RGLDATKVDLGDVLDVVIRELGMPRSLKDVGVGRDQLDQLAENSLHDRWCKTNPVPLT EKSQILEILEMVVE AFLA_127840 MVRQLVWQRATASRRLAPKCLPPQGLFNRRGLATEASSVSSRMP PYPKILRNLEEVRRVLGSSRALTLAEKILYAHLANPEESLLSGTDNGRDIRGKANLKL KPDRVAMQDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGERGADTDLPASIKGNSE VFDFLESAAKRYGIEFWAPGAGIIHQSVLENYAAPGLMMLGTDSHTPNAGGLGAIAIG VGGADAVDALVDAPWELKAPRVLGVRLEGKLNGWAAPKDIILHLAGKLTVRGGTGFII EYHGPGVETLSCTGQATICNMGAEVGATTSVFPFSPSMIPYLQATHRGDVAKAAAEIA ASGPKNLLRADNGAEYDQVITIDLSTLEPHINGPFTPDLSVPLSAFADTVREKNWPET FGAGLIGSCTNSSYEDMTRAEDLVKQASAAGLKPKADFFITPGSEQIRATLDRDQTLS TFASAGGTVLANACGPCIGQWKRTDGVAKGEDNAIFTSYNRNFPGRNDGNRRTMNFLA SPELVTALAYSGRTTFNPMTDSLTTPSGEEFRFQPPTGSALPADGFEDGNPDFKPTAA APDASCEVVVSPTSDRLALLEPFAPFPKGNLSGLKVLYKVKGQCTTDTISAAGPWLKY KGHLPNISANTLIGAVNAATGETNVAYDEAGKQYSIPDLAAQWKAEGIEWLVVAEDNY GEGSAREHAALQPRYLGGRIILSKSFARIHETNLKKQGVVPLTFENPADYDRIDACDK VDTVGLYETLQAGGQGSIKLQVTKQNGEAFEIPVKHTLSPDQSAFILAGSALNLLAQK AGKSN AFLA_127850 MDSPAVPAPLDPQEQPILDRLLRTRDALLLIKQDKSSYLKSRDV LPLYEEVVSEVEKLNAVRKGKDRRLPHNRLDYVLDDCFQLISLLFLTVGRNNEAPAVY SLATTVQRLLDHLEEAGFYSSKDLSSITKTLAHVHETIDRCRNVYSPALLTLLESRLE KCRLLLDKLQSGLAQLSPELVSTHETLVSILRSTSAVNTRSKFSASEVNALRDQLKKI QDSLKDGNFVGADGEPLPGQENVKGLLERCWMWTEIVLQREGKIDERFQDQYERLVEI RNQLDRLSVTQAWSLRETDLFGYQRKLDRIDEARVNGNFVDAEGQLADIHAQRTLLYL IRRSYGYIYALLISSEPVSEALLPVYNQLQTLKRCLLEVKESGGVANSRELYPYSMKL NSIDNMRVDGKFYVGSDIPEGQGSVNSLLAECYDIVWELRAAVQDDPDDRNA AFLA_127860 MSTAELACSYAALILADDGIEVTADKLQTLLTAAKVQEVEPIWT SIFAKALEGKDIKDLLTNVGSGGAAPAGAAAAAGGAAAPAEAAAEEKKEEGNDSIHEE SAKVCGVLTFAHREGGVRRGHGLRSFRLSVFTRSAFLISFFTSFLPYLLFALAFLVPH TTRPHDDQKGKFKRDQRTKTSGGTGRFACMMGSMTGTGTDDAMIPAIRLQSWHTYGSG ARSCWPSSFLCYMFPV AFLA_127870 MPSLWSQVSMASAEPVPLTTREAASGLLGSISLTCWIFVLVPQL IENYRNGNAEAISLVFLAVWFVGDVTNLIGGLWAGLVPVIVAIAVYFCIADGVLIGQC LYYKMRNSRLQAFHRRRSSVETPDPTTPLLGRRFSDSLREGPASRRRSCSSQHRDGHA NGPDDTLAKIVEENEVGRSAWVKNFSSVLAICVIGMGGWTIAWQSGVWQPAPQEDAGG AEIAVGAQVVGYFSAICYLGARLPQIYKNWKDKSCEGLSLLFFILSLLGNLTYGAGIL CHSTEKNYIVTNIPWLLGSLGTMVEDITIFIQFRLYAVADSTAAGP AFLA_127880 MSSRALRKLQKLREQELQQASLQAEENDESSEDEPVSQPSKPKL NAFDLLNAADDEEEEQESENDAHKPVTQPTEDIPVPAKSAESKKKKKKKNKKKKASPK ATDEAAANTKADDEELDEIDRALRELAVDNNRSAQGDASTAATGNNRDASFPKTPAEL LAIDPKSLNATNEMRKLFGNVVLENFDQEDAGAGRRRDRNRETIDLGRALTGRYSPAS RGQSLAGVTLRRNVLMQGKDEWPRTPSGGLGMELVERSPNGPTVYRIIHNSSYRDVQM QFDMCVESMDPQRMIHLLQYNPYHISTLLQVSEIAKHQSDHAVSADLLERALFNIGRS AHSSFGTSLKEGQARLDFAHTANRELWLVGWRYIANLGMKGTWRTAYEWAKLLLSLND SDPYCIRLMIDHLALRGREYSHFIELCTQTRLREEWASLPNIQCSLALAYLRLNKPKE CREQLRLAMSRYPWVFCKLAQELDIQPMPKQIWGKMPPTDAHELLTELYIVRAKDLWN TPEAVSLIVEVADTLVEEEEPVEPPEITLDIARHVVLSDIPKVTTHLPTRFVSGRISA SDPLPPYDSEAFRQQSDPTPSYLSRVPEAGRPQWLRDLLDQMNNGAIRFPGFHGGEEV HDDDGSTGEGEGETNTTRPHPNADQQPQLEQWLLADGLHSLEAFLRQYGVDRGNWGEV LDYSPLTEYVDALDALQPDTRQRLLHGPIRDSIGEIAIDLLEDELQLLEYDEDEDLLS CKN AFLA_127890 MISRHGWGPIKPRKVSAIRLVNSWCHHTVSFQRRWTLQLSILYY LLTSSMRRAALHAFRSARRVPVWRVAGRKPRAVSFSSSNPLALRPSSAGKHPSFIPAT LHSSMHLRNFSLAVISTVVASGAWYAYQGGSSQSAAVAGLNRTISTSTTAYAEDPSES TRRALLVDNDQFYTATINGEEPLRKQTDDSDRRLLEMLTPEQATQKLRKNEESYLVNR GKGVVRYDVVQVPSNSPIEDDHAEKIVEVPSTVATAKEGEANSDWMFWGVFDGHSGWT TSAKLRNVLISYVARELNTTYKAAAADPSLLTPSPAAIDAAIKQGFTRLDNDIVHNSV EKVLKSNSRRVAAETLAPALSGSCALLAFYDSQSKDLKVACAGDSRAVLGRRGPNGKW SATALSEDQTGGTPSEMQRLRAEHPGEPNVVRNGRILGQLEPSRSFGDAFYKWSKETQ DKIKRQFFGRTPHPLLKTPPYVTAEPVITTTKVEPSRGDFLVMATDGLWEMLSNEEVV GLVGQWIEQQQAGNVGGNKTWLKSWFGFESKQLPVETSQEAGTEGQRRPIRQQQYDIS GAADRFTVEDNNAATHLVRNAMGGKDKDMVCALLTLPSPYSRRYRDDVTVEVIFFGES PDTRTVEINKEASAFEENSKAKL AFLA_127900 MRPFHRSLRAPRKTTLQQIRHFHPTRPSPFVNELLDASSAFIQG VHSISGLSWAVSIPLTALIVRSTVAMPLQIYTKIQARKERDLVPLLSSWKKHYKDDIL RNQYKVDDVNDIQNLSAATPILAQRMKSKHADLKKRWNIPRYWKPLNFLQIPIWISVM EALRAMSGNDKGLIPYLLSLIEPTNSSGAPRLHLEVEPSLATEGALWFPDLLAGDTTG ILPAALTMSILLNISAGWKAKKFSEMADLPKIELYRALTVRGIRAFIQVLALNVGLSS YYYEMPAALLLYWTTSTNIATMQTVFLDKCMFRKEPLKPWKQMHIGYTANKECAPEES IYKATMKDAKGFKGLH AFLA_127910 MMLFLLKTAITVLALLATCILLPRLPLAVLRFVLRGVGWVIQKR TRSRREYILSRVRAEDEEASSKRSRSSSGAHGEDEDWEKLDSSSSGSGTPGNNKAHES DDWNGIIGFFHPFCNAGGGGERVLWEAVRATQKRWPRAICAIYTGDHEVNKTAMLERV QNRFNIQLHAPTVVLLYLTTRKYVVSSMYPYMTLLGQSLGSLVVAYDAFNLLVPDVFV DTMGYAFTLAFCKMLFPSVPTGAYVHYPTISTDMLQSLDDTTGVKGINAGAGKGLKGQ IKRKYWLAFARLYGWVGGNVDVVMCNSSWTSAHIRTIWGPSRREQTHKEPTVVFPPTA VSELQSAITVDAESEKTREPIILYIAQFRPEKNHPLVLRSFARFLQERSKNPTSASQP QPKLVLIGSVRHASPDETHIYNLRLLAHELRIRDHTTFLCDASWPAILSHLGTASVGV NGMWNEHFGICVVEYQAAGLISVVHDSGGPREDIVVDLGDGATGFRASTEDEFAAAFE AALALPEQEKVAMRLRARKSALRFTEEEFSLKWINEIGKLVQLQGR AFLA_127920 MASQDTLRDAGQSTADVKNRSVSPSAHPQHQYNNASPGLTLDPS FTVSSFQNSASFNANPNSNSPGADSYSYTAGGYLSPTSAQTLAPPDQAFSHSLQLQSF DPGLVNQLDHSSGLSMQPQLQQHQQPHEENFSTLLNSNPTDFDFSLYPNHSPNSTTAS EYDSSLMLDTQMQGHPQQVNQAVNPVDLIGQMPSPHSVTSPQMSPQEQQPHHSSPGPM SPPNSTPGAYYTPQHSRHTSLDPASAAYMTGNAPPDWQSMMGNAAFQGHRRAPSEVSE VSSAAPSPYMSHHESFDGVDNNPSPLLAPQNDPGLYDSSLGIESFTLSEQQQQQQHQQ GISPIHSPYISPQLMPQQGNDLIPNMPYISAPAGNRYSCPPTDIYGNGAEGVISMPQG TAMVGDIGQASQMAPPSINVEFAPPAKNPIFPPAKPAADLDSLSPPPSTRRMRSKSDP YAHPASRSRSPVSVSSSLEPLAPSSPRSLSPFDSTGRQPHSNPSSREPSPSRSRRLST SSIDNRNYILGLADPQRPGASPNDSKRVQKHPATFQCHLCPKRFTRAYNLRSHLRTHT DERPFVCTVCGKAFARQHDRKRHEGLHSGEKKFVCRGDLSRGGQWGCGRRFARADALG RHFRSEAGRICIKPLLDEESQERERTLMDQQNQQHAGHLQPVPQPLMVPGMDGQHANG FVLPAALLAQYPALQNLQWDQITAAAEDPSDIGGRSSFDASSGGEFGFEDDESNLSSV SGMSGYGSPQDNLYVMNNQNQMLNVNPGDSGYA AFLA_127930 MLFSYLLATLPLLANAALTYKGADISSVFIEEKAGVAYKNLAGE TQALEAILTDNGVNSIRQRVWVKNGDYDLTYNVNLAKRVAATGASIYLDLHYSDDWAD PKHQTTPDGWSTDDINTLADQIYQYTLSVCNTFAEEKINVEIVSIGNEITSGLLWPLG KTPNYENIARLLHSGAWGVKDSKLATKPKILIHLDNGWDWDQQKYFYDTALGTGLLTS DDFDMIGVSYYPFYNEKATLASLKTSLTNIQTTYGKEVAVVETNWPVKCSSPEFAFPA DLKDIPFSVDGQVTFLQRLADTLTATKASGFFYWEPAWTKNAGLGSSCEDNLLVDYNT NQVRSSVKAFGQV AFLA_127940 MKIRIEYTYLVEREWHGKFPPSEIYMKDYLLSGIYLPTAFELER DLSRLWVINLAPLKLERDLSRLSISGINYPKSYRHNMNR AFLA_127950 MVSFTKLIAAGLLASVAVAVPHGHQHSHVHVTKRSSSKRGAAYN DASTVETLSSNGAISWAYDWNMIASGSLPSGVEFVPMLWGRKMFGDWFTTIQTVLSSA SGSSYILGFNEPDASSQAAMTPSEAASSYSTYITPYSGKAKLVTPAVTNGGGDNEGLG WMRQFLDACTDCGMSVLAVHWYGASADEFKTFVQEAQELASKYNLEETWVTEFALSSA MTAGSGTQESTDFLNEVIPWLDSQSGIGRYAYYMCADGFLLEGSDLSASGKAYTYQS AFLA_127960 MNNEQFRRLLVNNNTNNSTQKAGSSISPSQNASRGGGATPALLG SRMRSSIPMTPRSVTGVDFARQLAEYRRDGQPPTKRFRSSAAPKGTKLPAGYQDRAAR LRETGKDEADGDDLEKRIKALEEMVKLGQIDRETFEKLQVELGVGGDLNSTHMVKGLD WELLRRVRAGEDVEKKEEKEEAVEETVGDVDEEFEKLEERTGEELPSAPREKEKKKGI MAPPPGKKSRDEILKQLKASRAAAAAAAAEQQAAEPALGSKFKRIGDSKVEKKRWVEQ DENGRRKEILQITDAEGKTKRKVRWLDKPGETNAGGLLVPDKDAKPLGMEVPAEVAAK ASAPSEDEDEDIFAGVGDDYNPLGDIGSGDSSDSEEDGEVGEKPARTTETAPKETPKP SGEAPAKPRNYFSTSTTETTEEDRSNPLTKDPTLLAALKRAAALRRAEPSAEDEAGEE EDADTETLLRRKKFLEEARRREQLDAMDMDLGFGSSRVEDDEDDEVILEERGGKKRKR GPKKKKGDKDSVSDVMRVLEGRKKD AFLA_127970 MMLTECERILGEGLQRLPSNHNYRYGPQAEKDLLELLFRSLTGH NEERLRQLFPEGLPTGPWKLAEAQGAKEGAEYTEAARGKRCGHIFRAGEATYRCITCA ADDTCVLCSRCFDSSDHTGHQYQISLSSGNCGCCDCGDEEAWRLPLFCAIHTDSGDKK GKEREQAPLPREWVDNIRLTVARALDYFCDVLSCSPEQLRLPKTEEGIRQDEEASRLR PGWYGEGDLAEEEPEFALALWNDEKHTIRDVAHQVSRACRERDSFGNDRAQETNDYGR SVVKYSKDLQRLLAVSKIIEQIKVTVTIRSARDTFREQMCGTIVEWLADISGCSVLED NEILRHTVCEELLSPWRRGSGAFNADIGMKGIDDQEKSDNAPYRTVMLTVSPQGQVVL AADDDDEDEDIENGDEQGANEDGEDDEDFVEGHDDDADDEDEDIEMDLNRLRQEVEDE DEDMVMENADDALDTIQALLGFARQRQNPEQQQQEEEEEEQPHAEAIETEAQTAPNDS VSSETHDDVSASYVPIPKTPSGVVKPSPAKTPSYWQVRSSVPKRGDNVPPYEDLWQRT RLDWMILFDLRLWKKTRTDLRDLYIGTVVNVPQFKRIMGLRLSALYTALAQLYLIADR EPDHSIVNLSLQLLTTPSITEEIVLRGNFLTKVMSILYTFLTTRQVGEPYEVNPNATL AFDAGSVTNRRLYHFFLDLRYLLQSEYVQNRVRTEEQYLCQFLDLVKLSQGICPNVRA VGEHVEYETDAWISASILMREINRLCRQFCEAFRNPDVDGGENLLRALKVATVSAVAH STGIERKRFDQAEIKEYVKFKSLPFFDFEGDDQPGQVSRHRVVDFVVERGSISFHHAL HYTLSWLLECGRNMSSSLMRDTLLEAAQIANDNFIHDSSLAPEDLLLSMFDYPLRVCA WLAQMKAGMWVRNGLSLRHQMSQYRGVSSRDFAYYRDIFLLQTALVTCNPSRVLASIA DRFGMVDWMTRNYMPRAGYEDNQIIDVAEEFVHLLVILLTDRNSLTAIDDGDAAMSEN IRRDIAHVLCFKPLSFSDLSTRLSDKLLDSDMFQDVLEEVAGFRPPEGLNDTGTFELK SQYIDLIDPYSAHYTKNQRDEAENIYKEWMAKKTGKKPSDVVFEPKLRRITSGAFIGL PRFTRTLLFAQIVHQCLDYVVSSKECTPNIPPTRVETFLQVVLHLILAAALEDSTEED EMTEEPMESFVSHALTKDRTTQAGYLTIVGLLEKISIMSEFSACGPKIRHILKKLWQK RPRTYASATAALRFPFDRVDTNSPAIDTDNEKEIKKKQALERQARVMAQFQQQQQNFL NSQGAIDWGEEDFSDMESEPEAAPETKLWKYPSGTCILCQEETSDSRLYGTFALVQDS GIMRQTDIRDPDWIREVLKTPLSLDKSAESVRPFGVAGENRTTVKRLDSTGGEVISEK VGLSKGFNAKNTLRGPVTTGCGHIMHYSCFEVYYTATQRRQSQQIARNHPERLNFNEF VCPLCKALGNAFLPITWKGKEESYPGVLNTAVSFDDFMTGEVKSALSHPRNYALLMEH NKIQQQSYQDLFLDYLNKSLVPPLASKTEQLMTSPLPSTAHYVQQARLPVPGLFPSQD DLPAASPLQQVSSPGDSPMSELLQIYKRLKQTLRLNHIYSTFSHHPDTIKTDDLVHTD SLFQTFGFSIAAAEIQQRGVESEPGSTVLEKIPQLTLTHLRVLAESALTYAAVGCLHD SNGPHTRSTDEIQEMHRQKICQLFVGHPCLNGTALLDDVREIEPLLGKDIFVFLAECS LSLLPILNIDIRHLIQMCYVAEIVKVAVTYILWPLGLKEELAQNGDAHYLLDIELSDE RYKSARQFFNSIVTELKSNSVGRAEGSSFPAESGYVKDGEDSATPGVVIALRRLVSSY ALTFLRKAVILLHVQHGVEFPNTGFHDLGVSELDRLTKTLHLPSIDEIFASISTARKS GSPFDAVISGWIFHWNASRSGIRIGDHKLWPSLSHPAIFELVGLPKYYDSLIEEANRR RCPNSKKELSDPSICLFCGDIFCSQAVCCMENKMGGCNQHVQKCGKNIGLFINIRKCT VLYLHNHHGSWHYAPYLDRHGEVDPGLRRNRQLILNQKRYDRLLRDVWLSHGIPATIS RKLEADINNGGWETI AFLA_127980 MAGAVSDSCLELTNDVQWLTTVVTAPDCFRYLPACLPSPDHPTT VSLNISSVSLTCDLSTVFRGLIRRNAVYLTAIFTSAFAFEIAYDSASNRIWDAMNRGR QWKDIKHQYMVKDEEDDE AFLA_127990 MEECEVEVSKLAGAKSSGNHRDADWTAGTKCAQSLRVAASGNHL NSRTRPYKIIVAQFLLPHTSSRLDPPGSSNNYRIFLKLLSFHSTGGNTSKAYRIPHLS PTAMASTNSGITTDAATGERYIPSSVRADGSKRREIRVRPGYRPPEDVELYKNRAAQA WKNRGNTGVPGAESLKNENESPAKTGTAASNKNAKRREAKKKAKAAQEGTATTEGKNV TEIDNWRAPASGADKKQSNGPDKATGGSEETVDLEAENEKKARNLKKKLRQARDLRDK KNQGEALLPEQLEKVIKIQELIRQLDALGFDSNGDKKDDSAEKEEKV AFLA_128000 MRLPCVPSRALRGVRTPLAFARIGQRYSSTYDAAVIGGGITGLT AAYRLSQDPNCSKITLYEKAPRVGGWLLSEKIPVEGGNVVFEYGPRTLRTAVPSCLPL LDLLVELGLHDDVLLTSSSSPAARNRYIYYPDHLVRMPAPDPNAGPIENITNPLFAML REPVFEGLIASALLEPVRAPPDHKTFNSDESVADFVSRRLCPEVADNLVSALFHGIYA GDISRLSAQTLLGTFRDLENDDRRVIGGYINSLMSDVKLMAMDDLLALESVAHEKPGM YWKSLRTLVNKTSVLTLKDGLSQLSDALVDALKKSKKVDVLANTDVKSITQNPMTDDL IVGSGQDRSRIHNRVIATIPAPELANKLATTTVKDQKVPQSTIRNLQEHNYAVTVMVV NLYFPNPDLLPVSGFGYLIPRSIPYEQNPERALGVIFGSDSSVGQDTAPGTKLTVMMG GHWWDGWKESDYPDHDTAVAMSRALLHRHLGITDAPTLTSSRLQRNAIPQYTVGHLSR MRELSRSTRHELNNRLTLAGSWYNGVGVTDCIRQGYLAASFGVGARKLGPGDGDRPWR RFDYEKWELEGGIVTSPVRWAEVYRTERKHF AFLA_128010 MTTVVAVGTPLAEALSNVIQPKLVEMGWSSDGGDDSALTEYVIL MLVNGKTQEQIAGELSNDLLGLGEGDTQALDFSRWLFEQVEFLNQQINGGGIQPSIET DPAQAIPSFNDQEAANNQAMMTGDGAMDADMSMGDTGAANDTIPTGPKAMRNNRPAGR GRMLNQINRQLDRGDSALHRVRGQGGSGRINSHGRDHTKGRFHQHGGGRMSGGRQMGG MGMGPNQMPGGPANMMNMTPQDQMHLMSLLEEQARMMSQFMPGFVSPAINPAFQQNGP QQGRSLFDRVERQQGRRPFNKRDDRNADTDMDTKPDQGGEQDESNTDSICRFNLRCTR RDCPFAHQSPAAPEGTPVDVSDACSYGAACKNRKCTGRHPSPAVKSAHQAEELCKFFP HCANPHCHFKHPSMPLCRNGADCSTEGCKFTHVQTPCKFNPCLNPSCPYKHAEGQKGA FADKVWTADGQEKQHVSERKFVSEEDGAEELIKPGGAEEASQNNQEIAT AFLA_128020 MSQNNHNQDSHTIKESLSTKTWFGFDLDDTLHSFRKASAQASSA VFTTLHEENPNINIDDLKTSYRDILVSTTAGAFADGRTSTEYRRERFSLLLRAHGLEV TTERLDRLLDVYKRSLREALTLKPGALDLLQCIKRRGKKVIVVTEGPQDAQLWTVEEL GLRPYVDVLVTTNEIGKSKVEGLFSAVLDKYGIVASDMVYVGDNEKRDVVPAREAGIL AVLFDEMGGCSFDDFAALRIDSLGKLGDLLE AFLA_128030 MTIPFCYVALGGIVLQVVGLFLFSETAFSTDISAAQYGYLVLAG LGTGTSVAVFYMMVPLVVDGKDQSIALSTGLQLRMLGGVLGVAASTTILHNYLRSRLS VTLDPDQVSLLLSSSDAIGIFSPYIQLQIRQAYSVAYSAQVKLAGGFSVAQLLSVAMI WKRSNVRYLKR AFLA_128040 MSPDSEMTMSEKQTPEGVSETTSEDPIEWDGALHGFPLYLGALG LGLALFLTGIEATIVSTSLVTITNDLDDFGRSSWVITSYLLTYTGFLMIWSKIGDIWR VKTSLLVSLFMFTAFSGGCGGAQSLSQLIICRAFQGVGGAGVYSLTLYSFVRIVPYRQ YDTISSVAGGILSLGLVLGPLIGGAVAEKGSWRWVFLCNVPAGAFSWVLLLIVLPANF PNAPSAQTASSKYKGAGMKIKTFFQRADTLGALALLTASSFAIAALQEGNFEYAWSSG LVISFLVISGIFWIAFLLWEWFISKKDLDIYAMFPWRLAGNRIFLGAAL AFLA_128050 MRFLCLHGIGSNSQTFEQQTAAIRYELGTQHTYDFVEGALPWES SIKNVTKTDEATFTFCDPEQPHSCRQAARDIEGYINEEGPFDGIIGFSLGATMALSWL VNWYQTKQANGSEVAPFKVAVFFSNARQPFDHDALAMDRIAYLDSVQMGKVIDIPTAH IWGSADPQAEEAQRAVNFCDSERRSIFVHEKGHEIPSSVEDTVSIAKVINRAIYQVEG K AFLA_128060 MSTCSEQGLPPLAIVGISLKFPGDAVTPEDFWKMLLEGRAAASE FPPDRVNIDALYHPDRERLDRLSTRGANFMKGDLGAFDAGFFTINTTEAEAMDPQQRL ILEASYRALENAGITMAEASGSKTCVFTGSFSHDYNMLQVKDPMTLPKWHATGTSMNM LSNRVSWFFNLQGPSATVDTACSSSLMAIDLACQSIWSGNSSMGLAIGSNTILALEMS LCLDNLGLLSKDGRSYSFDQRGNGYARGEGVGVLVIRPLEEAIRHGDTIRAVIRSTSS NQDGRTPGIMQPSGTMQEKLIRDTYVRGGLDLATTRYFEAHGTGTSVGDPIEARAIGS IFRDYRSADDPLYIGSVKSSVGHLEGASGVAGVIKVVLALEKGIIPPNAGLEKLNHRI DDEFLHIKACFQRCSCLVAVPQTPVAWPVDGLRRASVSSFGFGGSNIHIVLDDALNYL QINGLQGNHNTTARLSTMCVESHLTNGTLETIAEETKLLVWSAADENGISRIQDKWRS FFSSLHITDKEKKAYLNNLAYTLANRRNHHPWRTFATVKCSDDWSAIVDKFARPCRSV SSPNLAFVFTGQGAQWYAMGRELISGYSVFRDSVKAANRYLQTLGCQWDLLEELQKPE ESSNVNKTEYSQPLCTALQVGLVDLLSHFKISPKAVVGHSAGEIAAAYCASAIDRHSA WKIAFYRGKWSSQLEKSSYVKGSMIAVALSPKDVGLYLQKIAGECEILRLTVACINSP KSVTISGEEMQIDMLKSALSAENIFCRKLKVKVAYHSFQMHEIAAQYQSAMGELEKGT EHKTPIEMVSSVTGTWIKPEDLRAPEYWVHNMVSPVKFSDALFTLCSNTETPRRKLDR SHRRAFPIHHLVELGPHSALQAPIKENLNSLNRQSVAYHSLLVRGVPATDTIMTAAGY LHAAGYSIDLGAVNNLESLEEKGLLKSLPDLPEYAFNHSKSYWHESQISRNHRLPKIG RNDLLGTPDPNWNPLEPRWRNIIKLSEMPWVRDHQINGTILYPAAGMVIMAVEAAKQL AITGRQISSFNIKDVTLHAAIQIQEQNETVETAFHMRPVKALTSAASHWYEFALYMNT DSSWVSNCTGTIQVAYYPEKPDPVDCGHLERDLASQQIDAYLQASKECTSPAEVEALY SHLKACGYEYGDAFQGITALSVNPSDYTCVTGEVSNRPSTSYETIHPTALDALIQTAL WPTTRCGAEVIPTAVPTHIESIELSVDGLNTTKDIFKVHTRVEPSGNSDICANITAYD DKLGKAIVTVDGLRCTAVNELAVAETHNSIDDKLCHRVEWKPDIRLLKNDDINEICRQ PGVDTSALEGFFIEVDFLLLARLLEALDVLAERSLSPSNLQVKKYLEWAATQKRLLSD GQLVFSLEPWKSRFHDIEYIQTLDTRLYESNPQGKFLVSFSRNLTKFLCEELDPLEFI FTCGLIDDFYYDTLDRSSSSRRITAYLELLAHVNPQMRILEIGAGTGSATRIFLRTLG RGQDESQTSRYAHWEYTDISRSFFGEAASQFAAEKDRMGFNTLDVEYDPEQQGFECGT YDMVVASLVIHATSDLTKTLTHVRKLLKPGGKLIMNEMTNPARSAYVFGLLEGWWLGC ESYRSLGPCVGERQWHELLSQTGFSGCDLVFPDVENATCQENAFIIATATEEIPEAAR GHRIQIVHDPTDRIQTELARLLEEGCRELTGSTVECVSLQETGSSDDLLRVFLLEYSK PVLCDMQEEVYTRLQALLLSTKNTLWVTEGGGTNIGRPHLHLIEGLFRVLSEEDGGRD RYILSLERQQTERRPHHRLVLDLISHILSPVSSVIDSEYNEHQGVLQVGRIVNHHPLN NAISAQTNPQQAIMKPFGCGTQLRLDTSSSSMTNGFRFIEAEWGDQPLAANEVELEVS YVGVNFRDVLIALGQLNVGGIGLECSGTITRVGTACHKFKTGDKVVGFLPNCYSTHLR FRETDPVVHIPQGISLPAAAAVPANFITAYMGLKELARIQPGESVLVHSGAGGTGQAA IQIAKHFGAQVHTTVGSESKKKFLMQTYGIPESHIYSSRSTFFSQAILQQTAGKGVDI IFNSLSGESLIKSWECIAPYGRFIEIGKKDILSNSKLPMIQFAKNTTFISLDLGSWAR DRPAACVSALAEILALLVEGKFQPPSPIATYGVCEMEKAFRLMQGGKHHGKLVIEMRR DDPVMVSIELSTYSITVLKPKPNSFFDPNATYVISGGLGGLGQNAVNWLVSRGARNLL LLSRSGEASAEGRKLLDQLHSHGVKAMAPACNVSDAQSLRKALDACQSHMPPIKGCIQ GAMVLHDVSYEDMSYTAWQTAVNPKVQGSWNLHELLPKGMDFFILLSSINGLIGSRGQ ANYAAGNTFQDALAHYRVSTGERATSLDLGLFTFAGAVAKDSKLREMMRNNSVLEPVT EAQFHALLDSYCNPVVARDLGLSCQTAIGVHPVVMERGAGRAYWLEKPLFGLLQLDQA SEVDQHGQARGVNIAAALQSATSSAEATTLTAEALTLKLCRVLSLSEGDIDENKALHE YGVDSLVAVELRSWFSKEMQADVAVFDIMGRATITSLAQLAAGRSKLEKGWSV AFLA_128070 MHSESESGYESQVSMDEPFTPMFKKEHQHDGQLPDITPDDRVIA VMGITGVGKSTFISHFNEDALVGDSLMSCTTEVGIHKAQIDNQRIYLIDTPGFDDTTR SDTDVLVEIADWLRFSYNSNIKLAGIIYLHRIKDVRMGGASIRNLMMFKKLCGEKCLS GVVLATTMWSNPPTEKEIKRESQLKSERKFWGEMIGHGSRVFRQDDGVRSATEIIRYI LGRPQNVTLRIQEEMASGKSLGETAAGKEVQAEVERLRARYERQLNELRKEMREAERR QDLNHKREIEAVRAELEEELKSSKQQQSILRMDIDELQRERDAMHQQELSHREAIEEL RRQRDAEREEMYEKEMAHKEALHQRDADLRVLRARDEYESRLLELETQMAREKNRRSG CVMM AFLA_128080 MTTKGYFAGIRRSSTEPISKPPRRISVASGAIPEASTQRRNSHN VHFREKDTASQQDDTVVIAVMGVTGSGKSSLISLLADQPVGIGHNLQSHTTHTDMFSF SHPETKNTVILLDTPGFDDTDRSDTEILKEISCFLAMIHEKRWRLVGIVYLHRITDPR LGGSAMKNLHMFEKLCGSRSFPGVVLVTNMWGDLEPTEAGRALGERRQGELKSQFWKT IIEAGGHVMQHDGSKESASAIVSSLVRRNEEMVLHIVHELVEEKKTLDETDAGQFVQA ELLKIKRKYDREIADLQESMEEAMEARDEETARAIKREREAAKAKVAQKARESKGLKI SMQQLVQEKMAEYDARATEPENQEAVKGRITELFEEFKSEMREEMREELESEMRDVKE RLAKIETGPTEKAEQRVEQREEEQPKEDNKAGGLMGWFSRPLSGFSRA AFLA_128090 MVSTYILPRPTKCNFANMTIAMDFIYNSLNANRAHRDLEFFGNA IKTAKGASQIDSPKTAEVSAGISSRFIFTRDPENIKAILAGQFADYGKGEPFHNDWKE FLGDSIFVTDGELWSRSRHLIRPMFVRERIVDTEVFEKHVQHLIPFLSGGNSPAGSKI VDVGPLFFRYTLDAATDYLLGQGTDSLQNPTTSFSEAFGYVQHRQADLTRLGYSPSNQ LTMHTHRIFKFLLSLREFRRNLKIMDNFIQPYINRVLSLSTAELDQKLSKQDTFLDSL ARFTRDPRVLRDQLVAILLAGRDTTAGTLSFCLFELARNPQVVAKLRQEIESRLGVGA KGRKPSYIDLKEMKYLNAVLNETMRLYPVVPFNVRLALRDTTLPRGGGPDGLSPIGVR ADTRVLYSTMLMQRNPENYDAPGSPGYVDPEKFHPERWLSGWQPKPWRFIPFNGGPRI CLGQQFATIEMGYTVVRILQAFREIKAFPVSGKSVVEDPVLRFEVTLSPGSELNCLFV PEEASPTK AFLA_128100 MTAYSPRSLFLLLLQRVRVFARFPTYPSCFCYQSIGKAARACHT AQTPRWSRDALVMQPSEIFVGSIDQGTTSTRFLIFNREGEPVASHQVEFPQIYPKSGW HEHNPLDLVSSVETCVEQAVKQFESTGYSRNDIKAVGITNQRETTVVWDYETGEPLCN AIVWTDTRSQAIIKDLKEKPGASRLQQICGLPLSTYSSSSKLLWMLTNVPRVKDAYER GTLAFGTVDTWLVYRLNGGHQANVFVSDPTNASRTMFMNLETLEYDNSLLDFFDIRGR VHLPKIVPSSDTKAYGAISDGILAGVPIMGCLGDQSSALVGQKGFSPGMAKNTYGTGC FLLYNVGEKPVISKHGLLATVAYHFDGKPVYALEGSIAVGGSGVKFLQNNLEFFKESK EVNDLALTVEDNGGCVFVTAFSGLFAPYWIDDAKGTIFGITQYTKKGHIARATLEATC FQTKAILDAMEKDSGHALSELAVDGGMSNSDLAMQTQADLISIPVYRPKMRETTALGA AIAAGLAVGLWRNFAELRDINRAGGAVFEPRISRQQSAESFALWEKAVNMSRGWVGNE VPPTVPETKKDVSVAVQHSDNTQIVPAKANGSILPAKRPLLSISSDLDDADEDYLYLE LRRVEILQKLKKLTKLKVALLSA AFLA_128110 MKQIIEHTTTDHQDGTHVAVLSTDKPVSPALDITLSSDQTEPAH PQLLWGKTREYIRDGASEFFGTMILVLFGDGVVAQVTLSHGEKGDYQSISWGWGLGVM LGVYASGISGSHINPAVTLASCILRKFPWRKFPIYLVAQVLGAMCGAAIVYGNYKSAI DVYEGGPGIRTVPGYSPNATAGIFCTYPAAFMTQTGQFFSEFIASAILMFMIFALKDD TNLGAGPLTPLALFFVVFGIGACFGWETGYAINLARDFGPRLLTYMLGYGPHVWTAGN YYFWVPIIAPFLGCTFGGWIYDMFLYTGAESPVNTPYIGLRRLVTPLRPKHHDSGNHV AFLA_128120 MRVPVINAAVLLLSSAIPVLAQTYSDCNPLSKTCPAKPAYGTSG TFDFTQGQSNDFADVGGPTYGSDGAEFTVAKQGDAPLIQSGWYIMFGHVEFVIKAAPG TGIVSSAVLQSDDLDEIDWEWLGGNNVLVQSNYFGKGNTDSFNRGATHDNVGNHDSFH TYTIDWTSEQIVWQIDGKTVRVLTPDTAATNQYPQTPMMVKVGVWAGGDPNNPQGTID WAGGKTDYDAGPYTMYLKSLKVTDYSTGTEYSYGDQSGSWQSIKSTGGKINGNIAAES ISSVESAPAITSTVASIPIPWSGTHRQTSSFVTPSIWPWVPRATTATPGESRGQGPLP SGWTFSGSRQVQPPSAASSCRLSTFVSSRLSQGSASLSGTRSTAWTLSSTGILTNSPT PTQLISSPAQPASTSTYPTISSSRTTITSTDTAVTSTQRTISPTRSFSSSTLTMPPSI STDSPSSTTEASGSKGTGNSPASTTTAHIAPNVGAANTMFDAPLSMVALYVVVGSALV ML AFLA_128130 MSFQGGDIPEGRRSSESSGGNSWLSQETVKDNQTNRYQRNHAML NGRLISPFSGFAKADRSTSQGRKQPPTLGAHDLSTSNFTWPSPDVSPTDKRKDSGFTG HRKSSAAIGPDEPGPPPTFSSSPRISCPNSDEVDYFQQDPNASYSSSPKIAPGDGNGA FFQDHSEHEASPASTSFRPGTSRTYASEPLELDYNGDHRRPSVASATTISSQGSKSST GGRFRKKLKGFFGEDYLPGDSKLESDNGSQHPTKKSSLSEQHIFRERANSDGARSPPQ RLIGESSPQRPRPRAPLPSSEITPWVFQSFNDIPQYGEAPVREAPIGADGRRAAAQMA RGSQRNQTGRQFSGHRHSRSKEEKSTTADDITVYPSRPTTGRDDFGIGLRANSLNNSA MNSTSTLVRSTSPTPSMQSAHSREQGQSSPGTQLPNKRSILDKIRRPKAHGPLKHFPG AKGVQEAKSTSKLARRDVSPARRGRQGSLEGTTTPKTGDESDRKKDGRGLAISSAKLR GRRVLGTDTPSKDTKPAEEQEGMYELDTDLSHMEGIVRKRSPQPSADRNQSVDGDSKL HEEGKSRDGLPTGHWDAPDSWHVRRHGEDNAPPFPSGDIEVARTVPQPDGAPYFIRVF RVDSTFATLSTGLNATVADILVILGRKSFLTDHLHNYEIVMRRNELTRQLDPSEKPIL MQKEMLERIGYTSKDRIEEVGREDHSYVIRFTFLPNKLNGLTSLQGGDSGFNRNQKFS HVDLSNRCLVTIPISLYSKAAEIISLNLSKNLSLDVPKDFIQGCINLRELKFIGNEAP RLPASFGLASRLTYLDVSNNYVEQLDNAGLDKLQGLVSIKLSNNKLTSLPSYFGNFAY LRSLNLSSNNFRVFPEFLGNLKNLVDLDISFNNIAEVPCIGRLSTLERLWMTNNVLRG ALDESFKDLVNVKEIDARFNEITNIDNLSYVPRLEQLHVGHNAISKFKGSFPKLRTLL LDHCPITQFDIDAPMPTLTVLNIASAKLVQLRDTLFENAPNLTKLVLDKNHFVSMSSH IGKLRKLEHFSIIKNPLDALPATFGCLTELRYLNLRECNLSRLPPELWNCNKLETLNV SSNVLDSFPKHGTPMPQLPGELVPGTPGTSTPTNYEDLAADDQEGRRPSQTSGSVLST GGSPNGSGGYRKPSVASSLSQGGRKVSTASRSLNEGSPASRKDSSFSNLSNQTFCGSL RNLYLADNRLEDDIFRELSLLTELRVVNLSYNELTELPQGLLKRWSNLTELYLSGNEL TSLPSDDLEEGSQLKILNINANRFQVLPAELCKVSKLSILDVGSNSLKYNVSNWPYDW NWNWNRNLKYLNFSGNKRLEIKPHVSSLLGPPNTNGTDLTDFNSLTYLRVLGLMDVTL TTSTIPEENEDRRVRTSASLAGFLAYGMADFLGKSEHLSIIDMIVPRLKPDNVETVVG MFDGTSQSTGGSKIAKYLHENFLRTFSTELRKLRRDLQETPLDALRRAFLALNKNMAA ACFKTFDDKTVQRYEEPPDQKSRKLTNEDGASGGVATVLYLRNMDLFVANVGDAQAIL VKSDGSLRHLTRTHDPAEPHERARIRAAGGYVSRQGKLNDVLPVSRSFGHFHLMPALI AAPHTMHVNLTEQDEMIILASRELWDYVTPDLVVDVTRAERRDLMVAAQKIRDLAISF GANNKLMVMILGVGDLKKRDRQSKFRNASVSTGMLEEQQILPTSKRTKKPRDMPGDSR LARFEFVDAPVGELAIIFTDIKGSTSLWETCPDAMRSAIQIHNDILRRQLGIIGGYEV KTEGDAFMVAFSTTTAALLWCFNCQMQLLEAEWPTEILEQTQCQVEYDMDNNIIFRGL SVRMGIHWGEPVCEKDPVTNRMDYFGPMVNRASRISAVADGGQIFVSSDFMSDIQRNL EVFADSERAASTGSEEGYAVDNLGYNIRRELQQLNSLGFVIKDQGERKLKGLENPEPL YLIYPHTLSGRLSIMQKDAASDKNVPTTISKHSQLEIQTDLIWRLWEITLRLERLCGA LEYPGEARLKEPNVSLFNVVKNHGGELADSTVVSLVEQQVTRIEMTVNTLAIRNMLRP FRPHDRLNDHAMPIGDVLQELQTQLAEYRALKEQISVSAAGITGSSPSYTASLEDSNS SVSSSFLRMSIP AFLA_128140 MADDLDYLSPDFDLNSLTVPRLRSILVSHDIPYPASAKKAQLIR ILEDEVLPQARRLLRDRERVRRTSDGITDMGSRATSVVSDFNDRREDDSRDSMPPPPT PSTVSTVTGGRRGRSRMSTRASTADVDDRNIPATPSTISRRIAPRSESKRLRASENQY YHDTPTTPVAADTVTPRKSTARKLRRSEMTPSTEPEPMSRLIKEEPKEESVFTYDNPF QSGSSPAPWSEKKRKSGSLLPMESPALDQGLRARKSDAFIKQEDGATVSRRSSFQFPV SKLKSPRKQLESMSDDDESELSAGEEFTPEEQLALEREQADLWYPSAPNQQQMQGSTS RAAPWVIILLLLAGFGVWWRKEKIEIGFCGIGKPTWSLAETRIPEWANVLEPQCEPCP AHAFCYPNFEALCENDFILKAHPLSLGGLVPLPPTCEPDSEKARRVKAVADKAVEELR DRRAKWECGELAIDGQGVEGPEISEPDLKQEVGRKRRKGMSDTEFDDLWKGALGEILG REEVITQTKTPSSVVTLTSTSISRLPLTCAFRRYVRLSLLAYRLPLSVLTICACAVMY ARSRVLSRRSDMARVPELVATTLDRLATQAALHARGEAREPYIPIGQLRDDVLRSELR GSRREELWRRVRSVVEGNANIRAAVREGRGGDVARVWEWIGGIGSVRGDIEGSATRPR DSSNLHLSASPHEQSRQSMDSHAHSPDSAQPRRWDEGRPIY AFLA_128150 MAQQGSTRPFRPIAPRTLPGPLPPPPAPPGGGVEEVKIRRASAA CTECKRRRTKCSADTTGPPCTECALHRRDCVIDEFADKRRKVAARRAQEELRYYRGFV EQLLKAIRHGEGTDVEAIVAVIRSGASHDEIRAVVRGFLKRDAKGEDDMPDGLGQGTE TDDTSKDLTPESWS AFLA_128160 MATSLIRADAQPSLGNAYHARATGLGPSGSFHPGQKRKASESSL TPKPSNARQKITRACDHCKEKKTRCTGTLPCMRCTRLSLPCEYNAAYSRGLPPEPLPA PPSVAADYANQDHVLSPTYTNRSFSSQRSRRSYSRGAAGSRQQPKNGAEVSGRNSPDP VVTDFEGNYLGPASGVSFLNRVWRRLHQDEIEAVPGDLQKESSSKSTSVFMFGDRPYS DDREAGFTLPSIERARELVEIYFDFSMVTYRFLHRGTVEGWLKQVYESNISSLNPPTG PMVARTAILLIIFAVSSLHEELKPENDIDVWNGSERWYAASKYLLSLESGPPRLESVQ ARLGQCLYLLSSSRANECWYAFGTALQLVTALGLHRRYPAKSSKNGNTYLDREIRKRI FWSTYTLDKYLSVMFGRPRLIHEEDHDQELPDEVNDEDMSQDDARRRTGSPDWAAEDV MRIVDTLGKQGVLIRSFWFTHYVCFCAITVVYIYTIQQCQLSSYPDASRSMEDKTYLC SLFNLAEACQQHLAEATRKNCPSRRYSIILGELRLEARRQTGSYLRSDAPANTSQPLA TTVIQGQASMVQKTANQADIPQPLPSASRSANNPETFAPPDTVEETFDFGEDFGLLDN LEGLNWWTQLDSWAFSNLSAEPSNLGL AFLA_128170 MLGANEDTFYNPLESPVTNVSKMITATAPHSTPLPKPILKNASF NHDPDRVPLTVDELVRSRASLGSEQPVICYPRTGIEYVDYPLRQLDIFSFRVAGAIAA KVPSRASSRDTPTVVSLLGTSDLSYLVMLLAVAKLGHTGLLLSTRISIEAYVSLLERT NSRHVFIHESFKATAEELKQRLPDLQVEVIPTQEFFNYPIPEGNVDTNMVPWLDPVAE SKHLAWIIHSSGSTGLPKPIYQTQAAAVRNYSGHMNMSGFITLPLYHNHGISVLFRTI YSSKRLYLYNAELPLTRQHLLDIMQAHPLEIFYGVPYALKLLAETEEGIAALAQFKAV MFGGSACPDSLGNLLVENNVNLISHYGSTETGQLMTSFRPREDKEWDYLRPSEAVKKY LRFEERYPGIFELICLDGWPSKVISNRPDGSYATKDLFVKHPTLEAYKYYARLDDTIV LVNGEKVNPLDMEGRVRQLNTISEAIVFGAGKASIGLAVVRAPGTASMPDQELIESIW PAVEAAHEAMPAYGQLSKSMVRVLPEDTPYPRTDKGTIIRQRFYKEFSNLIEEAYEAE DAMSGSLVLSETELKAFLKDQLRDILAHRKEDELTEDADFFALGMDSLQATQLRSILM KTLNTNGQKLGLNVAFEHPTIGSLALHLDSLHSGATTDVQSVEDQMAELISKYSDFQK HVPMSNGLDGRYIVITGATGSLGAHVVRKLSVRPEVQKIYCLVRAGSPIEGYSRVLQS MRSRRVFDGLTDVAKQKIVALPSDLSQATLGLDATTYSTLTSEITDVIHCAWSVNFNM HLSSFEKDSIGGLKNLLDLCLKAQRPAPASFNFCSSISSVVGTVEDDISEALPKKLSY AQNMGYAQSKLVSEHICMNAAQQAGICARVLRIGQVIGDQDHGVWNSTEAIPLMLQAA TTIGALPKLNESPLWLPVDTVAGTVTDISLSSTAISKPDAELVFNIVSHHPFHWTKDL LPYVREAGLEFEELEQRDWIHRLRTSNPDPVANPPIKLVDFFASKYDTDKPRRSFNWH TERAREVSNTLADARPLDRELVGKMIEYFRKECWSLKN AFLA_128180 MSMLPESVRAVASRMTELSGLREAAIALSAARLASIESAPQLSP LRKPRLQHFSEALSRFISAVQQIRSHPTDIENVLAAVIHLVLFELEYDHETWNIVAGK VYNQFLDAPMQPADTSILPETTEGPDIFIYLKELEERNESVISDSSYEPLDTMLSKLR GSRPHNMHSFLNIPGINPIRFDQCEDAIFHAIYALTHIYCDGELLQSLLYQQEIESNL HVTDYVKMILGIAKGYNPASCFCDSVYNINISWVLILLALRWPTEEVMVYLKDDFLPR LQGTDTLREDTVGSLPSFSRIVNVLHTETKRGRRIYTMQPVYADSDEQEHFFLMNQVK GYAIHGRDSEGHFFNDYVST AFLA_128190 MGSLLDANSSTVRKRIANHTFDNEEGEEYGASAFGGHRDYMRRK KIKLQNLDAEIRSSVSDCPPIFRGVVAHVNGYTQPSLQDLHRLIVSHGGGFLQYLDGK TAATHIIASSLTPKKREEFRRYRIVKPAWVVESIKAGRILPWDSFRVVDEGYAQKVLK FDNGRILSQTNCPPSSYKDQAFPSPYTSRAKELDIVDDVNMQPSVSIAEPHSAAGSSL KATSQSDYGDFPSFSSTDETNKIPLPEEPQKDQARDHESRLSDTARNPSRSMSPKSKA IVKPASSPSPAKPDMSSEAYNAQLLSDPRMRNSSVVNPDFLQQFYKESRLHHLSTWKA ELKAQLQAATKEKLQSQPAKKKSAPGARRYIMHVDFDCFFAAVSTLRNPGYEGKPVAV AHGTGSGSEIASCNYAARAHGVKNGMWMKGALQACPDLKVLPYDFPAYEEASQKFYSA ILSLDGIVQSVSIDEALIDVTTQCLEAGGSDGRGISEGSLYREQAKADEIAQSLREAV KHKTGCAVSIGIGGNILQAKVALRKAKPAGQFQLKPDAVLDFIGGLTVQDLPGVGHSL GGKLEELGVKLVKDVRELTREKLTSTLGPKLGAKIWDYARGIDRTEVGSEVMRKSVSA EVNWGIRFVNQAQADDFVQSLCEELHRRLVENLVKGKQLTLKVMRRAADAPLEPVKHL GHGKCDVFNKSVILGVATNAAETLGKEAVSMLRSLAITPGDLRGLGVQMTKLEPLKPG NMGNLDNSQQQLNFKTSPARRNIHKSHDPDDLASPRKGETDSVIHRPSLNDRNHKPLN ISGTQFIMPSQPDPKVVAELPNDIRSKLISQAKPPCPPSRRAHPFDGTTLPPQSQLDP DALAALPDDVRAEVLGYYDQSSRTNGPQTTASVAPATSTSRPSSSGSLKLKKPTTPTK RKRGRPSTKAVGNLRLTHSSFAVPRSTTPTPNLDEDPPKRQESPSVEQNGVSEEFLAA LPEDIRREVLEEQKRSRMLQRPGSAAQRIQNKPSASEPSAPQKRLCLPPLPERPTFTS QKLSNLSDLRDAVSAWHATFADDGPFDEDVESLARYVKRVVIDEKDVDKAVSVVRWLM WLVEDAKDEISEPPDGQGSPVGLARGSQSTIAWDGALKLLQENILAGLAERGLPPVEF S AFLA_128200 MSVQPVAVYALKVPAGGALIPAVPDAAAMFRVSMAAIDPDEEPD FDGHDTNQRPRATLRIVRAPPGLDLEDDSDDDYEDVDSDEESDDEEPNGGPSDKEKAR KLKELAALKEMEEAMDEDDEDEDEDEDGEFDLKAAISKLVKGKGPATDSDEDDEEDEG LELDEMVVCTLDTEKNLQQPLDITVSEDERVFFKVTGTHTVYLTGNYVMPIDPHFHGE DEDEEDEDDYDLSPDEDELALDLMGDDDNESDELDGLENPRITEVDSDEEPPKLVDTK GKNKRSAPADEEKPAKQANGEESLSKKQQKKLKKNNGDAAAVEQKKEAKEGKEAKKVQ FAKNLEQGPTPSGQDKKPAEQTTGTLGVKEVKGVKIDDKKLGKGPAAKAGNTVAMRYI GKLEDGKVFDANKKGKPFTFKLGKGEVIKGWDIGVAGMAVGGERRISIPPHLAYGKKA LPGIPGNSKLIFDVKLLEIK AFLA_128210 MMSESASDASAAQRVRRDRIRVRIGRRSSRILSLLGFRGFPNGK LSICSTAPWSVTTRADSYGLGSTPSAVDPDHPSHTANYPQTIQSFQSHCAQGIHTSRN TAVREVETIHAHPPSSPILDHRSSSVLFIPSAHSNKQRETHKDKREAGAVSRSSESYE TRGPKGLGERRSRSTPAAFTHIVSRKLSTTFGNPTVIRRSRLRRRPSVRILKFPAATI PNLNNQGDSANDSSDPSSSPTSSGSPLRAKSTPPTSAEPSIFHEIVEHYQDDTVGWRP TLGTFRPVTGRKLTPIEESPGVTPTVRTVEAVANAKVFFETYFRSIYSDINQRSQRQR ELEQYIHSLPLTLEEKNRVWQNWIVQEQEYLRQCRVLKSRFHGACHDETVSIAGFEVL KELGRGSFGVVHLVRENNAGETPTAVMKHPCPKLINARAARGSENHRRKVMTGEKKDV FAMKVIRKSAMIRNSQEGHLRAERDFLVASAKSRWIVPLIASFQDINHLYLIMDYMVG GDFLGLLMRRCILPEDVAKWYIAEMVLCIEEAHRLCWIHRDVKPDNFLISASGHLKIS DFGLAFDGHWAHDQVYYNEHRYSLVKRLGIQVDGDVEDQKEERTRKAEQAPLNNSDDT VTFMPPSVNLLEWRDQHQMRRLARSVVGTSQYMAPEVIRGSLYDGRCDWWSVGIILYE CLYGFTPFAAKDRETTKWKIHHHHQTLHFPGERHTDRIVSTEASDFMNRLLQEKEYRL SCDAYRQNDVFNSRSTARHLLSSIDPRSRSYRNFYVYPNDAADIKAHPFFRGIIWEQL HQSSPPFIPKVKSWEDTRYFEDAGGVVDNDDISITTDAQGSGDGPEGTGYKPKPIQNQ AESQQKQRGPIPDENTSIDATEPPTKTTKKQKHRKRPRDKMLRDMRIQKTVLRMRKEG AFVGYTYRRPKAVALAFAPERGRLYLSRGQLSEIYGL AFLA_128220 MPHATDLPAPDAEDADFESVMRQMNGPLADGGMSFDFLQRDLEP GEKADDAVDYEDFDDDELPEEEERAQVPAENGELAMDEDKGLFEAEEEDLFGDQGDEP QPQPEGGDELDDLFGEGPASPVQDHADVTRDLFEEDEQPQPAPETIETPAPEPEPMAM EEDEDILDDNDIGTVAEDMDPAALRAWKLQQALFAMSTVGPDNPPAPPENVEELLHSL FPKFDRKTLPRFLELIPHKKAFFLGKQPPKPPKPVLPSKVNIELAQDQERAFKSGGQV LKRSLESEHLGLVAVAEATPEEEEEEEVKEDFDLDTDTDEVLPGGVTLNDLRVVCMDW DVKSDISIMDIDEPIAEEVTEDAEDDWLLESTRPVKKRKLGRDPAELIAFSHIDVPLI DDPERATSRIAQKVTVDMNDPHILLDERGPESATQKPKAIGALNRDEMDVNVTRRLTS RYNISNDQAYDMLKQNHQNKVRSTLGNVTLEHSMPALRLQWPYYKTELAKAEARSFHR PALSFRPGQTCWFKNATYIKRKHQKGKDVKTLYNSTKALSLADNSTALLVEYSEEVPV MLSNFGMSNRIINYYRRKNMDDPTRPKAEIGETAVLLPQDKSPFSIFGHVDPGEITPA ISNSMYRAPLFQHETKPTDFLIIRSSTGSGGSDYFIRNIENLFVAGQQFPSVDVPGPH SRKVTTVAKNRMKMLVYRLLKKSPDLRLSISDVTAHIPGTSDMQNRQKVKDFLQHDKD SKYWVPLEPVPEQDVIRSWVQPEDVCLLESMQVGQQHLHDTGYGNDAETGGDEDNDEE TESFEQQMAPWKATRNFLLASQGKAMLKLHGEGDPTGRGEGFSFIKTSMKGGFKAIGE SVEDKLDAQRLKELGGHSYNVARQQKSYETSIRRIWDAQKASLSSTVEHSDDDSDIDR ELEEEFNKPTPRSEAPTPGPGRRDDETTSQFSKMSMPDQRGKVLRIVRQFKDDKGQIY SKETMVWDPRVIRHYIQHRHKLEALTTKLENLQPTGDPEVDARNKKLLEAELSRLNRN KERRFAREKQKGATRTSADSPADGGPGGSGKGAGTQRKCANCGQVGHIKTNKKCVPST SPLSASDREPLPFFAFFFHFPWTRFCSPKSKEKNKDPSCNASDVYPKSRHAKWSPDAN DPKGPSFISRHKSGSK AFLA_128230 MSGDHTTEEAPPEVHHYNDIGEVPWDIQNVLYLDTKLTLRSYWA QRYKIFSKYDEGVWLTDDAWFGVTPEPVANKIAEHIASAAPASRMVLVDAFAGAGGNT IAFARSGRWKRVYAIEKNPAVLQCAKHNAKIYGVEDKITWFEGDSLQIVNNQLKDLGP YSVLFASPPWGGPGYRSDKVFNLRTMEPYSLATLYGEYALFTEHMVLYLPRTSNVKQL AKLVKDGEKATVMHYCMEGASKALCIYYGGFNLQ AFLA_128240 MFGVLNRFIGHLDGEPVQQPRAATSDNAFGFQVLRNKDPELPLE PWFDFIVGINGRLIDYPDPNLFATEVRNCAGSSVTFEIWSAKGQKTHTVSIPISPSNP TLGVALQLAPLSSTQHIWHVLNIPSPLSPAYRAGLLPHSDYIIGTPSGTLRGESALGE LVEDHLDRTLVLWVYNSEFDVVREVELVPTRGWGGEGALGAELGYGALHRLPVGLGEE VEGPGEVVFETRADGTSTPVLDPMHPTQSQGAGPGATAGGHFLVPANMTAPPPLASQA PRSLSGSPAPGPSPAARRGKTRQYAVSPNRAFDEYFAEGEQKSKEEDYAPSRKGTPLP PPPKGIRSPPPSGSPAPATE AFLA_128250 MSFRPMFQQRAVAPIAATLLAGGVAFYPRRTAFAEEPKNDRKPI YDDFPADIPEPTKSLPLSPPKSSPFSSSSPTPTDILTAQVRHARLFLYENSLAAENCF NDFLSRALHIENAFTNTIASLAPSPESGERLLPGGAYVIVSAMAGSIVSRNRGILLRT ASPLAFGTVAAWTLLPVTMRNISDLVWEYEKKVPAIAEQHLYLRERAEHIWSTGVAHS GMARAMMEDKIGETRKKLEELVSKGH AFLA_128260 MAGHMLMPLRRRPWTCRACLQRLQQPRRSLETAASPSSQSDVYD YAPTNHSTQKKSNDETLRRVFDSQPFWREFSQRSATQSKPTGLVQNQYLTNPDGFRAF ANVSLQRCQAIVAKVLAASTLEEYRDMARDLDRLSDLLCRVIDLSDFIRVIHPDPRVQ EAATQAYALMFEYMNVLNTTTGLNDQLKKAASNPDVTSHWSEEEKIVAQILIKDFSNS AIHMPPNERQRFVNLSNDISQLGSNFVNSAEPAKSQVVVGANSLRGLDPILVQQIRRW NRTASVPTTGMIPRLALRSVHDEGVRREVYLATRTSSSRQLHRLEELLSKRAELAQLS GHASFGHMTLSDKMAKSPEAVSNFLTALVGSNREYVQEELSKLQAMKGGSPLQPWDHA YYVHQRVLQYSQSRRSRELSAVPEFFSLGTVMQGLSRLFDRLYGVRLVPQETAAGETW NPDVRRLDVVDEAERHIAVIYCDLFSRPNKHPNPAHFTLRCAREISSEEVAECATMDH SAHPNDGMATAVDPQSKTLRQLPTIALVCDFAEPPATGAGRPSLLSEHSVRTLFHEMG HALHSILGQTRLQSISGTRCATDFAELPSVLMERFATEPAVLSMYARHWQTDQPLSES MMLSMEKDRLAHGSIYGAVENEAQILMALVDQAYHSIPADKAGQIDSTAIYHQVLSAH STLPDPTDSRPPTSWQGFFGHLYGYGATYYSYIFDRAIANKIWEDVFQAGKAAVDREA GERYKNEVLRWGGGRNGWDCVAGVLGSANAANANGRLAEGGDEAMREVGRWGLGRDGV SG AFLA_128270 MAPLIHLPSNLSDTTSSSSFTTSISTNSLSSKHTNHNHNNGQQQ TLTHRPTLTNLTRWVSRKISRQQLPNQSTSRDGDPNEGLSDAEREERRATEDDYAAWC WAFSEGRSTGDHYSHSHAHSRGIGNGNRGEYEYGYGSHREAGHGQGQGYGHEQGYDEN LFSDFDGQSPRNDYLTGPRSAKYEHTSIDHGGEGDTTNTRGTYTFLQTQEDRLGRHES SESVPADQLLRFTPIGHYGYSPLTAGSPVSPPPRILTPARYAETNRMQREKSQELKQK QKAQRGFWGPVRALWLSLRRSR AFLA_128280 MASTEEPVPVAAPVEVDSENAPPATPIESTVMSGSEGPLTSPDV SKDKENTKASPVKSRPTSTTATKPPGTTTKRPSSMSGPPKTTSTTRASVNGSTLSKPP TRPATTSTVRKPLSSSTTASHRSRASISSSADEKSRGAVSSSDEKRGISGTAKRMSLV GTPTTRAPRPSSIHDRRSSIASTTTAEKRSSVSSVSRTSTASARTATKPTTTSTARPS SSSTSATRTVTRPSTATRPTSTATKRLSTVTKTSEEDAEKLNALQSKLSESETTVTGL KAELDAVNEKLAQLSVSQTEDSSKDQEEALKALREQHAAELERLAADHAEQLQALRVQ LEEAEATRKELEEKSLKDLDEAAKSASAQGDDQTAAALEELKASHQAQLEALEKELAE QKASTAAYAEQIESLKAELESQKANLETVTKGLQEEKALALDRLERELNGRDQVIANL NIEMDKLNQAKEQGVRAAEESAKQAVSTLEEQVASLTAKLAEAESAAKGNEETPEVVT EKVQEIAELKEAMKKMEAEFLEARESAANARDEKIKELEAAHEAAVAKLKAEHDEALA SASTSHAQELAVAKEAAESAGTTHSQQLQELRDALEAAEAAAKKGREEAASELSAAHQ AELQALQQKLEAAEQALSEARQAAEEGANSAHAVAVQEIDELKEKVGALESQLSTEQD AIKSLHDEVHSKRQEAEALKQSLLEFESKTKAKDAEQESQLRALEEKAAAAEKALEEH LKEASANADKHSQALEKTAVAEKALEEHLKEAAATADKHSQALEELKAQHAAELEKAK ADAAGSHGEALNEVQAKLDALASTNRELETSHAQKIETLEAELKATLERHAGELASHT DAHEKELADIQKEYSETKAQLHAELEALRESKAAETEAEHSKAIEELLTVHEEKLSSV RADLESSNKAKVEELQKSHEAALAEVHEQLSHAQAAAQDSSVLDALKATIADMEKKLS AAEQSVTESKEIASKQGAELSRIEAEKNEWEQKHQAVSSRAKELEELVAASASSKSEA ETVQQQLSASLEELSQVRSKQEAIHIELDELRTQNRAMEEKLMQGERDLNDQIDKNMS LLNQLGEVDSAISSSRKRIRELEAEVAALKAEGKGAGLESSRWAAEGEKAPGGGNAGP AATEGEDLGSSIEGTIASIQEQLKHIRTANDDWYDEHQRLIRELASVSQRATPDRSPA MTPQPERSSSSSDDNQAGEGASR AFLA_128290 MSSRSTPRRRKVVSTSHALDLLNNLNAKEVTHEPKSSTPYRPRS SHRSKINDDWVPRGDAIWDVPQGPDDDRSAPFKRVALSEPLTPRRSSRLQPKTASKTT PSRKAKRRANLKLKEKDDREGESGSEPERESGGGSDNRPDEEADEENTGPVEENQESG GSDVSYVDVVEDHGDTEFPQPVLDSPHNVPQSNRLSPFDVQKRVSALRAALHRGPDES SAGSRDQSLNEPGSEYGTPRDHPSQQTDEPHPGSDNAYSAHEQQRSSPAVVIVNRATD ARSSMGGEGSPVHSPESPRRNTPLEDIPQPSPVQAESGDLADEVGLYEAEDENVGVDH TNIADEDESSNYIPTDDEAEDESIEADYTNITVEGKSSAHIPTDDEDSVRDRPTRSGL FRYETDPENLSTPPSKRRQTSNQELVPEHGRQSRERSTVTSRAVNSLNSQEDQTEPLQ PRHTLNDIEQHVEDISEDDSENDPDDDTDEEAWLHQALKMAGQKNNWDTLVVQAHRVK KTANPSMAEYYNDFADLTSTLQAKYVKIVRYLDARREPRGATVRDCDELLNAILSDGT SSVDYIVEHKDDEPEAGQPSSEETLDEFEACLVSGMMGVLLACFEAYCKEDGLFPKAY EHLHRVLNVFLRLCYKIYCVVDAGYVDSQNRSRSLQLPLKKLITALEKDLFKGSAPQP ESVPAMSIKHGRPWTDDEGYALIEGLQRYQGRDRYARILKHFGERLRGRTIRGTREKA RQLHDKLLSTVVDPDVLQTEEGRQQWYWLLSVREE AFLA_128300 MGRPSPQLDNSEYAVGWIAALPHERAAAKAMLDIVHAPPRHKHA KDHNSYTLGSINGPNGEHNVVIASLPSGRYGTVTAATAAKQMLSSFPSIKFGLMVGIG GGIPSDDHDIRLGDVVVSQPTGAFGGVRQYDCGKVTARGFEECGALNCPPEALLNAMG ELQSNHEMMGGTSIPDILESMYATYPAMADSRRGPAYIYQGADHDRLFCSDCIHEKGA KDCGGCNPEKEIKRPERLDQDPYIHYGTIASGNKVIKDAKVRDLLAKSCLCFEMEAAG LMNQFPCLVIRGICDYCDTHKNDRWQKYAAATAAAYAKGLLQVTDASDIQNTPEARSV VMDNISEIKTIVKGLARDQEQKDLFRWLSPLSPSARHSENQRVRVEGTSVWILEDPRF LDWSGEGPSSQALCCFGNPGAGKTIISGQITTDSTIGLAYLYGDYRDHKVQTTENILG AIVKQLLVFLSEIPQALLELYQREAKQEAPLSKVSAQTFLDVACEQFSKVYICIDAVD ELKDQRTLLECLQGRSSMQLFITGRPHIRQTVQKYLKQRQEIPIEAHEGDIRRFIDRE IGGPNDIEPDAMDEKLRLDIQSKVLASAKGIFLLPVLQVHAVLQAATMRRREEALKTL PSSLSGAFSGTVARIEQQPIAHSAKAKTIIAWVHLAERPVSVDELLCSLAIEDGDKAF NRRGIPVRMVIDQETHTVRLVHYSFQEYLNQQSKMFGLSKEEWHSQIARTCLTFLNFP EETADDYMGITLLSYAATKWGHHLRRSEYLPDSPLELAKKYLSTAQVFNNASLLLLYR EMYTGRHKDHELHRVVTLAHIAAFFGIHSIMLHLTVTVDIDSRDIIGYTPLSWAANLG HMPIVKLLIEQGVSVDPQDLNGMTPLFLALKSKHEWIAELLIDYGAAVDVNDENRNTP LSYAVEYKCETAVRLLLDKGAPVDTKNKFGETPLLIAAHGGFERIVELLLERGAEVDS MDPYGRTPLLYAALSGFEKIVRLLLAKNAAVGSLDHKYGRTPLLWAIAKDHEAIARLL IDKGAAVDTVDATYGWTPLIWAAYHGWETIARLLIEKGAAIDSVDSKYGRTPLLLALE YRHEAVVKLLLSNGAAALMDSGGNFDLATWKGDGGLDGLMDHLGLTMDDLGHRLRRRT SRKPTYLEYFT AFLA_128310 MAADGLPVRVLPTLDPSEGHTFLEPSKRINEGDDVSEFLCSKAY VDIMTFLLQLNRSMFPAKLPDGRVQTWPLNTEAVGFSAPVRQLQQLLSKIEDLLDATP LMPGEWRYANGAFQVWHDKVKKATPSLLAECLPAEILHAPSSDPNGPTAEVELTEYFL GSWGSRERMDYGTGHELSFLTFLGAIWKLNGFPKNEPGVEERTIVLGVIEPYLELIRA VIKKYKLEPAGSHGVWGLDDHSFIPYIFGSAQLGPAISNSDLVPETGSLPDAVDPDGV TKANVVEKERKVNMYFSAIGFINDVKKGPFWEHSQMLYNISGVQAGWAKINKGMIKMY NAEVLSKFPVVQHFRFGSLFSWNRDPSAIPPPSRIHTSSGPETRPRQVPPSARQDPGP GTKAPWATASQSTPPPSTGTAAPWATSRAGREPPTTSRIPSALPDTSRLPPGPMAPTR APWASSQPAGPAPTGDPNDITTKAPWAK AFLA_128320 MGRYVPPDQEGLMSGNQLAKKHPLGSRARHLHTTGALTVRFEMP FAVWCTTCKPHETIIGQGVRFNAEKKKIGNYHSTPIYSFRMRHPACGGWIEIRTDPKN TAYVVAEGGRKRDTGEDRREGGVGEIVVKLPGEKEEVVDPFARLEGKVEDKKVVDEGR TRILELQERQARDWVDPYEMSRRLRKTFRAERKVLENAEAKREALKDKMSLGIEIVDE TEEDQLRAGLVDFGTGGDTTAARTRPMFESRGVSTEKKLAGQSTAKTKDGKRKKAADL VAERKAMFRSELTGNTRAVVDPFLNHEGNPWQPEVKRRKTAPNKADTNKTDSQNTSRA ASEDRASSTLKEHSIKVTETASKTPEPPTALVAYASDSE AFLA_128330 MPNDEEEQDRMDLLHHIYTLILDGELHMAPIKSHPERVLDLGTG TGIWAMDFADQYKSAEVLGNDLSPIQPSWIPPNLQFEIDDYEADWVYSRPFDYIHGRE LAGAVSNFDRLFRQAFNHLKPGGYLEMQSFRVEVFADDNSLDRAPYTTKMCSLIQEAS AKFGKPMANMDEWADRMMKEGFDNVTCKVVKVPISPWPADKKQKEIGKYFQAQQMQGI QSYVPELLQSILKWGADEVEVLMAGARKELFDTTIHQYGKLYFVYGRKPSS AFLA_128340 MIHDQYGFDKSYAHLLGDAVRTRDELIKALPPSHMLPALLGVLK DTEEIPRTISQKPIKKLILRDFFGVTDYWSIENPTPGVESCGNLPPQSEATTRPGDWC GLQRAD AFLA_128350 MSCNYDVQKEQWMALPLSNGATSLDISRNVSTWPHPEPLPAPPL QWFMDPSSHGELLEAPVQWVMGPSALGHAVDASAPWIINSSGLENSTGPSIPLIMDTS GLKGFPELPIQGLLGPPILQSSSEPPTSSASCISYVPTGPLSRDELILSRPASSSHYQ GSPPQLLRDHVTLSDSTSLLHAKCPIPGYRSTARLATPRDVRRPHRQQVKRFFCHYEN CPQSVPDPQSLSKRGFATRKDRDRHEAKHKPEIRCQWRNQYGEQCTRLFSRMDNMRDH VRRIHRRKF AFLA_128360 MVQAQLQVKLIGPASTDLIMDMWRQRRTQLLAADGSSVQACAQI VRVNDGQVHIKDSFTIYTADSGKTSNGPPTVGAVDHNLHRLVT AFLA_128370 MIHDHTVTLFGKNRSNQIYYLACGNGQVANPGAWNVPFPVVDGA EHISPYVNMADGGNTIFASDGGRIYRRTEDVKLAAPPAQKSLSFKSYTTTLHVSDPNN LPVANSQLEISTDSRTPGNINGVYYVLGVEPVKIKTDATGVATVIQETENINCAVLKI EAGAGTTQAVINPRMKSFEKLGQLDSASSLRHASFPTNTVAGGMRGSPQFSPLVESSV MEADVNAVAQGMKSLKTAYSHIQTPDLRSRKLFNLNCDVIPADLGHGLEITGSMIALG DLFLLLKSATDATSNVVWNAASDAWHFIVQTAEQVYHAVLDTVDAIVGTIEWIFNSVK TAITKIIRYVEFLFEWDDIRRTKDVMYNITKLFFQHQIDGISEEKRNFETMITSAEQS LGEFAGFSDWSNLGDAAKQPASANVKNPATGQTPGSQMLGNHYRTHAGGLRIVQYPVP SSKIDKDSVQRSLDGVIEALAQNRGIILPLRSNWGT AFLA_128380 MEIKAALEKLAVIIVDGILSSTRVVVDTLLDLQKELATAGFDAL AAKLHIPIISDILNEIGIEDVSLLDLFTWISATSFNVVYKIVTNTAPFLDNEDVQALI TAKSWDELTPLFHTPSSMTTDLIVLVCGNLEPTLPRLDTA AFLA_128390 MAGHGMAAAVEAYVVEINALEANCPTGDNPFSTPSANCGIVAAA CQAAADSFAPRCPLQNDCMRDLSWVTTKLVILSKAIFSSIGQASLIDEDPETREFFIG VMTAANLTTAGTQLLEAGADA AFLA_128400 MGAGTPPRHSLGFNRRCGLYICPGSNSNITVPGNLDPEWNITIP WNLTYAFASGLSELSPTVSSIWDIEWRNYELTRRENYNKGSLYAVGSYRQVENRILSD RIEVAEGVIMDTKNGGIGFRNHTLPPQSHHGSTWTEDLLFVVPQTSCVNLNLTLDFKW QSFPVNVTVTDRGGFVNLRHQQPEHSIVQTQHNFDLHNKAYTGAWLTNAMGMIYLNVT NPSSHGYAQSFEYVNSAVGKSFPILNKGTGVSWGPDGISTSTMWGDFFNIPDPGYNAS GRAWDIASVRYPNPFNITTKNFTNIGPLCQGHSMFDYANMTNVGVVCGIVYGAARRTD GGNHLIRESDSTWQIPIYSCASAAKALIKTVRFRYDGSGSLGGLRVVDMTEKTYQTED ENPLWGVENTSIQLDVAQPLWGIVSKEYQNRSDISVIRKESLWLPGFGDTTLSLPVNG LLNLPGASFHLSSFAYLYSLSSSITNSVSDYTGETSSALYSKWRGYSTQADTTSTIIN LIWTDIVANAVVGTRGWLSPQGIPVGSANVSGQVEVPVTEYRKTIQYRVPFGIPAFFA LFWILLSMVTVMILLLCGKARPSLVRRYLNHTSVGRTMTLLLYPGWTEPQALTTTWAS SVGTKKIDVSVLAPSSADPVETETPPALKRRCTL AFLA_128410 MWARLIPILLLLVKPTVSRVSPYDIDDIERPDNVTGLDVKYYGN IGSYYNGTLIVRISPQRKAHKVKPLDGNACGEYEDRTFEFIWDALVGLIPTAPNAPSP NPFFLSLYAWDEGYRLPNENEPANYSEYQGTWFHTFSSDQKPIFYFGITNQTDNDRSY YFDGHMSTEFMRQLDIPFNASGICTPTSSKEDLLFKGTYMVPDSEAHLEWMRDLSVPT IRGYFNLGRAYISISGYLRAISEETELVGKAEVVFQGQIDNARSDQLLLGKKRPEWNA TLGFSVPAGGDVASVAISTKSWKTELQGLVLLLGLALLP AFLA_128420 MAEPRKSPEHDASSTKNSPAAHRARIACKACNSRRVKCDAVDQQ PCWHCRLRQTPCELIESKRGNRISQNVHTPHLLHPLHLTYTIEILDEPSGGSTEPLKV HYAIPASIAAQTSSAPGHCAAEPVALSDAVATPSCHIARPLIRAFFELVHPAFPVLDR RDFARLYAQGQVSPLVLQAVFMVGFTVCSESLVHEAGYSNRERARKTHYLRAKALYDA DHEKNPLSVVAAVLLLGFWWSGPEDQKDYCYWVGCATILAQSFGMHRSSSQSGMSQSV RSLRKRIWWSIYVRDRHTAAAFGRPCRIRDDDCDIEPLTEDDFMFDSDYDQSVVPSQE SFHISYAIEMSKLAILCELPQTMIDYIPAGGLHFL AFLA_128430 MLYTSYYNCHVLLFRAKPLGGLSPAEADMDIRARIAADSITRIA EDLLAARTIKYAQVLLVPALFGALSVHTMTLCREDCIRQKLAENKSRQCLLALCELSE SWPVKIWFAKAFANLMSRLTGIKVGSIVSVPSGIVDDAGSMGFSEEPVSLPRRRSPAG ATSWDLIASDFLPSSEPSMRSPRFQGSPLTEFLVSGYTSSPADQLLDGLLPFGRIDST FTPDMALCNSFEPTLPAFDGASTEEGNSQGIWTG AFLA_128440 MAAFQNLVRFAVGDRAHYRGLIEAVDGKYNVQRFDGTPFDGVVK TNEEHEVETLLSPIENTPNVIYIGLNYKAHAQESKLPVPTYPPVFTKPADALAGPFEK IEIHPDAQPLLDYEGELTVIIRQRCQKRDGGGSVRLCAGVHSRKRSLA AFLA_128450 MKPNAAFSTELARRVRAAVRKRLSARHVPSYVFPTPEIPVTVNF KKVELPVKKIISGIHIQPSSTLAYPECLDYYAQYFDIEKMAAGESKL AFLA_128460 MNSVWPDFADLPLKKYGPRGNAWGLWGPDDQIGTLNYLTEEVVA RAAGEEIKLGKRISLNWTLTGSSYPTLTRKTLDLKIINKAPLKIAHDDEWSFNSQCSS QWDGFRHYAYQNEQLYYMGRTAAQFAESSVPNGIQHIAKKCIAGRAILVDWYKWAQRN GRVVDAMTAHSVPFTELLEVIQDQGLSSDDFRAGDIILFRFGYISQYENMPEEKRARL DEVYKRQKPENIGIEPSEELLRFLWEKRIAAVAADSRSFEVWPCTEIEWHLHEWLLAG WGMPIGELFDLEELSIACDEANRYTFFLTSSPMNVSHSFLSLCYNGDGLN AFLA_128470 MTNFFTSKSKPLGKSTSNMSSNASQLEKESHSTVALRDSQNSDQ QESEPQYPGPLKLTLIIISLNLAMFLVGLDNTIISSAIPKITDQFHALGDVGWYASAY LLTNCAFQLIWGKLFTFYVVKRVYLTALFIFELGSLICAVAPSSTALIVGRAIAGVGG GGVGNGSFLLIAHCVPPRQRPAFIGMMGSMYGIASIAGPLMGGAFTDNISWRWCFYIN LPLGVLPAVIITFFIAPFRGSKKGEVGFLNQLKQMDLPGTACLLPGVICLLLALQWGG STYPWKNGRIIALFVLAGLLFIAFIVIQYISGDRATVPGRVFNNRNIWGSALFGSCVT AGFFLLLYYIPIWLQAVKGASAIKSGIMNLPMLLGSVIFSLLGGALTSIIGYYMPFAY LTVILMSIGSGLLSTLQVDSGHAKWIGYQFLVGAGVGSGLQTAFAAPQCVLPLEDIPI GTAVVIFTENLSAAVFVSVAQNVFSNQLRTNLATYVPEADASAILSGGATDIKNFVPQ ELYQGVLFAYNKALDQTFYVGVALSCCAILGTLGMQWVSVKKKDDAPSN AFLA_128480 MAAFPAYLALLSYLVPGALSHPEAKTLTSRASTEAYSPPYYPAP NGGWISEWASAYEKAHRVVSNMTLAEKVNLTSGTGIYMGPCAGQTGSVPRFGIPNLCL HDSPLGVRNSDHNTAFPAGITVGATFDKDLMYERGVGLGEEARGKGINVLLGPSVGPI GRKPRGGRNWEGFGADPSLQAFGGSLTIKGMQSTGAIASLKHLIGNEQEQHRMSSVIT QGYSSNIDDRTLHELYLWPFAESVRAGAGSVMIAYNDVNRSACSQNSKLINGILKDEL GFQGFVVTDWLAHIGGVSSALAGLDMSMPGDGAIPLLGTSYWSWELSRSVLNGSVPVE RLNDMVTRIVATWYKMGQDKDYPLPNFSSNTEDETGPLYPGALFSPSGIVNQYVNVQG NHNVTARAIARDAITLLKNNDNVLPLKRNNTLKIFGTDAGTNSDGINSCTDKGCNKGV LTMGWGSGTSRLPYLITPQEAIANISSNAGFHITDTFPSGVTAGPDDIAIVFINSDSG ENYITVDGNPGDRTLAGLHAWHNGDNLVKAAAEKFSNVVVVVHTVGPILMEEWIDLDS VKAVLVAHLPGQEAGWSLTDILFGDYSPSGHLPYTIPHSESDYPESVGLIAQPFGQIQ DDYTEGLYIDYRHFLKANITPRYPFGHGLSYTTFNFTEPNLSIIKALDTAYPAARPPK GSTPTYPTAKPDASEVAWPKNFNRIWRYLYPYLDNPEGAAANSSKTYPYPDGYTTEPK PAPRAGGAEGGNPALWDVTFSVQVKVTNTGSRDGRAVAQLYVELPSSLGLDTPSRQLR QFEKTKILAAGESEVLTLDVTRKDLSVWDVVVQDWKAPVNGEGVKIWVGESVADLRVG CVVGEGCSTL AFLA_128490 MNHLSSPSAPSATAMDYIIDPEGDMLLIVEECSGNLHLDLVQKE SSALADFPLGPTPDVQTIDEPVLSPTQSQSQSQLPLDNASSAGNSGQPVAIAKTVMLK IRVSSKHLTLASSYFRQRLVPETDDHRPVEKDVVPACVEDVDALLIMLDIIHGQTRKV PRSITFKKLFMIAVLVEHYECVEAMEAFAEVWAEKLKGEIPVVYSEDLVKWIGIAWIF RLESLFQKTTRTAIRRCTGPISAMDVPIPLALIGELPIIYSLAYCLFGVTDRC AFLA_128500 MPSFPLLRRSLATLITKPLRRIESAKQLAERMASLPNTYADPVR IAVIGGTGLRELPGFTQVASLNISTPWGTPSSPITILHHQCSHNKQTVAVAFLSRHGL HHQIAPHEVPARANIAALRSIGVRTIIAFSAVGSLQEEIKPRDFVIPDQVIDRTKGIR PFTFFEGGVVAHVPFGDPFDEGVAKVVRACGHSLEGEGVVLHDRGTLVCMGMLFAQSD RSNRYQYNRLLTSFPEGPQFSTRAESKLYRSWGGSVINMSCLPEAKLAREAEIAYQMI CMSTDYDCWHEATADVTVEMVMGNMKANAENAKHFVTAVLDELASDKNSELVQAKHVE GSVKFGLSTAQPNWSPEARERMNWLFPGYFN AFLA_128510 MPSEDQNQQFKRIGIVGAGNMGSMMAFAFSELGLDVSIWDVKKE NVDQLLQSAKDIKGQGKIEGFEDIGEFTQSLEGQGERKLFIFSITHGDPADSVLSKIK HALKKGDIILDGGNENYRRTERRQKECEEIGVSWIGTGVSGGYQSARRGPSLSPGGDE KALELVLPLLERYAAKDRKTGQPCVARVGPAGSGHFVKMVHNGIEGGMLSAVAEAWSI LHYGLGLKYDEIGDIFDEWNQKGELRNNFLLDIGADVCHRRKSPEGDGKGEGIGEKNE YVLDDVLDKVVQDDDDTEGTPYWCVMETANRHVSAPTIATGHYMRIASGNRAERLRVA EKLQMPKPKPIEGIKDRRLFIEDLRRAVYCCFLSSFCQGLELIARASDDEGWNIDLSK CLQIWRGGCIIQSEAIADLLQPLLKKDVHYTNLKDIDEVAHALKHNFDSLKRIVIDST VFDQYIPAISATLEYLKYAGGTMLPTKFMEAQMDLFGAHAYYKPGVPGEDPGPVKKGP HHYEWRPA AFLA_128520 MPTDTNQVPLSYATCSIGTSKSDTLPRKLEVLHQAGFTGIELAF PDIVSYAPDLLGHKVAEDNYAELVTVAKDIRKQCEAKNLKVMMLQPFANFEGWPRGSK EREDAFARAKGWIEVMRAVGTDLLQVGSTDTPLDKLSATQENIINDLRELCDLLATHN MRLAYENWCWSTHAPTWKDVWNVVRLVDRPNIGLCLDTFQTAGSEWGDPTTSTGRIED LPVEELDRRLEKSMEELASTIPAEKIYLLQVSDAYKPVRPIEGKMIDGAWPRARWSHD YRPRPYGGGYLPIEPVGRAVLKTGFRGWFSMEIFDGGADGEGKEYDMGEYARSAMESV RKFLERSAE AFLA_128530 MQSSLLLRARGLPLAKSSFARSSIRAMGSYATFKVPRIDNEPNK HYAPGSPDRKGLEEALAKHKQSAPLTVPLVIAGKEIKSSETFTQANPATHAPLATYSH ATASDVQAAIDAALKARESWASTSFAERASIFLKAADLISTKYRYDIMALTMHGQGKN AWQAEIDSAAELCDFFRFGVKYAEDLYAQQPVHNSPGVWNRVEYRALEGFVYAISPFN FTAIGGNLAGAPALMGNVVVWKPSPSAIASNWLVHEILLEAGLPKNVIQFVPGDAEEV TNTVLNHPEFAALHFTGSTSVFRSLYGQIANRVAEGKYRSYPRIVGETGGKNFHLIHK SADIRNAAVQTVRGAFEFQGQKCSATSRAYVAASVADEFLEQVATETKNLKVGEPTDF TNFCGPVIHEASFNKLAKVIDEAKNDPELELLAGGTYDSSKGWYIQPTVYRTSNPDHP LLSRELFGPVLVVHAYNDATEADFTKICEKIDQTGEYGLTGAVFAQDREALRQADDAL RNTAGNFYINCKSTGAVVGQQPFGGARASGTNDKAGSGNLLSRFVSLRSMKEEFVPTY NVAYPSNA AFLA_128540 MKAARRPLRPLAGTPSSVLTPRYVSRTSNPKSSVATSTTTNNVW QQEPQTPKQELSPLAKLPISSVLRSLVILSVSSSSLLLKPCIKTLSMLAHPKTALLDV AKNPLLNMLVKHTIYKQFNAGENKLEVQRSIEDIKRLGYRGVLLGYAKEVLVGESNVD PKDEQAAREEIQMWLDGTLQTVDMAQEGDFVALKFTGMGVQALDVLQRQAAPTEFMDR AIQKVCDLAISRNVRLLVDAEEQAVQPGIEEWTMKYQKYCNSQTPGRAIFYNTYQAYL CSTPTTLAKHLEISRQEGYTLGVKLVRGAYLKTEPRHLIWSTKEETDQCYDGVVEALL TRKYNSMLKSASEKHQTDLPSVNVIIATHNRDSVRKAHALRTEQAMKGENHGVDLSYA QLQGMADEVSCELLQGFQSAEVMKGASMESPNVFKLLTWGSVKECMGFLMRRAIENTE AVGRTKQSQEAMFEELKRRARLAFRRSN AFLA_128550 MYLLPEPAVARFFRELNREQCYTLLDALSESLVEVSSQAATPES ERLIHQPLRTSITTKDQNMSLFMPTSNTTNTGIKIVTLSQAQGLTAVINIFSPEGRLL GLLSANEVTAFRTALAVMTLFVRCNSLKKERIVIFGSGRQAEWHARLALLLVPDQVRS VTLINRGRKRLDEMKDIIAELQSAHPGVTFTTLAKEDTPNYQEQLQAALAACDVIFSC TPSTEPNFPYAYLQPFKQRFISLIGSYRPHMKEIDTETLLSGGSQIYVDSKEACLEES GELIDAHVKEDQLIEIGEIYGKLGKSEPIPVSDTHNVVFKCVGMGIMDLTIGKTLLDL GKEQGLGMEVDGF AFLA_128560 MDSGLPTPAGLGQQKRPRVSEENRKRAVRACDGCRRVKEKCEGG VPCRRCLRYRRQCVFTHPDHAEKIARSSSISLLERNAGLSRQNLVDSERIRYMERILQ HYVPNISFDIQSLRKTAEELKHRHRHSFTDASPSVRLDEEDFEDLAIDDEDYMVKALP DNTTQYSGEFSYLNLSMKIRQKIDEWMKTAAPEASTETDPFEERWRATQLQSGSSLVS ASVTCLPPRFVADFLVQIFFKYAQTNNFYVEEDWIREKLNICYTNPSSLSSNDAGSVC SILMVLAVGTQFAHMESAIPVNRPPVDLSSMEDHHFSEDEVGLTFYQFASKLVPDILA TVSIRSVQACLLIGTYLLPLDTSGLCYTYFGLALKMAIQNGMHRRYQGEGLSPRMIEV RNRVFWTAYTIEKRVSILHGRPVSLSDSDVDAAMPVDFPGLNLTGQVSNHTNMVTLIT LTLKLGEVANEISALRKSRRGQQQDCLERLLNLRKNLVDWWATLPEETNCRDLNPSGP LFRSNVHLKLDYCLTRIFLGRPFLFSNMKILSAATPQAPPFKTPSGVSKNRSTLITDC VEAALEIINLCQLLRDESGLARASFTEFSSCRAALLVILAQSLTKRTERLREALEKGM VLIKIMSMGVGSARSAVSVIETLERAIRRLEDWSERQAPDNPGSMESAYDRFKNWEML WKTGPMSPELVPFQEQYPAGRSSIPPVPVTPMTGTSGGNDPMEGDVANTEITTLSDYS ASHAASLPPMPRFGFDHFVSNFPQELDEFTAIPCFEPDAQQNLSSDIHNPDTKWMQFT SD AFLA_128570 MPKRKLSDVDSGPKRTYNVQTMRLTQKFEQGVVLLSRALKVAKG FERQKLSRREKTAKSQGSSEALQKIAEEIAFIKSLDPTATAQKYLFKQLFKTKRISEA PAFIQFKESKNISTEGPQSTAEANVTARLYKSNPVKNAFPNIMTDIKKLLGVEEVAGG KKDKGKEAGSKESATKTKTEQRAVSVSDSEPEDPRIAAAAAKFDEEEGDEKSEGGEEG EGDEVMSEAESIDYAQFDSRLAPGSEDEDEEGADGAASDDSGSDGGVNLQAPSDMSIS RSPSPDSPPAKKQKGKSSTSSAPATSTTFLPSLTMGGYFSGSESEPENIDEQQPRRKN RMGQQARRALWEKKYGSGANHVKQQQQQQKRSRDSGWDMRRGATDGSEGPRGRRGQGR GPPGRSQHGGDRPQRGPPAQRRKPEDDKPLHPSWEAAKRAKEQKATAAFQGKKVTFD AFLA_128580 MASARSLMRLGTGRSLASAARSSRMCRPFSTTPLLKESIPEPPN MRQAQRPPEGALRAPIVNPADKYQDKADALHQYGQYVMSCLPKYVQQFTVWKDELVIY VPPSGVVPLMSFLKYHTAAEFTQISDITAVDFPTKDQRFEVVYNLLSVRHNSRIRVKT YADEATPVPSVTGLFEGALWYEREVYDMFGVFFTGHPDLRRIMTDYGFDGHPLRKDFP LTGYTELRYDEEKKRIVIEPLELTQAFRNFEGGTAAWEPVGTGVDRTPESVCLVELAI DLLLLTIWTSSSFLPPSPRRSPRKRNRAVYSYFLSCLYVHTSTSEFSAIVWSRVYQVK RQEKDKKKPQLKPHIKPS AFLA_128590 MSNRQQIDSVIDDDDEFCPLCIEEFDLSDKNFKPCPCGYQICQF CYNNIKTHSEEGRCPNCRRVYDESTIQYKVPDADEFKADLALKHRKAAAAKKKEAEKR EIEASSRKNLAGVRVVQKNLVYVIGLNPTIRDESQLLQTLRGRDYFGQYGEIEKIVVS KAKPGGNPNQGIGVYVTYSKKSDAATCISSVDGSVNGDRVLRAQYGTTKYCSSFLRNE QCHNRNCTFLHETGEDSESYSRQDLSSMNTLSSQRPNGAPSGPSHTIPPHVARSSAMP LSQPMRRQPSKDDGASSRPPDGSALPSSASWANKDSVISRTRRASLTASQASQSPRPA SATVATSAEEPKRAEKQPAPAQERRQTPLPETQSSTPSSPSESQLPADPEAPLFENLI KAVNSPDFKFVFSAAGLPADEVALIENHPSFIDPYGGVKRRAMREKAEQERAKREQEL LQSAAVEEETRESGSLQLGGEPDDVHPPRGRGSRESHGAIQPPSQQGTTTNSVVGSPV SASSHQFQGLNLAGRSLTPLQQQQLMLLKSASNQQAGVVDPLQSGLGSAALDQAAVRQ GLLQTQMAQLNALQAQNRQNSRFSFTNDAGSKNLSNVRMLSQQASLMQSGTPNPLAAP SPQHGLTSSFYTSGVQGPPPGLKTAGTPPISGGGMFAQGHGFTTNSNLGLAGNIGKQE TNPDLMRELLRSRSGTNASGLQAPDAAKREFMFPFLQQHQTPPPLTPANGLLSSFYGS QTGNFSESGPQKQKKKGKKHRHANTSSGGGGVVDLADPSILQARMHQVGANAAAGQAL YGSQGQVDEEFPPLGAPAKDKRPVDSFGFLGRSQFPTEPQSSARAGTPTLPPGLPLPH AHPASALFQSPLNPSSPVSAVSVPPGLSVPFNRLGTPSQSFQETISGRQSPEVKNTQD NVAISNRAKNASEISLGSPVPKSANKARSQTKDDLTVGSDNKSSKKSGGITEEKSVST TTKGKPMKLDIPLNTSHAQDSTPLKGEQLTQTASSQVPAPASAIGSRPNTPLTGVSRV SDSSVPRQPRVLRVVDTPKTETPPPASATQSISSQLAAAKGRSRRPSISSISRPDTPG DFGSEADLYTSASVSRANSPPASSRIGSAPVRAMTKSQVKKERRQKAKEMEAKKHEAA TAMVEEPVQAPIIGRKRKTKKTPTSNPESTTATPDTANEALKSSTSGKETTDKTDQRA EAKKNKSKDKATKDTKPSPVEEKEAPTQKSAAEAWRSNNTIEQLIKDSEAAGVPIKEL FTERTSVLPILLAQLHKAGNLDLNAHPLFNPPNLTQRVDMKCTADDYEILKQPLELTE EHRKALLRGEPVRINSDSNLTKYRCLITPRGCVLRHLGPEEEERYLELEKRIGAAIDL FPEYPPTSITEPDVTNRGGGLDALFATPENFNICWVDETSAGLSSMSPSGDMTVPECA VTSDTPTPPNVLSAMEADSTRSHNWAIANTAELVNATATSVRSFAAATAKHMLGAAGV VMGNMPDLDDVVGMTDEELRAFAVKSQKELESSRKDLDSIDKKLNALVKRNKKLTQQA LATSVEG AFLA_128600 MSAHLLRRISRNPRALSRIPQRWSSSISQRPGSDRVRFPGAVNS KFTTDMTFINPSETTNIPTYRVMDSDGVLLDKNRKPSGVSNEEILTWYKNMLTVSVMD VIMFEAQRQGRLSFYMVSAGEEGISVGSAAALTPDDVVFAQYRETGVFQQRGFTLKDF MSQLFANCHDNGRGRNMPVHYGSNYPRMHTISSPLATQIPQASGAAYALKLESLQNPD TPPRIVACYFGEGAASEGDFHAGLNIAATRSCPVVFICRNNGYAISTPTLEQYRGDGI ASRGVGYGIDTIRVDGNDIFAVYEAMREARRIALSDGGKPVLIEAMSYRVSHHSTSDD SFAYRARVEVEDWKRRDNPIIRLRKWLENEGLWDEDTERTTREQLRKEVLKEFGEAER EKKPPLREAFEGVYEELTEEAQEQMKELKRILETYPEEYDLRQFKDGINGL AFLA_128610 MRFTATAAALVASSIPATLGQHVRDLSNEKWTLSSDALNHTVPG NLPSHAHLDLLKAGVIDDPYHGLNDFNLRWIPESNWTYTTDKIKDLMPIEFCGKYVAS TNNQYRQYSFDVSQILEGCNEDPILKIDFGSAPNIVNAIAEDRNSPVWPDGIQQTYEY PNRWFMRKEQSDFGWDWGPAFAPAGPWKPAYIVQLPKAQNIHVLNTDLDIYRKGQINH LPPDQSQPWVVNASIDFVGSLPPNPSMSIEFKDTKSGEILTSKRIGNVTVSGNSVTGV TVLGGVTPKLWWPLGLGDQNLYNITVTVTGHQNQTLAHVTKRTGFRTIFLNQRNITDA QLAQGIAPGANWHFEVNGHEFYAKGSNIIPPDAFWPRVTEARMARLFDAVVAGNQNML RVWSSGIYLHDFIYDLADERGILLWSEFEFSDALYPVDDAFLDNIAAEVVYNVRRVNH HPSLALWAGGNEIESLMLPTVERKAPEEYAKYVGEYEKLYISLILPLVYQNTRSITYS PSSTTEGYLDVDLSAPVPMVERYHNTTPGSYYGDTDFYNYDSSVSFNSHVYPVGRFAN EFGYHSMPSLQTWQQAVDPEDLHFNSTTVMLRNHHYPAGGTFTDNFHNTSLGMGEMTI AVQRYYPIPNKLDSVANFSAWCHATQLFQADMYKSEIQFYRRGSGMPERQLGSLYWQL EDIWQAPSWAGIEYGGRWKVLHYVSRDIYQRIIVSPFWNYTTGDLDLYVTSDLWESAK GKVNLTWLDLSGTPLPHNAGTPGSVPFNVGALNTTKIYSTNIKNLTLPNPKDAILVLS LSGEGHLPNSDKKTTFTHQNHFTPVFPKDLALVDPGLELSYNTKSKTFTVEAKSGVSL YTWLDYPADVVGYFDENAFVLLPGQKKEIGFTVQEDNTDGKWVQGVTVQSLWNQTLEK AFLA_128620 MLVREYTPYTILRDMANHDDKLKILITGAAGFLGSNLADYLLAK GQVVIGMDSFQTGSPQNLEHLRNHPDFTFVNQNIQLPLEDVGQIDQIYNLACPASPIQ YQKDPISTLRTCFQGTQNVLDLAISKNARVLHTSTSEVYGDPLVHPQPETYWGNVNPF GMRSCYDEGKRVAEALCYAYREQQGADIRIARIFNTYGPRMNGSDGRVVSNFIVAALS GEDLKITGDGTATRSFQYVTDCMEGLYRLMNSDYSEGPVNIGNDGEFTIQQLAEKVAG LVAEMTNQPKVNITYHPRPADDPAVRRPQISLAKAVLNWCPTIPLQEGLRRTIEWHVS ERVVS AFLA_128630 MLSRSVWRNLGYNARRRTAIPEVPYRCFSCSQRAQVDSNKANQD ERMTHFGFSNVPESQKESMVGAVFSSVASSYDAMNDFMSLGIHRLWKDHFVRSLNPGS ALPSRNTDTTGKGWNILDIAGGTGDIAFRMLDHATNINYDHDTRVTIADINPDMLAEG KKRSIQTPYYNTDRLSFMQGNAQDMPNIPDNSVDLYTVVFGIRNFTDKQAALHEAFRV LKPGGVFACMEFSKVENSVFNAVYKQWSFSAIPMIGQLVAGDRDSYQYLVESIEQFPS QEEFRGMIQKAGFMIPGRGFENLTGGIAAIHKGIKPLSQA AFLA_128640 MGHLQQQSPMIPLSSPADPSVPTMIKKDRLARDELSLLSLTPPD VINSALSTTKDGHADASVSTAIHVISTERAALAHLERLYETNALAQESLARAVSQIAR SVRSGGKLVCCGVGKSGKIAQKLEATMNSLGIYSAFLHPTEALHGDLGMIRPQDTLLL ISFSGRTPELLLLLPHIPSTVPIIAITSHLHPSTCPLLSFQPSDMGILLPAPIHEDEE LSIGVCAPTSSTTVALSLGDALAIATARRLHTSPGRGPAEIFKSFHPGGAIGAASNVL TPMSMSTASFPSTTSDDLSSQQQSVASLPQSEDTQRIIDKLVPIDQIPAVSTSTGTIR LLDILLTAIQHPTAKSWVHLSPSEIIPPRHLRSLSQTNYVDMDTSALASLGLPFSVPR DDWLRLPSSTSLDDARRLVSESTAAAGAVIAVMQDENPDACLGFFEAEDLWDGCD AFLA_128650 MTDITGGHGEDVHQPPAHIPPAPMEDYQFPELRLKRKMDDPEKT PLLLVACGSFSPITYLHLRMFEMAADYVKFSSNFELIGGYLSPVSDAYRKAGLAAAEH RVAMCQLAVEQTSDWLMVDTWEPMQKAYQPTAVVLDHFDHEINTVREGIEAADGTRKH VRIALLAGADLIHTMSTPGVWSEKDLDHILGKYGSFIVERSGTDIDEALAALQPWKDN IHVIQQLIQNDVSSTKIRLFLRREMSVRYLIPVPVIRYIEQHRLYGDDSTTANSTSDK GKGKQEPSKSG AFLA_128660 MARTRPNLHRQDPLEDSSTGAPATAARITRSAARLAAEPPPPAT SGPSPANPAAGSAPNRKRKVPSRSDRSVEAPGQPNPPSPPRRAKKQRRAASPQPAAAS AAPRRGTRNRPAMSQSGPSSHPTEEPSRNQPSPPTSRRKSSRNGKVSQDRFSTAQSPP PRRQQKKRSSRNNPDVIMKEADEDVERRERSEERDSSPPSDSNDGTNHSGLDDDDGDL FHNSLFGSRSPLGLQSTLRALSGMMTGMSSRLREILCNLRAKDDPSIQLIALQELSDL LLVSNEDNLSGQFSPDPYVKELVSLMQPNEFGEENPEIMLLACRCLANLMEALRGSVA NVVYGGAVPILCQKLLDIQFIDLAEQALSTLAKISVDFPASIVREGGLTACLTYLDFF PTSTQRTAVTTAANCCRNLPHDSFPVVRDVMPTLLNVLSSNDPKVMEQGCLCVSRIVE SFKHKPEKLEELIEPAMLKAVLRLLLPGTTNLIGPHIHTQFLRVLAIVSKASPRLSVE LLKMNVVDTLYQILTGVSPPGNLEDTTVKMDSVLVMQALIHRPREQVLETLNVICEML PGVPGRHVPQTDGWLNSPLDSDPSLGLKSPKAKEVAEKRRSLLLECQGELKRFAMILL PTLTDAYSSTVNLEVRQKVLIAQLKMLHHLDAGLVEEALRSVPYASFLAAILSQKDHP SLVSSALRCSELLFQRLEHVYQYQFHREGVVSEVFKLAEGPLSDEKQTKPSSDPPAAM DTSSDSRREAEDADGAGDDDAHHDDYDEQEDERDENDDMSESDSSSLSGQVISTRVDN AMKDLVIRDARTFVDLYEASHGRDMREKAIQVLTELRNLASNIEACYSGDAREDGLAL FEKLAAYFDGDALESITSSELLNSGIIKVLLDVFGDFNLSSMRKARAAFLQAFMGSTI SEKARSQSTATTPFSVLIQKLQDLLSRTEHFEVLTVSHNSLENTRSNAAHMLGKQLRL KLVADEDSDIPRTYRSIMVSIHAIATFKALDDFLHPRISLSDRPKPSRSRESLFSQIA NAARLRDQLTGNGEFPGGDIPPLPRQSTDNDRSSHPEDSQLNAQESSTEGQERSSRSR RSGRHQQTSEDHDDEPLECADERHLSEDEEDDDDGDDGELNAIMDDLDDDLSEDNAPD PTAVNMEVASSGKVTARKEDGTRVATPSQSTPASKASSSASSVAQNPAGNNSLATAGR PFSSYAAAMASIPSDWHLEFSVDGNPITGDTTIYRAVHHNRQDSDTSGRHVWSAVHTV KFRRVPGPPPPEPTALTSSTPESAAKNASTEMPPSLSQDTTTASILQLLRLLHEMNAT LDDIVTESKELVALKPEALAQFINTKLTAKLNRQLEEPLIVASSCLPSWSEDLARLFP FLFPFETRHLFLQSTAFGYSRAMMRWHNSQNGDDGRNDHRRDDRPFLGRLQRQKVRIS RSRILESAMKVMELYGSSPSVLEVEYFEEVGTGLGPTLEFYSTVSKEFSKKKLKIWRE NDCNDAEEFAFGKRGLFPAPMSEQYAASESGKKQLHLFKVLGKFVARSMLDSRIIDVS FNPAFFRIADSSFSVAPSLGTVKAVDQDLANSLLLLKRFANAKAEVENKALSEAQTRQ ALLNVEVDGVKVEDLSLDFTLPGYPSIELIKDGSNVPVTIENVDLYVERVVDMTLSSG VQRQVEAFREGFSQVFPYSALRTFTPAELVMLFGRAEEDWSIETLMDSIKADHGFNMD SRSVRNLLQTMSELDAQQRRDFLQFVTGSPKLPIGGFKSLTPIFTVVCRPSEPPYTPD DYLPSVMTCVNYLKLPDYSSLDVLRTRLSVAIQEGQGAFHLS AFLA_128670 MMTLTRTLHYTHRLKLLATTTPQTTPVRTVRIAASATAQDHHAS TNATPIEDVPSFRTTAPPAPEPQVETSISRLPLVRSLRQDPKYKESRPHLSMNPSLRP AHFVAGSLAGPEKITVPPYMWTATEKLKDSGRWAGRVISVFHIGKQLCGHPGFVHGGL LSVMFDEAFARCVSASFPSGLGMTANLNMDFRKPAVPDRLYVLRADTVNVEGRKAWVE GSLSSLPPAGEESDPVMVAEARALFVEPKFAETMVPLYRD AFLA_128680 MRRPEDASNENPVTSAGLVAQGQTPPNWVSVKEDVAFTPRKIKI ICAGAGFGGLTLAHKIKHGLKLEGVVDFVIYEKNADVGGTWFENRYPGVACEYQPDAY TFLFEPNPNYSHFYAPGPEIEEYIQRTARKWNLYDNIELNSKVVEATWDEAAGKWKVK VEQNGVIKEDEAEVFVSASGPLSKWKLPNIPGMSEFGGKLVHTAAWDESYEWKNKRVA VIGNGSSGVQCVAAMHSQVSKMVNYVRNPTWIASNFSGHLTRDGRNFAYTEEEKKKFR EDPAAFFEMRKELENSVNQFAYGMMKDHTLNKLATEIATQQMQDRLKNSHDPSIALKM KPDFSPGCRRLTPCDGYLESFANPNTHMCWEAIDCITEKGIKTVDGKEEEFDLIVCAT GFDTSFVPRWTMSGRDNATLDERWKHNPEAFFSVQVDGMPNYFIIGGPNFTVSNGSLL AGISFVCDYIMRWAQHMATHDIKSMEVKKEAIDDYNVWAQEYFKRTAWADNCRSWYKN GKSSGQVTAPYAGTTSHFKKCLDSIGAEHFNIQYNSANRFRCLGNGQVAGEENGMGDL AYYFVEGLW AFLA_128690 MLYAFCVTCTKTSIILFYKRIFNLRYSLYFAMFFILGYFIVIIV TINVACDPIPYFWEQYTDPNTAVGSCIDIPKFFFGNGIAAVLIDIMILIIPIPITWKL QMPKTQRLAVIGILLLGSFVCIAGIVRLVFLNRNTHSDDATWSVAPVFVWSCVEPSIG IVCACLPTLSPIFRRWWSSMITGKSGSASAKQSPSGYNLSSRKSRLTDPSMTWTHCDE VELVDTVIERKSISMQRRGSEGGNSSRHSGIRVREEVMISYTAV AFLA_128700 MEQMKAIRIVTTGNKPSAEIRDEPLPSPGPHEVLIRVHAASLNY RDTALLRGEYPAKTKEDVVPVSDGAGEVVAVGRDVTRVKNGDRVTVSCVTNWIGGPYN PDYRSNSVGFTVDGLLAEYALFYEDALVPIPDYISYVEAASLPCAAVTAWTALNKIEP LQPGQTVLVQGTGGVSLFALQFAKIFGARVLAITSSDEKADKLKELGAEAVVNYTTCP DWDREILALTDGKGVDKVLDIAGEKTIVKSAASTKITGVVVLIGFASGFGGGLPPIDI LARSLTVTGSTIGSRMDFEAMLQAMERHKARPVIDRVYPFAEYGEAYRRLESGQHVGK VVIQVTH AFLA_128710 MQKVHGVKECAPPPKQACTYCRDQKTRCQGGPPCSKCVYRGIDC SLSRQTEVQPGGDSNWRSDVAAGNHENGVSAAYGLGIEDPFHAYLEATLERAVSNPRS GGYGAYDYHGTHGESCSECVRILRDVTIGAGLVWAPKSPPRSFEDSAGIRQLGKTWID EFKDCGLVQESLGWFQHLVSTGEIRLFDVLNPIKEVDTEADWA AFLA_128720 MPATSFCSSLPPHVYELARAFAESIHCGRGMKILTLEVSRVARK EWVATLLPIVTLAASQIDLMGDLRNLVRPKFCTNVQVEDKPALHRLGRVEIRGDMDVV VAMTQAEMMTRIGLSIVVPVHEGPKANGSHFLKKHSVPEGPRRMMICIDSKTGRINLL TGLSSFIQDLLCLISLRNRSSLSAGFRWRSGGV AFLA_128730 MGQKRQRDQKGPGSFAKKRKKSAKPSDATAEDSDWDGIVGMNEL NWKEVALPDRLEDAGGFFGLEEIEGVDIIRSEGNGEIKFKAKAGKPKKSILKKKEPEE TNTQSDDEWEGFGDDDQAVSQEESKETQDEPNESDKKAKVKESKNAKKEKKKNAKDAR KEQKEKAVESKKDKGIKSGLSFAALQEEEDDGADVSAWESLGLSPEILAGISKMKFTT PTSVQKACIPPILDGRDVIGKASTGSGKTLAFGIPILEYYLEKLRSKTQKDSEKTETT PIALVLSPTRELAHQLAKHIGEVVSHAPGVNARIALLTGGLSLQKQQRVLTNADIVIG TPGRVWEVLSSGHGLIRKMQAIKFLVIDEADRLLSEGHFKEAHEILAALDRVVDGEFP DESSDESDDELDPKSGRQTLVFSATFHRDLQQKLAGKGKWTGGDIMSQKESMEYLLQK LNFREEKPRFIDVNPVSQMAENLKEGIVECAAMEKDLFLYTLLLYHPKHRTLVFTNSI SAVRRLTQLLQTLQLPALALHSSMAQKARLRSVERFSSPSSDPSSILVATDVAARGLD IKGIDFVVHYHAPRTADAYVHRSGRTARAGASGKSVIICSPDEMVGVVRLAAKVHANM ANRKKVPLESLELDRRVVSRVKQRVTLAARIVDSNIAKEKVSSEDNWLRTAAEDLGVD YDSEEFDNAAARGRGRGRGRQERERKAGSTSKGELAGMRAELKQLLSQRVNVGVSERY LTSGRVDIEALLRGEGNNSFLGQVDPLDF AFLA_128740 MGKSSKDKRDAYYRLAKEQNWRARSAFKLIQIDEQFDLFEHENP EKVTRVVDLCAAPGSWSQVLSRVLIKGESFGRRAWVEKKGKEAAALANANGDATDNNV DGDAAMEELKPRKNVKIVSIDLQPMAPLEGITTLKADITHPSTIPLLLRALDPEAYDS TTSSPSSLRQPHPVDLVISDGAPDVTGLHDLDIYIQSQLLYAALNLALGVLRPGGKFV AKIFRGRDVDLIYAQLRTVFEKVSVAKPRSSRASSLEAFVVCEGFIPPSIHGGSMGID ALKNPLFGGAAVAHTVSADGNVGVEVMDVDSEAKPGADLTTTKTVTSTEPHKENQVRM LHADSDNSSAPEPLSQKPVTERFAVENRWIPPFIACGDLSSWDSDASYTLPPDHVSLD PVQPPTAPPYRRALELRKEKGGAYGKTKLGALGRA AFLA_128750 MASSSPALSALEGPTYVTAQTLIQQVAYVLSDKIFSYSPESFDL DAALKQWASSQESNANGETPAIQAMETRQGAGNIALGYLFSQDFDLKKRHVPQGIVAS SATLPYMRTALEQLSLLYSVASPVAAHVAAVDYAGEEGLVSDYASALSLAEELGLGLV SSASVHESQHMALLTTLLASVLPSVHIYDGVRVGRETTRVIDVLSQAGLSRTYEAVRK TLEDSRSRHLDTQGKLLELLQSLNGELGTDYGLFEYHGHVEPVSVLVAFGTVEASLTA QIARSLAKDGVRVGVINVRVYRPFVEEEFLRVLPQSVKTVGVLGQVANEQAVQEQGVR SALYEDVLAALTFATGRENAPTCVDIKYARSQRWDLINTAAAFQLISEKPIVQTNGQT VPLQLLDPSAVQEYIFWDVDTSACDNAAAALSQALAADSASNVTTNKTHDNLVQGGVV RIDIRKSSKTLEAPYAITAANTAYVGDIKLLADIDIAASVQNNGNLIINAPGVKDEDL EKKLPVAFRQQVAERGISLYIVDPSVTGDESLDSVVLQTAFLRVALPSLEEVGLKKLG SITGNVESLENVSKDLDKLLRQIEIPEAWKTPEEGFEAPQLPKDISPNSFVSFDKEDS EPASLLKDWQTAAKGLAFKEAYGTKTALRPDTAAKTFTVHVKENRRLTPVTYDRNIFH IEFDLGDSGLTYDIGEALGVHAENDVKEVSDFIAFYGLNADDIVEVPSREDPAVLENR TVFQALTQNVDIFGRPPKRFYEALAEFATDEKEKTDLLTLGGPEGAVEFKRRSEVDTV TYADILLEYPSAHPEFHDLIRIVGPLKRREYSIASCQKVTPNSVALMIVAVNWVAPNG RDRFGLATRYLSRLQPGSPITVSVKSSVMKLPPKSTQPIIMAGLGTGLAPFRAFVQHR ALEKAQGKEIGAVLLYMGSRHQREEYCYGEEWEAYQEAGVITLLGRAFSRDQPEKIYI QDRMRQTLPEIVDAYIREEGSFYLCGPTWPVPDVTAVLEEAIAIEAKANGKKVDTRRE IEKLKDEERYVLEVY AFLA_128760 MANTALIGCTGMVGSFILNNLLAHPSVARVDTISRRTPQAASAA QTKLTTIVSDDTSRWASELSSLTPTPSIFFSAFATTRASAGGFENQYKIEHGLNVEMA RAARDAGTKVYVLISSAGADKNAYFAYPRMKAEIEEDVKALGFERTVILRPGLIAGQR EESRPMEAAIRCIAGFAGKIHSGLKDGWAQEADVIARAAVNAGLKALEGDVPTGIEKV WVLGGSDIIKYGTESKN AFLA_128770 MSMLKQLTSITIRLGQPFKTANLRAQPLIQPINQNRRAFHASPR PQTTHQQKEEESRFHDRNMLDPQRNEGTQTGTDNEVAGHPSAFDPNTTRPETEVQESE NESQQQGKVSNPLNVSPGNTEVSGTRPTQEGLPDRNEEKEATSGRGAARKNKEVNKPK N AFLA_128780 MNKQNSLGLRFPELLGCISKRCPRTAVYSLQRRIAGSSELSART MSRRTADPWKGVDANSAAPVLAYYRDEEATLTIDCTPPTEVTDSGSTTSFATLTPCLP PRAI AFLA_128790 MCFYNQKRYACGDWSWTNFAHRCNYEYRTGETCGMRLVNMTEFE TTQCRLCEKIETKYRRRSAEMERLNRWKREGSTLVASMDRSQRLIMELDKEIRQLQRE RDDRRKALS AFLA_128800 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAEKKVTSKLLEQDTSAEKLYTLNDNMICAVAGMTADANILINYARQAAQRYLLTYD EEIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDPLREFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACAMAVKVLSKTMDSTKLSSEKIEFATVGKT QEGKVYHHLWNADEINALLKQHGLAKVDDEPEAGDIK AFLA_128810 MRSRREVWSHWSRDRIQVLKEQKERQEKKDPRIKYLAFLEAHAT PKLYWPEFKRKYRKEAEMKDSQLSDKDREKFYRDLMSRLKQPESTRKSELSALLKSVP LHDLNRSSSLEALPPTIITDIRYISLPAKVRDPLIETYISTLPPAPEQGEHMTAEQRE EAERKRLEREKREKALAEREKQVQEDKRKQWGDLARGRNLLREGQAEIEEALRIGKAG LRSHIEGEQDSSKEGEAQEGK AFLA_128820 MADVRSLLRNELASRKGSNQTGRAATSTTNRVTKKRKVDPEDDL TRKKMRHAIANADPQSANIRTVQPPSAQSLDEDIELPEQDITGPEPPSDQEAEQLFAQ PSAQPSEAAAASNNTTEQPQNIDEDEWAAFEREVAAPTRAPQAPAAVAAQATISAAPI SAEQLAAQQEKENDTITRGREAEAEGEREDAARFLEDEFDEMEQLEERVRRLKHKREE LRNMRATGVNEDDLMDGPASAATVSKDHEKGQEDEENDDDDDDDDDDDDDWDNWRFR AFLA_128830 MAEEPRRSGRSTKGQHKNLDLIPETPAKKPKSKAQPKEKPPKPS VEPTPAPSEEEEIIRCICGEYEEEEDIERDMICCDRCSAWQHNDCMGLTFAKGEEPDE YFCEICKPENHKVLLDKIARGEKPWEEAAEKRRKEAEEKKASRRRKGKKGGRRGRPSE PKPEPKPEPKTEASTPARTAASSTPAPAPAPTPAPAPAPVSIPAPVPAPPAPEAAPTS PAPSSVTPVTEKNGAVPDAQSASAQKRKFDEHQDVSTPEPVSALDDSFEQATLITNHL MFQTPKSKQQKVSPPADTDTVMTTVEPTQVKDEAKEQPSRQNSTAETAVVEGVKTVEE LSNPARKSAASALVRLFVDQVSEARRRGSFSLSDGKSAEEVARQLALSIENAMYENFC GGSGETTEQYKAQLRTILFNVKKNPSLRDRLLVGSLLPDTLSKMSSQDMASEELQQKD AEIKREAERQHIIVQEQGPRIRRTHKGEELVEDDNQNVPSEPVFSAAPRRSLVDADGS QSPGPQQSGDGDNVRKPGNQQASDSKPTDGISHDQHFPPRAHSPGGTEHEQVFPEVAT HIRQPLPTGTAQADAEIDQLLKDDEPESPPYSPKDYHDEGAVWRGKVIMNPIAEFSSS AKHVGGADLSGRIPWSQLAPSTLLVDGRIDIQLASEYLCNLRFSTSTDVSVICIKKPE LPKEQAGFDKLFNYFSDRKRYGVVGKHPLAAVKDTYLIPIEAGSVKKPEFIELLENNT LEDPTPERVLLVVFAVKTSESNPPSVQPSSHHPSQEPVASASPATPQQQQFMTPGPRP VSQITPAPSNFDGTPLAHSPYGQQQHQFQHQHPPHAPQFAPYQSPAPQNQAPVTGLAA AVQVLGNQASSPAIQQLLQQAPNADITQLSVVRDILLRRPETALNYEILMQELVQATT NGHTLQQNAK AFLA_128840 MAAEQRKLLEQLMGADQLMGTGAPSRNAQLSITDPKVCRSYLVG TCPHDLFTNTKQDLGPCPKVHSEGLKTEYETASAAEKAKWGFDFDYMRDMQKYIDDCD RRIDSAQRRLEKTPDEIRQTNNLLKQISDYTKTINGGLLEISVLGETGSVAQAYNELH KIRTAKHQKETCERELKNLQDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKM HMGYSDMRKTFKKLSEELKGRPPPVRHHDDEEGGWGGRSGGGRGPRYGGGGGYKKRGG RW AFLA_128850 MSGANTHMNEHPSGGTRGGASVEPTPANNVGGGRGWGDSGFFKG GTGGEGTGHISDKIKTSLSSADEFSSLRDQKGMAESNFMDRRNREMGEHEGTCATEDH SFMTQKPGGSDTLPGWEKAKGVLGNMFG AFLA_128860 MTDPVADTPAMDEFAQTRGGDDLFDDEIVPVAAESEVPPEVQAL EENVASLSLETQTPPPRTETPPRSRGGERRGRGRGKGRGGRQGSQNPASRRGDGGSRA KSADEAAQTEKSSEEPSKDVSAETTEQAKKEDATSDSKPSAEANGQRVPAVRGDRSAT GGIKKPKLTEEELSQRIAAAKENAARKAAAHARAEADQASFLEREKVAEEKRRQERQN RRVMDNERERNRQRKLQALGGREWDSQKQEEDYNPRGGRGGFRRGMHGGVSGYVRRDF GDSQPDEETNNHHHNGFRGRGRGGRGGRGRGRGPRPDIPPSEGATNEPSSTEQKPAPA PVIDNEAEFPSLPGGSKKESTVDTDSTAAKLADVLSPISASGATWAEQVENN AFLA_128870 MPSLRRLLPFLAAGSAALASQDTFQGKCTGFADKINLPDVRVNF VNYVPGGTNLSLPDNPTSCGTTSQVVSEDVCRIAMAVATSNSSEITLEAWLPQNYTGR FLSTGNGGLSGCIQYYDLAYTSGLGFATVGANSGHNGTSGEPFYHHPEVLEDFVHRSV HTGVVVGKQLTKLFYEEGFKKSYYLGCSTGGRQGFKSVQKYPNDFDGVVAGAPAFNMI NLMSWSAHFYSITGPVGSDTYLSPDLWNITHKEILRQCDGIDGAEDGIIEDPSLCSPV LEAIICKPGQNTTECLTGKQAHTVREIFSPLYGVNGTLLYPRMQPGSEVMASSIMYNG QPFQYSADWYRYVVYENPNWDATKFSVRDAAVALKQNPFNLQTWDADISSFRKAGGKV LTYHGLMDQLISSENSKLYYARVAETMNVPPEELDEFYRFFQISGMAHCSGGDGAYGI GNQLVTYNDANPENNVLMAMVQWVEKGIAPETIRGAKFTNGTGSAVEYTRKHCRYPRR NVYKGPGNYTDENAWQCV AFLA_128880 MNARLVHDMEETRSHFKAMIEKAFYEIESRAVSIVNTVTSVLGR VQAGVTNLDKVLNASAEVSKLQYTFQDLVDEVLSANEQITIAHQQNAISHNELALSLR SKLESVLQDDMARLLHNIEAFDSSLEWLSGRFGLLSEREMSISEVSGAFKLNGMA AFLA_128890 MSRSLTQNCFKQLSRGPLRQGSLPIYLAPAFSQPQRTQPFSTTS STQSRVGGAPISVPPEVSLKFIDLPQTQVRGVAKDIPKTAIEVKGPLGELTLSIPPFL EVAHDEGLRKATLSVQDSSVAHQRAMWGTTRAHLQNYILGVSEGHVCILSLVGVGYRA TVESTATTVEPEYPGQQFVSLKVGYSHPIELGVPQGVKASTPQPTRILLEGVNKNVVT KFAAEIREWRKPEPYKGKGIFINGETIKLKAKKIR AFLA_128900 MGHRSAKSAGRLSIRQPRRLFSRLVLCSTLLLGVSIVLKSRHRL SSFFTHFLTPTYSYTQVTTMSYQQERYIAELAVQRATLLTQKVFNEKAKGTVSKDDKS PVTIGDFGAQALIIQAIRKNFPNDEIVAEEEASSLREDKTLSAEIWRLVKDIKLDDSE SDEILGGPLPSEEAMLDIIDQGKSAGGAKGRIWALDPIDGTKGFLRGGQYAVCLGLIE DGDVKVGAIGCPNLPVNDSDTMSASIGVDQHSGAGNGVLFSAIKGAGSISRPLKNGAL AESKSISMRPVPNIAQAVFCEGVEAAHSAQGDNAAVAQRLGITAPSVRLDSQAKYCSI ARGAGDIYLRLPVKKDYQEKIWDHAAGDLIVREAGGQVTDIYGQQLDFSKGRTLAANK GVVAAPKALQDQVIDAVKIVLKL AFLA_128910 MFHSSKPYSAVSVQIEVLTSEQYDVEDSSGIVDLIEAVMIQGSG PTEASRALRKKLKYGNLHRQLRALTILDFLIQNAGDRFLREFADEPLLERLRIAATDP ISDPLVKEKCKQIFGQWAVSYKNTPGMERVTGLYRQLPKRKQPANQAKAKVLRESGTS DEPQMGHTVSISAGNGPATVLSGPKHKHTSSKSLKKEKKEKKVRDKTFNLEKEKPEIL QTLASASVASTNLLNALKLVNRETQRVSEDAEVLNRFETCKQLRRQILRYIQHVESEE FLGSLIHANEELVTALMAFEVLDKSVDYDSDSDQDVLESGWSPDRDDHDLQESFAGLV INPPRPPRPSRPMSISIPSSSKHRVYNSDSESETEEDDDEDNPFGDRNAIRTPNKEIS QPTWKEV AFLA_128920 MSSTQSTSNLRANPRDARGTSVSEQTPLLAEQGLNATPEFQERQ DHLVQEPTTKEVILILGSVWVGVFLAALDTTVVATLTGPISSSFHSFSLLSWLATGYL IANAASQPLSGRLTDIFSRRTGLIFSNVFFAVGNLICGLAKSEGVIVLGRVIAGIGGG GILTISVFVTSDLVPLRKRGVWQGFGNICYGAGGGLGGVFGGWINDTLGWRWAFLIQV PFVVVSGILVAVKLDLPVKESGKAKLKRVDFLGAITLVITLVLFLLGLNTGGNQLPWT HPLVLTAFPLSAVFLMIFIYIEANIASEPVIPVRLLLNRTVFSACLTNWLVVMAVYGL IFYLPLLFQVQGSSATGAGIKLIPQAVGTSLGSLGAGILMRASGRYSLYSMISVSLLI VSNTLICTLTLSSPSWQPFIYFLLMGIAYGAILTITLVALVSAVDHEHHAVVTSASYA FRSTGSTIGITVASAVFQNILKSGLWSRFGDREEAKRLIPRIRDSLDELRKLPADWKP GVLATYMESLRAVFLTLLGLTILGAVVSLGMREHKLHTNLARAESPDADADADTDAE AFLA_128930 MTVDLRADHSFSAVSSTVANAPWPTEMEVDGGGEKVVENKERSA ITSHELPNNDVASPARRRKRLLLSTESAFVDNVLSSLVQHPDIELRLITDEPSALLSG ANKVPHYMDTVDSQTFEKKTGARRWLKAKAAELCEWADMLLVAPIDAGTLGSMLYGLT NTLTLALLRGWISSKPVVLIPGMTVSEWHHPLNARQLQEVDQHWPWVSVITPVLMKSN NPEELVQLPWGGLVELHETIESTLGLSFSHSSTESIQSSEASKVSSGEQASLSTTATT SRHPPHRSDKGARSLPMELWLNIFEDHLRDWEIAKAVGIPTNLSVPKEWQSHLLKMSA PASLEYTILRGSFAAIKKRIDSLPRWKPISDLACHLIFKFSRTDILSYLTENHLDLLW TTSRLTNVPYRASAIYGNPNILTWWRDAPALPTKEYIADAMDGASRAGFVNVLEWWRT SGLELRYTERALESASAEGRVSVLDWWKKASANAPPSDPIPLKVGKSVLLAAQSGRTA SLAWWDASGIPYSHAESVARIASTHGHVHVLDFWYRLKGAKMIFDSQVLVGPTKNGHD NVLEWWRQSGLRVEFKTCDIEEALEDADPVSGAEERVRRWWARNGLNLGVGTSEWMKT KVL AFLA_128940 MGGVPPNTFKKIKESLKSIFKRKKGDKNNAQAAEQKPEDAAAAG AGAATTEATATHEAPAAETQAPTATGPAQSTPAISPGTSIPEQGQHNDHEAPAPTPLP QIPPIETTESAAPAETPAAQPTAGLVGGTAPAEPAKPEAK AFLA_128950 MSEAFELPNAKRVRRNEILSPSSSRSPSPQPEDTLASGYERLGA LLNLDSLIQPEQQPEQTDTQATKVNEEEEEEEQEFEFRLFSAPVRDSTATATRDAGSA ATEGEKNDVGAVGTQKLRIRLRSPTPGARGPDDGGFVKPFRGWEYYFSAPGLLSGSKE DDPKLALRRKQFEDVAVSGEQMGEWAKVPWPGCHLPWRVIHWKRHQTKLPREDTTTAV FIAEPQIRDPKSRKKPGKKRRLQLRKRLAVAERAKETDAEKRNRKNRERKIKRRQKAR EMKAATAASAASGQSQGTPAIEGDDASSQDSD AFLA_128960 MPGRLEGKVAIITGSGSGFGHGIAKKFVEEGAKVIVAEISKENG EKVAAELNSKFVFADVTSRESWQTLLQTALDTYGQLDIVVNNAGATYSNKPTGQVTDA DFDLCMNVNVKSIYLSANVIVPYFEQNNRPGCFVQVSSTAALRPRPGLTWYNASKAAV SIATKTMAVEYGPKKIRFNCVCPVVGSTGMTHLFLGKPDTEENRAAFVSTVPLGRPST PADVANACSFLASDEAQFITGVDLEVDGGRCV AFLA_128970 MGVCASCLGVGRRDSQDSESSQRLLDDDIYQPGYGYGALNNSTQ GNHPDTGYLKREREALEAICQRTSDSVIDIWSLQPQPHLQPRATLRGPVSPASSRAGT KDDVPVRITTTPPASEAGSSAQKPGSPKPGAVPKHWGEVVINPRKGKSQQPDDNARDV FGVLKVT AFLA_128980 MFRSNTTTYTRSFIGVYICFPSFVHAFGYENSVSHYNKGKIAGL QMVHRHPKLSDALFIGPILNSVFAVAVISCAMNVRPRPWKRHKPSPMKH AFLA_128990 MSFTYSDTAPFSGGHWKIHVELPDQYPYKSPSIGFVNRIFHPNI DELSGSVCLDVINQTWSPMYDMINIFEVFLPQLLRYPNPSDPLNGEAAAMLMREPKSY EAKVKEYVAKYASKEAVDDAGEDTESEDELSSAGSYESGGEEPAGTMDDV AFLA_129000 MDPSSPQHPSQDSPRPTQNLSAGSRASLSHRPASRKQSSDSTSN NKNAPIPDDEHGADMPLTMSASVVLTSLPRDAHQALADAEAVDKGKVTVRFQPLASAP ILKNKVFKISASQKFETVVKFLRKKLDCKETDSVFCYVNSVFAPGLDEGVGGLWRCFK VDDQLIVSYSMTPAFG AFLA_129010 MASSRAAAQLMLPLRSVSRSIVSRSTHISMIKPFLAVVNSSISR GPAQCAHSVRHSSHSPMGATTTNPRKKVTLQTLRNLHKKGEPITMLTAHDFPSGHIAE AAGMEMILVGDSLAMVALGMEDTSEVVMEEMLLHCRSVARASKSAFTIADLPMGSYEV SPEQGLQSAIRIIKEGRMQGIKLEGGAEMAPTIKRITQAGIPVVGHIGLTPQRQNALG GFRVQGKSTAGALKLLKDAMAVQEAGAFMMVLEAVPAEIAAIITKKLRVPTIGIGAGN GCSGQVLVQIDMTGNFPPGRFLPKFVKRYADVWGEAFKGIQQYREEVKSRVYPSEEYT YPIPKEELAEFEKVVDKLDQ AFLA_129020 MAAVFIPPSPRTSLNMSTRRPLANVPNATNSPHRAGLVPAKRPR TTNAPVDIPYGQPPPKKQVIDGAEGDSRSPTRTRPATFQNADSKLFTRRTNNAQPSAF EKKLVAVRDKERQSQLRGTRHERPSAETIDSIRQWQRHYRKAFPQFVFYFDSIPEDLR SKCSRQVLALGAHEEKFFSRLVTHVVTSRPIPPETDVASPAEVNTESVDQAAADGTLQ TVNPSLLEKNPDGHLHMSLKNDVRREQSNMDVLYRARQMGMKIWAIEKLQRMIATIND GDIGGHSGHSTRNSHVGGGHSRGRGEADLSQVLQNELNGSSDRNPLSVLKDLVMFKGP FVYVHDMDEKTRPVMVREYPKVVRRQDGIWPQFRSAPLGKCPFIDEPPTKKELERQRA RQQEKKKAASKAAPTQEAQVPRTNVPEYAIEKAADRAPKKEYSPQDVDEEAVSQRRQP ELQEMQPTRPLSPKKSSESFVPPQLNCGERFYTGGREPAASGMQPSNITSAIRSQMVS STAAAPGAKAGISKEVHELKRKVLEKSNGGYSTGTGPSSYRATDATAALKMTKSQGNR QSRLDPPEKLGNVIEEETTQSESNDAGKRRNSIRKGTCQKKKERRRDPKPGYCENCRD KFDDFEEHVMTRKHRKFATNSANWAELDSLLFQLQRPVKDEYEYV AFLA_129030 MWFTESALPTLDKGYPIGDETVHTRRPQILVHLSPQKYAENYIN AKVNAGMICPSWYVSPLTEYIAAANEERWRDFVQMNPSEVTGPEDNRTVTTSLEDHIQ KLMIKHSCSCLALPRRKWYLQAMSPLK AFLA_129040 MSTCKLLTRQWPRYLRCPTSRISVTSFNRTAFQTRPALRRAYGS ISAAELKFGQPLHETHPHILNPGELTPGITALEYAHRRSRLANRLPKHAIAVLSAAEV TYRASGIFNEYRQDSNFFYLTGFNEPNALAIIANDGSGDNHIFHLYVREKDPKAELWD GARSGTRAAIDVFNADETGDIERIGDILPRILSDATEIYTDIPAFNPGRSSLHRYLYG PTGTSEQLKKVVDHSKVRPLRHILNDMRVFKSEDEVVQMRRVGQASGRAFTESMRQTF TKEKDLMSFLEYNFKVKGCDTSAFVPVVAGGSNALSIHYTRNDDETGTYVSDITRTWP VNGKFSDPQRDLYNAVLNVQRTCVSLCRESANVSLDKLHTIAENGLRDQLQQLGFDVS GNVRSPFAFAMGVLFPHHLGHYVGLDVHDCPGYSRGYNLKAGQCITVEPGIYVPDSDR WPEKFRGIGIRIEDSVCVGDDSPIVLTTEAVKEVRSLVSIKNSVFVPPYGLNCWTNAN PMHNELTLHAVGRRYRGSSRIKQGVHEIDTHCI AFLA_129050 MIGQMGSFDYPSASIDEPLDCYLHGYVSSRIMNLARDSDGDGLP ICIASSRVDGLILSLTPNSHSYNYRSAILHGYAKLVTDEAEKLYAMELITNSVLCDRW EHSRVPPDRAEMSSTVILKVKVVDGSGKIRDGGVSDEKKDTTNDEVTSRVWTGVVPVW ETFGDPVPSPANKVKEVPDYITRFVADKNDQNRRYAREAVHIALPAEEQH AFLA_129060 MAPSAIPAADLRTFSEYLKGRKRILALLGAGISASSGLPTFRGA GGLWRTYDATDLATPEAFEANPDLVWQFYNYRRHMALKAQPNRAHYALAELAKRNKNF ITLSQNVDGLSQRAGHPLSQLHLLHGSLFTVKCTSFYCSYSRENDFTDPIVPALAIPK NVPEPKPSTDDKTGEEASTSIYNALGIPEGEEIDISDDRVPLAPLSSDVLPHCPECKD GLLRPGVVWFGESLPSQTLDMVDSWMRSGPIDLILVIGTSSRVYPAAGYVDKARARGA RVAVVNMDRNDVGSSGLKPGDWFFQGDAGTIVPEILKEIIGEI AFLA_129070 MAKESENPLNPITPGKPKDQPHRHISNQPEFGKPSPLGGGRPQN HQSNHPFNIPKQNRPRPEHHRPQQQQRQGAPFHGYRPPTTPGVAVSTPRRAEPFDPFK PVRPSAYNNSRFSRPVDNDVVSIKRPENFTFNTPRAPKTFFASKASAVKVSNASKNLR NFVDLTGEGGFTPSSRSRNVGFGSMDVNGYVDTAKANENIKALLEGAFEDEDEKQDSR AKNKKKKKDKKNKGKSKKAEKQNTENKESSEIDDLAAQLQGVTVNESSADGNDASHAE TETEADRSSEDTTDREVGQTSAPNEHGITGELESENEDGEEVEDEEEEEEEEEEEEEE EEEEDEDDGTVEGLKVKLLPHQREGVNWMRDKEIGNSKTKGVLPKGGILADDMGLGKT VQAITLMLTNRKPEDGRRRIIDSEEDDGSGIDSEGDGGKDDSKLPPGLSKSTLVVAPL ALIKQWESEIADKVEASHRLRVCVYHGNTRTKATDNLEDYDVVITTYGTLTSEHGAID KKNKKSGIFSVYWYRIILDEAHTIKNRNAKATQSACALDAEYRWCLSGTPMQNNLDEL QSLIKFLRIKPYNDLAAWKEQITKPLANGRGALAIERLQVVLKAFMKRRTKDVLKLNS NLKPNEAASDGEQKKPSGFQIVKREVIKVSAEFTPGELNFYKRLEQRTDNSLEKMMGG SKLDYAGALVLLLRLRQSCNHPDLVKSDLAKDKDILLQNGTSGSQPAAGKQDDLDSMA DLFGALSVVSKKCDICQAELSQKETKAGASRCGECETDLNVSFTGNHSGKKKHYPEQD VVDLTESPSNRRSDAQLARSRRNRKVVIDSDDEEDDGEWLVPEDQRTVPDLGKAGGSD DEDAEGGGDWLGSEDSETDSDDEGGPESPTRNRMGSRKQVNESDEDDIYLNPGDEDNQ VLPSTKIRHLMKILRRESSDYKFIVFSVFTSMLDKIEPFLQRAGIGFARYDGGMRNDL REASLNKLRNNSGTRVLLCSLRAGALGLNLTAASRVVILEPFWNPFVEEQAIDRVHRL NQTVDVKIYKLIIKDTVEERIIDLQERKRELANVTIEGKTAAAKLTMNDMMALFGRDA ESRFTGERGNIDLTQSTRLLSAADENNYSNSQSSDKPKTQASHSSSRDRNRQPEKRGG RRGEDSVFGRRW AFLA_129080 MRIIAQAFASIAAMCLVGTVARVTQSDSEHDPFSFDQLGILGRD VAIIGCGSAGTYAAIRLQRLGKSFVVTEKQDKLRGHSNTYSYTATGIPVPYSVMSFLN KDVVTDYFSHLRISLVNKTTVTNQSENVDFATGEPIAIKPITIPSDDPEGHPRYAQTQ RDGQFQLYLGSNPVGTEADPRKGIPQ AFLA_129090 MLEHLNHPFLCNLRYSFQDIEYIYIVVDLMNGGDLRFHISRKCF TEEAVRFWMAELGCALKYIHSQGIIHRDVKPDNVLLDSEGHVHLADFNVASDFRPGKP LTSKSGTLAYLAPEVYEGGGYYCEVDWWSLGVTFYECIYNKRPFEGRSQDVLSENIKK AQPKYYVTNPAVSVPCLRAMGALLEKDRSKRIGASSWESFISHIFFAEIDFVALEHKE IPPVFRPSSDKTNFDATYDLEELLLEEAPLEARARRQKPRAELREDATAKEIREDELH RLIETMFEPFDYTTVTFQGNAAEAIAATKNPEDCLPPAGSTHSRQYSQTDSSRNSPPL RNNDGSVSRLTQPETPSPLGETVDHQDSIPNQAPTSPTSHPQPPPSPAPQFHRPFHPQ PNPAANHLPPANSNPAPGRPKGATRKTSKGGGVQMVLEEAGSWSELADQSSTLPAEGY DAGSIKGKSSNSGMLAFLSRKKGRDRSPKPQEPGVLGKEGARQIIS AFLA_129100 MPSHSRSRDRYRGERDPSRRYREVYDDDDDDDFDYHPRERRRYR RDDYQHDIRSHESPNYNDDLNEYDAAAEDPAVPLRSHDVEGRRRERSRAGESPIASPS RRDRNRGGEEYRRHGTYGDGGSPTRAMRDRRHRSRDGQRARPRDMDREARRQRRRERA RGAAAMKHKSSDSTNSGSHLLSADALAKLRSHYDEEDQRERSQEQEQPRLESKRQRKR PIVGDEPQALAPFPDETPRGQSKGRIVSGAYLEEGHPEMEVRHRGGGGPAMEARWRKE GNWDGTMEGSDAQPPFWKRKKWWIVIGVLVVVLAIVIPVAVVMSKKHGHDDDKSGSSS SVDNSDSPYISSLDGLSHDSIPESAQGSILDPWTWYDTRDFNLTFTNETVGGLPIMGL NSTWDDSTRPNDNVPPLNESFPYGSQPIRGVNLGGWLSIEPFIVPSLFENYSSKDRII DEYTLCKKLGSSAASTIEKHYADFISEQDFIDMRDAGLDHVRIQFSYWAVTTYDDDPY VAKISWRYLLRAIEYCRKYGLRVNLDPHGIPGSQNGWNHSGREGVIGWLNGTDGQLNR QRSLDFHNQISQFFAQPRYKNVVTIYGLVNEPLMLSLPVEDVLNWTTDATKLVQKNGI SAYVTVHDGFLNLSKWKQMLKDRPDRMFLDTHQYTIFNTGQIVLNHTDRVKLICNDWY NMIKEINTTSAGWGPTICGEWSQADTDCAQYLNNVGRGTRWEGTFAIGDSTVYCPTAD TGPTCSCASANAPPADYSDGYKKFLQTYAEAQMSAFGTAQGWFYWTWHTESAAQWSYK TAWKNGYMPKKAYAPDFKCGDDIPSFGDLPEYY AFLA_129110 MSGHTVYLTRDGKECASVFREKANCFDAVLMDLQMPVVDGLTAT KMIRESEQSSARGGALKKRVPILVVSSSSKEKDRQVYIDTGFDGWIMKPVGLHRIGDL LDGVYENERRSNYIYRPGMWDEGGWFEG AFLA_129120 MSTDSSHYTVFTTSLFLIAKKRQWAMREVITRSARRATQAIKTP LSARFPRSQTPRGMGSEFSASRVEERSQKLKVNPMHNDIEKNSLITETEKARNGGNGR AWGIVDFFVR AFLA_129130 MGRIDRIFKVWRCIYECGVAFPYFESGKHTGEVISSLYSKLKHN IVGAT AFLA_129140 MGNESPDPLVGDPLTLSDRERDSEPNEIGDDEEMLLLQMAGSNT EPVSPNLNAVLGKGSKLNQTANPNQPAASLVQRPRSSGIDLTAFSFARPAQHGKAFTL HPPVKQASAVPAPHNNSIMQTEQNGTRKPDRTTHNHQSKVLKRRRSGGKRITSRQPAF GNGNADLSEEDLFQLLFVKIKAREENDVVASNEKEQLEANISELTQENNALRSQLDVF SNELQQRTSESKAYKTQVEAWKAKIAKFKYILNELASGYKALRVETTQLKLTKTSLDR DKAEIKGTIADTREQLFHASSTVEKSQSCLVESQTLINSMKQALKNAEEKTRSVQERL SDEKKRSSLLETYIQDNSRLQAKRIGLIRADQREMLRKLDSGFETVAKHVDVSQTSAQ TIIKQTLEEFHLSFRRMGENHAQGKMDFEQCKATFQECSSQIKSLTEDLAAVIERSFK VNEDRAQLLTEQLRSVEENVGGESALLKRLSASEVTCTTLQESLEACAPSIDKLGSFL EGAREKENSLARQMGQLEIRLSELQTPETTEPTAAEFKERVEHELRIQQLSDELRTAE ERLRSRAIENEEMRLSLLEAVTKGQEAEGRANKFESEAIALQDEIKVIESKIREELNR ASVISRDQYRVKYEQQIHELLREKSELCKSIEKVRDELMEAQKALVESETAYTKKQSE AECLGLAKDEQIKALESKCIEKENSLAEQAAEVSRLREMESSIATQQSCMQRQLHEAN EKAASLEKELIVVKEESSASYKLSQEKFDILQKNLLVKEEECTRIQKELSVETSARLS LETGKSKAKSEIHTLLRRVQDSEHWVKKIKESLDQVDALSPKEPFSETWNRLIALLQP LGVKNSLEATPLNEPTDEGALICRNADAANTSIASTPQQSCRALENDVVQTTELIYRT QSFQKSAYSSPANESLKARVADVKLPCIPDSQQSNSIVPFSSIRQLSPTCSVSDQVPI EFAAMLVSTPEENIVTEKPDILTNSNRSCQVAANSAEKQDAARTEDTKERSSESTPLG KMDQHSGASQPASQPASQLPHIPEDMETSAVTPKAVTFETDIPSTSREKRKASDSENC HEQKDTSQMPLPGRMNRRTYSRNRQTPQVRSQEQFAHQNYLPPLSSKALDHDSAERPD SANKRARGPTSPQPRRLTRADSRCFERKTSPTRLASGSSRHSSMNGNRSNNQRWPARG GRRTRGDRYSARFNRQI AFLA_129150 MAKKAKSRTVAVRLISMAMTGFYRTMIRPRTHRPLSMLKYDPVV KKKVLFLEATKGGRAK AFLA_129160 MADRFEPDKLHSELNVLVNYTDIERDLEKRFRTSYSPFTPAIHR PFGAEAVDQSSPMSTTSYLLKAHLPTAAPEEEHRTHGENAQYSSEEEPSLKASMLQGI GTIEKKEQVSKWQGSVGLDRPISMTTGRVYDRERLNDLGEPEIATEKATSHSGSDDGE CIQPTGEIEMTDNPTTEAHEEWSNHATDETADGEKPVLFKRERKTSPESPDGFVFKRR RSARIAALLANPE AFLA_129170 MPAPVEVVDPVLPVRDLEADEEYVMVAFERHASHCTRCADPLRA RKDDRSLCERGHQYAVDVADYLYSKNGKSYSTLDRERNQPTLVKIPRDCRAVRALLLA IEDGMRLNRKEQGAVQPPRSPSPIITYDRTYPVPPRRSTSPQQQPVSYTEIIEREPRD AMRRRVIVYSSPRSSPSRSSPSRGSLYESDAAERRRFRESSRIYRPTEYHR AFLA_129180 MLISLSGDGLKALIEKYRPDLKPFEEVYRQLHSSPELAFQEENT SAIAADHLKKLGFEVHTHIGGYGVAGILRNGDGPTVLLRADMDALPLEEKTGLPYASD KIVKDKDGVKRPAMHACGHDTHVTSLMASAELLNSARDHWSGTLICIFQPAEELLSGA KAMIEDGLYEKIPKPDVVLSQHVMKMKTGTVSIRSGRLLTAADSFDVRIYGRGGHGSA PQTCIDPIVIGATIVTRLQSIVSREVMPGELAVVSVGSIQAGHVANIIPDQLDLKLNV RTYDPKVRERVISSVKRIIEAECLAGGVAEKPLVKQILSAPATINDEATVKALQKTFG SYFGENLVESEPATASEDFSLLATAVGAPYVMWTYGGVDPETWDDAVKQGTTDQLPSN HSPFFAPVIQPTLGTAIDGIALGALTFLKRN AFLA_129190 MKVFGYSVNSSPTRPSTPSRIFNPSQAKESPVLTGIVKDNPGDY IPPYGFRHRPSAAWPPPNSDEIEAIKKGLGKQPATESSAQEAIPPKGSQAQETAVKGY SVHENPSSKESPNAANDSDNPKVLIDGKTVEIKDQIHMYTTNQLLFHPLVSPVLQPSL GGLPPLQILSGGGEMLRDEQFYVAHKAANPTAYPPSDAFLDEYDPEREALHKYPGTHV QLQVWDDLCHVATTLSFTRPAKYMFRSIAQFGAWALACAQETEIEIVDDTEISPISSD SSDDPDTPADAHQAENSKQSVASVGKAGDRLPPFKERMIRQRVDKRGHIYHLEPQASC PVLQIPSSQVGTINPELVKKWLAAKKEWDVKYAKDKLHVQRQRVKELAQCFQQDFVGE VPPPSSLAARRSAPGVMPSRGGRKSYPMTMWSNWASRHDERSLQREHKKEKEGQSRRT SVEAGRAGACMKATEVDKGASPDKEQDASDETKSSETRTEEDASRRLDDRSSNPMILL PPYDEKRFTEENASTQALFHARGTIASTSDLSMARKQRPVSQAGSGTVRSGITSDVAD DTSTLGDRSLAVTNTGVDAASTRAVRNSVGVVGLINDGDSAYRSIDFYSMPRDSGDLE TTSLGGRTTEGDISRTVSRPGMADREFYKTAQEHPVA AFLA_129200 MNDIGGLPRSGTPPSFPRRRGLPEKRKIRDVKKIIAVSSAKGGV GKSTIAVNLALSFARRGIRTGILDTDIFGPSIPTLLNLSGEPRLDEKNCLLPLTNYGL KSMSMGYLLPQPTPSPEDPSTIPMDTTPISWRGLMVTKAMHQLLHSVSWGPLDVLFLD LPPGTGDVQLTIGQEIIVDGAVIVSTPQDIALRDAVRGFGMFQKMDIPVLGMVRNMAF FACPQCGHQTKIFSHGDKIDGSEHSHQAEDWGVVAECKRLGVEFLGDIPLDARVCEDA DRGMPTVVAEESQDRSVRRKAFLDVAEKVAGKVGIEW AFLA_129210 MYFVSVLSVLIEYSKAKLRKQDPVDLGKQYAGARVSRDALDADS DDDPFKARSGDEEEGSEDEDEDEDMSDEGDEEQPQKSKAAQKKGHGARIVDDMRSDDS EEIGSDEDSEDFDEDDMDEMPSDGDEDEDEDEDEDEEDDDEEDDDDDDEEEEEEEESS ARRVKFAKTAKSDDREELRRLMASDQKTITATISQAAKADAVKGKAVKQQRATFDALL NTRIKLQKGLTAINQLSVAAKEEEDLDGEAIKSAESAALALWSTLEDLRLALADAQTQ DESKKRKRPSAVSPSTSTASLWKRMADLESDSVAHRRAILDKWSLKVRGSNATIPNSR GKLLGSAASGQQSITAVLDAQIASETDRAAKRARTANGNSTDEDQEPIYDDTIFYQSL LRDLVEQRMSSSDAITNGVDTLHIQLPSRGLSIHPVTGMRKDKVKRDVDTRASKGRKM RFDVHEKLQNFMAPEDRGTWTTHAREEFFASLLGKTASGMLREGDDEDASAAEESDSD REEGGLKLFRS AFLA_129220 MIALGITFLILPCIFVNLRVWAKWISRGGVQWDDYLIFGALAFS VACSITQLIGAIDGQLGQHQTTGPDGQPLLNDPRFLTYEKCKFASQLMAVIGLGLTKL SLLVLLRGIFSVSRIFKHVSAVLLGITGAWTISFFFSNLFTCYPVTPLVEPFYGNKCI DSVSMWYASCITDVIIDVIILVLPLPLVFKLRLPMKQRLAVAGMFIMGAAVIAISITR MAMYFHVGTTFMEHYNDETYYTSPVFFWTNIEISLAVILACLPTLRPLWIIIRGRPMT FGSKSYEPYSSSRQSGRSARNHKRIPDTINELDTINLVERGAES AFLA_129230 MATLIVSLLLTLLAREVLGLSPVRVSHELGPHLSPSALITGANT TAYPRWSEFDAPQPGVVVTVATEQDVARTVHYCTSNGIPFLAQNGGHGWANTFHLGTD GLLINIKQLNTIDFSDNKTEVTVGGGVEISEMIAAASKNGALVQTGNCNCVGALGATL GGGYGNLMGLMGLGVDNVLSLNVVMADGRLHTITPKDRDLWWAMLGAGPNFGIVTSAK LKAHPVAPSGQTAWFGQLIYTADKVEAVVEAIDNITLEPKMNLFLYYMNSGSPDYTPM LVVTPFYYGTEAEGRAAFATFLDLSPTEDTTTELQYPHWNDGAAGFCTKGGYKPAYTV GLARMNPSTWKEVWDEYVSYIAQNGTGSSLILMEAYSLEKARSVPESSTAFALRNKVN FNAVVIPWYYDTSLRSGAEAFGSKIRDLWRSTDELDSPATYINFAHGDEDLTSIYGAN VDRLKAIKARVDPGDVFNQWFNL AFLA_129240 MNKTYHQQIPGANRGLGYETAKNLLLSGNYHIIIGSRDCSKGDA AADSLRTLPGIHGTVSTIQLDVTDDQSVDDAKARIESDFGHLDVLVNNAGIYLLNQEA VRDALRLTLETNVTGAASLTEALLPLLLKSTNPRLVFVSSSNGSMTYNLDPNSPHGGT HATEHRVAKAALNMLLVQYHMKLKSVKVLGADPGFCATDVIGDADALRRMGATEPEVG AQIIASVVKGEKDDQPGRVHGPQGIVPW AFLA_129250 MGSIALEADYIIVGGGLAGCAVASRLKQRSPSLDILILEAGSDP SSNPNTQSFTGAFSLLGSDLDWTYSTEPQKNTGNRVHTIHSGKALGGGSVVNFGGWSR GDATDYDDWARIVGDQRWSYDGLLPYFRRSESFFDSNADPKQHGFEGPIHVTSVSASD PNRRYPLREPIKDAWNEIGVQYNPDGCSGNLSGISEFLETWRDGKRQAAHQVYSLEGV QLLTEAIVHRVEFTDGAQNGQKTVSAVLLSDGRRFNARKEVILAAGTLRTPQVLMLSG IGPTDILSHHAIPIIIDAPEVGKNLNDHFALYQLYKLRNPERGLALGSPVLSDPAFMK GFPGDWVVNQDVPADILGAAVRNDNVRFGSPTDESFLRPGRPLVETLVAYAPAGVPGV PMDGSFIMTSVMLLASTSRGTVSIRSPLPTDPPLVDSNYFDTEADRVTLIHGSRRTMQ ALLDTSALADYIETEVPPPGMPALSSRSSDDEFEARIRATGLAHHHPAGTTAMGKVVG PDLRVFGVHNLRIVDASILPLSIGGHPQATLYAVAEQAADIILGADAQA AFLA_129260 MDFYLHCLHAILVAYYAAVEESDVAKGAQRPDNYLQQTGARMAP SHIRHCFDYLRQALMCAADTNMEVLDPETHTTSGWGQGKRCRDYDEVVMWAEKWANST DTGIVT AFLA_129270 MDTTKHDYSPVASSDIEESRTSHEQLRVPHTQKGRSIYWHYTVY SLLLLSNIVLFGLWWRATLLSKVCVRPKLSYSPAKHVISYEQRRLKRDIENNVFTGDP RPEFDAAWKHLLEPMTIKITPEELSHLPDPSIAFKNETGYIAELAVYHELHCIKRIRR HFHLDRYYPNMTEDDRIREEAHIDHCLEYWREAAMCRGDTTLATFRWVDGLPYSRVYS DHECVNWEALDQWARSRMVDMRDYGMLAQ AFLA_129280 MKLTASFAHSRCYPFRRLAVPYRTRRQFSTATIHLNKDDSANVW TLTQEDVSHYWKGYLATRPKYTDTFYNLIYDYHASHSQSSLPPFSVAHDVGAGPGQVS AKLAQRFSHVVVSDNNENHVNYAKHFLSTTSVPPSRFSFAVAKGEDLGCKYPPASADL VVSALMFPLMDTMSALRSFHTLLKPGGTLAVWFYGRAHFAELEYAGLCQPLLDRIINH HFSGVITGGSPEHTAGWKHVADGIASWLDYIPFAEENWGFVERHKWNTKWTSLGFFGN EACDFSVEPRSSVTDTETVIERDDRSLWRKDWDVGQLREFVRYIYPFQGMEEEYVKPL WAQLEREMGGLHARRAFSWPVVLILATRK AFLA_129290 MPIFRHLRSRLSSSSSPATETRSVETHTYYPTDGELVTGSTTRK PHIPNAAQPTKEPQARLEPVSSSSSQEPSFYWQNIDPPAPSHSYQRLSSYNNRLSRRS SKWRPMMLPNSNTVAMNSALPGYNSVSGSVVVDCNGLPYFLSPQEEQERNSKLQRAVQ ERMMGLRRETEFAWSQPCHGATLPRYSPPKDTQLRSYTK AFLA_129300 MFVEDAKKVLIDDKADITDSQRHFEIEVPLRALEEPVLRYAIFA FSSRHIDRQRQKDISEALQYHNHCLQLLIPVLSGPRDRITDTVLAAVAILRQHEEMDC LPELGEDNQFHLTGTTRILNTVSSFGSSGGLGEAAAWLCLREDIYISLISQRPLRTEL HRFSNSDVFHREDDFAWASRMVFLLAKVLKYAFNYDRTVNPSRLEDIGKEIENWNARK PSTFQPIQYVPRSNEVHRRFPGVWMLLPVHGWSPARAFDNHANSQMCRSGWRSVLPYC SNHPRIFQSSQPVSGIRELQASAQHRG AFLA_129310 MSVHKLKVGMAGLGRVGKIHVINFLHHTPRAELVAAFSPDPAEI AWGKQNLEPYGVTLYDNYDRMLEHPGLAAVAIGTATSVHAEQTIKAIDRDLHVLCEKP LSTDIEVCKAVVQKAKTKPHLKVMCGFSRRFDESYREVNDKISQGLIGRPSIIRSQTC DKFDPSGFYVAYAAWSGGVFVDMSVHDIDLTLWFFGDDSVPKSISAHGIRAVQPELEK YSDYDNAVGIVEFHNGKIAYYYCSRMMAHGQEDTTEVIGTEGKLSVNTNPQRNFVNFY HSGGITREVPSNFIGRFGAAFVKEANEFAAACLDNTPLPIKLTNAVKAVEIGAYLQEA LVSGKQIHFDEMGRRIEKPML AFLA_129320 MPIEECGNLMVLALAYVRATGDREWVAEYQDIMRPYADYLVDNG VEIAEQLSSNDAAGPLANETNLAIKAAVGIKAFGQLTGLTEYSRIGKERADLFFNQRL GTDQQKTHFVLQYPNKPASWKIPYNLYPDVLLDLDTFPPEVHQMSSAFFKSVRGEFGV PLDSRQDWAKSDWNMWLAATFELDTRNEFVEDLWTFMTNGKHNWPFSDRYVATSAKGA SPGVPILCRARPTVGGHFALMALNGPRSLWGTVPGAMELPNTMDEEDFETQREEL AFLA_129330 MASPRPPHNFGPQGYPLPNGATGPVPGATPLLPNNGRVIQNGPV RVLCIADVRGNLKSLNELAKQARADHIIHTDYVGASVSGIEFTDGWVSKIRTLKHVAQ YSPLLPENVKRAIAQTPPQQSIKQRFSPDQLPLSELSMLLDKRLTLDVPVYTVWGACE DVRVLEKFRSGEYKVNNLHIIDEANSRLLDIGGVKLRLLGLGGAVVMHKLFDNGEGKT TIAGGLGTMWTTLLQMGELIDTANRVYDPSETRIFVTHASPAREGMLNQLSVTLKADF SISAGLHFRYGSSYNEFSVNPSLDHYRGKLAASKASFNDVWETVRGEVEAAIASNEAQ KTLLDNALDVVQKMPTIANGGNPFGGPTGPGNAAGQVDESAFKNMWNFNLADAAFGFL VLEIEAGRIATEMRAQGFNFAHRGGKPPVPAVAGQPNPPVPTGTPGVASPAARPAVPQ FGQAQPVAGRPAAPQQPQPQPQQGQAKAPAAAPARTSPVPVIPKPATPQPSGPAASQH APTSPEKAAEANGTSQPEKPSESPMPRPEKKQSNGLFVSNVDNEQAVRDLFPEEDKAK IVKLDKWGKYNHVVMFNSVEEAKAALDRQPPEHKKPTPPGQPRKPNIKFFEDRGSHRG NAGTWQSSNRGGNTSQRGYQSGGASDSEGGRGRGGFSGRGRGRGDRGRGGRGGRGGFN KGGPTSDSPAPSTSTPSGEKPAAAGDA AFLA_129340 MADYNTLYHQGLYLSPDQQDLLLAALSSNQPPQKQQNDKQRSQA KTDPDSTPGNMSSGSFSMSPGFNKTHPGSGGLGYGDDESPFLDFNPELDFDFPGSENL IGDLPGSLPSEEHEVGEKRKDMSDNENEESGKKRRESDDKAAKKPGRKPLTSEPTSKR KAQNRAAQRAFRERKEKHLKDLETKVDELQKASDDANQENGLLRAQVERLQVELREYR KRLSWLTTGSGISAMSAIPSAHSRNLYGLNNNDFMFDFPKFGDLPGGHIFNGPLTKSN QNKPRDGSSPATSDSQVPGVMTRETLNGSNNRGMPTAKAANGVSNNPSPKVPSVYNIR QSASSHDSSNSCSPSSSSDSHQSQMLSSNGTSPEPSSNSPATKLNDSVQNHHACTYST IDGEASFCAQLGMACGNINNPIPAVRGKSESVSNTPSQPNNNYEQTPGPGLDLLAQQN GGQFDPVLFGDWREPQDAILSQDFGTFFDDAFPLPDLGSPSHNFNEVANPQPPKKDLI AEIDNKLDEEVVPGEDKSQMLSCTKIWDRLQSMEKFRNGEIDVDNLCSELRTKARCSE GGVVVNQKDVEDIMGRVK AFLA_129350 MSDVAALEAEVKEFKLQLETVQSSLQVDPDNTELQSLKTELEEL INLTETSIAELKPPAPSTLKTSLPLKDQGPRGSYSTSQTGYRKPTVEQTEESVPPASF SVNEHVLARWTSGDNSFYPARITSITGSSSNPVYLVSFKSYGTVESLTAKDFRPISGN DSRKRKADGSSGNSASQSPAPQLPNSSVISAAADINPALANQARQEPSKVGDGPARPA KAPRKVKANRELEAGKMKWKDFASKGKLGRKESMFRTGDSVSARVGFTGSGQKMRKDP TRTRHVYQQAEDEGY AFLA_129360 MSQPSSRPVVVLGAGVLGRRIASVFLAGGYNVHIRDPSSQALSD ASSYINSHLQEFTALTPSQRTGGTYKTFTEIPAAVTDAWLVVEAVPEKLPLKISTFAE VDRNAPADCIIASNSSSFKSRHMLDEVKPERREMVLNMHFTMPPAIRTVELMTDGETS PKLFNLLTGVLRDCGMIPVTARRESTGFIFNRLWAAIKREIMFILAEDVSSPEEIDLL WENMFQLPSSLPPCRLMDQIGLDTVAFIEDNYVQERGLDSRMTVDWLREKYISPGKLG LKSDKGGLYPPKSAENGVKDEEVLYLLDVGLGSNNSNISLVPTAGRILKFHTSTGKMS TLIEGQSLPDGIDVSRTASRIFWTNMGRSTASNDGSLHSANLDGTDIQTLLPSGTVHT PKQLVVDDVNSKVYFCDREGMGVHRVNFDGTNHDILVRTGSLDKPEERKDMTRWCVGV TLDMGRGYIYWTQKGPSKSGQGRIFRAGIDIPVGQTADNRQDIELLLEGLPEPIDLEL DVENQLLYWTDRGEHPTGCSLNRVDVSGRADKAELQSKKEILARQFHEPIGIKLDGKK QVYVTDLGGSVYRVNDGEKSVMWRDNGCYTGIAIS AFLA_129370 MPSFTLEQVQKHCKPDDVWFVLHNKVYDVTKYLEDHPGGNAILL EVAGTDATEAFEEVGHSDEAREQLEPFYVGDLPTEEHTESVEIYRPTYEQVSQSAAVN VKTSTSWSSIIQTIIKCAMTGLAGKSAITVYRRGITTTEIAHALRSLTTSISPRQGPG HDGSHFWAGVGIASAVQFSLTLGLGMWISTKLDVQQEFTHHVPRRPAKPARMIRLSRT NIPIKKPSVLDPRKWRSFTLTSKTEVAPHVYRFIFALPNPDDVLGLPTGQHIALRATI NGQSVSRSYTPVSNNSDLGRIELLIKVYPNGAMTQHLEQMKVGDSIKIRGPKGAMQYS RQYAKHIGMIAGGTGITPMYQLIRAICEDDSDKTQVSLLYANNTEEDILLRTELDNFA RYHPEKFQVQYVLSHPGETWQGYQGFVNGDLIAKHLAPAALENKVLLCGPPPMVAAMK KTLQGMGWTIPGAVAKAGDQVFLF AFLA_129380 MKCQFYLVGDDIATAQSILVDSRWKFEDLQRAVGGIFHVALPTG ISFHTSENETLSSVADIISASSSPIGLRIDGNAVQTPQGPQGLPLVGSFYEIFPDHLG NHYRLFRKYGPVIKTTNMGKTTYLTDDPQVASVCLAESAYMTKKINENHPLWGVKDNT AIFIGDTETENWRLAHKYLPPAMGPKAVRHYTGLMQNCARKSLPVFDELDGRDESWNV YQYMVKLASQTIGSFSLGKDFGHFDSVDSPLHPIVTNIANLLSLNKKITARGEWYRYL PFGDPARLRHVQHTIYTLLQEAIDEVAGSGIADAPMNEAALSASCVVDYLLHAVDDKG EHFPQGLILANMLIVTGAGFTTTSALLSWLLYCMVTYVGTQDRLYAELVEHGIVGPSG ERNQTTWTPDLAHSLPYLDKFVKETQRLHNASFQPGRTTKTDVVLPGGYRLPPDSVIV PALYAIHTNPKTWRDPFRFDPDRWDTEEVKGRHRCAYIPFATGPRGCIGFNFALLEVK ILLAELVSRYEFVRDGLEAIDYDPEFQLIRPLNFYVRAKRRV AFLA_129390 MSCPIPYRQQTEGLSFQESIFTICNILLNKARQETAGNLEDPQQ SALKYKRQLEEVWDDAAPFLTNKARCTSVQDHLERLALGVHLGYGICRLSRVYLSEME PHSPLYNGAAMDCMNRAMQALESFLDLHRFSASVCRSWAFVHNAVSCAITLKGLRVPL VEDQLNPEVLVQRLIAVLEKEEKDSEWCDADTNVRYFGPYSRALKALREIYREVAV AFLA_129400 MAENAYPYDTAVVRELLKNKRKTRGIRSCFPCRHRKVRCDGREP CSNCVKRGHSELCRVPTASGSEARAPQAAPRDVQGPSVLNLDALNSTIEEAQSQSATD PSLLISKLENIEEQISSLKADLRATVTATSQSPHSVGETRNTGQLRARPASKSPGRYF VEDATGATIYLGSHSDTPLALGCRQVSATGDMMLHDALIDQFVPRTYPFANLWGAEAT AKNVCETLPDDSDIIRYWQIYQSIVYPFYPSLVTIDQFGPALFAFLDERAASQEATAE DLGPDSSWLALLFAVLACGVQFSDDPIKERDLRSKVLNPSHGSDRALSAQQPGYQQCM DTDGCNHSVSPKYRPPRSITVSPRIRAIPTQQTMVFTPLDIRL AFLA_129410 MTTAKTKPIPIRLITHNIRTIPWFTFPPEKPWNIRRNHIVNQLD FNTTHNPEAIICLQEVMHRQLTDILTGLNTAPDSESIEGEEIWKHVGCGRDGGQKGEY SPIIYRARVWEVEWATTRWLSETPDTPSRGWDAAYRRIVTYVVLRHRGSGRKVLAMNT HLDDRGKVARFESAKLILEWMEEVLKKDSDSVEGVVLCGDFNTNSRENNDAFGVLTAG AMVHTRDCVDGERRYGNVNSWTGFNDTPIDDALLDYVLVGPLKSGHVPWNIRTYGILT NRFDDGIFNSDHRAVAADVELVGSSKRL AFLA_129420 MRSSIACARCRRSKIKCVNAGIDTTCRACESSGRECVYPTPAIG VGGAAAKRDLAALADGDDRNGDMDGPKRQRPRKSVAVSSSAAKDAAKETLDVLDSSIL TVKVWEAVFDLFQSHFATILPFLHPATFMGHIRQLSGPQSSSSSTAPTNQDNSRENAQ SPPAAKSDLSPLIPLGVLALTARFHPQLVAYHSPASPGSPSNPALASEFYATALRSRL AGVDGASLAITDLTRVQALLMLALHEWGMCRGKSAWLYVGMAIRLSQAMGLPYELEND VFSRDGPRSPAFRAETDLFGISRRGMEPKEQTSDDVIAQETKRRTFWACFILDRCLSS GKYRPRMIRVKELGIQLPSDNAFAFGERVRTSRLSEPVVRRPQSFGAQGVQIPSIRQS LGGFGDDKLPNNITPDPKSWSPISRRKDSSEDEIDRWEIGAEEAVLSRVIRIIRIWGS IAKWSCAGGRRNEQLPPWHPDSQFSKLRSILGEFQDGLSRNLQYSTRNTDTHIMYKTN LSPYTLMHVVYFLSVLVLHRAYIPFLPVRCAEPVGPLDDPLFPGEKVGVPDGFWRESA RELFGAARQMMDLVVTCQDRGVLVENPLVGFAVYNAVFIGIYAAHFPHMDPEGILGSK SNSAGDGNQQGQGQTRKALDILREMRTRLKMARGWFRTLNRLHSYFSKVKQDFRRHSR KLDSIPEVMDIQTNGPRPVREGGVGGGLEEFKMLEKLFLDFGSIEDQLPENGGDEDGA AGVSDRVTNISDAGSNTLRSDPGEPMEIPLDGAGGRRESWVPINSPGMPLPGPDGERR PSLPLPPGRSLQSQSPFSLPSLQHHPEGPLYNNSSPTLPAIGPAGSYGAPPATTAGAT SSQYSTVASQSGRLQPLNPWLGPRQQPPPPPYSQSLPPINAAASHGLPLLPPPGSAHP AASPPATVDGMDSFTSNSMWSTSLGGEDVLAFLEGCEYDQIPGIMPSDNGLSGSWLST VWTEFSR AFLA_129430 MTDGLVGPEHSDTFPPESSGTDQGTPYRAGREPSMHSNEPINIP AQTGLDEDQMGGPRKRRTFASGFGDKSLDGDMNSNSAEDVKEKPKFTAMGQLKATLFN SWVNVLLLAAPAGIALNYVDVDPVAVFVVNFIAIIPLAAMLGYATEEIAMRTGETIGG LLNASFGNAVELIVAIIALVDDEVVIVQTSLIGSMLSNLLLVMGMCFFFGGVNRLEQH FNPVVAQTAASLLALAVGCLIIPTAFHNWSGGKSMNSPLGYQMLIRLQRATVVLQNSR EVSYVRRQLTDHFSQIVGTSIIMLVVYGCYLFFQLGSHTEMYNSPSPKVEKRRGKVNE GDTHRGIAQIGKMTATLAGQNAQQMQLQDPDEEEEEPQLSIWVAVLTLAIATALVALC AEFMVDSINAITDRGGISKTFVGLILLPIVGNAAEHATAVTVACKDKMDLAIGVAVGS SMQIALLVLPLIIVIGWGMGNNDMQTLYFDAFQVILLFVAVLLVNYLIADGKSHWLEG VLLMMMYLIIAVAAWKRCKLDTKTSEDF AFLA_129440 MKAAFALAGASLVGSVLATLPAIESKGNKLFYSNNGTEFFIRGV AYQQEYSSNGTSSSSTGYGNESNGDYTDPLSDPKKCERDIPYLKELRTNVIRTYAVDP KADHTECMKMLDDAGIYLITDLSSPSESINRNDPKWDVDLYSRYTSVVDAFANYTNVI GFFAGNEVANDKNNTNSIAFVKGAVRDMKKYIKAKKYRESLLIGYATDDDASIRDDLK NYLVCGESDAMIDMFGYNIYEWCGDSSFETSGYKERTEEFKDFPVPAFFSEYGCNDPK PRKFTDTPVLYGPKMNNVWSGGIVYMYFQEANDYGLVKVDGDSVKTRDDFSNLSEQIQ KATATGVNSASYTAPAAATASCPAVGKDWEATSELPPSPNPDLCSCMVDSLSCVVKDS VKEDDYEDLFNYICAKDGMCDGIQKDGTKGKYGAYSVCSPKQQLSFVMNQWYEKSSNK DQACDFNGSGHTQSASKSDGQCSDLLKQAGSAGTGSVTASPTAGSAAAGSTSSSTSSA DSAAGAVSPMAVKVGGWQFGAYIMTAFVAGAGMLLL AFLA_129450 MGQPDLESQTPKTIDGATKEKEEKGSKVEKGYGLPLWRKCIILF VVSWMTLAVTFSSTSLLPATPEIAEEFNTTTETLNITNAGVLLAMGFSSLIWGPLNNL IGRRLSYNIAIFMLCVCSAATGAAVDLKMFTAFRVLSGLTGTSFMVSGQTILADIFEP VVRGTAVGFFMAGSVSGPAIGPCIGGLIVTFSSWRNIYWLQVGMTGFGLVLAILFVPE IKQESKEEPEEKEKRTVLSALRLFNPLRIFRQWVYPNVFFSDLTCGLLATFQYSLLTS ARSIFNPRFHLTTALISGLFYLAPGAGFLIGSIIGGKLSDRTVRKYIVRRGFRLPQDR LNSGLVTLFAVLPVSALIYGWTLQEEKGGMVVPILAAFFAGWGLMGSFNTLNTYVAEA LPHKRSEVIAGKYIIQYIFSAGSSALVVPIINAIGVGWTFTICMFDLLLLLLLLLLLL LLFCLLREVICD AFLA_129460 MNAEIYKAFHPAVRSSSARNEIDTLRSYYYSELNMWLVTAPRYP HTHSTFQSLEWFHIAFNHAIMSLYRPSRTAPILSADDLRICTEAAIGLISSYSSLYAR NKIKYTFVAIHSLFLAALTMLYALRASPALRQDLTKPVISTNISTFLTLFRGISNGRA VGEKCSSIIERLGTAILTLFDDTEQPISAVDDEFQSWFGLQTNIFSPRDRDAIFGDPV GMSPHLPDVRVDLPWTDLFIEGLDMGADSQFHAMNRRRQSRSTDQASSPSKRRKISAS SANATEADHDAEPEPVPPADAPDESNTSVRNPLIGDRAWFHRYDPSAPPLFVGEAACT AFATRFRRFLTGNNALPHIPRTQYVKEEQIAEANATNVQWPSFQQARLLVKIALRQVG SIYHLVLRKSTLEKLEEIYRTGDFDCTVNQCKFFALFAFGEAYSMRAEPLSGSRVPGT SYFARALSLGQVLPERTSITHLETLLLLVSVLSPQAVNQLRNQSLFSYYLNRRHSALV LIGTALRLGLSIGLNHNIPESQLIDPVERQHRIRIWWTIYIFDRMWGSKMGHPSQIPD DDIHLDMPSNISPATLHEEQFTDTEYLTANVKLARIVGETIAKLYSRRKYSETFLQRV QKLLKALKNWVETLPEHLRLNDDDPGTYMKHISSLHLSFNQCVILTTRPTLLHLLMKL NETNSPNPNHESISQPVLTLGEACIHAARHSHSLILTKWINGSLPVFGYFHAHYLFSS ALVLAMSSFLPIGSPSDLGAFESGLEVLRSMSENGNLAASEFYHNLEQVKQCLDLRKS KDPKSNSTAGQPNITASGSGPMIPPSTLPPTASAVTPATTVPVPSLLTTAGADLISHN PGYGPAQSSNTTISPGNFTFPTTAGGITTAMAFLEPTMQDFLAQSDFDLGLLHPVDTF MNDENLYTCHGL AFLA_129470 MKKQRSLPAAYYRGGTSRAVFFRQEDLPRDRKSWDPIFLDVIGS PDPYGRQLDGLGGGISSLSKICIVGKSQHPEADVDYTFVSLGVKTPDVDYSSNCGNMI SAVGPFAVDSKLVQVSSNATDASIRIHNTNTGKVIRATFPVVDGEAASSGTFAIDGVA STAARIKLDFLNPAGSRTGKLLPTGNVVDTFDGVAATCIDVANPCTFVRASDLGVDGN LTPDEIEVHPDLLARLDSIRRQAGVKMGLASTPETIPGSVPKICLVSTPPENERAVQQ KQTASDVDVLARSISVGQPHKAVPITVALALASAARVQGSTVADVASKQPVDQAGITI GHTSGNLLVGADFDPNGALSAATVFRTARRLFEGRIFWKDESM AFLA_129480 MVRQVEEEDTSLGPTSSLKVVKETFFIRSNLRRVQLSLVAYILA QMSGANSVTNYLPTIFGMVGIKGSGVKVYTTGLYAITKLIFCVAASLCFVDVLGRRKS LMTGIIIQIICHSYLAGYLSFFTKEPSTMPKGASDAAIAFIYIHALGWAIGLYTLPYL FGAELWPSRIRSFGGALSQCFHWLFYFAITKATPSLLTGLHTWGAFVLFAGFCIVALV YTFFLVPETSGLSLEEINKIFERPLYRLGQPLAPERQNDEDDDEKQSTRYIERV AFLA_129490 MIGLLTAVIVTTLGQPMEVLKTHLAANRHDTLRAAVQKTWLRGG PLAFYQGLIPWAWLEASTKGAILIITSTEIEYHAKMKLGASPTVCGALGGIGGGVAQA YLTMGMTTCMKTVEVTRTKMSVNGAKVPGTFEIFFKIIREQGIRGVNKGVNAVALRQI TGWSSRIGIARFAEERIRWMSGKGKEEKLGFGEKILASTVGGALSCWNQPFEVLRVEM QSMKEEPGRSVRPTMMSTLKKIIRISGVKGLFRGVVPRIGVAGWATICMVGLGDMVKD FANRY AFLA_129500 MLPRASISFKVYQISRSTMGSVSSILQTKGPAHSFASVTDCQKK TPLLPAGAFDTHVHVFDPRLGPYAPGRPYTPEDAPLSKLIAFNENLTTDGQVGNLVLV QPSPYKTDCTVLLQCLRDLRNRNINARAIVVIDVDNVTDHALEEMHQLGARGIRLNFQ ADGREVDLTKLADMLHKAASRIQHLPGWMVQLYVPVWVWEALYDSILDLPVPVIADHL GGALGRSKLSPEFHESPLSQPGFSSLTSLAKHGRAIVKISGLYRCSKDSASTYSDMKP IIESLAREIPYQLVWGSDWPHTGDGAARLKNPDINVKEGFRSIDNLGILQNLRDWVGS EEVWEKLMRDNPARFYR AFLA_129510 MVSLAMRSRFSSQHCSRHWLRSKSQVHRWFASEASPGTASLSRF EQHRHVDLQKFTRKVNEIRRRLDRPLTYSEKVLYAHLDDASNDGSIVRGKTQLKLRPL RIACQDATAQMALIQFMSAGLESTAVPTTVHCDHLIVSRDGETEDLPRALEAHQEVYD FMESACQRYNMGFWKPGAGIIHQIVLENYAFPGGMMVGTDSHTPNAGGMGMIAIGVGG ADAVDVMAGLPLELIAPRVLGVKLTGELTKWASPKDVINKLASLISVKGGTGSIVEYF GPGTKGLSATGMATICNMGAETGATTSIFPYSPQMAAYLRANNRPDMAQAVETVSHEL RADHGAEYDRVIEIDLSTLEPQINGPFTPDLATPLSKFHSAVKENAWPKLTAGLIGSC TNSSFEDMTRAASVAQQALDAGLKPKVPLLVSPGSLQTRRTLENAGIVDVLEKVGATM LTNACGPCCGSWDRTDMPKGTPNSIITSYNRNFSGRLDSNPATHVFLSSPEVVMGKIF SDDLSFDPNVDGLTTPSGEEFRFTPPVGQSLPSRGYEDSDSAYLAPPTDDRSHIQVQI SPSSQRLQKLAPFKPWSGNDFEDCLILIKTKGKCTTDHITPAGPWFRFRGHLENISNN TLIGAVNAETEQVNQIRNRLTGEDGGVPDTARDYQAKGRPWVVIADHNYGEGSSREHA ALQPRYLGGVAIIAKSFARIHEANLKKQGMLPLTFTNEADYDRIRSSDLVSIKGLAAL APGQPLTLLVTPTESSSEPWQAEVSHSFTHEQIEYFKAGSALNLMSRHLS AFLA_129520 MVSLGIIGVNGTRNGHRMDLSLLLSYSGRIERVTPRSQSVHISR IIETFTLSSCSNSNPVTISRKVWREACMENLNGLCVPREVEPDGH AFLA_129530 MSDPNRPPPFHYPSYTSSSSSEYPGNEATFNDWAVPQYSQPSYA PSVTYDMVAGFAENPAGASPMFSGERALNSKVAIPRSANPSNWTSSGRVSRACENCRE QKAKCSGHRPTCQRCQEAGTRCSYADPEPDSISSLVALDYINEDFNRDEKIQAMGFVG EHSEITWLYRLRRMLERSSPVTPSPKESWDRQSVASVSFFPDDSDIPVIDNVDPMQRP SQVLADQLVDTYFSIIHPFFPIIGKAIFLRQYKSFYSTPFVRPGKRWLAILNLIFGIA ARYCHQMQSDARDTPDDGPLYFSRAWKLSMSDVALLDHPNLQQVQVEGLTSFYLLSVG QVNRCVLSSRIPKLSSNLSIYYAGRGEYVVPQ AFLA_129540 MGLNLRNESSMVVHTSKETRYRVWWSIYMLDIQLSVMTGRPPHC SSDFCTTPHPVPFQEEEFLDDNVAQIIMDNESRNIFMEALSSRNSTKSTSEVTSSEGF GPPMSHHGKQYGQAAYNAVDSLTPNTSLYFLCLVDLGLIMRESIDTLYAPGAARKSWR EVEIAISTLNGKVDAWLSRLPTAFHFTHGHQAFERQRSCLGFHFYSAKLLITQPCLSR LTRKAPGVEIPGNFCNTMAVMCVDLAGQMLDLLPDSPEPSWVYHVSPWWCVLHFLMQS TAVLLTELLLLAKEGTVQHRTAWEKFSVMSTKDLSFQRAQLVCRDLLSQNSLEPDIGV YTDNDKN AFLA_129550 MKHSHLRQVSAASLETLSTTRSLALSQTDGQSDKPSTPDERTIR LSSTGLDRRQCSLWVHDETFSKEEILFNQAAFTDMGVEVGDVIEILPARYPGDGTHSA KTDFGSRSLRDSHVESSSTLHSDSMSKFKTPLQSRCLFVVKPLPQDIKTRNPKLELSV TTSIANIFGFKNRTTVHISIVDRAQCAASHVDISFRDQYMVRSDMWRLVMSELAERIV YKGQKIVFTGSIKATVKNIFIRGKKVLSGFFSPQTIPVFRSESAKYVLFIQMSREMWD FDSEGTGDILFSRVINGFLPELFKRWVNSDARHLVTIVLFTRVEYDASAIASSTFSSE NLTSMFGPNHVPTRDFYRVVVNDMASGHWTTILDELKKDFRTFLRDVSILNVHNADTP TFNATGASKSQPATIAGRPSTALRGNILEAIHLASSHLAFDHIDRDMVHTGTSIIVIT PGSGVFEVSYESLASTTEALTNRGIAIDLVCLSPMPLHSVPLFKYREPAHRPTTAAFG DIQHGGYSPEMRHSFASISSKTPHLSPKSALLDSFTGMSSKSQWSGRSNEWNYGIPHW LDISYWNPETYRESRRILKKDPNAPIPFTVTKQSKVFVPRVRMYEIQMMGVMESEQSN ISIPYLSEGPNISRATSSTLGSSPGSLVPPKATFRRNSPFRHQLSDSLRPEPFLHNMA SSKDAMLTIPKKTPKTVLSWMDNYDENVFQPFRKRRHRRKPSKIKRPSEPEVKASNAH ERISARSISRLRENESTRSASRQIDIPLPAPKSPVSTKSASPKKPALKSSSKTKLPRI SRTISFALRGLSSTPPRAQASTEVNVEHARGLPTSNSRKLSGVLADNRSVDSLSASDS ASTVIDLAPSPETPQKPIKNTAITPSRPISIKVPPKQPLQDTEQQGRPAIPESVSTTT TEIPLGDDTRLTAQPRRHGPKFEVNLSSGSRNGSSKSPQSKALAPWVRSVNPCNTPRE VLRDTSWFGRWQHAYPRPPHVAVVKWKSLKSPAVLPLTTEEFPTPSELASDYLQTPYR VFPNEDSEGIEAPKTRGVLLREMISLRLSHGFQIVVGKNVVEASAQYTLQSPNVFDTH ALERAGATVFLSKGNSIHRLICVEGAEIEVTRYTHRTSSLLASDQKRKFTLYSPAMRT ILSPEYVVKDIKLDSTYEEYNWNYADNYVAGHRDYLFNPAQQLHFWRVRYVLIPMRLH FKSRRLHGFNEDNEEEIHLLGINQLTHIWQRHKYIPPEEKRFESSNKKRDQNPLNIMY QTRNPSEVIAAELDRIILVDPGLDSSPAQLLPESELLERSGISLSSLAQIIQGEKGVR MMDRRWHWRLHYNCFIGFELTTWLLQNFRDIDSREEAVEFGNELMKHGLFQHVEKRHN FRDGNYFYQISSEYRVSRPESRGSWFPQIRPDKSVPSTPVGEASKGSPISGHTRSDST EDTQSQTPSTPSKLKNKASITLSKTMKYDVDPRKRSNRPEVIDLHYDRLHNPENCFHI ELSWMNTTPKLIEDTVLSWASTAEKFGLKLVQVPIAEACAIDKTQPFRKPYCVQLKAP PPKGPIPLQCNSESFSQPVTLDHQYFHKALLRKFDFVLDFEARSSYPADVEVSYSWGM PDYQYPQYIHRSGSVLAQITGEGDFLLLANRLVSTRSAASRDMPRHERLDRPDQYRAR AGTYDPVDRISPRLSPMARPVHEVHSPLSPQGHASIDSANLYRAPEHILTGFADFCND PARLEQFYSEAQVRATSTKVGPAPTTLTDASIPSLELPASVVSHHISPPPGLPSRSSH NIAAPLSEIRRSRDDSNMSRGSPRSGSLRPLSLT AFLA_129560 MLGRLLSTAASTLNPAAYSAKNPHQLESVTEEEHTSGLLFPDAS LLRRSNTHAYPLHTAFNSPNASTAGAYDDRGGVDLDHAKDFRVIIAQNALGDRDACVL LDTRASSESASYGLGLEPQAFESSGARHARTVSNLTRGPRRGYLSQSSTVEPSPLSFA AEARRSPPMSSGAFMRARGRSSTLSPAGGPHDPGYPRHSTDSNDTGLLNCIFGSSAFS YRGSSTKMHIISADDEPGRTASSSPASRNSFTRAYTTGSSSAFANTNRGNDGKPPSKV TILVTRMFSVNLPEAGETSPDRQDLAASLYQESLPESGFPFPDITKRKKIKEKKTPMY AVAITIQIPLLGRNVARPVSRFSTQGSDSPKPGMSCSLDSDYRWRTGFLDDSLSLASP PASLDERIDLLVDHWDVINRTLSHLERLSRKEILFLLKKVDSSSGIHPKPAKPPNMQR TNQTIIHLPANILAVNSKLREEAIRSTRRISTALQTPYVVTGQSRWGVWREEGRSIVR NLGDKDHSFFFLVLITAFLGNHTEWLNALGPEWWRRRHYIQQKAQQQDSDPILANRTV IVSPDKMTARRLIFLLSAFLPPKQRFEPLPSPIRPGTSSSMRAVSQSPPNVPVLRQES LRRAIERRSRAQRLNLADRDQHQRSVSVSSSETAHRSTDDVESAAPMEFAATRRGSDA RSIRTLGVPIHAKDARSKNTSTATTSTATPGSTVPVPHFASQSRLERDRSDHSMHEGV DSLASENLLKNLQRSESSVLSTNSSVPSTTGRWGSLFSGLWSSRQESSTGSSEAVSPA EIRRRSVSGYTPLPKRGPPTLSQMVKEVSTEIPEEAPKVATSGNISIPNSNTQHLEED VQDLSLTVDHNRESSLKLSVRGDDGIVDVDLPLPGFVSLSSSGDSTMTSPKKTRTSVT SVDAVASTHSSGSGFPYAPRENDGPNINVAGWLRSFHEDFLLQAVRPYSSLEADVKRA MQAEPTPSHAFSSEADGSERWVDVATTLIADARTFTVKRLRLRRKAINNVFWRSPTSP SISQPGTPRHIPGGSISSSSKTSTISPIEGYEPSEFEERFVEEPVMDLDGTLVDALER VLAQSGPSSLAHSRAPSPSRARRGDDKATSDAANRDESQVPSLEVPRTECRKLVLGAL EEVVRSVTAEHCRDDVDGELAMADRERKRSLAGPDNTLREGVRRWLLDVEEAW AFLA_129570 MRLRIMCAFTALSSSIYPHFSFSFSPFPHSFLSLLLFSLVGVFV SGGACYVPPPLQFPVSGPTLFSKSNKFLPSTPLFPLHSDFAALFLHSFFLSTPRQVTP VFCSTFALLSIPSFDHDCFLV AFLA_129580 MPDQKKSKKSGGAKKQADSVITDPRFANIQTDPRYRLPSKRQTH VKLDKRFAHMLHDKDFSRNAAVDRYGRKLARDDTKKQLERFYRLEGDEEDEGHMSVAD DDEVLKELRKADKASGTYDPARDGGFSSSSSEEESSDEEEDEDDEFGTGEELEFPDKQ QSGVPTGDVTERIAVVNLDWDNIRAEDLMAVFSSFVPAGGRVLKVSVYPSEFGKERME REETEGPPREIFAAKDDDEFEGFEDDDSEVDSDEEEEEIKKSMLKEDKGEEFNSTELR KYQLERLRYFYAILTFSSKDVAKHVYDLVDGAEYLSSANFFDLRFVPDDTDFSDDKPR DECKRIPDGYQPNEFVTDALQHSKVKLTWDMEDKSRKEAQARAFRGSRKEIDENDLKA YLASDSSSEDEDEDGGVEVVDTTKEDGGNSKKISKKEDERQRMRALLGLGTEPAPSSK SDGPVGEMEVTFTSGLAGGSNKDSIFENEPEKDETTIEKYIRKERERKKRRKEKLKAA KKGDAEADEQDDAPEPEKMSQEEDLGFNDPFFDDPSGKESTAARRKEEKRKKREERAA EEAAAAAKRAELELLMMDDENKNIKHFDMNEIEKAEKQARKKGKGKGKGKQVAQVADD FQMDVSDPRFARLFESHEFAIDPTNPRFKATSGMKQLLEEGRKRRRNRDDRADEEEAS RNDQKKTKKQKKSESIEGGSEDLKKLVDKVKRKTQKS AFLA_129590 MESISPVFSSGTEQRYSPPWEDLSIIGIAGSSGSGKTSVAMEIV KSLNLPWVVILVMDSFYKSLTPEQHAKAHNNEFDFDCPDAYDFDALVQTLKDLKQGKK ADIPVYSFADHQRQPQTTTLYSPHVIILEGILALHDPRVLELLDVKIFVEADMDICLG RRIMRDVKERGRDIEGIIKQWFTFVKPSYTRFVEPQRSISDLIIPRGIQNITAIDMVV KHIQRKLDEKSEKHRAELDQLRKIASQLQLSPNVMVMPSTSQFVGMNTILQDPKTEQV DFVFYFDRLASLLIEKALDCTSYVPAGVETPQKTTYQGLNPEGIISAVAILRGGSCLE TALKRTIPDCITGRVLIQTNAQNEVPELHYLKLPENIQKHTTVMLLDPQMSTGGAALM AVRVLIDHGVEEHKIVFVTCAAGKIGLKRLSTVYPKVRVIVGRIEEEQEPRWMERRYF GC AFLA_129600 MSPTSSTTTPTWHQFERRVDEVKPSKTDINYLVMDYLITNGYPA AAKKFASEANIQPRADVEAIQERVEIRTAIHSGDIKAAIEKINELNPQILDENPPLHF SLLRLQLVELIRSCTSKPDGDITPALEFATSQLAPRAPTNPQFLEDLERTLALLIFPT ENLTPALAPLLHPDLRKDIATSVNEAILQNQGARKEARLRNLVKLRAWAEQKAREAKK DLPEKLDLGLGDNNTKGPNGASNDTLMANNGDVDPMIS AFLA_129610 MSTVLLRSRPDQTALRRIGVLSSRLYSSKPPRPTRLATSRALPL RQNSVPQCLASRAFFNSHHSIRHQSTAPESTDASRKSSFRNAFFKTFAYCGFFIVMSG AAVVAFFIYDATTYREHSSAEDIPVSELALNPRRGGPKNLPVADVLVGDYDSEAMTEQ KDKPRLVILGTGWGSIALLKQLNPGDYHVTVVSPTNYFLFTPMLPSATVGTLGLRSLV EPVRRIVQRVNGHFLKGEAVDVEFSEKLVEVSGVDANGQKQNFYVPYDKLVIGVGCTT NPHGVKGLENCHFLKTIDDARQIKNQVLENMELACLPTTSDEERKRLLSFVVCGGGPT GVEFAAELFDLLNEDLLYSFPKILRNEISVHIIQSRTHILNTYDEALSKYAEARFARD HVDVLTNSRVKEVRDDKVVFTQMEDGKPVLKEIPMGFCLWSTGVAPAEICKKLSAKLD AQNNKHALETDSHLRLIGAPLGDVYAIGDCSTVQNNVADHIVSFLRTIAWEKGKDPEK LHLTFREWRDVANRVRKRFPQASNHLRRLDRLFEQYDKDHSGTLEFGELSELLHQIDN KLTSLPATAQRANQQGEYLGRKLTKIAAALPGMRANEIDHGDLDEAVYKAFKYKHLGS LAYISNAAVFDFGGMNFSGGVLAMYLWRSVYFAESVSLRTRCMLAMDWAKRALFGRDL MSF AFLA_129620 MDRNWHPAVQVVINGPPQAQAHTSSGLPQRRDKPLSVEEALQYS PMSSSPIFGLDCILRPDVGRPPNTTSINHILQSGRTTLTELNGEVSSGRDESSRLETS REYLQQLLDGDQLTEFKFKVPIASRNGQRSLPTPSSEHTSTRSNLGSFARMMLESTDI AFRYPSVSQTEDEKRSQKASSWNSKAHAAIKSTPASYNQHNYVPSNLSVVIPVKSIPP DADGRIISKRRKLNTDGDDNLAAIRLKDQKEEADAALVKLQDLLHEIFEAEDQLEPGT ASATTAEQSNAVFMAPRALDITGSLLSSDIHSRLQKAIRKVVGFNRLQDIPSDYLNRI QKLCEKPVIAAQSPDLGLEDPSNDSEAQEWLKKIDDMHNALLAVGTLLLTMSGSQTER DLCPEDLIEAIPNVLNQTFDHCVIPAVEARPGGKEAHHFEFFSAQKRVIGGLIQQSKK ALALFADFLSRIDVSEGTVTAAEFFACKLIFVENSHTEKDSAVGFQKYESVRRGAMDV LAKVFSKYPAQRPFILDEILVSLEKLPSTRQSARQFKLADGKNIQLLTALVMQLVQTT ALDVPTSRSSRTKSKLPSSGDDDEDEQLDDARGKEDDDGTELSMEQLATKVNRLYDNA VRSAQYIVKFIVQRAMTSTKTGDQPYRNILDLFTEDLIGVLGSTDWPAAELLLRIMAS HMVGIADLDKSPATAKSMALELLGWMGSAISDLIVTAQHLLPTMEESDSELTDYLKQL FEDYSGHALHPQDLVVSEGPYRITLEYFLQVRHLDDWQLTSARGYYLAQWAKSFCSVY YNADERDDVTYDDMTENLVDLFAKFFSDPLWLETHRHFNNISAAHGRFSYIVTVLNSS FCKAFDTILKVLLNSIASDQAKVRSRSLKSVIYMLEKDPSLLDRDTSVMRVILRCATD ASPMVRDSALSLIAKCISLKPKLEEDGCRSILTCAADPTAGVRKRCIGLLKDIYLKTS RTELKLAILDSFLQRTGDLEESVSTLARQTFEEIWLAPFYELVDSAHDGPKLKVGLGE RVTLFVSLVQRSETALETLGGCLRKILSDSSKSSSSNFKVCKAMVSTMFEKLVEDSDA GKEFQQALLQTITVFAKANANLFRPDQLETLHPYIGHLATAEDLFLFRSVVVIYRCVL PYLSSAHNTLLKEVQNDLFKSVAKLARSELNEVMACLWTINGVLQNTDRLVKLTISVL KPIQHYKNIDLSDNANMAVLARAKSYIRIAGCVGRHCDLEKYEPHFKNAFPSWKGGSV AGLMVDSIIPFTLSKQPLELRVMALESLGSICQSWPAQFSRDESRRILSTVFKEDNPS LQNIVLRAFADFFAMHEGKAEKSVLPSAKALDQESTTRLGGSLKASDNDGAAALIAQH FLQNMLRVAQSRQDSYALTAIELIASINRQGLVHPKECAGVLVSLETSTVPSIAKVAF ETHKMLHQQYESMFEREYMRAIQEAFYYQRDVVGDSTGALSRPYVAKLAPLFEIIKIS NSRYQKKFLSNLCAKVNFELKKLDTTGNPPEHLLLARFVAHNLAFFEYAQLSELVPTI GCMERIVASTGTVVAHAIETELFSTKPELPQGEGVTIPTAETASHLVPLQQINPQTLR QLAAAAAALSMLWEARTYLRRVYGVTAHVRNKEAKAASKELNKSATKVHGVTGDKCWD AINRNMTSLDSEENMVSKCREFATLLAIDDEFKVDDNIDAEGDDGVTDMDDAGAFGTS SGPRPMKRKSSMSGQNPTKRARGRKPGSGKKRASTESDAESDWN AFLA_129630 MSAIGSLIFCNDCGNLLDESSGDPTKLIVCSICGARNRDIVPKT IVSESKASAFPSTLRAKRSAVQNLTAADKRTEALTQHTCARCGRKEMYFTTVQLRSAD EGSTVFYTCVCGYKETQNN AFLA_129640 MASSAVDQPEGVDETILTLRKVLVNESEPLARRFRALFSLKYIA CLQPPTEKTLPAIQAIAAGFTSSSALLKHELAYCLGQTRNPDAVSYLLEVVKNTEQDA MCRHEAAEGLGALGFDTSLDVLKALRDDEKEEDVIRETCDIAVDRILWENSEERKSEK LKPSDFTSIDPAPPLPMASSQPSISDLEKTLLDTKLPLFQRYRAMFALRDLASPPDLP TAVEAVEALAKGLKDPSALFRHEVAFVFGQLCHPASVPSLTETLSDQKEMGMVRHEAA EALGSLGDVEGVEDTLKKFLNDPEQVVRDSIIVALDMAEYEKNGEMEYALVPDSAAPA AVSAA AFLA_129650 MSLFRAGNFACFRAGRLAAPINARFLSTNTGRGDPSVKTSPADA PAVPPKDSSLIRQEGPAEAMARHQPDYEATIDHGTSKFSPVPKRVMDGSEPGDTVPAA VLSGAPTDLQARTVRIYRPSKPATQSGTWHQHHWRMDWDVLQKGHRWENPLMGWQSSA DNMQGTHLNFKSKEDAIMFAQKQGYEYFVQEPNERRFVPKAYANNFVHEPKKIKHIRT K AFLA_129660 MSYITRRGLSTLIPPKIASPNAIGAAKDAARMDRVVNFYARLPR GSAPEVKPTGLIGRYQARYFGKNPSAAPLAHAIGGILLLGYSMEYYFHLRHHKNHPH AFLA_129670 MAEQSSHDVVNQTLSGGEPSPSDVPASTNDKKPAGGDVGEIKHT ATHTQLETITNAEQGTLDTSLSETYNENNAAGRDTEQSTTDNSRQGPGPVATRALELN GVASGSDVGEDTASQGGSESDASRTESRLNSRASSTKRPTSFKPVSFAKFSVPKAPGT PPTAKISEKAPLSSTTPLGVPLQSSRPRLVAKTTSSLRDSLSKTGTGAARPAGSGPDP NQVWNKNRPVQQTPPKHLTDEELKQQYGIHMTSRIQEDGGGTEAKWADIDDDEDDWAP ETIEWTDGTKTNLSQVEHTAATKQENRPSMEPKDDFPPPRPEQVPAPKETTKFVPKPT TSVGPNPTVLRLGANAERQAKSASISSKGTNEKSPSLSTSPAPPPAKSPWAPLPPVEK ISPVIPPVQVQPQVHTSPREPHTIDRYSGVAQPKEIAADDFNRSWKDSQSGTRELYNS RSGRYEPVSETRKGSWRTEQSFRTPSVLQRPAQGEQAGPAEPSPAFQTHRSSGQDGIH WTRRRTSSNVSGGSGSFARRMSIGRNDATQRSFETRRGSQVNGMVEPPLPGLVPQQET PLRETSPARRGPGHSWPPRGAAGVHERAPGAPDGTSQPYVPYTVNQTATPQAPQEDPV AMQERIMKEKRMEARQRRIEQEEKEEAAKRERIRQKLEALGPPPEKPKPQRKDTLEGS KPDTIPSPGVTHSSLSPPKPPVPEPTGEPKQYGMMKVHHPDTVRKLVERDRAAEKSSS TVNARRAPSPPRESKPDTTTTNGLQQPGDSQTQAHEKLPENKLDEQSTQWRGGLNASS SYPPWAPNAKLVGASPSMANPWKPLSSDKTLGNGIFEQSLPGFPPGRDVPLRNPLVLD QPPVAPGSQSFSTPSRSPQESTPISPISSPKVRHAPYESLNPISRPGPIGPPSSQHQW QNDNRVAGTVAWNNFHTVAAKREAEENEKLRNEMNAAREGPSSLQVTFNETWRQVRTG DQAGQRQVVGISRTAETSAPNPNPLPGLDHSVGPLSFTETNSRPLGSVPVRSSRFFPQ ASEQYKKPPFAEGDFIRSPSPPPPEEMSTHPVFTGNTSKPLVHLPAPRPIVKLPPKVI APPQPPPTFASMAAAPPCPPVSTATSWQEKINTLFGKKTVPERKTALAVTSASKEPLD VLHIAAVSVSLPQHSEQPIGDGEITAKQVEETEEIFEDREVGSLPVVRVPTRAPPAAW QAAPPPSQSRLRAKHLKLMQVHSVEPYSFGFQDKDGSGNLRVSIRFPGTIMAKTVALP RKAGSQNPRPRGTSSYKPRKNTKPREGPGASNSKKSSSQQSNETSSPRHQSRNASWGP RTYSGSR AFLA_129680 MGVRDSHGEATATPDPVEKGFATLNTIRIGVKAMVQKDGELRKA EILSIRQRKDGPSFYVHYVDFNKRLDEWIDSTRIDLSHEVEWPQPEKPEKKKAGPGNK APSKNAQKRARAGSREVSATPDLLTGKNTNIGKAQRPSKAGGKENRDETPANLSVLDS EAISADVTPKPEMEDVDMIGVSFTDTKEEHEQGKMSREEEIERLRTSGSMTQNPTEIH RVRNLNRLQMGKFDIEPWYFSPYPASFSDVDMVYIDEFCLSYFDNKRAFERHRSKCTL VHPPGNEIYRDDRISFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCMAT RDETGCHLVGYFSKEKDSAEGYNLACILTLPQYQRLGYGRLLIAFSYELSKREGKLGS PEKPLSDLGLLSYRQYWRETLVELLIEPGRESMSENELAVLTSMTEKDVHETLVVFNM LRYHKGNWVIVLTDQVVEQHNKRLEKEKIKGSRKIDPARLQWKPPVFTASSRTWNW AFLA_129690 MPVVKGGVWTNIEDEVLRAAVSKYGLNQWARVSSLLARKTPKQC KARWVEWLDPGIRKVEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEARENDEFGLGGPEGGETAAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKVVTRKKGE MDYNADIPFEKPAAPGFYDTMEEEARNERQREMFDPRKQQLANKRKGDQDEEAERKKR KNDKNSSSAASAAAARAGQMQKIREAEQSSKRRALVLPSPQVSESEMEDIIKMGMAGD KASKMAGDEEMTRGLLGNYSAIVGGTPIRTPRAAPEEDHIANEIKNIRALTETQSSLL GGENTPLHEGGSSTGFDGIAPRRQEIVTPNPMATPFRQANGGVGATPMRGGVGPGATP LRTPRDHFSLNQMEGEQLVGSTPKEIKMHESFMRQSIRSKLSALPKPKETEWELEELP SESTEPTVSEEYMEEDMAERDRREREAREKAAQAELKRQSQVYQRSLPRPSVLDIDAL VERASQVTDPIASMISKEAALLIAHDARKFPLPGAKVEGKARKVERFDDTLMEAARSA IVTEATSDERKPEWTENFDAQWTTAHSKALPGLSNYADDEEDEYQQEQRMIGVFDNVQ ASLLATAERGNKLEKKLALHYGGYQNRAKMLRTKILEASAALEKSKDELDAFRNLQIS EEAAISRRLEKLRDDVAFVMRREREAQEVYRTRKEELDELVAGTGGMVNGWH AFLA_129700 MSPSTDAPKQFSQPSRKGKKAWRKNVDVTEVQEGLRLLKDEEIK GGVLAEKPSEELFTFDTTGSTEIRKAVEKQHKPLKSEEIIARRSVIPAVDTRKRNNSK VTDGVLEPKTKKHKSDWVTRKDWLRLKQVAKEGKPIKKDVGGEFYDPWADAEDPTPVE DPQFDFLEKPKPKVAPVTLKEAPISLAANGKAIPAVRKPNAGTSYNPTFEEWDSLLQE QGAKEVEAEKKRLEEERKEEERQRLIAEAKDDDGEVKSDDESAWEGFESEYETPDWLK KKRPERKTKAQRNKIKRRKEAERQAKWEEQMKKKEEQVEQAKSIAEKMKQQELERVES SDSEGEGDDTVLRRKPLGGRTYAPEQKLEVVLPDELQDSLRLLKPEGNLLDDRFRTLI VQGKLESRRPVSQPKKAKRKLTEKWGHKDFKVPGL AFLA_129710 MASQKNRGTEVFETPEWAVRKFNFSAIYRIYRRFWKVAPPHQIS FFAPHIAYPVPEHNAIRSYLTIGMDVKNLSSNWKKLQETLKKNPISSSSTKRKTSGRE GQNGVVKKRKTETVEGKTKSEQSHISKKRKRMADNAAEGGKDDVQETVLKSITRKNST ASLAPRPDVKISKANEGRSPTAELGKYVAMDCEMVGVGPNPDNDSALARVSIVNFNGE QVYDSFVRPKEMVTDWRTHVSGILPKHMVEARSLEQVQKDVAEIMDGRILVGHALRND LDALLLSHPKRDIRDTSKHPPYRKIAGGGSPRLKMLASEFLGLDIQSGAHSSVEDAKA TMLLYRRDKDEFEKEHLKKWPVRVVVEKEDGDDQKKKKKKKKKTRKR AFLA_129720 MFAVQPQQESSGSEDVNSTKNVTPNILPCRIHHDGPVGPLGRYW KSETDEKDKNLQTAYFRGRKLRGRRVAIPEGYEGIVALPTERVMPSTQRNANSTINEE TEQEEPVKILEKQATFNEYVVWGHELTPAADDSFVKGVEEWLKLAEAVG AFLA_129730 MQASNDTKVAPEALISKFEIGRLLRQDQSGRRIALLGTIEGKQG ILIAERAAFATESLEVLKAFHSAITRVNNLGDNDIYRWYLASSGVDSEGHQSTDLKLN LIWPCTEQHIKKYSDQVLRMVTETPEIYRDYIRPYMSAKREEGRLNWVFNILEGRTEQ EDVILRDQGHGPEDGFLMLPDLNWDRKTMGSLHLLALVQRRDIWSLRDLKKKHIPWLK YLRQRLLEGTANMYPDLDQDQLKLYVHYQPTYYHFHVHIVNVMLEAGATQATGKAFGL ENLISQLETISGDEEASMADVSLSYFLGEASELWTNIYEPLKRGVKPLRN AFLA_129740 MTDHVDVPETNKAFVPLENNPEVMSHLVHQLGLPPSLGFTDVYS IDEPDLLAFVPRPSHALLLVFPVSKTYESSRMSEDSKLTDYTGSGPSEPVMWFKQTIR NACGLIGLLHAVSNGEARKQVLPGSDLDGLLRDAEPLSPIDRANLLYESKALESAHAD AAKLGDTTAPQAEDSVDLHFVAFVKGVDGRLWELDGRRKGPLERGKLDEDEDALSEKA LELGVRRFLKTEAQGGNPDLRFSLVSLGPVFD AFLA_129750 MQRIRDILRVHLQDSHRNVHCGTSDDRASVPEPTKKQTQSSIAA IWITNSAKARKIAYDAIQGAGLSVCEEWIWIKTTTNGDPITPLDGLWRKPYEVLVVGR RQQAGPSDKRGGGIVTRRFIAAVPDVHSRKPNLKEIFEKIFFADGSPSPNSEIRTTYS ALEVFARNLTAGWWACGDEALKFNSEEWWVQGNDTSLS AFLA_129760 MLPVSRPEGHMNLNYIPTTQPMSGTSTGRSSPSDLSAAAAVKSP FGPSGLNGAAGSIGNARLGAGSPSHDLGARLYSKRAREIQAEEGVSPSIWGPPTSGHS TPLRENIPESPSQEGFPDLVPTSSGSINSPARRARAGTVPSRFSPVGALNEASLQQSF MSQTSRPTPSTSPFRPSGVSGIDAGAPAAPAPPARGTGSLSRLRAGSMPQRANFLGSS SPFGPSLFSTSWATGRDRATTLTSIRSSEGPTSPSHSSFSRDGLTDTDVKTLDYLGLA ETPQQARASLVRPSVDMLIQQQQQQQHQQQQASTLPPLLAELAMMKNNNRFRSYSVNA KEKYADDEDLEYESRYSQVPSGTVTPSAAATAAQLAATQAQIHQHNLAVQAFANHASV NRPRARTAGILEAPPQRSSIRNYLATPSRLENSFSAADLNIAESGEYDELSEAVQMMH LGGSGAPNLGMRQGDLVDENNQDGPTRALWIGSIPVSTTITSLAAIFSRYGKIESTRV LTHKNCGFVNFERVESAVQAKSILNGTEIFPGAGPVRIGYAKVPGTSASGTPGVNGIQ SSPTPDPNAKSTTADGVESSDSGTAVPQIPPLPELQPEMVQIVKEFGASDEDTLNITA SIQQAIAYQTFEDEIPPIPEPSQTRMFDAPRLRDIRKRIDNGACSVQEIEETAISMLP EIAELSSDYLGNTVVQKLFEFCSEPIKEQMLVQIAPHLAEIGVHKNGTWAAQKIIDVA QSPNQKNMIVDALRPYTVPLFLDQYGNYVLQCCLRFGPSFNDFIFETMLSRMWEVAQG RFGARAMRACLESHHATKDQQRMLAAAISLHSVQLATNANGALLLTWFLDTCTFPRRR TVLAPRLVPHLVHLCTHKVAYLTVLKVINQRNEPDAREIVLKALFFSPGDEVLEKILS DQTSGATLIFKVLTTPFFDESMRAEVVKNVSKVLTKLKATPSQGYKRLMDEVGLSSRG GARDNHHGRDNSEKQQQRPASRPAPSNYPSQSSVDRQYNGQYVPAMLSQNLENARADQ QSSVASFDPYAINGVNGLGSAGTINSLNGASGINGSGFGQDPLLPLQQAQYQAYLAAQ SRGVSPGGIYPNLGNANFGYPGGSPSIDNLRGMQAQATPLSGVTPMSPPGSMLNQSAF GPQQFSPVMNTAQMYQYPPQFNYQQPAQGQSAGGRRGRVSGSESICEQRLPGTEANIV FH AFLA_129770 MADDNVGQSFAEEEMPVVSLIEGRSKRSTAGRHMSALLNAEADD DLALLFEEVDDDNEFSVNAEEEGGEEDDMGLDSSSDEEDQGPNARSDDYEGETQIQKE EKAEKKKRRAQQDLRFKITSKKVKIDPTAVSAVPAPPRPKKKSERISWIPTPEEGPTR SSSRRQTMQNKELTHARLKDSEEKRIRLIATMEEAAKRKAHLKPKEMTQAERLAEAER VERQNSKSLNRWEEMERRKAEERKAKIEALQNRRLEGPVMSYWSGVATWVDGRLTRLG KVDITPKLEKEDNTRKKSKKSDKEGKAATEQKPADVSTTSSATTQPVPPTISESAAGP VTSGGENTSKETQDPTPTEKTDPLPMKESDAKPEGTTVTATGDSTVSTPSHARSPKPG PGDTQATEPVADAGSQIEEASLPKKTLESEAIENAPESVQTSESRAESKPEETAKDQV PGKEDIQMSDDVSTPKLESQEQGQQGQEQMNQDPTPLEGNDIAVSKDPAVESTTQPAP ATVTDPQVVSPPSLPATAATAAAAPDAPTALVPPNQEGEIPAAIQQEQVAPEVQLVQP AITDEEAPQPQIPQRPPVIEHAGRTLTVLENFDDKTAQSREFSIYFNAKKPPRLAKIS SSLCVITSLPSRYRDPETALPFANAYAYNEIRNTVAQKYAWSTMLGCYVGPAGVAARG VPERFLDPKAPPPEKVSEKKDSNGDGEPTDGNKDKAGETTKASEGPPNASTPAAATTP TPTPAPAPAPTKATETGAGDPMEVDKT AFLA_129780 MRVPERNHVPYGAIIGSERLLILAHGFSDTADTAYCSVLDAVHM ECITGGVGPAERCS AFLA_129790 MTQGNFSFNHRRSHSGSYAPKLRTARPALHRKGTSFVNHSISKL GAGHTRHSESDNDCQSEMAASFLNFCAMCERQITIPDNSRLYCSESCRRKDSHKPLSA SFSSNHTMPSSTTPPSSPPMSPRTIVPPMTPTKAPITSTQAIRILGEFHDSKTDQDPS EWKPVIPMDTGSSASRASSDAWQYLSQFHSGSAPVPMRRPRVEHRSSASLFTLLGSTG APPSLTHTSSTAASSFSSNASESYLSEPAHRPLPPRRKSYFSGSANGAKGVELVVPHM EVRVGDSTVDMNGGSIFPASSGLWGDHNDKCPAIRISGTVPLHTPVRPQ AFLA_129800 MEILPSNTEKQPEQDLNKAEPISEDKQHHVNAKRGRALLRKIDL HLMIPLWIVFVFGFLDRINLGNVSVLGILQELQMTGTDMADALQIFFVPYIISDVPSN VLLKRFAPSTWISMLTFFWFRPAKECFACCISDDHADDWADHIKWGDEEEHPEEEES AFLA_129810 MTTIYIDEDVGRDDSTATGTESAPYKTLVHAFLQHAPSEGFQYL TRKSQTEPADKDVDKLEWKPATKSAMKKATNLYEQRKKKAAKEQELAIREKQEAEKRR LVLEEAKKIIIKEDTSLPKPVRIRLDVTDPASEGPGTRVRVLGRVHRLRSQKDVVFIT LTDGYGYLQCILTGDMVKAYDIMTLTLGTSMSIHGEMRAVPPKQHAPNNRELHADFFT IIGRAAGDKEAITTRVAPDSDPQTLYDNRHLVLRGETASAVMKVRAATLRAFRKVFEE NRMLEVTPPAMVQTQVEGGSTLFKFDYYGENAYLTQSSQLYLETCLPSLGDVFCVCPS FRAEKSLTRRHLSEYTHIEAELDFITFTDLLDHLEAVICRVIELILAEPETAAFINQL NPDFKPPSRPFRRMKYSDAIQWLIEHEIPNEEGKPHQFGDDIAEAAERKMTDIINQPI FLTHFPAEIKAFYMKKDPEDRRVTESVDVLMPGVGEIVGGSMRMDDWDELMGAYKHEG MDPSPYYWYTDQRKYDAPFQESKPHITNTNSFAIGTVPPLTVDMVSVSSDSSPGCAPV TLSGNAVCIPALLAVAHRKPSNTLDNSHRYVNVSIQTQLLYLFDIQ AFLA_129820 MQTSDASNIPSRLIPSKFSHLNADDTGFSHGAYNSNSLPLQGLT DRNARRANIPAINTAASQNNTDTMAASGTAFDMNFTPLLPSQLLLGSPFQPGTPSAFA SPQFTNFGGFSQANASAHAQNHQNQLGSPTQASQNASLYSGMMSADSMGNSQLLGGPQ SPVGGMGGLGNAAYGSPAASVTPGLLSGTSRTVYLGNIPAETSAEEILNHVRSGQIES VRLLPDKNCAFISFLDSNSATHFHSDAILKKLAIKGNDIKVGWGKPSQVPTSVALAVQ QSGASRNVYLGNLPEEMTEDELREELGKFGPIDTVKIVKEKAIGFVHFLSISNAMKAV SQLPQEAKWQAPKRVFYGKDRCAYVSKTQQQNAAQFLGIAPGYAHILNSADRDLITNA LAQQSVAAAAVATSAGGVNNLGNRTIYLGNIHPETTIEEICNVVRGGLLHHIRYIPDK HICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGPLPPAIALAVSGGASRN VYVGNLDESWSEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEGMRNRE EYKRFKINFGKDRCGNPPRQTGNGGQQNRNGGLEGPQSPSPALNGFQQNLSHSGSGSS PTRSALSPAPGSTGSQNGQQGRHPLQTVTSPSGMLNVGANNPLTMYLNQMSAQQAQEQ ENRLNDSISLAALQSQSQPAPQQQPLYNGATTSELTNGSIEAPLHQHKPSTSGFLNVT NGSNVPGHHATASTSSLSVPRAQHSRAVSLPSFSQEPFGPISGQAGHSRAGVAHQPQS SFSSFTSALGGLNHAGFGLAIQNENSLPGWAEEEIGAK AFLA_129830 MSLPPEQISIKRRREEEPVDTLYIQSDLHQTKRRFTDFVFQRVQ VSAKDLKNGSPSASPVSAAQRSILTPRSVSTSLSPAAPNRATGGVPLVRATSPGAELR EEKRLAALRKEAEEKVKRALNSSPGPAQRGTHNESPGRDSVAKTPAAGVSSTSSARGS SASSPSRAQSLRRFQISRSSTPMSPLRSSGGGVQKRKADGVAVLVEKLRRKPHSRQAS LVADAAVRADDVGSRDGSDVAEEQPVRLRKRPVVNQAERKWREERKGAILAAKKHISQ VLEQGAQARHSNWEDESERLARDFEQIALELEEEMDLETEVSPAETKHDRQSIAERTR AVMPKPPLKYPPRTPNKLRAAGSAERTQGAANPEGSLKIATPAPHHLPSAAGQDDDSD GEYVYDTYIRRPLPDGGQLTNPLADLELNQDEWFRQQGIDTSRQDIGVIVITPEDEEY WEHFAEEDDDEDQWDSEDGDSNAENNPANDYPDEELSWDDEEDDPQAVYSKYRRHQSD DEEFNFDDSSSERFGYGYGYGDRQRAHVDSDDESW AFLA_129840 MEHLHSQSPASYMPSSRKSRMAIDALLNPSGESDNSPQLHYAHQ GSQYSPIYPPSPSHHYFYHPYAESHHHHHHPYRESSGSSQDQAFLPYRPRSTESSPGA YSRDRYDSVSSSSSNAPERRRPPRPKYEEEEMYFIWYHRVDLCQEWKEVRESFNRQFP SRQRRGFQGIQCKFYRFIKEKKCPTLREQRRMRDGEFLREGSGLVESAAPRFGVVEWM GAWYPWMRETKEQVLSRRIPR AFLA_129850 MSSSRLLHPDEYELETRSSADSQGSFNLDEADFESQVPPRPRRL LRRVPFLSRVFASTYSGYRRLKTSRPLISASARPSCLRRILLRRACFYLHAIVGIILA LLILTAILRPSYTRLPPHYTALRSAVSHSSASGRGNPGNEKVFIAVSLYDRGGKLAQG QWGSTVLRLIDLLGEDNVFLSIYENDSGPEGESALRALEKQVSSNKSVIIEEHFDLSN LPRVTIPGGSKRTKRIDYLAEVRNRALRPLEESKTQYDKLLYINDVLFDPIDALHLLF STNVDDNGIAQYRAACAVDFSNPFKFYDTYATRDVQGYGMGLPFFPWFTSAGGGQSRR DVLAGKDAVRVRSCWGGMVAFDARFFQGDAKPAVDMGGEQFPVRFRSAPDLFWEASEC CLIHADIEKPPSNGDEIVDTGIYMNPFVRVAYDGRTLSWLRTTRRFEKLYSFIHDIGS RLVGMPWFNPRRSEVGGQAVEETVWVPNDKGDGDGSFQTVTRIAGNDGYCGRRGLQVI VEHRKEGQDGFESIPVPTLTIIMARPFEFSSKVTGVIHSVFESFLERNTAQALDESRH APPSEDQDIVTSTYSPSTSSAAGYRTPPVFHTQSPSPSLSSSYHSNISDSHTSSGEKP PAKMYPIADVDDTAGFMAAARALKLDPNAYRKVSSVVAASEDASSEHGSLSKSHDDAK NPTSTDDSVLTSTPKEPSTPEFQVKGDADFAGPGNDSTLTNFVAEPMPLEVVEQSSTA EFVSADTLVTTSHASVGEEDREHQATFETWGTPEIRDKPGMTLVFILHYRPLLIGSFL AAARVRRVIIRGLPSTWKTPAMVLSLIHGGTIESISVGPSGTAQVLFCDPEACKAFYD KYPNGIDLDKERKVTVFVEMGKEVDVVSSQLSFSLSTGATRAVRAVGVDLDVTMRQLF DLAAGNHRKVEKILDNYVPGEARNVIFRFCSIDDAVRFRAVLVRNESWEQCNIQYAAD P AFLA_129860 MSRIPLPILAEREYVGNPTGHRVMTVMIKYSIMSTFAFANSSEK VPGNGTLPAEFIIKASPGTDVWSKPPSTERFNAPILYQSVPLNSFKRARVAFNAFWKD KYDQGGLILVLNSANGPRRWVKTGIELTHGRPHLSTVTKDRFADWSLQPVPSGGGAAT LEIVRESDDSLWIYLVEGVQKNPLREVTWFFEEQDVQDLWVGLYAAKPSNEGQDLVVN FGHFIIDTV AFLA_129870 MTTQYSSSQVVRTPEGQSPKLVRETIPVPSPGPGQVLVKCLINA FGDGTVLGCDIVGALIWGGETKGLGAYSEYCLADQRIAFKVPTALSREDASTISLAAA TAWLALFSPDYLNLDRTNAQGTSVLVWEVAVRLSLSLQRFRLSNVIWCETASVGLYSI QIASLYGFDVVTTCSPHNAELVRSYGAKYVFDYKDEKVAEEIRKVAPNIYHVFDTVGN QGSSPTASIAARLV AFLA_129880 MIESASFVAAAMSLASRQLGHIEQRQNSVTLELYQYTIRLLISQ DPNKADATVLATCALLCVYEMMASGVSEWRRHLKLLMSSTGMCRALSSEWMEWVE AFLA_129890 MTVLWNEFQEWYQLRPKQVYPLLRSDYTPSNTLPNVAFTQSSPV CGNTFYHAGCILLLQAGLLTVQARSSQVNSRSACSVEEFAAEKILLLKHLALIGRETG WKTSDRAADLRDHGLRGEKKKRKK AFLA_129900 MPLLDAHSGPSYGTLDQMERHDQYEGEQLLPTGYDSDDHGSEIT SDDSVQEGVRKIEAINLTWTTKSLVVAYVSIFLMAFCTSLEGQTTMSLSAYATSAFSK HSLISTVLVVQNVVNAVIKPPMAKIADVFGRFEAFCVCILIYVLGYIQMAASTNVQTY ASAQIFYSAGSTGLQILQQVFIADSSSLLNRAFLALLPEFPFLVTVWIGPSIADAVMR HSSWRWGYGMWSIILPASFLPLALTLLLNQRKAKRLNLIKQKHAPRGGLVAVIRRTWY DLDMFGLILLSAAVTLILVPLTLAASAKDGWKNDSILAMIVVGIVCLLALPLWESSKR FAPKPLLSLHLLRQRTALAGCALAFWYFMAFYFSVQPYLYSYLQVVQGYDVATAGRVT QTFAFTSTIAAFSVSLLIKYTRRYRIYVTIGSAIYMFGLLLMMLYRKEGSSSTLILGT QIVVGMGGGLLNVPVQLGVQASASHQEVAAATAMFLTSMEMGGAVGAAISGAVWTHNI PRKLRRYLPDENKGDAKEIFGRLDKALSFPMGSPVRVAINRSYQETMNKLLVLALIVT IPLIPLSLLMKNYRLDKVNSEPVDGPNGDHLVSDNGHGLSGNESESEGHSKQP AFLA_129910 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLRDDSAVREKLSRQKMQPIRKEDGDRMAKELGAVKYVECS ALTQYKLKDVFDEAIVAALEPAPKKSKKCVLL AFLA_129920 MKYTLGLLALAGTALSHAHHDDTEVVPEHLREELLKKWDQEWTF SGIASFAHLKPVKCLIEPDERYDIAVIGAPFDTAVSYRPGARFGPRAIRAASARQMAG TAYNTRAGINPYSSWATVKDCGDIPIIPFDNGVAERQMYEAFLELGSRSPITPADSKY GTKGISAGKAKLVTLGGDHSVALPALRALYQIYQKPITVLHFDAHLDTWNPVRYSAYW TSEQSAFNHGSFFHKASREGLICNSTSAHAGLRTRLTGVTDSDYTNPGPEQGFMRIHA DDIDDLGPMGIVDKIIERIGLDSEQPVYLSVDIDVLDPATAPGTGTPEPGGWTTREFI RIMRGIEKLNIVGADIVEVSPSYDNKGETTALAAAQVAFEIITSMVKAGAGEDLGGWY GRKEEKIDESAKEGEAKKEGKDEL AFLA_129930 MRRVVVTGLGAVTPLGVGIRRTWKRLLDGHCGIVNVNHRDSRFA DIPCQIAAPVPNGKRQEGGWTASEWLSKDEERKMARFAQYALAASEEALEDAGWKPTS FEHRESTGICLGSGIGNFDEIYDTVVAYEKGGYRKVSPLFVPKLLINLGAGHISMKYG LMGPNHAATTACTTGAHSIGDAARFIACGDANVMLAGGAESCIHPLAVGGFARARSLA TSYNNAPEKASRPFDADREGFVVGEGAAIVILEELEHAKARGARIYAELRGYGCSGDA HHITAPKENGEGAFMAMRKALKNADIPPSMVDYVNAHATSTVVGDAAENAAIKALLLG PEGKRNAGDVNISSTKGAVGHLLGGAGAVEALFTILAIHEVSMTICAVEIL AFLA_129940 MATNGETKAPSSGSLVDASGYKFAEKDTKPGKIRLKKSVKLGKK KGDDAPDSPGSSPILPEIDEKTMAAFPTGKPREEDHLETVICKTCKRPVLKQNAVEHI RGCIRAKQEKARRKKEARDAANRAKAGDKDGDEDAAGGDGDDSMKGQKSAKKSAVKGM AEDGTKKGKKRKTEGEDDKDKEPKKKKKKEEPKPKVPKPKGPVDVEKQCGVTLPNGAQ CARSLTCKSHSMGAKRAVPGRSLPYDMLLQAYQKKNQARQQNRADRSCFSEAAIDANA PLQDDMDNNGPVDSDEEKDAVMAAITRSHPQPIITHTLISTKKKYQYVRIKEMLSHAL GGARGGGLFSTGDSNTTSNDGNLFAPVDDVVMASPVIASADNTTDVDNATPAPAAKKL SISASS AFLA_129950 MSNSVPDLDAVGIKAEPELADQFRREVATLLGRNNLNFPGAQPV SFSNKHLLELQRQDYYVCEKTDGIRCLMYFARGDPDSEAPEIHYLIDRKNDYRYVPGL HFPLPNDESFQSYHVDTLVDGELVNDTYEDGTQQLKYLVFDCLVLDGQSLMHRTLDKR LAYFKEKVLKPYNALYQRFPEEKQHRVFAVEDKSTQFSYGIEMMFREIIPKVKKIHGN DGLIFTCRSTPYRIGTDEHILKWKPPAENTIDFRMRLEFPVLEPDTDDEAEGISEPYT DYDAMPIFHLFVMLNSNEYRHFAEMFVTPSEWEELKALGLPLDDTIVECSKDEHNRWR YHRLRDDKADANHISTVEKVLESIQDRVTEEDLIRAAPAIKAAWKKRQAQMASEDEER KRRARQAPPHANGNGVKRKFEDS AFLA_129960 MRPITAFTALCGFLLSSSSLVCAESSAGTHSSFQPPQTFKNVNL VRNTNLEKGYARETVNVVVENVDKEPQSTYYLAFPSEVFDKVGGLEVRDRKAPEKGPF DVDVLSVDSPSDTKYFAIDLPEPLAPKSQVTLGISYYLLSSLSPRPAAINQADKQYLT YSFSAYIHSAYPTVTQKTKLKLPSTNVPDYTTTSGLKPGSDPERQGTTYTYGPYDTTE VTPGTAYPITIRYEFTKPVITASLLERDLEVSHWGGNLATEERYWLRNNGSNLVNQFS RVEWTLSNFQQLPSSAIRELKYPLKPGSVDPYFIDDIGNVSTSRYRPGKPPKRDASLE LRPRYPVFGGWNYSFRIGWNNELSTFLRRVAGADSYVLKVPFIEGPKVPEGVQYERVV VRVILPEGARNVRYETIKTASNNGLPPADQIKSHVSPHKTFMDTLGRTALTLTVENLS DEARDSQLVVTYDYSFWDGMRKPVTITTGLLTVFAAVWAIGNIDVSIKKR AFLA_129970 MLASRAAARHCCRRTRVPVLVAPVRRLHGLAQSKFLQVSEEVRD AVATGKPVVALESTIYTHGFPYPESVALASLLETVVRANGGVPATIGILNGVAKVGLN AEELIELASTAESKSALKVSRRDLGYICGLGLAGKRLHGGTTVSGTMILAHLAGIKVF GTGGLGGVHRGGESSMDISADLTELGRTPVAVVSSGCKSFLDIPRTLEYLETEGVCVG TFADGRTGQVDFPAFFTRDSGIKSPKVIQDEAEAAAIIYAQSKLPVSSGMHFANPVPV QQSIPKNEMDDIIEEAIRLAKVEGHQGSDNTPFVLSKIKQLSGGKSVTANRALVEANV QRAARVAVELSKLERTNGTLNERHMPAISEDVKADQATSEAELKLNPTTEGPIEALDK VDVLVAGSLAVDLSCDYTPPAGEKDIKPVSDTSNPATITQSLGGVGHNVAIATKYLGS SVLFCSVVGDDLSGRAALTALRTEGLSTAGVQVLPASNSARTAQYVAVNDAKKDLVMA MADMGIMELPEQKLDFEGFWETLLSRTKPQWVIVDSNWSPEVLTKWVAVAKKHGARVA FEPVSNAKSCRLFSKSAEVNAAISPSASVPNNAISLATPNQFELTTMYMAARDSGLFD SEGWWHIIDAMGMSSGGSRDRLVAMTSNQLVDQGIPQQSIQLLPYIPCLITKLGSQGV LVTQLLRPGDPRLTSPDSAPYILSRAALADELVGGVYMRLFPPAAVLADEEIVSVNGA GDTLLGAVISGLVKGAKTVEDVIPFAQEASLRTLKSPGGVSSDIASLVRS AFLA_129980 MERLRQHIHRRRRSSTPSRAPPQGSQSPSLSSDRRKKSNSVLRR LYVTSDTADFDADILRRFEAEGFSVEYIPFQGSSGDFERDRKDLDNLIHEREDDLEPG ERYAIVAYNKPAYLLLTSHHHPTTATNPFPLLCALVTYYPQISGTDTHSSLTGCPNTT TNPCIVPPSTTSSSTATCYDTLSILPIQVHLAGHQPTTLWDDYNSHPSKKRHRCHLFF YPESEPGFAESTARTHDVISSRLAWSRALECLKRGFGWPGGSWKVPAVETVWEEYWRN LFYNGQEAERDEVEHHAANTVNMMVGSGGGIPLTGGGDSDGENSDPTAELNEVAVVNC VPTLIGGEHPAQITNFYTSQFFPAGPPSQSIRLLSRTIGTDRIVDELLLTFTHTEEIP WLLPRVPPTGKQVRVVIIMTASFIAGRLARHNIYWDQASVLVQIGLLDPSLVPSGFKA TGKNREGQDAVERLPVVGGEGVDRALF AFLA_129990 MRPDGPRDPVTGPDSGPEPPFPIKLSGPVIKGFGRGSKELGIPT ANIPPDGLSDYPDLQVGVYYGVVALDPSRFTSETTILPAVLSIGYNPFYKNTTRSVEI HIMPPLSSPSPTANGEAGQVKFNKLPDFYGTKLNLLILGYIRPEYDYVSLEALVEDIR IDCEVARQSLQRKAYVSYLSGQDCSEAVQEQRKWLTSF AFLA_130000 MPCRKDLHLVNVYRYLRPDNRRIQLFSLDRNARAIVLYMGPWYR PAVSGAPIRGFIALANAPQFYGRRYIYITSFTIFLIWLIPCAVAKNIETMIVCRFFNG VAGSAFLSVAGGTVGDLFARHELSAPMMLYTASPFVGPEVGPFLRVGGFINQFTTWRW TFYVLLIWTGVMLISIVLFVPETYHPVLLRQKAEKLRKETGDDRWKAPIERLNRSVAR TVLHSIYRPVLLLTLEPMCLCLCIFSAILLGIIYLFFGAFQLVFGNVYGFELWQRGLC FLGLFVGMVLAILSDPFWRRVYQRLEEKHKRTEGTTEDFQPEWRLPPALAEHHSGEPE LLITRCSNSRWSPCYHRVIHICLDYLSLCALDCTHNWQCVFWSRYHSCIFGHLYVLGR CISSLCSKFSSSEQLRSLNVWRRLPPFWNPNVQQSGIPLGFFAARFSDACHGAISVSS PTTIMFHLLPSFSLFAYVVLLAI AFLA_130010 MTASQRAVSPLSDVDSARHSTSDERSEADELEKMRSDEDIDGTF APIQAPPADEERMEKLHSSSSRPIERSWSLNDGYSCHTVDEEAARNALCNDGGEADES STFVVSWDENDPMNPRNFNTMRRWLIVIICSLGSLCV AFLA_130020 MFYSETLLSKTGPLARVWLSANLERKLSKSHILQSDIESSVSAI VDQGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRLTNNNDLTTTV VAPGGITLPDVLTESDLFMNLDSSLLLPQPLSFEPEGKRPGTSMDFGSQLFPDSSLRR SVSQEPARLEDHTLVDLDLGEDDTPLGHDFSMEVGRDAPAPRPVEEDLFSDAGKFNDV DLPLDLGEDDAPLDKMDLANEGPQDTLLQADDTAMDLGDDGELAFDVDERRSERESMS VLSEMPDADMEKLQQEQGEDQDQDEGAAEDDVTVQHSQRAKRRKVMTVELDKVTDYKA PIIKGLQADRSTILKPTSFLPRDPVLLTLMDMQKNGDFVTNVLGGGRGRGWAPELRDL LSFDAIKKAGELKRKRDSGIADMDIEAAAAPALEFGEEEATVPIDEGVGLDSTLHQRS DIEFPGDDDDQVLHLSDDEGLNHPLEDLDDTIQPADSGPVSVGTKHAVHILRDCLGES AVEQKKSVKFQDLLPERKASKADATKMFFEVLVLATKDAVQVEQRPDTVGGPLKIRGK RALWGSWAEESANGEVGTQASQEVA AFLA_130030 MSRSATPALPLHNAQAPDLRPPSSTPTGVRSNTSTSTSTSTYSL LDPQQTAERLQTSLTHGLTPAEAEIRLIQDGPNELPHEDPEPLWLRFLKQFRETLILL LLASAAVSFFMGNFDDAVSITLAVTIVVTVGFVQEYRSEKSLEALSRLVPHHAHLIRD VPLSHTPPIGHSIPAATSEEFELQDLRSKSPGSVSAAVKASSTVSANELVPGDLVLFT VGDRIPADIRITAATDLTIDESNLTGENEPVAKSPDAIRGPKALASHSPKIVTPPRSP FYDAPASGAVGADIRLNEQHNIAFMGTLVRSGYGQGIVIGTGAKTEFGSISASLQEIE SPRTPLQLSMDRLGQELSYVSFGVIGLIVVIGLIQGRKLLDMFTIGVSLAVAAIPEGL PIIVTVTLALGVLRMAKRGAIMRRLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWHF DCPEPFEVHNDISSLTPGPAARTVLRVGNIANNARLSRVHANSPASASSAAVLSSTDD RAPGTIRSRWVGQPTDVAILDLLDTFGEDDVRDRISARAAETPFSSERKWMGVIIGNG TGESSNMAYIKGALEQVLKRCDTYLTKDGREVILDELRRQAVRQAAEHMASEGLRVLA FASGAVRDTSKGRPFGSRTGTPVSRTTPGEEDDRYTGLVFAGLVGMNDPPRKDVHKSI RRLMAGGVRIIMITGDAETTAVAIAKKLGMPISNIAGSRPVLTGEEIDRMSTTELAQA ISSTSIFARTSPDHKMKIVRALQSRGDVVAMTGDGVNDAPALKKADIGISMGKLGTDV AKEAADMILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLLSTTLGFK NPLNPMQILWINILMDGPPAQSLGVEPVDPSIMGRPPRPRTARVLTKPLIQRVLTSAL MIMLGTLAIYVYEMGDVDDELNPGKRSRVVTAHDTTMTFTCFVLFDMFNALTCRSEGK SVLRGEISLFGNKMFNYAVLGSLAGQACVIYLPFLQRVFQTEPLNLAHLFKLLCISSS VFWVDEARKYYQSVKRRRAVGVGYSVNV AFLA_130040 MRLTTGLEPHLTMTRWRPMSWVVTPVCFQLTNIRPFSCSIRLII LPGVENVNKWFQSGDQAYIIVASAMVMVMIPGLGFLYSGLARRKSALSMIWACMASFS VVTFQWYFWGYSLAFSPTATNGYIGNLRNFGLMKTLADPSPGSVLVPNLLYAFYQMQF CGVTAAIIMGAVAERGRLLPAMVFVFVWATIVYCPLACWVWNVNGWAMNYGVLDYAGG GPVEIGSGFSALAYSMVLGRRQERMMLNFRPHNVSLILLGTVFLWFGWLGFNGGSAFG ANLRATMACWNTNLTAAFGAISWVLLDWRLARKWSMVGWCSGTISGLVAATPASGFIT PWASVILGIVTGIVCNYSTKVKYWIRIDDSMDVLAEHGIAGIVGLIFNALFADDAIVG LDGVNTGSKMGGWLIHNYKQLYIQIAFIVASAAYAFVVSAIIAYAINAIPGLKLRASE EAELLGMDDDQLGEFAYDYVEVRRDYLAWTPQKHDQLEDGHHIPAAARYGIGEHSEMM LDGHTPIGIDSRGCSEGDSGIQEIKMSPAPAPAMAPAPRQVAEQHPAHEGSIPPSVEE DEKTQ AFLA_130050 MPETNVGEVNGHAVAPSPSLDSSKPLPSSSSDIFNASSLAEIKA TLSHLHEQETSVTARLDALVASQKDFSRELGRLDLLRAHLGSQTTTTRSISHGMLSEA AATAERISSAVRRLDLEQSRVKSTLDVVEQVSELKACVLGVAGSMGAPQDWETAASYL NRASKVPPEVVHGAFAAEMVPTAEVPDPPNVTLDNAAESLCGLFLREFDKAVKENNGA KITRFFKLFPLIGRSEVGLDVYGRYVCQGVASRARSNLNAGAGTQSKDGFFYANVLTK LFEHIAQIVDGHGGLVERHYGPRKMNRVIERLQLEADVQGGIILDTWGDERHVDRKLT DIKSYAFTFLVQSFLPPQRSATPRSNSPATRDGASTAEDEGVDMKEVDGLLNEMAVML GRWSLYCRFLAETCNAPGEDDNLFTPPQFLKESTLSKKINDRLISPFNTMTTFFFRRT VEKAFQLDEQPTGLTLNPQRPLKADPPYITSAVDDIMYIVNKVLQQSLATSQITVVTN VVPTLSRVLGSDFIGMTQRKMRDECYPRASVQGAQPPEHLIVSFLVLVNNLDVAVDYI RRIVQTHTETRKTTTGPDGQTEETDQLHSLFPNNADAKLAAQTLQALSSSFESKVNDL LSDGIQVVFNNVIKHRLRPILADAFRDIDYQPGDDNDPTSTSYHEYDRDEDADDNASR AELVRPRFAASWTELLLPISRILTTSAFDRLLSITVAYLSRLLEKRLWSYQGRVNALG ATRLERDVSGIASAAVDVGGTHGAPGRYRHRESFARCMQMTLIMGMDDDEWEDVIRGG ETAEVVEKLNREERTRIRGMVRRQGTQY AFLA_130060 MGNEPSTLVDEDTPPSALEARTLEAVAKYIKAKDDCQIVVMVGA GISTSAGIPDFRSPETGIYANLAHLDLTDPEDVFDIGFFRENPRPFYALARELAPGRY RPTIAHSFVKLLYDKGLLMKHFTQNIDCLERLAGVPGDMIVEAHGSFANQHCIDCKAE YPEQLMKQSINEGEVPRCSQCNGLVKPDIVFFGEALPEEFFLNRTLPEQADLCIVMGT SLSVQPFASLPAFCRDGAPRVLINMERVGGLGSRPDDVLLLGDCDAGVRRFARALGWE QELESLWESTNPDKEGRDAEETPSQTRDERLRDEIERLTKEVDRTLGISDAYQQRVRQ NLDRHSNGIEKKALTEERRRSYEGLAHVFPHLAREKKQPSVI AFLA_130070 MVGIGPKRPPSRKGSMHELPQNLLEQIKQFEDIFTVDGAKLKQI ADHFVKELEKGLSVEGGNIPMNVTWVMGFPDGDEQGTFLALDMGGTNLRVCEITLTEE KGAFDITQSKYRMPEELKTGTAEELWEYIADCLQQFIESHHENEKLSKLPLGFTFSYP ATQEYIDHGVLQRWTKGFDIDGVEGQDVVPPLEAILKKRGLPIKVAALINDTTGTLIA SSYTDSDMKIGCIFGTGVNAAYMEHCGSVPKLAHKNLPPDMPVAINCEYGAFDNEHVV LPLTKYDIIIDRDSPRPGQQAFEKMTAGLYLGEIFRLALLDLLETRPGLIFQGQDTSQ LRKPYLLDASFLAAIEDDPYENLQETQELMERKLNIKATQQELEMIRRLAELIGTRAA RLSACGVAAICKKKNIESCHVGADGSVFTKYPHFKARGAQALREILDWAPNEKDKVVI MAAEDGSGVGAALIAALTLKRVKAGISCGIRDMADMQSLI AFLA_130080 MPKSSVKQKPRQKFKPASRSPFENARPTQEDSDMETNSNLSDGT DGIPEKDEAEKKLERLLFGDDEGFQGALKSQKERSLIALSKESDDEGSDAGHREDGTE DQELDGIADADLFFLDSGAGPVSTDLAESPETSSEPDEEDLEETPVVWHDSDDELLAV SLASQQRLRKLRVAESEDVISGNEYIRRLRRHYQQLHPTPEWAIPGQQKSPGDSDSEH ADIMDTDDEEQTSAQPLAKLLQGAADLTKLDDARPGGKRKLRQEVIDIQRLKDVGGDQ PVSSSVDSLSFHPHYPLLLSSGPAATLFLHHIAPSAAAPNPILTSFHIRRTPIHTSAF APPTGNKIFASGRRRYFHIWDLDTGKVDKVNGSADRKEEQKSMERFKLSPCGRYVGLV GTSRKGGGLINVLDSGTAQWIAQVRVDGQGGVADFSWWGDGEGMTVVSKNGEVSEWDG SLNQVVARWMDAGAVGTTVLALGGRSGRTQLGGDRWVAIGSSSGVVNVYDRREWAAAY AAQSSSADINTPAIPRNPEPVRALDQLTTPISHLVFAPDGQFLVMASRWKRDALRIVH LPSCTVYRNWPTSNTPLGRISSVAVSPNSEQLAVGNEQGRVRLWEIRG AFLA_130090 MSMFSAGQLEITSEYKPNPCSFYILNLGIDTTLGIPILIFILHI LNRLALYTPLADPPESIKSGNYGRPPRATWWFKQSMIYFVGLLGMKICVFFLIQLLPF IVKVGDWALRWTEGNTAVQIIFVMLLFPVIMNAIQYYIIDIFIKKPSHEMLEESEVDD VMDDRHDHHHALLAGLDEEVASESEDDSVGKGTRKVLVSPPQKDVVRLYDSAEYHPTS ELGHSSASSTSARRLAGHVEDDQALSTADFATQQKDHD AFLA_130100 MSGEPNAAWPLADESLTQNLLDLVQQASHYRQLKKGANEATKTL NRGTSEIVILAADTNPLAILLHIPLLCEDKNTPYVFVPSKLALGRATGVSRPVIAASI TTNEASDLMGQIRTIKDKVERLMI AFLA_130110 MSRKLAPEANRILFVKNLNYNVTAEQLFDLFGKFGPIRQIRQGI ANNSKGTAFVVYEDVHDAKQACDKLNGFNFQNRYLVVLYHQPEKMLKSKEDLAERQEN LERLKQQHGIE AFLA_130120 MGAIPDPSPPSAFDSMKTDAELLLNFARPSNFHHTAPSSKRTSI DESYYQYGNEAKRHIKDGLGASYIDLVEDKSTYNTSGHGTLPPSRMRSRSDGSAVISR PAIHSTRPNTSSSSLQPIIWQDEGDSTESNWISTNAVANNSHYDTQAPATNEPQISRS LSSKVEDDTESEESGQASCAACNLVRIPMDTGEQGDVTWISCDGCKRWFHIVCAGFKN DREIRTVDKFICRGCRPVHGQTTFVRKSSRARTAIDYAGLNQGLVKTATDSMEHHYIE PIRQGKIRFLPESFPRMRPELVTAEYFERGNGMTEPIVIPAHLNTRDPVSTSSPDYDA LVQEASTQEMFDELLEHTHEDCQGVETVLDCGQDQLDMVIPQGLTVRAVAELYGLEER VEVIDVKSQQGEDKRWTMQKWADYYENAGSKVVRNVISLEVSQSRLGRLIRRPKIVRD LDLQDSVWPEDLKALGDYPKVQFYCLMSVADCYTDFHIDFGGSSRRCIHDEYGRIDPV KAQERSKPRAAASAKRPRLTEESFNPTSKRPKQESTSPITKPAHVSREGDIIDAQGTR PSTQLYKKGNFDAIPLPGISRVDQGFSQQPDMVAAEKEAPVAQNSYASPPTFQTDDVV AKEVNSASVPQPAVSLVSPPTSLADETDVLQDHADAEGEHSTVLQTPTSNARHSSRQP RHVDRYMPEVHVVKPSKSSVHTPNARRPSFGASSTSTHRTTPGPSSGSKKSSSRPSSS HAKTPTADKKIDRHATLTSPGQTSKHMKRERTTGADGEPDAESMRLIRELQEQEFGLR KRATRA AFLA_130130 MEGEKNIPEPPEDGEVAQSWADWDGRIDGNIPGDTPEERAKWYD ASQPVQDREGNMTPDELGYPNLEDERIRDELRKRYGGEPIRTEGTRGHSNAPAVLIVM DKGNGVVDAFWFYFYSFNLGNVVLNVRFGNHVGDWEHCLPVIYSALGTHAMYATPGIH DYILPWGLLHDQTDRGPLWDPLLNSHSYTYDYDNDTLLASTFSPDSPTEWFYYNGHWG DKFYPLGDHRQYRFAGQYHYVNGPLGPRFKHLDRRKVCQGPDEGTCVIKNYIGEQTRA KRWLSTDPGSHR AFLA_130140 MYPPSEPTLVLDPAMLMDSTLPDEEGSEYEYEYDENETETFYLN LDLTSSHGPIRPPRRRADLATDSSSAAATSGLPSVSVHPPRSDENESALASTESDNSP ADGLQILGLHTHNPVVSYQNQIFSCSWAEQIGTELHFTPPEPESQLAGPEADTTTAQV APLKLDKTFSLIAANSVKILGRRANLISSAGPVQHAFCSGDTLDATGGATRRVGPQTN QALFLERLRNIKQSKGETDTVRTVFSLKRAQNLEERLRGWARTEEQLAEIQQLNDAAL QGNSDAIAELEKIYNQLGAQDPASFEESFQQL AFLA_130150 MFEIEKSREKRLVIAYRQGSAMGLFSALSDLYHYYRLTSSRKYL ENFSNGITVISLYLRPLKDAEIAAKYPPIEAAVHQIIKEVSLLYCIPQNRFQHHFAVG RLSLQETIYAHCAWVFVQQFLNRLGSEYTSLTDVLDSNNSVHAELLAKIKKRLRTETF TSDYISEIVNKYPELIHKLYLDFANTHYVQTRGPAEDDFLPTLSYLRLQVDEVLDGAK LKQLISSTVANEHDEMVMSAFRVFNAAILKTNFFTPTKVALSFRLNPDFLPEHEYPQR LYGMFLVISSEFRGFHLRFRDIARGGIRIVKSRNKEAYSINARSLFDENYNLANTQQR KNKDIPEGGAKGVILLDVNHQDKAAVAFEKYIDSILDLLLPPVSPGIKDPIVDLHGKD EILFMGPDENTAELVDWATEHARNRGAPWWKSFFTGKSPKLGGIPHDTYGMTTLSVRQ YVLGIYRKLKIDPSTVRKLQTGGPDGDLGSNEILLANEKYTAIVDGSGVIVDPNGLDH EELVRLAKKRVTISEFDLSKLSPEGYRVLVDESNVKLPNGEFIHNGMIFRNTFHLRRE LPYDVFVPCGGRPESIDLSTVGKLIHNGKSTIPYIVEGANLFITQDSKLRLERSGCIL FKDASANKGGVTSSSLEVLASLSFNDDEFVENMCVREDGSVPTFYQDYVKQVQEVIKQ NATLEFEAIWREHEQTGLLRSVLSDRLSLAITKLDEELQKTELWDNVELRRSVLDDAL PKLLLNKIGLDTILQRVPENYLRAIFGSYLASRFVYEYGSNPSQFSFFDFMTKRLSKA MA AFLA_130160 MSDLMRAMRDKSRGPAVSVLAKAFQDFFAARADIPGVITGFQAR LLVVTWKHLRANEGDLDPEDWKLIFSTENLENVLFVLSQAKCLPESREVIQRLARYVF LELCADHGFGPNHIGRPALIAYINIQALNGNPEGARHVVEKFWNRLSKTNPSPWLTVM KGFAINEDRRLLRRTTESLHDFGIKFDPASHEELLKVLIGQDLLAAVKIMYECPLFDG WEPTLATKEAVIEYAILRSETAWAEPIFESLSQRPITETMSITLLWEAAHGQDSSAVV EKIRILMQNNPEAKASLTIGCVNNLMAYANFTNKPQLTAEYAAMIPRWGLQPNSQSHL LELESYIQAGDVEKTLGHVRGLHDINDMASENMSLMNKLITMLCLSGQGDAVFDQVSA FLDPLFENNVRLEPETLAALTHMLLYRRDWEGASDLLRPRLALYDDEGRSKIRKALTE YILDLNQDGADAWEVYGLLQLAFPEASVSTRTDIMTAFYRRNRSDLAYLVFGHMRQAE DFARRPKPDTYTKCFRWIARTRDAEHLVLVHNMLKLDVEVDLNTKLLNGLMLAYAACD MPEKSMEVFRQILQSDEGPTHKTVAIFFKLCGKHHNGTQEAMKMMQKVKLLEIEVDRR LYMAFVEALAAQCEFDLAVEAIDKMHSETGYLPNYNSIGLFYNAVPYQYWKDEVEKWA REKYPELWAQLSEIERTEEEEGLKFNITGYEVVV AFLA_130170 MAAHLLSWSSPLRTFGSTMSSMVAGRSNSTLATDAAAYQRAASA NQTTRVSSRIEYFSRHYFDQDRPALTVPKIGPRVFSRRRVPNRQKFIEDQKTQGESRI LEKYQTRDWRAGDIYTPHDLSAAEMKKWRKRYSPATDAFDSLNMNPLDLYKTSFGRPV LLPPGVSPLISHLMPKANDLLMRFKANKSIFLRSIDDPAIARQYTEHKAHTTVARFSP SGFYVASGDVTGLVRVWDCVGEGHTKGEYSIVNGRINDLAWDGDSQRIIAVGDGKQRY GHCITWDSGNTVGEIYGHTQQINSVSIRQQRPLRAAAAGDDKNLVFYHGAPFKFNTGI RDKHTNYIYGVGFSPDGSTLVSVGADRRIWLYDGKTGEPRGQIGEGEHKGSIFSVSWS KDSRKFVTASADKTVKSHHGSDNGTLWTGSFDGRVCSWDVPTGTAAEVDGESHSAYIA GLAPMQEGNGRIYSVAWDDTIRSMDVGTKTYTGSSSKLSGQPKGIAAAAAVLVGTSES VEIYKDGQKIGDFKSKFPITTVAAHGNTAAIGGDDSTVQICEISGATLIPKTDIKASR NPVSALAFSPNGSLLAVGDSRGRVLVYNVADATLVTDRWTAHTSRITSIAWNENGTHV VSGALDTNIFVWNLANPGEWLQATNAHKEGVNGVAWVSGSKIASAGADAAVKLWKVEG LE AFLA_130180 MVVMGVAAAMAVSFMIFPISARKKLRSNLTTVTETLATMLALIT ESFLTGSEEELQTEEYLSAAARHKQAYSQLDRLVREAKLEHFVAGTERQYRLEKKLRS LAFTLKEIFKEIPFRPAPDYKVAINSRFRTSLDRALDLYRGSREEALKTIYRQKDVLN IQTLEVEADLEEVAASCGHFSFSLLEFGEQLKELLAILDELQLEAEERPDGRSWNWLR FWGRRNAWVRAKDTERPLVEAGFVQGSVEPQLVVGNAEPHRKQLLSSLHPGNVSGEKS FGYRIWKSLKIFRRDDTKFAIKVGTGAALYALPSFLPSTRPFYSHWRGEWGLLSYMLV CSMTIGASNTTGYARFLGTCLGALCAVVSWYVTGGNVFGLASLGLLMATWTSYLIIVK GQGPMGRFIMLTYNLSVLYAYSLSQKEGNDDQDEGGESPIITEIALHRVASVLSGCIW GIIITRVIWPISARKRLKDGLSLLWLRMSLLWKRGPLSTMTSNTDNSVFMSPREKLEI ERFLSRLESLQASARSEFELKSPFPDLAYSNILRRTRSMVDAFLAINIELDKNMTASE GELSILQYTDKERQQLSSRISHLLSVMASSMKLEYPLSDVLPSIEHARDRLLARLFHY RKDHGASRLSTDEDYALLYAYILVTGQLSIEIEGIMAEISWLFGVLDEDIVKLYD AFLA_130190 MIPICYEESIVSGAGLPCAEFMAIATETFVKEVLSVVFSRTRSN GPSGTINGMMMRKYRQQLEREELAFTRGEIVKDGATGLLPVEAKEASIRKPLGVRDLR LALELGGGVLSHMPLIVDQIMGGYLEDELETDKQDRADDVADIPKDSMKLNKSADTME VDDDGEIDLKAYLEYEGNFLLV AFLA_130200 MASGRKDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPE QIQAALAKRAQLLGAAPPTAYGAGREKGGKEDKAEEEEDDERFQDPDNEVGLFAYGQF DQEDDEADRIYREVDEKMDKRRKARREIRERQEREDYERKNPKIQQQFADLKRSLASV SEDDWANLPEVGDLTGKNRRAKQNLRQRFYAVPDSVLASARDSTQFETTVTDDGAHTD IQGEGVDGTITNFADISAARDKALKVKLDQAAISSSGDSASGSATSIDPKGYLTSLTQ SELKAGEVEIGDIKRVRVLLESVTRTNPKHAPGWIALARLEELAGRIVAARNCIAKGC ELCPKSEDAWLENIRLNEGHNAKVIAANAIQNNDRSTRLWIEAMRLESEPRAKKNVLR QAILHIPQSVVIWKEAVNLEEDPVDARLLLAKAVEMIPLSVELWLALARLETPENAQK VLNAARKAVPTSYEIWIAASRLQEQMGTFNKVNVMKRAVQSLARENAMLKREEWIAEA ENCEEEGAILTCGAIIRETLGWGLDEDDDRKDIWMDDAKASIARGKYETARAIYAYAL RVFVNRRSIWLAAADLERNHGTKEALWQVLEKAVEACPQSEELWLLLAKEKWQTGEID EARRVLGRAFNQNPNNEDIWLAAVKLEADARQTDQARELLATARREAGTDRVWVKSVA FERQLGNADEALDLVNQGLQLYPKADKLWMMKGQIYESQNKYPQAREAYGTGTRACSR SVPLWLLASRLEEKAGAVVKARSVLDRARLAVPKSAELWTESVRVERRANNIAQAKIL MAKALQEVPTSGLLWSESIWHLEPRAQRKARSLEAIKKVDNDPILFITVARIFWGERR LEKAMTWFEKAIVSDSDLGDGWAWYYKFLLQHGTEEKRADVVSKCISTEPKHGEVWQS VAKNPANAHKSTEEILKMVAERLV AFLA_130210 MEDEEDEEDEDIVYDDDEDEFGLPSITSMRKKPKQNVDPLPSRI VDPGGRIGGSASALSVGLGNSRQRANSSDIAEERGVPMYPTARKGEGKILRPQYKDIL RDPANALNLIDHAPPPKSATPKEMDQYSSRISRINKFKRLLQTSTVPLTELRNLAWSG VPDEVRAMTWQLLLGYLPTNCERRISTLERKRKEYLDGVRQAFERGSTTGAGNPTAST TGRGRGLDEAIWHQISIDVPRTCPHIQLYGYEATQRSLERILYVWAIRHPASGYVQGI NDLATPFWQVFLGVYVTDLNVEEGMDPGQLPKSVLDAVEADTFWCLTKLLDGIQDNYI YAQPGIHRQVRALRDLTMRIDATLAKHLENEGVEFMQFSFRWMNCLLMREMSVQNTIR MWDTYMAEEQGFSRFHLYVCAAFLVKWSDQLVKMDFQEVMMFLQALPTKDWTEQDIEL LLSEAFIWQSLFQDSRAHLRSTGDPSPDNGLQ AFLA_130220 MTEPEDVEEDLFADLYDADETATQPTSTVEASITSEPTASVLPT QSAGLPATHVSEASHIETEDIRGVHQQLLQDGAHQHGTGNLDSGFANTITPMSGETEH HGTGIKEDG AFLA_130230 MFIGGLNWETTDQSLKDYFSQFGEVQECTVMRDSATGRSRGFGF LTFRDPKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQEANEQDFKQF FMQFGRVVDATLMIDKDTGRPRGFGFVTFDSEAAVEAALSRPLEILGKPIEVKKAQPR GNLRDEEDRRNRRGRDGFREGAQIGSDGSQQQGSQGPAGMPGGLTPQMMAQYWQRMQQ YFALMQQQMAVAAQGQGMGAMGMGGMNPAMMQQMQMKQMQQMQMGNSQQQGSMSPPSQ SQTPQMQNMMNSTMMQQMQQMQNQGQGGQMGNAINIGSSSPGNVSMSGNYSGPRGGPG YNAHEQIAFEQQKYEQQQARRAMENRAFSPYQQGGPTSWEGMYDEVPQPNIPTGPQAM NRAGSMGRGPTPQPQSAAPANAPTGPKNAGKPGANYRGGGRGGHRGFHPYARG AFLA_130240 MRVPISHFTLIALGLSPVHVLAADTLSTNGISTCLTGAEIQVQK LDVTYTRSTRVVVFDVAGTNEKQQNVTASLSVYAYGNEIYSKSFDPCGSENHVEELCP VPSGVFQATGSQEIPESFASQIPAIAFAIPDLDGQVKLELKSKDDIHEVACIETQLSN GKSAQMPSVTYAAAGVAGAALAMSGLSIIGAAGHPGAVSSSPGFGDVMGWFHSMATNG MLSVNYPTVYRSFTKNFAFSTGLIPWGQMQQSIDNFRKSTGGNLTENSYDFLRNATLE FSNGSSTDTSSKVKRGFNLIIGAADLGIRDVSTSYSANSTSGEASDDTVKKVVSGIEA WAEQLTIPQANIFMTCLLIFAIVIAAITVGILLLKVILELWALYGSFPAKLTNFRKDY WGLLARTITNLILLLYGIWVLYCVYQLTGGDSWAAKVLAVVTLVIFTGVLLFFGLRIW YVARKYKASQGDASGLYEDTETWRKYSLFYDNYKKDYWWLFVPAIVYMFVKGVIIAAG NGHGLVQSAGQLIVEALMLALLLWYRPYVAKSSQWINISIQVVRVLSVACVLIFVEEL GLSQTTKTVTGIVLIVVQSALTGILAILIAANAIILCVRENPHAKRRREAKKMNRDID DLTPLDARESLLMENPPRKEYTEMSKFNFTGPYEPYRDHYDSKSRSSPTGSTDRLVDP PGYHESQHGRSLSRESRHTRDSRGSPDGRKPTAPGYGFAY AFLA_130250 MMDTNPTSNPETLFRPVKRRKFLRRRPEDTLEDFRIENRRDDGD SDPTTPAQSQADNDTVHPTDLARLRRLHRFRKGGIGFSTTSRQLANNDKQAIVSTEPA EDLEAQRIQAMCDRFTAHTGQTVDVDRHMYDLASYPLLWPVLSVMETNKIRMAYIETE MAKRHQHTVPTDDSDGPLVGESDSAPSTTVLPQREPASLGKLHEIDLGQETKLHNIAR TEAATRKLARDDEYEHLNHGGSFFKAAPMGKDEGLWRRQKRRTSEDVERDRLVEEVLR ESKLDVYEEPDHETAAAGDDQAADDRVAEQFRRDFLDAIQSRRRVTRVKNPKTAKAEA SRGRKLGGSRSARAAMREMQEKQGRK AFLA_130260 MHRAVKSFPICILPHRLHPFRSRAETDSSLRTDEVSAIVLDPGF STTRAGFAGEDTPKSLIPTYYGKYTSEGQDRLIFSDDVFVTPRPSLSVHNPMGRDGIV EDWDMAEKVWEYSFTSRLTGPRPGNPFQNGLNDIADGELPTEMEGVETEEKPLADSPL LMSECGWNPTKAREKTIEIAMEKWGTPAFYLAKNGVLAAFASGKASALVVDVGASNIS VTPVHDGMVLKRGVQHSPLGGDYISSQIRALFKANTPQPITITPHYLISSKTAVDAGQ PSQAKYKTFPPGKAPDASYRTLLEERTLSEFKECVVQVWPGPNKLSATGPSGVSNEEV AKSNPGRPFEFPDGYNQVFGLDRYRVVESLFDAKAAIPDTESPFPAPTQAQTVPELIK NALNGVDVDIRPHLLANVVVTGASSLLHGFTDRLNQELMQTYPGPRVRISAPGNTAER KFGSWIGGSILASLGTFHQMWISKKEYEEHGPTIVEKRCK AFLA_130270 MLNQFLHHFKSDRVIDAWEDTIQKESAVRHPSQRRKDEPYSKFR AISTTPGTSAELQTKLFESDLERSMSWFQEHPSQNTYSLKPRRDVAPRIHVVSVHMTL GEDEGCCVNNTHDNDITKTDTQSTVTALPSVPPP AFLA_130280 MLQDRNFRLTMIDYNLKIEHAIERFAAAIEDALKDVQKGKEAIG VLWRYLKQSAEEYGPLSSNLLAIYKAMLANTEGWNVAFSNLRRQGVALQSALSQLCLA ITELQRRVGIASRKEAVSTRLTIFHFYLALS AFLA_130290 MDLLHNLHIVPCRLTFYFPLHYAGEVYVIILIQSLESALSSSLP SLAIVSGRESTVFGDYDAPWSLNV AFLA_130300 MSSPAGQKRSASHLTTSPVDAKKPKNGSITSFFGPPKVKTVEPK STSCSSSFNKQGWVASLTPEQRKLLQLEIDTLDESWLAQLKEELVTPEFLALKGFLQK EKQSGVKMFPPENEIYSWSRHTPLHKVKVVIVGQDPYHNHNQAHGLAFSVRPPTPAPP SLVNIYTGIKNDYPSFQRPPNKGGLLTPWAERGVLLLNTCLTVRAHQAASHSNKGWER FTQKAIDIVARVRSHGVVFLAWGTPAGKRVAGINRQKHYVLQSVHPSPLSASRGFFTN GHFKKCNEWLAERYGPDEAIDWSLTSKTKTTTPLATIQSPSASVDKLADSVAKRSESR APELADMITQPLELQNTDEFDDDTDALEALAAAETAS AFLA_130310 MRTFAPWILSLLGASAVASAADATAEAPSDVVSLTGDTFETFVK EHDLVLAEFFAPWCGHCKALAPKYEQAATELKEKNIPLVKVDCTEEEALCRDQGVEGY PTLKIFRGLDAVKPYQGARQTEAIVSYMVKQSLPAVSPVTPENLEEIKTMDKIVVIGY IASDDQTANDIFTTFAESQRDNYLFAATSDASIAKAEGVKQPSIVLYKDFDEKKATYD GEIEQDALLSWVKTASTPLVGELGPETYSGYITAGIPLAYIFAETKEEREQFTEEFKS IAEKHKGSINIVTIDAKLYGAHAGNLNLDPSKFPAFAIQDPEKNAKYPYDQSKEVKAK DIGKFIQDVLDDKVEPSIKSEAIPETQEGPVTVVVAHSYKDLVLDNEKDVLLEFYAPW CGHCKALAPKYEELASLYKDIPEVTIAKIDATANDVPDSITGFPTIKLFAAGAKDSPV EYEGSRTVEDLANFVKENGKHKVDALEVDPKKEQESGDATETRAASDETETPAATSDD KSEHDEL AFLA_130320 MSMTYPRSSMREDEGLSPPQYPGDDTRPTSRKELAGWYSYSWAA EVFTVCAMGMYDAEQRIRLNLPLSAFFVARLISVYNLGSFLPITLEQMARDRGVLLSD KVTPCQATWKAPKQLSPHETLSQTLINALRYGRDVPGASQCVVYIFGVEVNTASFAMY TFSVSVLVQAVLIISMSGAADHGTYRKVFLLTFALVGSISTMSFLSVVPKLYLLGALF AIIANTCFGASFVLLNSFLPLLVRYHPSLLRGRNEISRQGAMGDDTWGNTSHDVNNVT TPLLRSAQVDNGTITENAARVSLADTSLELELSTRISSYGIGIGYIGAVLLQIVCILV VISTHQTTFSLRLVLFVIGLWWFVFTIPAALWLRPRPGPPLSCAQDGKQHSWPGYIIH AWKSLGRTVIRTRRLKDIMLFLASWFLLSDGIATVSGTAVLFAKTQLGMQPAALGMIN VVAMLAGVFGAFSWSYISRLLNLRASQTIIACIILFELVPLYGLLGFIPAIRDLGFLG LQQPWEMFPLSIVYGLVMGGLSSYCRSFFGELIPPGYEAAFYALYAITDKGSSIFGPA VVGIVTDRYGEIRPAFVFLAILILLPLPLMLLVDVDRGKRDALALSAELEGSQELNAP TYGAVPCDRNDSESAVVQSE AFLA_130330 METRSLSLSHVTFAYGPEKDLLATLKIETSMPSSSMELDPIFLA SRTKSLFHLRLGLSFEFPNPHRRIQKSIESTLNHSTTDAGLDTVAELLLLTLPLMRAL DQILMNPSNRQPLRVQVTVRNAKTFQIHYPGQSFRFQLVATHHLSHMVWILKELSSPK ERSSQDQLKLRLRERLYNSKGDGWRGLGNGVVAETGKVGNLLTELDRCFEASSTPGLT PEVKASGSKSFSQQLTAENGNVEGTLSESATLIPTPNATSTRHKTGDTTQNADIIMID AFLA_130340 MMDSDPVPSSSPAFATPAHPLRKNKSNLLLTRTSILPILLPPST LRPVAFRTFTRKHNLTISSSALQTLATFVGKNCGSGWREEGLAERVLDEVAKSWKKAG GGVIVEEGKGASLKTILQTVEGNMSGGRVVAGKTPTTDGVSLSTSRNRESMHPNLHLS PSVASTLGDGEHGDSNLSSHPRSWIKVVDAFDIPRLTYNADKKYFEVAKSKASLFPQP SHKTALFRDRYNVIHQRLLRNESFQLSLGSSSVPSLSRSSSSFAPNKCYKLTPVANLL GRSGTSHLILGMLSVSPTGDLSLTDLTGSIALELSHARMIPENGAWFAPGMIVLVDGI YEEEENVKGSTLGGNTGVGGAIGGIFVGVSICGPPCERREMSLGTKSRQTTGEVSSSG GFGWVDFLGVGSERARGPRMRHIQARCLQNLHGGADDSRCMKIAIMSEVNLDHVKTVD ALKRLFGFYNDLAPRERPIVFVLIGNFVQKAMINGGGQAAGIEYKEYFDSLAMGLSEF PSLLQHSTFVFVPGDNDPWPSAFSAGAASAIPRQAVPELFTSRVKRAFAMANAESDRS QLSEPIGEAIWTTNPSRITLFGPVHDIAIIRDDISGRLRRSAVSIGQNADRSTVMDEG MDNQKSSVSPEGYIETQTPRIGAESYPMPSAVNMSRKLVKTILDQGTMSPFPLSLRPV LWDYASSLQLYPLPSSFILADPEAAPFCMTYEGCHVMNPGKLIPEGDLSSVRWIEYDV SKNRGKVRELRY AFLA_130350 MAEYDHAEMSDAPLQSDNECMRAYLANLTERAAAKDAVKDFNAA AELYSEATELQAKLNGELSLDNADLLYSYGKSLYNVAVSKSDVLGSKVAGESQTQVHD PFTVKTFSSGTASGGDNLVQDAIFNGLAQKEVLPGKAQSQKVEDKPYFQFTGDENFDA SDSDEDQSDGDEGAEEDEDDFANAFEVLDLARILYLKKLNATGEEQRGKGKAADLPPH IKQIKERLADTYDLQAEISLEAERFTDAVTDLRTALDLRQSLFPMEDPSIAECHYKLS LALEFASVNKEDDNSAGGKSDRTINEQMRKEAASQMEKAIESCQVRMAQEQKILDNNS AMEEDKATAMKRKIANVKDIIADMEQRLVDLKRPPVSLEDKEEQNEAMLKGILGQIMG QPPSEQMVQLDKATKGANDLSAFVKRRSGGNQQLVSTQKRSAQESDQERDVKRTRVGN RNGSPS AFLA_130360 MFPKFLLPPTVFRSRQRNVGCSSSTGPSTIPSPMDESCQKYLGG QVSYIQCSRCATDLCLTSQIISKGFTGRHGRAYLVSAEPIACAISTTCSPTETLPNTI MQKPVSRQLVTGAHTVGDISCAFCGNILGWKYVAAEEEAQRYKVGKFILETKRTMTSS LWESASYVEPFASSKPMTSAKLEIDTPGDLVEFDSHDEDECEDLFAGVWSPGLAIRRR SRKLDRHTSIFGLTP AFLA_130370 MVSITTLRLGPEPSGIPEVPSGSKTLSPSPPSDLSTHSGMESSL SGNIIPEYKGEAAHTIPEECERLFCDTLSVIFLGEGILSGQESLGAGAYQVQPNNSGY EHSRIQEWVEVLDYTSDCIYRGFVTSSDDERVLFIFFSECALGQGLKTGLIALFELAS LSEFGCSQIVACIPRSQGAAELEVVRNLGWCGFNLTTLQPWSAGNCVELSLSAKWLFL RAEV AFLA_130380 MSSSVHFKFKSQKEPSRVTFDGTGISVFELKREIINQSRLGDGT DFELSIYNEDTGEGHWIQACPTNNDPKFDGKYRVKRSTGIPRSLQTKVEKPESLTIDG SNEDLKNTGVMVNADGDFVIAKPDKAAWELYQEKAKASAAAAAEAAAAEYSKELQARG LECPIDKRMFLEPAKTPCCQRTYCNDCITNALIESDFVCPGCGTEGVLLDNLSADDDA VTKIKAYEAEKMDLKKEKEKQSAAQENQSNNKPYASTDDSSGKAELSSPASTGENLSK HSKKRPAEDGPFSESTEESNPTSTQKKQKAEDNPKSTETSSSQVSDPSTGFQSLPFGQ QMPFAGFNFMQAQGIPSMAFPDAGFAGEGMGFMNSTGLASSNAFTNNIAQTWNHMGGM NFNPLSNGLYGDGTNGAVNSGYGATNMFNGGPSMGHFSNQQRTTFSTPYAREEDTAYF RQPVNPQRHQARYRRIRPSDYREL AFLA_130390 MLEILRRASETVFVPLTIGGGIKDTIDPDGTCISALDVATMYFK SGADKVSIGSDAVIAAEQYYEAGEKLSGKTAIETISRAYGNQAVVVSVDPKRVYVNGP EDTKHHTIRTQYPNSSGQSFCWYQCTIKGGREARDLDVWQLAKAVEAMGAGELLLNCI DKDGSNSGFDLELINDVKTAIKIPVIASSGAGNPGHFAEVFERTTTDAALGAGMFHRG EYTVSDVKDYLSGQGFLVRKPETDI AFLA_130400 MLIGLCGGICSGKHAIAEYLIQHQGFQLLELKSRSFPQIADEPE DDRWLHASEFTGKERSQPSQLSFGSAESLLDFATKNWQGLWVTTDIWESVTLDRFLQR PFFLLVSVDAPVSLRWQRFRERCRRRQLEAPPLEKFVLWSDRHLYDKNIGRVYLTDRA QVRLFNSSTSLEELHAALMELKLADEERLRPNWDQYFMQLASLAAQRSNCMKRRVGCV LVRECRVISTGYNGTPRHLRNCNEAGCPRCNRGEGGGVGLSTCLCLHAEENALLEAGR ERIREGTILYCDTCPCLTCTVKIAQVGISEVVYSQGYNMDQDSAAILKSAGVRLRQFS PVSPYSIGL AFLA_130410 MIWDAIKDGTIYSCPSLLSSFAVLSYADLKRYKFHYWFAFPAIH SDPPWAPLGATGDTSHSKSSEREYVPFVYLSNAESSTLVEAVHTWGYGTDARQRAYKD PTKHTGSKPGKDKAADAEGACWRIAALSDYENGFFDGANFEDCYVCFVDPSNYENAPG WMLRNLLVLVKHRWGLSKIQVLRYRDVHSKRDQGRSIVVTLAEKNQPTLDLKAPDHIM PKVTGWERNPTGKLTGRLVDLTEYLDPQRLADQSVDLNLKLMKWRISPNLDLEKIKHT KCLLLGAGTLGSYVARNLMGWGVTKITFVDNGSVSFSNPVRQPLFNFKDCLEGGAKKA HRASQALSDIYPGVESTGYALSVPMAGHPVLDAEKTRREFEQLERLICEHDVIFLLMD TRESRWLPTVMGKAAGKIVMNAALGFDSFVVMRHGVKVAENPAAELGCYFCNDIVAPV NSIKDQTLDQQCTVTRPGVAAIASALLVELLISILQHPLGAAAPAPASRNDDRGSHPL GLVPHQIRGFLSTFENLCVVGRSYKCCSACSETIVDTYKEKGWDFVQKALNETGYVEN LSGLKEVQTIAEATAADIEWDDTSGTDDELEAM AFLA_130420 MAATIREHGHNSIVRLINAPIIGICTWFVVPVGLGQTVASLALI SSLLSLQRAYLRFKETFWILHRPGISPHVSLSHETSEDTKNPIHNCKEMEELCLTGKR TVDVVLSDMMMNTSGMSFRDHAGSMDLCRAALQFSFEVLKAGGHFVCKFYQGAEDKDL EKQLKRLFQKVHRLKPESSRNESKEAYFIGLARKQHATRHDVLKSP AFLA_130430 MESSSKDPGSTVEDCRMAIKTQCLEKAYDNTLVHTAQLLNAEKN RLLRVEQLLLQFENENLRWQLNHVNQELTKTARVESEVRLQLQATYHELDQLRSMHRA SSHEIETLRLELGSLTNASVDTKKLLAEKRHLSRALLSAEADVERLKSQKTSQHTLLA EKRNLEQQVATLEAQLESEKRAHGQTLARQSHSAEQNAALSSSLEEARNELMAEARAR DGRERVFQQQSIEWAAQRAALDAKLDALNKKLRSTKDQYQPAATERRRHGTSNNHESK FSSAESTTQHNSGLTIATPGAIRAQDKISKTSTLPGQKSSFSITPFLNRTNGLQNSAT SSEDDADELHATHMTSGVNKKASENDVQRGGDSKYQSQRASVDELPVAVDTLRLGHGI SNSRKGGQTQRKLVDNSDPEGRMENVSGIFAHSSNHGQPKSKKHKLGTQRDMGLFDEE KDDEDAHEIRRPGRKLVLGGTGRNLASQVSAPSGGRLGRGRALGGLGEFSPLKRDRKR S AFLA_130440 MFNHIRKVPYVVGDGKGGISYFASGFSNQFGMETQIIAAIWPKM ADILSSCLRSSSIHSHNAGRLYHHSLPNDCIEKKEDKLKALTRRLLWAINT AFLA_130450 MSQPHETDDRILASSASGMTFLIIVQIVSRLFTFIANQLILRTL SPAILGIGTQLELYFISILYFSRESIRTAIQRQPFHGASATATHDGSHHQISDELNQK AQIQTISSQSVVNMSYLSISMGVPSALIFATLYTQFASQEVSETPFYRAGVAITTVAS LMELCVEPFFTVVQQYTLYKKRAIVETAAAFMKSLTVCALFSWSSWKDRDLGVLPFAL GYLCYSLSLICGYYLAIPKLTSRWRFSLLLTKIRPMINQFTWPIGSQSTLLHFPQMSF FSPL AFLA_130460 MTKRDLHNEGGDENKPRKKQKSEKQKKEKSAKSKSSAEQSLSYV QSPALGDVPQSEIEKFLTENSIKITDTSSENVMRPIISFSHLPPCKDDLYAPLSSFKS PTSIQSATWPLLFAGRDVIGIAETGSGKTLAFGLPCLKKIQDSAMTKQKPYRPLAVII SPTRELAMQIYDQLLKFSGSVGVRMACVFGGVRKEEQREALKTAGVVVATPGRLKDLQ NDGSVDLGKVKYLVLDEADRMLDKGFEQDIKDIIRPMPVSKRQTIMFTATWPPSVRDL ASTFMSSPVTVTIGGDPSADPRANTRIKQVVEVVKPHEKESRLVQLLNRSQRGVPEPE KVLAFCLYKKEAMRIERLLRTKGFKVAGIHGDLSQQERFRSLDAFKTGAATVLVATDV AARGLDIPSVKQVINVTFPLTVEDYVHRIGRTGRAGAEGHAVTLFTETDKAQSGALIN VLRAAKQDIPEDLLKFGTTVKKKQHDAYGAFFKNVDTDKTATKIVFDD AFLA_130470 MLTLSQDVKGGKGSADALFINKIPVPEPGEAQALVKIKAFGLNR MDILQREGNYPVPPQAPSTMGVEFSGTIEKLGRNPEVGFKLGDEVFGLAYGGAYAEYI AVSTHMLIHKPVELSWEAAAGIPETWITATQALYLIGGYKPGDSVLWHAGASSVSIAG IQLAKSDGASAVFVTVGSQEKIDFCLDKLGVTAGYNYRTQDWASELSKATDDRGVDVI IDFVGATHFQGNLNVAARDARIVQLEGSTLRSRDEDYQKKLRDLLVKHALPKFRDGTF TVFIEKVLPFEDIADAHKLLESNCTKGKVICTID AFLA_130480 MLYDVFQEGSVYYISSPCGVKLAKKQFTNLNNDYELTFERDTVV EKAEDQADVPQIRFSFTTIGDLQSVEKDTTIDVIGVLKEVAEVSQIMSKTTNKPYNKR ELTLVDSTGFSVRLTVWGSTALNFNVTPESVIAFKGVKVSDFGGRSLSLLSSGSMTVD PDIEEAHKLKGWYDAQGRDGVFASHASMPGVAASTTKLEQFKTVAQVKEEQLGMSDEV AYFSLKATVIYIKQDTMCYPACLSEGCNKKVTELDPGQWRCERCDKTHPRPEYRYIML ISVSDHTGQLYLSCFDEVGRYMMGTSADQLMEIRQNDDKAAGDIFQDANCRTWNFRCR AKIDNFGDQQRIRCQIVTAKPVNYSEEALRLANMIDSYSVS AFLA_130490 MTIDGVLNAMSFSKREEVKAWEQEFVPCEHTLCLNQQESRNIGS KDLSQCSMCDLKENLWLCLECGNLGCGRSQFGGIGGNSHALAHADRTSHAIAVKLGSI TADGSADIYCYKCNEERTDPDLAVHLAHWGINLAGREKTEKSLMEMQVEHNLKWEFSM TSEDGHELSPIFGPGFTGLANLGNSCYLSSVIQCLFDLPEFQQRYRHENEEPPLTDSP AEDLETQLRKLADGILSGRYSRADMDVIVAPDSPEVPRQKGLVPAMFKHLVGRGHEEF STMKQQDAFEFLLHLFKLINLSRHPDNLSSPTHSFMFAMEQRLQCVCCKKVRYKVDEQ DNVSIPVPARRLALPDDTTSTGQFAPVTLFDCLDASTSEEVVDLVCPSCGSKDGFSKR SSFRTLPQQLVINARRFELINWVPTKLDIPVDVSEEPLDLSPYLSSGQKEGEELLPDI DASKRDFVPNQYALDQLLSMGFPRARSEKALYTTGNSDFEAAMNWLFAHLEDPDIDEP LTISEIRGGGTDASHDPAKVAQLVEMGIDESRAKRALGATGGDVNRAIDWVFSHPEAD AEGYGRESNTHSDGCPRNLGSSDVPARYKLRSIVCHKGSSVHAGHYVAFVRKTLPGQN EPCWVMFNDEKVVKAGDIHEMKKYAYLYFFSRV AFLA_012560 MPPNPSPSQPKRILTTASSPRTTTTPTQAPKWYSALTLDLVLSV LRRTILHPWPAWILVLSLRAQVTPTTDLAFVLATGYAVLVTVVAMAGVVNARVAYGLP RTVELGEEVVVITGGASGLGLLIAEIYAMRGVGVAVLDLKDEREVEVCEGVVYYTL AFLA_047660 MKSLNLFGKTLRRKNKYSSFKGDAHKNIPNLLLDKENITEDFFR YKRIFSSDKYLKILGTDVTEFKLKNEEKAYFSPVVDFENREILGYSISKSPNLKMIGK MLENVEENGHSLNNVLLHSDQG AFLA_047870 MAVGKNKRLSKGKKGIKKRTVDPFSRKDEYSVKAPSTFQIRDVG KTLVNRTSGLKNANDSLKGRIFEVSLADLQNDEDHAFRKVKLRVDEIQGKNCLTNFHG LDFTTDKLRSLVRKWQSLIEANVTVKTTDDYLLRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTEIMQREAASCTLSQLTTKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KAPKFDLGALLNLHGESTTDDKGHKVEREFKEQVLESV AFLA_047880 MGKRKKSSRQPQGPKKREPLPTTFACLFCNHENSIVVKLDKKLG LGNLSCKVCGQRFQTGINYLSAAVDVYSDWVDACDAVAKDTANRYEDTNAGGLRSNEY TVSSSGQDAEYDDADRAGAYVDDD AFLA_047890 MTMADERLEAIPSPAQGVGPIYRPDGEKPTATVSKDIPYENVHV LPQTPQLIALLTMIRDKRTGRADFIFYSNRIIRLLVEEGLNHLPVVEQAVTTPVGRTY LGVKFEGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCKPKLFYEKLPAD ISSRWVLLLDPMFATGGSATLAVEILKAKGVPEDRILFLNLIASPSGVADFAERFPKL RVVTAFIDQGLDEKKYIIPGLGDFGDRYYTL AFLA_048000 MPTAPKQRKIAIVGSRSVGKSSLTVRFVEHHFVESYYPTIENTF SRIIKYNGQDFATEIVDTAGQDEYSILNSKHFIGIHGYIIVYSVASRQSFDMVRVIRD KILNHLGADYVPLVLVGNKSDLKSEQRQVSLDEGRGLGEDFHCAFTEASARLGYNVEK AFDLMIGEIEKSQNPSQPTGGNKCVVM AFLA_048010 MLDIADFVSDRGGNPNKVKESQRKRFAPESVVDEILTLYEEARR ARYEVMQIGSQLNGLQKEIGKKKKNKEDASSLLEEKAALEQRKKDAEDLALQKEKQRD SKLRTIGNYVHDSVPVSNNEDDNVVVKTWVPENVTVEKRDCLSHHEVLTRLDGYDPER GVKIVGHRGYCLTGYGLFLNLALINYGLEFLWGKGYKPNQPPQFMLKDMMAKTAQLEQ FDEELYKVTESEDKSTDKYLIATSEQPLSALHDGEWLQDKDLPIKYAGYSTCYRKEAG AHGKDAWGIFRVHQFEKIEQFVLTKPEQSWEAFEEMMATSEEFYKSLGLPYQIVTIVS GALNNAASKKYDLEAWFPFQGEYKELVSCSNCTDYQARALEIRYGTKKATDVKKSYVH ALNATLCATERTLCCILENYQKEDGFIVPEPLRKYIPGAPEFLPYTKELPKDSTSQKA KGKQSSKAASGAEEATRKIQDLRV AFLA_048020 MSAIPQEINSHQASGLSPNASFQSAPSSHGNSVFTNRTIPLPNA KHLKPFATEDIKVLLLENVNQTGRDILTKQGYQVEFLKSSLPEDQLIEKIRDVHVIGI RSKTKLSARILKEARNLIVVGCFCIGTNQVDLQYAAEHGIAVFNSPFSNSRSVAELVI AEIIALARQLGDRSNEMHNGTWNKVSNKCWEIRGKTLGIIGYGHIGSQLSVLAEAMGM SVLYYDVVNLMALGTARQVPTLESLLSQSDFVTCHVPELPETKNMIGQRQFEQMRDGS YLINASRGSVVDIPALIHAMRSGKVAGAALDVYPNEPAGNGDYFNSDLNNWTADLRSL KNVILTPHIGGSTEEAQRAIGVEVGDALVRYVNEGTTLGAVNLPEVALRSLTMDEPEH ARVIYIHQNIPGVLRKVNEILGDHNVDKQMTDSRGDVAYLMADISNVDNTTIKDLYER LESLSCMTPLSSYSATMLTTSCCSPDYDTDIILRISRCMEASH AFLA_048030 MVVASLERDNNGSSRFRGCTSIREYEFLGKLGEGTFGEVYKARS KRDGSTVALKKILMHNEKDGFPITALREIKLLKMLSHSNILQLREMAVERSKGEGRKK PSMYMVTPYMEHDLSGLLENPAVQFSEPQIKCYMLQLLEGLKYLHGNRILHRDMKAAN LLISNRGILQIADFGLARPYDEPPPQPGKGGGEAKRDYTTLVVTRWYRPPELLLQLRR YTTAIDMWGVGCVFGEMFKGKPILAGTSDLNQAQLIFNLVGTPSEENMPGWSSLPGCE GVKSFGSKPGNLSEVFKEQNPAAISLLGELLKLDWRKRINAIDALKHPYFSNHPLPAH PGELPCFEDSHEFDRRRFRGQRAVMPPAPAGGSVGMGPNGGWSSNSGTRTGADSRNNR IPGAARFGKSNSHGSQGNAPRRSFDNRGNEPHQSRAKQDDSHRALSSWQKEGGLPPKP PLPSHQGWVPSYTGRVGRDRTHLGRFGGRPDSNVDSYVPTYNGVGEQPRDAYVNNRRD YSRENPSRRRSRSPSFREGSRPADRDLYRR AFLA_048040 MYDTVTDSKLSPTETQVPEISRSMISHRRDSMRSSYSVVSDVEM ARHEVFDGPISESIPSSVVSFSHRRNRKDSVVSFTYFRDEEDFVEWPDEDAVDAESEA DNLVVGDVSPPNGSLRSSFRSKRPSWSRGSAEDPLLRGRHLSVSSYAQDRRFDSRLNQ KVYIESEDLTLVIAGFSTKFSGLVLYSLLCVLSLGFAYLIFRWFPRWRVRLTGKPTPL RICQWVAIEDQWNQFSVCQVRSQAYGRPLSSVFADPDSHSFDEENDPTISFLRYINCR YLRFFYHPLEDKFCLISGWKDPLWTNAKVMRSGLDADDRDSREQIFGKNLVDIQQKPL FQLLIDEAFHPFYIFQLASLILWSLDEYYYYAICIFIISVFSIGATIIETKSTMSRLR EISLFECDIRVLRNGFWRSVPSRELVPGDVFEFSDPSLSQVPCDCILLSGDCIVNESM LTGESVPVSKTPLTDDALKYLNLNTPSVHPNIAKHFLFGGTKVIRARRPHNVDDDDAI ALAIVVRTGFLTTKGALVRSMLFPKPSGFKFYRDSFRYISVMAMVAILGFVASFFNFV RLGLSWHLIIVRALDLITIIVPPALPATLTIGTNFAISRLKNQKIFCISPQRVNVGGK LDVICFDKTGTLTEDGLDVLGVRTVNREMGFVILGQLLSDLYSDVTLGSPAASTCDTS YDRKKRDVLTYIMATCHSLRVVDGELLGDPLDVKMFQFTGWSYQEGGSHGPEQPGSKF ETIMPSIAKPPAISENLRRGNFTAPLELGILRNFEFVSELRRASVIVRQFGDNGASIF VKGAPESVRAICLPDSLPQDFEDLLNQYTHKGYRVIACAARYEQKLSWMKVQKMTRGD AESDLEFIGFIIFENKLKPTSTETIAELNQAGIRTVMCTGDNILTAISVARECGMVSK SEQCFIPHIVEGRPHDLVASLCWENVDNPALKLDPNTLMPSVASSDLDLSIPVNVFNI HNFSLAVSGEVFRWVLDFGDETILQRMLVRTKVFARMSPDEKHELVEKLQSLDYCCGF CGDGANDCGALKAADVGISLSDAEASVAAPFTSRQFDVSCVPTLIREGRAALVTSFCC FKYMSLYSAIQFSTVSFLYTSASNLGDFQFLFIDLVLILPIAIFMGWTGPYPVLSRKR PTADLVSRKVLTPLLGQIVICILVQLVAYKAVQSQPWFEPPEIDLDNSNIENSENTTL FLVSCFQYTLASVVLSVGPPFREPMRSNRAFISVVIIDLIISCYMLFRPSRWVVQIMQ LTFLSGNFAGSLLALAVSSFIFSCIAERALFPGLARALGRAYVLLRPGHHKKRRQYKV LLEEMQE AFLA_048050 MSEPIRNKKADFPVAPTPQNTPANNAPISSHAQQPGVSSIKEES LDHATAASLFARNPGLVSMIQGKLGSLVGRSSGYIESLPAPVRRRVAGLKGIQKEHAK LEAQFQEEVLELEKKYFAKFTPLYQRRATIVNGAAEPTDGEVDAGKGEEEDVDVKSED ESKKSEDKVSSTAGIPEFWLSAMKNQISLAEMVTERDEEALRHLTDIRMEYLDRPGFR LIFEFSENSFFTNKTISKTYYYKEENGYGGDFIYDHAEGTKIDWKDDKDLTVRVESKK QRNKNTKQTRVVKITVPTESFFNFFSPPQPPTDDDDTVATDIEERLELDYQLGEDIKE KLIPRAIDWFTGEALQFEELGDDMDPDEFDDEDEDEEEDEDDDDDDRKSDGDVDDDSD EEDGTSKPKKEAAECKQS AFLA_048060 MNPAMLEASEDLTNLSHLNEPAVLQAIKLRYAQKEIYTYSGIVL IATNPFARVDSLYVPQMVQVYAGKHRASQAPHLFAIAEEAFADMLRDAKNQTIVVSGE SGAGKTVSAKYIMRYFATRESSDQPGKYTTSRADAISETEEQILATNPVMEAFGNAKT TRNDNSSRFGKYIEIMFDDRTNIIGAKIRTYLLERSRLVFQPLKERNYHIFYQLVAGA TDAEKQELGLASVEDFDYLNQGGTPTIDGVDDKAEFNATRKSLSTIGVSEDTQAEIFR ILAALLHLGNVRITATRTDSSLPPSEPSLVRACSMLGIDVNEFAKWIVKKQLITRGEK ITSNLTQQQATVVRDSVAKFIYSSLFDWLVEKINRGLASGEVLNKFKSFIGVLDIYGF EHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFSDNQPCIDLI EAKLGILSLLDEESRLPMGSDEQFVTKLHHNFAADKQKFYKKPRFGKSAFTICHYAVD VTYESDGFIEKNRDTVPDEHMEVLRNSSNQFVKDILDTAAAVREKDSASISSKPVAAP GRKIGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEAWKFEGPMVLS QLRACGVLETVRISTAGYPTRWTYEEFAIRYYMLCHSSQWTSEIREMCHAILQKALVD GSSQKQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLRCKYYRRRYLEAR ASILTTQALIRGFLARQHAAEVRKVKAATSIQRVWRGHKERKKYNIIRANFILFQSVA KGFLCRQNIMDTIHGNAAKVIQRAFRSWRQLRAWRQYRRKVVIVQNLWRGKQARIQYK KLREDARDLKQISYKLENKVVELTQYLESLKRENKSLNLQLENYETQLKSWRSRHNAL ENRSRELQAEANQAGINAARLSAMEEEMSRLQQNHSEAQATIKRLQEEEKASRESIRS ANEELQRLKQMNTESDDEKASLRQQIADLEEQLELAKRTLPSGGLNGDQSNGSAIPPP ASGLINLVSSKKTKPKRRSAGAERIDTDRFSGAYNPRPVSMAVPSSLLRQNLSGSTFS PGLDSVEVELENLLSEEDELNEEVTMGLIRNLKIPLPSSTPPPTEKEVLFPAYLINLV TSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDAINPGAFWLSNVHEMLSFVFLAE DWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLFKMIVPAIIESQSLP GFVTSESNRFLGKLLPSNNNPAYSMDNLLSLLNNVYKAMKAFYLEDSIVTQTVTELLR LVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHLMQATKLL QLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVASRVTEKSD VLLLTPVDMEDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQAMAQQERLE MAEMA AFLA_048070 MTTLKKLNFITSNRNKLAEVRAILGNAIEVDNQGLDIPEIQGTI EEIAREKCRRAAEVIKGPVLTEDTALEFYALKGLPGPYIKPFLDVLGHEGLNKILDSF EDKSADAICTFAFSHGPGSEPILFQGRTKGVIVRPRGPSNFGWDPIFEYEGKTYAEMD KEEKNQISHRYKALEKLQRWLVQEKS AFLA_048080 MIESAWDMGFNSIGRIETGGIRGTRKYIGTPEAQALFLSLGIQC EASRIGVTKDMQAHDVLFMYIANYFRQACSLDTNDKVFLTNLPPIYFQHQGHSLTIIG FEIRDNGSANLLVLDPMFKPSSAVKRLRGTRAVSADPARILKGYRRGAAYLKKYKVFE ILKLSTSQDLVQDLTCTKP AFLA_048090 MDPARAEVPTCPFCTFPEPDGNSNMDHFEVYHPEIDRRLGVSSG KKAIHLNNLKISSDCRQHLSASEENEHLGKYTHCPRGCGEIVMDAELPTHLELHQAEE ITHESTTSSPPEFSGSFTAQKQSRPGDCEDLDNAQYSHGLFVKSQLKKEKPTQEAVRE TRPRSPSNITLAVKKLGVSDPFSAFFSLYLAQFLGREPADK AFLA_048100 MESRLPSKLEPLSTSNSDLVGPSNGNWVVQKFGGTSVGKFALNI IDQVVLPSLLDHSVAIVCSARSSSTKAEGTTNRLLRAARDAENAESKNYVSLVEAVRL EHIEVVESQINSKGLRLQLVTEINDECEKVLKVLEAAQTLGEISVRCVDKVMSTGEKL SCRLMAAFLQDRGVDSEYVDLAEIVDFTISSQGLDQEFYNNLASTLGKKIRACEGRVP VVTGFFGTIPGGLLDQIGRGYTDLCAALVAVGIRAKELQVWKEVDGIFTADPRKVPTA RILPAITPAEAAELTFYGSEVIHPFTMEQVIRARIPIRIKNVMNPKGNGTIIFPDSSY KLEKAAIGHDPRLFRTRSPSLVQTPKRPTAVTIKHKILVINVHSNKRSLSHGFFAGIF SVLDRWRLSIDLISTSEVHVSMALHSESPLLNGVGRDEYQIIDEDLKGALRDLQKYGT VDIIPEMAILSLVGKQMKNMIGVAGRMFTTLGENNVNIEMISQGKCNGVELLLDLVDQ KLIASLPPRCERNQYIMRHRRERRRPCPEHYSYEHVHISRLDALLSSFASSFLFPPFP SRRGFCTMPARVSDDLGYPWHIYTIRREVPCLT AFLA_048110 MENVTDTGCILNLPPARTHKPSPTKTRRGSGVSLSGGGKLGFVS ITAPVPPSFPTDSPTKKTPSNPYAYPVTSTMSMPQSALFTIFPSVNPDRLSSRQSQPI DEPPSDNFADFPEPSFHSRAQSKRTLMDAAPLKERPAKKPKREDTNSMRLPEPHEMPP IEDDGVKPPYSYATLIGMSILRAPNRRLTLAQIYRWISDTFSYYKNSDPGWQNSIRHN LSLNKAFIKQERPKDDPGKGNYWAIEPGMEAQFLKDKPLRRATMSSIPLPAAPQRELT HSQSSATATWTVPPSTYPPVVPKSSKNVDLSSDATLPASDPALQDDTGDEGVNGPTTQ TLPPRSSPPQTIHSSPPIVPPRFIRQGTPPTPSQGVTATGVVSRSRKRKSTGMNDSGY FSSLESSAMRPNKAGHILTSDLDIEPPRIKRGRAEEEIARIRSSSHDISPGHSGTLKD AGLIVGSSPLRSEYVSMLPPPITPVIKFKKPAKPPPSVSPNTNLRNHRKKIQQMVNSP IKHLGLTDEDLPWSPAFNIQDETFTPNENLHTTFDVFADTTTDNISTPAYGSPGKRSA KRSRSDASGSNSGVLADITTMSVNGRIGLPSFSSSSKTKGLLFPDSPSKVPDSGRFID ATHDDFFSFHLFDEGPGEVDGVDLLQGFQKIGSGSKEEPSKPRQHVPRPQLNHRSNMS LF AFLA_048120 MPPIRTSRNRKPPPAGFDDIEDTLLEFSNKMKDAENAPHDGKKK HEMLWPIFQITHQRSRYIYDLYYEKEAISKQLYDWLLKNNYADANLIAKWKKQGYEKL CCLRCIQTKETNFNATCICRVPKAQLKEDQMIQCVSCGCRGCASSD AFLA_048130 MDQQLDVSKLSDADKKELNQILTNEAQKSNIQQTVHHLADVCWK KCITGKISSGRLDQSEETCAQNCVERWMDTNLAVLKHLEALRSQ AFLA_048140 MAPKADNKQGKTKPSDRAGAAAKAVLKGVGAHKARKVRTSTTFH RPKTLELSRSPKYPRKSIPHAPRLDSHKVILYPLNTESAMKKIEENNTLVFIVDVKAN KRQIKLALKKLYDVDTVKVNTLVRPDGSKKAFARLTPDVDALDIAATKLAIV AFLA_048150 MASRRSRTKKATLLSHTRPPTVRAKHATLSSKATRNLIRGHHRL LKNRAQALKANDDLLVERIDARIRENGGLEGYQLASRLGQSLERGGDSSKVLVDWLSP QLSRLQDTKSKLRVLEVGALSTKNACSMNNFLDVTRIDLNSQEPGILRQDFMEMSLPR GAADQFHIISLSLVLNYVPDAIGRGEMLKRCVAFLRKSPLSGSPFHISPRLFLVLPVA CVKNSRYLTECRLRDIMSSMGFVLEKSKETSRLIFQLWEHSHDFQPTSFKKEVLRTGK MKNNFAIVVKQ AFLA_048160 MPASIALIEDEDIGDSSTLERNTHGIRIPSSNPPDLPPDLDVFS RGNSAGPTGYLIDAYSVNRLRPASFSARFRQAGGVNSIDSFARSWQRAAGFPEIIPRR PSFVTVEPDEDCIVNDTAESRDRRSLGGDTDITRPLLAADTEEEGCDLDGRSPPKGIA SIFGSSLDRSTGTSYGTISSRVSEATRRHAVQLHREQQARLDAPAIEDTESLLVKQVH HEDGTRESIVVGQSTVPQTIFNSVNVLIGIGLLSLPLAMKQAGWLLGLTFLSFAAVVT SYTARILARCLDVDRSLVTYADLAYISFGNHARLVTSLLFCLELIGACVALVVLFADS LQALIPGLSSLQWKLICGFMLIPLNFVPLRLLSVTSILGIISCTSIVMLICLDGLLKP NGLGSLHEPATTSLFPNNWRTVPLSFGLIMSPWGGHGVFPNIYRDMRHPSKYGKSLIY LTYYAQQYSLDCAMAIVGWVMFGEEVRDEITANILLTNEYPRGISICIIIFIAIIPIT KVPLNCRPLVATVEVLCGLGPHVGLAPENPKSTQATIRQSLQAIIRLLVVAVIVLMAI LCPSFDRIMALMGSALCFTICIILPLAFYLKIFGQEISRKEWFLDWLLLLISTVLAIV GTAWAFLPENMISV AFLA_048170 MSAAQANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLVVYCGEII TSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLDSDQKFGFIVMDGNGALFG TLSGNTREVLQKLSVDLPKKHGRGGQSALRFARLREEKRHNYVRKIAELAVQNYITND KINVAGLILAGSADFKNDLNQSDMFDQRLQSKVIKVVDVSYGGENGFNQAIELASETL SNVKFVQEKKLIGKYFEEISQDTGKVCYGIDDTLKALELGAAETLIVYENLDVTRWVL KNSTGSEVVIHTTKAQEENRDLFVEKETGTEMEVVDQSSFLEWLAESYKDFGATLEFV SDKSSEGNQFVKGFGGIGAILRYKVNFEQLADFEDEDEFYDD AFLA_048180 MEHITVTFLGPAASFSHQAAVEFFGKSAELLPCVSFTDAFTAVQ QGEADYAIIPCENSTNGPVVQTLDLLADPNGFYEDVEVCGVHYLTVHHCLLARRGVFS SQQDYGSVAKLYTHPQAWGQCERFLGKYFRSIERQDVSSTSKAGEIVSKAKTEQSAAI ASRFAAELHGLDVLEENIEDKTDNTTRFLVLRNKNSGRTAPRPFGDLDNRRVQGASAA TARKTLISFMVRQYAPGALAEALLIFKRHGMNLTSINSRPSQKKNWQYVFLVECQTAD NPGDKGVGIEILSHLQSVTETCRHLGTWSE AFLA_048190 MKRNVLLALGGRRSAIALRTYSSLCDRLHRELTSRILPLHFDYL HTQPSHLLSLTLADLLPGDLISSRIHTALPSVTHASRMPAGHHLAYFPPQVTLSQLLP DGTDILHSPGGPFERRLWAGGSVRFPVTGGLILNGARAVCIETIRDVIVKGRQGAEKV IVKIERRMGVVREGEEEGSIRERIWKETEDENGHATIIENRNLAFMRKKTQDELNFDR MDFDNCQRVIKPPPSPEYRLKIKPTRSLLFRFSALTFNAHSIHLDEAYTQNVEAHLAR SDHTIREFDYRNVAPLYVDEPLTICGKPKSGKENVWDVWIEGKNGGLAVRGTAFTSPV AFLA_048200 MAVALAKEEMDYTIKPESGASNISTSDWPLLLKNYDKLLVRTGH FTPIPAGCSPLKRDLKSYINSGVINLDKPSNPSSHEVVAWMKRILRAEKTGHSGTLDP KVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFRRALETLTGALFQR PPLISAVKRQLRIRTIHESKLYEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGA HMQELRRVRSGAMDENSGLVTLHDVLDAQWMYDNQRDESYLRKVIKPLETLLTSYKRI VVKDSAVNAVCYGAKLMIPGLLRFEAGIDVNEEVVLMTTKGEAIAIAIAQMSTVELST CDHGVVAKVKRCIMERDLYPRRWGLGPVALEKKKLKSAGKLDKYGRANEATPAKWKND YKDYSAPEEASEQLAVEPTPKSEVAVPTPELDEAPSSPQNEMGVDESKEDEKKKRKRH EGETPEERAERKRKKKEKKEKKERRKSKQEKEDSDDSD AFLA_048210 MAAELTSTKLNAENHLLLDQPLLRLPHELARKNFKSVQRIVERE REYILPSLKATASISPCNGQTPDQTLAALDAMISRMQGLKRKMENLHQEERKIHDQSR KRIEHLENLHQIHSLADVKYDQWSRVRLDRLVTDYMLRSGYTESARQLAHEKDIEDLA DLNVFIQCQRVAESLRRGESKDALQWCSENKAALKKSQHNLEFELRLQQYIEMVRTGD KGKLVEAMIHAKRFLSPYIDSQSTEIHRAAGLLAFPRDTMAEPYKSMYAPDRWSYLSD LFVRTHHELLSLPSRPLLHIALSAGLSALKTPSCHSAYTSSSSNSLSTTTSVCPICST ELHELARNMPYAHHTKSYVESDPIVLPNGRIYGQQRLLEMSKKVGCVEVGKVKDPTTG EVFEENELKKVYIM AFLA_048220 MNNYVNEDGWDFSTESEFDFNEEDNADMLGSRNDMAQQSRRHRN EPGQIIVTLFEGEPYTLWNIKDLARHAGLRVVTSFRFPWASYRGYSHARTLGEIEAKN GGRGGWRGEDREARILQFILKSE AFLA_048230 MVESKKTDDYAIEMDKIDQGSKNFEAAAPPQPRSVPSSSLSGNP VLPVLAYCGSSILMTVMNKYVLSGLDFNLNFFLLCVQSIVCIIAIQTCKFCGLITYRD FSADEAKKWFPISLLLIGMIYTGSKALQFLSIPVYTIFKNLTIILIAYGEVLWFGGSV TGLTLFSFGLMVLSSIIAAWADIKHAVESSGDTSAQVSTLNAGYIWMLINCLCTSSYV LGMRKRIKLTNFKDFDTMFYNNLLSIPVLVVLTGLMEDWSSANIDRNFPQADRSSIMF AMILSGLSSVFISYTSAWCVRVTSSTTYSMVGALNKLPIALSGLIFFDAPVTFPSVSA IAVGFVSGIVYAIAKIKQNAKPKTGVLPTSNPLVSASSQSMRDSLRS AFLA_048240 MIRNIEPKHSLRDQDDGTDDDDLLKALENEDDSAYRAQRIEQLN AELASAQNNRSVAPGQTTITQDSIYPTLENDQILLSFTTDTHRCVIHFAHPDFSRCGT MDEHMRALATRHYDVRFARVDVRDIPFVVEKLKIRVLPCVIGFKDGIAAERVVGFEGL ALGGRDGTDSFSTATLEKRLLWKGVLVQAKIKDGNDDSDMSDADDEDEDDGRRRIHGG GAIRGGGARYNRDDHDDDWD AFLA_048250 MTSYDRHASHDSPYRTGKHVPLSQSRHEPLTSIATSAIESRPDL TETYEDDQPKGGTASNSWTGSPTGIGSPTRPYSPGMRSLSSQKRRSTDPGVDGAAEIQ MQSFHDGAPPPPPVTHSWRKIERWLENNYEELYDNLCEGCTQNDINELEHDLDCSLPL EVRESLMAHDGQERPGLPTGVVFGCMLLDCEEIVQEWKNWRTVNEEFLSSSGIINTSP KAAAGSSSAAPPAPTTGSNPLWRQDLLERQDSQPSGAVQKAYAHPAWIPMARDWGGNC IAIDLAPGPAGKWGQVIIFGRDYDCKYVVARSWASFLAVMADDLCSGKVSVDEETNEL KLLEFKAQNVEPPYMEILRWRTDQKYGRRPPRRKGPNGLGLNTGSKSGKESPYGSPTP SEERGRSPHRFPNRGSTQSPKTQFGISSPLARVTEEAPSPVHTNADGGLPEDVVKSDD TKETQSNDLMEVSTHVGKEKEGVLDKGAEQRPLETEMMKMQESTHGSPGTALDAETLG EMKNVAI AFLA_048260 MSNLSPTPPTPKGPRNNRRNPKRNATPTTQRATLLTTPPSSPPR NMSPGGTATDSSANLSKKKSGRSNKKPRDLSKASPTQRNGHRRTYSHSNNITTPQLKD SPHYAGPTFHASPAPSSLPIPSFFSKSVPDPDLAPAIEADGDKYDVGPGYEATPSKLR PRAQFQTEEPQSTPLDFLFKAAVEARNSQPQYSPEASIKIRSPQTDSKTLPQRKPNGS TEGSLPLGVVYPVPHNSQIGPSFATPYKDRMNALRSASSPSHSVVELDEDQRRAKTEA LKDLLLNPRPQRPSTASGPSATLYNNVLPGQNQSTVGNGWQSPFSNSYTINPQPSQGQ PSTSNKGALSSIPGNTANVSGEKCSSPVYNQTKFDINSMQGPNYPPVHQSPTSRVSNT STKALDTKKMEDDLRRILKLDVNPGLPSNSIQSSFA AFLA_048270 MADVEMKEASAGASAKGKGASKASEGASDGKKKFEVKKWNAVAL WAWDIVVDNCAICRNHIMDLCIECQANQGSSTTEECTVAWGICNHAFHFHCISRWLKT RQVCPLDNRDWEFQKYGR AFLA_048280 MYIPVDISGEESNNLDTTERKRMMPILSLLTIIGENILDRCKNI IHGEEASNDSWYAW AFLA_048290 MASSFVIKTPCSSANIGPGFDVIGLALSLHLELHVTIDSTKASQ SPLNCVVTYDDQSNSAEEISLDPEVNLITRVALYVLRCHDQRAFPAETHVHIVNPIPL GRGLGSSGTAVVAGVMLGNEVGRLGLSKERLLDYCLMIERHPDNVAASLFGGFVGTYL NELKPEDIARIEIPLSEVLPAPAGGIDTGIRPPEPPLGIGHYRKFQWAKEIKAIAIIP DFVVPTANARGVLPTAYSRADVVFNLQRAALLPAALGTSPPDPDMIYLAMQDKVHQPY RKTLIPGLTEILQSMNPSTQPGLLGICLSGAGPTILALATDRFTEIAERIIANFASNN ITCQWKLLEPAQDGATVHY AFLA_048300 MSESPKSEKYMFLSRFSTPVPELDDHRFQLDPPRIEATPDMTLS RQNTTPQNLNMETPQRSDLLQVQQVQDALREAGPFSRDFEQAIADDDRSVKDVNGLGR RFSLDPTGNIRQGRAFSRTHQDIANMSRDSSVSARSTSPPNSVEAFADPRRRERANTL ESHAAPDLEAILQRTVSGGTHPRRPTFSNASAIRPQPGDIQLDSPEDTCAPPFEQLGR IPVIDYEELEEFVALNQKTKPITTRRKHSLSSQSKKSRVFYDLRPNAQKSEAHEAKRS SSVERSSDDIIGLDLKDSEKVFADAVNEKQLVENLRNENEPTRFGFFSSESQSTVHAA ELGDLVFPGDTFRDLFQLGPEGGVWWLDVLNPTEAEVGALSRAFSIHPLTTEDILTQE AREKVELFKQYYFVCFRTFYQIDKTSERFMEPVNFYMIVFRDGVLSFSFTENPHASNV RRRIGKLRDYVSLSSDWICYAMIFGPVIREIEVESEAIEDLVFIARMDDFESFLPRIG GLRKKVMSLMRLLGGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHVVTMMSNLA HFEKMLSRSHTNYLAQLNVTNLVLGNHVNKILSKVTLIATMLVPMNLICGLFGMNVTV PGQGQEGLAWFFGIVGVIAAVVIISVCLALSTTGLGHAADLTSLAPEIHSNLTINDGD SHFSFPLGSYNGLVIEDDVDDAGDLRGLDIVRRAPTGVSSLGNNQYQKGEVKLGETQW WYFSKNSVKDKNTNKTSEGPTSDQSKRSETVFISLTACSKPSSNRAGSDSARDLPHLE VYVSTSELLQKPGPEQERSNQTKYMVEEGYMGATVEVEGNVYIGVTAHNSTKYSGSYS YELAASVDAFFHSFAHDPAFLYFVDSDTHSALFTTGNLTEAELGSQGYNNWMGIAPPY TMFANNINDTAISGLHHSSCALDRLAQIGKGDGNINASMTSRGPGNKPKEQLYITGLN RSSMYEGILALDGNSTGSRNGVIGGGGKIWKPITFSTKADGNCALLYNLSFCNEVAYA VPSNPTFNLDGLRSFYDDNAAALYKNFSYSLEQIQCNATNETRFSLAVGCDDCARAYK QWLCAVTIPRCEDFSRTSRFLQVRNAGQKFINGTSLSDNHTLQLNTSTNRSRNSLIDK EIRPGPYKEILPCEDTCYNLVKSCPAALDFSCPQGRWLTSTYGKRGPDDLVTCNWVGA AYFMGGGDKINPLRSGLFALVTVWLSYWALGGS AFLA_048310 MADSEQLNTRVSNNDAPDHSIHIRSRSTAHTQSPKRLSVFSGRS RSNTTTSTTSSRRSPASSMTSMDAASLPSSQDERTYSAGVRSERQESMTKSLFSRGSR ILRRQGSKFNIVATLDEEDEMEREKSRFEVSDLFSRHHRSRQSDAHEQLKNLISDPFD FHHLTHTSPSHFQEIDGARENDLVTEFSAIRASQRPVTGLKGIRAEDLHFRDFSSENL ANCGTGIARDHTVPAPISPPRSPGTSSSAASPKQQDDKLRRESRVCENFSRPYPRVGA TTPPGLAASPEISEPAPRAIDEILGLSSPSTYPEHVYSNDDDEAQERRLLHMNVESIF SPTIGQAIIPNAQKDTIGLRTSSMSFTNMSSDLDVLPEEEEATHLRETLASSIEETDS RSQSQLSSPETELQSTSVVRPKSDLSVYVTEELSKKVSEALGSPTLPQHHQPEAPQHG QRLGGTVKRTASVRRRATYETIYESWDADIDYCYEHAAESNCNFDWARNSFDEPQQDA IDVRITSSQVDPVNGANKMHLLPAAHLSTSTVPRLDLEPSPPPSFPSAQESLTPSTAS CEIEEGGSQRNSDYFQPVSSSMFPSTLGKHLTHDTLYEEYLAGDAESDRHFSFCSQGV IQPMEQPVSPRSSFSPISKCNSEESLILSRAASIVRKHRSSVSTTSVPELVHSLANSR ELSTVDQATSGDHSLPSGPGQPEPSYHRQTKSLARDIETQVAFRADGSLILESAGLMP NSGPAIHDRARSSSEVEATKAELPIRGTHRRKGRSSYSLFPSPATSTNVL AFLA_048320 MASLRSEHTNGVNGETHPTVKSLANLRFSDIPSAIDIPASTLDS EVEVSLEGLPDDPTELCTLLENEKAAKNFWVIIALAYAKQKQIDHAIDILNKGLTSVA HGATKEKLGLLGWVCWLLMLKSRQAPRVAPEGEFSRHATTDPAFGSLYKVAMTQYTQK AFKLDKEYPMTGALFGGYFLLRKSYSTVETLARRAIEHTDVMQIASDGWFLLGRKAHY EGDLTRAAEFYNRSDQARGGGDKGYLPARFGTVQMQVSNKDYDGAKFRLEKIIQQTKN PECMILLGALYAEEVFTSERIGSKEDKSAEAKKAISLLESVRALWKDEGKKLSPEESV LVYLARLYERTAPEKSMQCLSQLEELQLAAIVEDEHREGLENEEQLKAALRVNLPPQL LSNMGCFLYQAEKVDQARTMFEMALNACVRSQEKESEHDTDALVTTISYNLGRTYEAS DMPEEAKKVYEGLLERHGDYTEASARLTYIALRQSPTDEGPKRMAKLYEADSTNLEVR ALFGWYLSKSKKRVANLAEDQEQRHYKHTLQYFDKHDRYSLTGMGNVHLMTARDMRRE NDQEKEKRRKMYERAVEFFDKALQLDPQNAYAAQGIAIALVDAKKDYSTAVHIFSKIR DTLRDSSVYLNLGHVYAELRQYTRSIEHYEAALSKDRARDAQILACLGRVWLLKGKQE MSLSAMKTALDYARRAHSVAPSQVHLEFNVAFVQNQIASLVYSLPETQKTVQDVQDAS DGLREAVESFGRIAQTKNPPYPAGALEQRANMGKTIIKQLERALQSQREYEEKNAAKL QQAREAREAEIRRREEEVRKAQEAERERKQRVAEERQRMVEEAQRLAEQRAEEERARE EAELTTESETGAKVKRKKKSSSTKRKKKRAEDDFISDGESPTRAGSSEPESEGEAAPK KRRRLERRSGGKTQSKYKSSELVVDSDEEDGTENATTPVAESDHDQEMADTGADGDGE NMIQRRRAKVNRRIADDDEEEEEEENQPGTMAVLENGDDGADDLFNDNAGGDNDAAME EE AFLA_048330 MTGDPSSEPHQQKESSTRQRIGSTDQTSRADDDMEPRLKHGIPM QVFRSLLLATWFNCCCVVILVTQVLGAPLYLISKDYYYAYMAYTKQSFGLVITALTQW GCPTFVRVSGDKSVQGQIHLTEDGRLKTEFPERLVLIANHQVYTDWIYLWWVAYTNIM HGRIFIILKESLKYIPIVGQASLGEVENPAFRLQVRIV AFLA_048340 MKIAAVYSANICMKGLILSYSLFPQYASDYSSDAIISGDLLSLQ WPAPPRNVFVVKKDCVPTVTESLIEFANHVTSTYPSIALILEPKTAEEVHSKFSFPIY SAPLSRLASALHSKADLTVTLGGDGTILHASSLFATCYNVPPVLSFSMGTLGFLSEWK FEEYKRAFREVYMSGAGVGDRAPIRGARILMRNRLKVGLFTTDGRPVHQDRSSTSIQS TLSSQGVYVLNEVLLHRGKEPHLAVVDVYVGGRFLTEAVADGIIISTPTGSTAYSLSS GGSIVHPLVPSVLLTPICARSLSFRPLVLPSSTPITLKLSEKNRGRELEMSIDGVNMG QGMAVGMEVRVWNEEMRHGKNEWQGGVPCVMRRIMGGEAHDGWVGGLNGLLKFNYPFG EEP AFLA_048350 MYSPDQFMNPGPAPRPPAERPKLNLPANSSNTVASFSQMSLDSP GTPGSANLSLFPNTSTPSLTQTKTNQSGQGGVAVIKEGYVRCKEDKFLATWNQRYLIL REFRLDFLKNETGRVVLSIPLQTVTAVSRSEDTRMAFEVIRLANPKDATSKAALITRD VPTKSITCEVKSDDEIYDWIDKIYERCPGMGGVSNPTNFSHRVHVGFDPRTGAFVGLP PEWEKLLTASAITKEDYKKNPQAVIEVLEFYSDIKMREQNPQYYAGLASPQSSQQPKP YNSNTVGSSIAPPRPPPPAPSQRLDSGHSNRSAGSSPSPVHSKSDPDRALEQQQQLER MKEMADQERRRMEEGARRTRQREEEQNRLDQEAYNASLPKTRVPLAKQELGGYGADSS MNERYKPSRPAPQAPSSARQDSARQLTAQRPAPAPPSSNQGQRPGEYGSANGPGIART PGSDQSSPNSRYPAHDPRAQSSAARSQNNGTKQQAQGPPPSKLPAPVQPVKPLNIANK QATNKNVPDGVRQAEAALTKKAEPRQREVRMSAMSENEVMDRLRSVVSKDNPNESYSK QRKIGQGASGSVYVARVKEHAVSPVARELYRQYGPRTQVAIKQMDLRSQPRKELIVNE IIVMKDSQHANIVNFLDSFLQEQSNELWVVMEFMEGGALTDVIDNNPVIQEDQIATIC SECLCVDVRSRATAEELLAHEFLKSGCSLPSLAELLRWKKNNGQ AFLA_048360 MVSRKSQAKPPPSANLDVKPANKLRRQLLHIKRKRLKDSTRRAE RYRIKKEEAKNPKLKQERLKRNVPLTIDRKRVWDDANSDVEDGLGLSVDVERIKKQKQ EEQEEWNKPLEHPDDQDDSDDQDEVDSMLASSDDDDDDDDDEGDNDNNNAERSRRSRR SSLPSATERATSPTQSTKSTNLSLAPEALATKFPSLFPSETPPTPKILITTSLNSTLH NEAKALTDLFPNSQYIRRTAHRYSHKFSLKEIATFAANRNYTAVLVLQEDSKRPSGLD VIHLPKGPMFHFTISNWVDGKRIPGHGVGTEHWPELILNNFRTPLGIVTGAIFRSLFP PQPDIEGRQVVTLHNQRDYIFVRRHRYIFREKRETEKSVIDADGKEMKGAEGIRAGLQ ELGPRFTLKLRRIDKGIQRASGQEWEWKAGMEKQRTKFQL AFLA_048370 MTQLASSFTRLFPLPRRQFQFPRWNPAIGTRFFSLSPVTFRAAQ VSKKKNTAMATVNTKTGQVVDRTVLESMLRRRLFYTPSFEIYGGVSGLYDYGPPGCAL INNIVDLWRKHFVLEEDMLEVDCTMLTPHEILKTSGHVEKFADWMCKDPKTGEIFRAD HLVEEVLEARLKGDKEARGQKVVVDEEKEAKKKKKAKETKAVRLDDAVVKEYEETLAQ IDNYDGPELEQIITKYDIRNPTTDGNLLPPVAFNLMFQTSIGPSSNMPGYLRPETAQG QFLNFQKLLDFNQQSMPFASASIGKSFRNEISPRAGLLRVREFLMAEIEHYVDPEGGK KHSRFEEMKDIELSLLSRDVQLSGSTQTTKMTIGKAIESGLVDNETLGYFLARIQLFL LKLGVDPAKLRFRQHMANEMAHYAADCWDAELQTSYGWIECVGCADRSAYDLTVHKNK TGAPLVVREPRAEPLKIEEWQVDLDKKKFGPRFKKDGKTVAAAVEALSQELREKLALD LEQQGKIEVDVEGVSSGKVELDKELIKIEKRTRVENVREYTPNVIEPSFGIGRILYST LEHVYWSREGDEARGVLSFPPAIAPTKVLIVPLSNHASFRPLSHRLMMKMRRMGISNR VDDSSASIGKRYARNDELGTPFGITVDFQSVKDNTFTLRDRDSTKQVRASEDEILQAL KSLVEGDETWEDIRKRLPEFTGQEVD AFLA_048380 MLLLLRNVPDRKGCELVLLNLPQRLSPGSKSSATIIRRKKLRKT IKIGLGLDVCNLGSNLENQEQTIIAVSGSDQSIEVLTLEYDPRRGGYGKLRAYTTLHD VHPFSMTKICFSSFIPPPKPVRPETPPQYVKLASVSMGNTVVVHTLPLAPSPPSSRSP RYVLVMPGDSDAWANFTSGLTALLSIFIVCFLLQAFTEIRGVMPPYLGATEWLPPDIR AAVARPYHQHVPHPLAVPSVTMSMHSTLSSPVPTLHHRSLRDLLHAREAADAIESILD TDLAADDPSTSAAPLSHTSIVVRRNRDTDEILIESTNRAYHNAPDGVLRRWEDLTERD QIMWKQQLTDAGHWRQDEGEAILQGVLFDDHST AFLA_048390 MCLFTFVGNPTSVILAKETPQIRHFKIGPLTGRFNSELYLHPNA IRIASDIGMFDHGLGNLDLPNVPFQLGETFDLIHIRGLVGIRRAFDNWEFIYEQAFEQ LSLRGPFTDTDPEGGTVTYPNSDAYVGIFSSVLHSIAEDAGYLHDLSHLQPGVLRAAG SSSKDVRRNGPDRCLGKAGILYPVELLMIRSL AFLA_048400 MNESGPKLVRQLKNWISTTQTEVLEKVIMQGNVALSETTEVADP ENHEWQHRGTDPQSLKILAPTLVILHDELEAPLGKVRVKRGGPETASLRGHRGLTSIM ESLRGKGLHPPRVGIGRPSTRNRGDVADYVLTEMNAIELAAVRAAAGPVLDILADEIY RDGSAL AFLA_048410 MSWAGFKKNVNRATTQVMMKTGHVERTNDRDYEIEERRYRTMEA AANRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGTRDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPISRFCAYFPDINECIKKRNHKLLDYDSMRAKVKKLVEKPDKDA TKLPRAERETEIAKQAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDAETRDQYARGDLDNRVEEVLQEIRDLSIAGTV AFLA_048420 MTPQSASPVPSAVSSAAASQISYDPRDGEPSVTRALAGMNLSAA NGDILPTPPPPAKTARPTMANRISRMFSNTGKTTSKEPDAHRDFSDSSTDSVKPSSNG SGRQSKPTSRPSSRAPSRQTSTKEDNERKPKSSSGKDQKDSSAAAHKRFEALPDHAHC HHLKSTRRQEKLTDLLRDMLGGGRKKDDHVDDQQLSLMSTWIDQFKNERDKLAADKKG GPNATASLVDKYGKCQEIVGRGAFGIVRISHKVDPKDSRVEQLYAVKEFRRRPQETTK KYQKRLTSEFCISSSLRHPNVIHTLDLLQDAKGDYCEVMEYCAGGDLYTLVLAAGKLE VAEADCFFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGALKITDFGNGECFRMAWEK EAHMTAGLCGSAPYIAPEEYIEREFDPRAVDLWATGVIYMAMRTGRHLWRVARKDEDE FYQRYLEGRKHEDGYAPIETLHRARCRNVIYSILDPNPSRRINASQVLKSEWVREIKL CKAGEEGF AFLA_048430 MCTIGEACERRKPEYYFNLDLPPAGSCILTRDSRWSILFSWNTA FLSRSYLSCPNWNFQAT AFLA_048440 MSNPYEREAEDRYESQNDPSPVSGIVRDNSYAHETRSELRNQIP VQRDEDDVEDPIQPPFSNSDKQLAQDEQEAIDRSNILRGDRLRHAKPRTQDGYSEGPG EDDLPEDVVYGQSGRSATGRII AFLA_048450 MVVYSFYIFDRHAECIYKRRWVPRPPSIIGKSSRPTSETSATPN AMPPVLGQPSRTTDDDAKLIFGTVFSLRNMVRKLGGEDDSFVTYRTSQYKLHYYETPT NIKFVMLTDVKSPSMRIALQQIYINLYVEYVVKNPLSPVEHPGGVGVNNELFEESLEQ FVVYLPSLLSSTQGLTQQQTRVLS AFLA_048460 MANEYAYPSMQDANQFSGGRPGLRHPRPVRYASTPSYESPRRHY RRNPIARRPVKETLNARSEYTTSQDDGTAEHRINQYVIKQEIGRGSFGAVHLATDQFG NEYAVKEFSKARLRKRAQSHLLRRPRGPKRPSDGFNSPLHRRSLEDPDQKRNALYLIK EEIAIMKKLHHHNLVSLIEVLDDPTEDSLYMVMEMCKKGVVMKVGLEERADPYDDELC RCWFRDLVLGIEYLHAQGIVHRDIKPDNCLITSDDVLKVVDFGVSEMFEKDSDMFTAK SAGSPAFLPPELCVVKHGDVSGKAADIWSMGVTLYCLRYGRLPFEKQSIFELYEAIKT DPVACEGETDENFKDLMSRILEKDPTRRIQMSALREHPWVTRNGTDPLLPESENTAEI VGLPTEEEMNSAITKTIGHVLAVVSFMKAVKNFKRLIDPAKADPPMQSILGQEYETHF VQPPLEMEPEESFAAGTVSNSNKSQSLNTYNRNAWQRDDVLKGYHLHAEEPRLQQLLD STDSSISGKQDPVLYDSSNQSANVRSEGIDSSHIPSSKIPGASFDDSQTITTPGSSSP QVPLSRTSSVLTKRSIEGTRGHARDPLEEEFPFLFIGPSTYTGSPPTNTGDSGTDYIF DEPDSMLSAEPSDIDPCPVVSESPGAAEFDIYETAYRQEIERIRTRSLPRQGTTPKVY LTRRVEGKDEVMKLVEEKIPGTVPEIGKRLVKPSGPSLSSAASAIRAQLELQRQQERQ DTAARTQHQE AFLA_048470 MPPRGEPPRKRGRPSKAESERRKAAAEARGETYPPPRRTGSNKM KAPSTPTSPSSIEPLAASFISHASGSRRQSILPSDLHYVPPPGRPPRLSGPNENEGIR DTPRRDIGPPMRDLPRPTERRQTLPSPQTLHSGPRETVPRMEPGERSLEAPPPDRISF GDSSRRSLLHRCPDEPPISGLEVPLHTSVEKRAE AFLA_048480 MSPHDYADRRSESVTDAVTAMNLEPDRATDTPALNGGSTSLKDD TNGVSRSPSAQNMDVAVKSRSSSQTPVKKEEETSNTADMEEKVGGDITVKQEPGQPPK LTRSSSQKVVARPPQLFSHLRDSTAEARVSFELMDSCTYANKYMGYTEHAMECDCAEE WEPALSKNLACGEDSDCINRATKIECVGDCGCGPDCQNQRFQRKEYAQVAVIKTEKKG FGLRAEADLRPHQFIYEYVGEVINEGQFRRRMRQYDEEGIKHFYFMSLSKGEFVDATK RGNLGRFCNHSCNPNCYVDKWVVGEKLRMGIFAERDIQAGEELVFNYNVDRYGADPQP CYCGEPNCTGFIGGRTQTERATKLSNATIEALGIEDADGWDTAVAKRPRKKKMGEDDE EYVDSVQPKSLEENGVTKVMAALMQCQEKWIAVKLLGRIQRCDDERVRNRVVKMHGYQ ILNSQLTMWKDDFNVVLQILDILDKFPRLTRNKIIDSKIEVTIQPLTSCGDERVEKRA ATLLQVWSTLEVGYRIPRMKRDPNATAQAVNQFERRETIRDQRRRSKSRSRSRSRSIE APRGPAAQTRGVYGQRNPHHHGPRSFRRQFNPLPTGWFAAESNGRTYYYSARGDTTWT RPTKPAPQPPPPPKESRDKALQDIIDGIMNAKENTPKEKSGTPGTPQVSKPIPVKKEG QEKWRGYSEDKQKKVYENTLFPHIKYVVDKFKHKLPKEDLKRYAKDVAKKLVNSDFKN NRVEDPTKISEKQQKKVKKYCKEFFDKAVLKHRAYEQRKYEKQAKGMDSKVETPQAPS DDEALDVKMSDDEEDKADEKDTPMTAEETQGGTKRKREGGIAEDSNLGEYISSSKRQR SSTPPPLPPISPGDDPQNMDNAKKILRDDIDSRSENNEFTPPPPPPPPPDDEMPSESP ETDHAIDQSPSRAEYITDMNKLKSSQPEIEGKV AFLA_048490 MAVRAQFENSNEVGVFARLTNSYAVVAIGASENFYSVFEAELQD VIPICHATIAGTRIVGRLTAGNRKGLLVPTTTTDQELQHLRNTLPDSVKIQRIEERLS ALGNVICCNDHVALIHPDLERETEEIIADVLGVEVFRQTIADNVLTGSYMALSNQGGI VHPKTSIRDQDELSSLLQVPLVAGSVNRGSAVVGAGMVVNDWLAVTGLDTTATELSVI ESVFRLGEMGPRGVGMGSTNKESIVESFY AFLA_048500 MAGIKRKLDSTKGSAAGPTGSATGVGAPPKTPNSTVGDTAHIRV TRSLRASQDARANQTDNNKNSSNNTMHNKSSNNRPLSRIITLSTRAARANSAARNALN NAASNGTNSPSNAARETRASRTRAAAPAAVAPPAAAPSQFDGTTLNVPETPRSKRVRR GPVVEETPRSTRQSARLRSHVNISVNENASAEAAITKHFEASPSKGVTPSSRTRNRSR QAADAAPDTTQSSVNSNVTTDMRHPSPEDIIPETVEAFKQTEDDQDPHVSMESSQTVG ELPTDSTHQEYNHETDDMNIQEVEGMPSPAKTSCSSGSRKRRSSEPENKGTTEFTSIL DLPHQKLKLEDGELDHTAEQPLQGSAVIKNVSRSPDDEAEESKVGDESRQITEDIEES TPEHTTEPIIGKAVRGGRSRGRGRGGRSRAAARSGSSKRGRGAARAARGGRTGRQYDR SSDVEHDRSPSPSAATQKLRDRQRELDKAFRKVAAAQRLALAVLASQSQKKLSRDKNA HKIVPEYEEINSLLKTRLDKRLEVFRHEYELRVEQENRLFAANREAIEERFRASARYI KEEHFFASQGEYMAFVEGRRAAEDDEHTETDGSETEPERVIPPAKEVVRGFNSSFVRN PAGAASYDRARYGWDDFVQRAKLGDDIDPQMKEMREAGPFAGFSAREIIDLLLEATGI VEVRQKASVETQRKPAFPDTRPTALFALADVAAAELPRPTISQTTPRLSAHRALLPQP SQVAHGPTDPRSFVLPPPTPQRQQPRRLLPAGQQIPPISEQLSLPDPFASRGGPPQLP PPPGSNFQRPPLPNYLAGHHPQSLYYPAPPPPTAPPPPGPRPPY AFLA_048510 MPPSYLLYTYGTKPLYPNRKLWLDVFNVAVKVRLDGDAVTASRP TAPLPPPKSSWIVIIIVLPGNSSDLKQQKFVIDAEPSETVGQVKEKISKEKGWEVPQL KLIYSGKILQDDKAIESYNIEEKGFIVCMVSKPKASSSTATPSQAPSTPSRAATSTPA APPAPAPSTNASATAPPATPSPAAATQPSDAAFNDPSALLSGSQGEAVISHMESMGFP RDDINRAMRAAFFNPTRAIEYLLNGIPENIQQEQEQQQQQQQAATATAASPQPPAASA GGNAPATTGGEEPVNLFEAAAQAGTQEGPHGARSGSAAGEGLPNLDFLRNNPHFQQLR QLVQQQPQMLEPILQQVAAGNPQIAQLIGQNEEQFLQLLSEEGDGALPPGTHQIHVTE EERDAIERLCRLGFSRDMVIEAYFACDKNEELAANFLFENTDDPEDQ AFLA_048520 MWRRTYLLLLVIRVYFALSPSYLHPDENFQGPEVFAGRVLSYPS KLPWEFTADKPIRSVFPLWPIYDVPISLLKWFYAETGAPTPPPPQVIYYVLRGVMFLL GFVLEDWAVYELVPFARHRRATVVLVASSYVTWTYQTHTFSNSLETLLVAWGLVLIRR IVVNKRRSSVFSCAVLAFIAVAGVFNRITFPAFLAIPGLQLLPHFRRKPVSLFSFVGF GIFFFGIAVLVDTAFYRPSATLWDALHSPIITPINNLLYNSDSSNLALHGLHPHYQHF LVNLPQLLGPAYAMMAISLWGLPVIPTWLKNARAVSALSATVILSIFPHQEPRFLIPC VPLLLSCFRVSKSRLFLAVWMIFNAALGFLMGIYHQGGVVPAQLAMPSIISASSVESN DALPGEIPVVSATVFWWKTYSPPLWLLGTNDNSSLNIETRDLMGVPGPNLIEELEKLL PPCNVAGSKQAGSVFVVAPKSAAFLDRYTFLPSSSSVSSALELHELWSYRKHINLDDL DFGTEGVYPTLRRVIGRRGLAVWRAKRAGCN AFLA_048530 MATPTLTFTSSDGVDIPVERDVAERSQLIKNMLEDLGETGEPIP IPNVNEAVLKKVIEWCTHHKNDPPSTGDDDDSRRKTTDIDEWDQKFMQVDQEMLFEII LAANYLDIKGLLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAED R AFLA_048540 MTSVEKAGGSSPATAIENEKSISNSASGSTIAPPAGKEQGTRPS SSHKSDRVGDNNDDDDALYSHLPEHEKQILKKQLDADERKVPFVALFRYASRMDILIM FISAICAIAAGAALPLFTILFGSLASAMSNRVADLISYDEFYHQLTKNVLYFVYLGIA EFVTVYISTVGFIYTGEHISQKIREHYLESILRQNMAYFDKLGAGEVTTRITADTNLI QDGISEKVGLTLTAISTFVTAFIVAYVKYWKLALICSSTIVALVLVMGGGSRFIVKYS KRSLDSYGAGGTVAEEVISSIRNATAFGTQDKLAKQYEVHLAEAEKWGVKNQLILGFM IGGMFGIMFSNYGLGFWMGSRFLVNGEVNVGQVLTVLMAILIGSFSLGNVSPNAQAFT NAVAAAAKIYTTIDRPSPLDPYSDEGETPSHVEGNIEFRDVKHIYPSRPEVTIMDGVS LKIPAGKTTALVGPSGSGKSTVVGLVERFYFPVGGQVFLDGYDIQNLNLRWLRQQISL VSQEPILFGTTIYKNIQYGLIGTKFEHESDEKIRELIENAARMANAHDFVSALPEGYE TNVGQRGFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALDKAAEG RTTIVIAHRLSTIKTAHNIVVLVDGRIVEQGTHDELVDRKGTYNSLVEAQRIKEEKDA EALDDEVEDEDEFPKEQMSRIKTADSGAASVVDVGDEKVYSGIGRSATHKSVSSAILA KKNQEKTHKYSLWSLIKFIASFNRPELSYMLIGLVFSVLAGGGQPTQAVLYAKAISSL SLGAAGPSTYGKLRHDANFWSLMFFVVGIAQFINLSINGAAFAVSSERLIRRARSKAF RTILRQDITFFDREENSTGALTSFLSTETKHLSGVSGVTLGTILMTSTTLGAAIVISL AIGWKLALVCISVVPVLLACGFYRFYMLAQFQHRSKIAYEGSASYACEATSAIRTVAS LTRERDVWTVYHSQLESQGKKSLISVLKSSLLYASSQALVFFCVALGFWYGGTLLGKH EYSVFRFFVCFSEILFGAQSAGTVFSFSPDMGKAKNAAAEFKRLFDREPTIDTWSEDG KKLESVEGSIEFRDVHFRYPTRPEQPVLRGLNLTVKPGQYVALVGPSGCGKSTTIALL ERFYDTLSGSVLVDGQDITQLNVNSYRSFLSLVSQEPTLYQGTIKENILLGVDQDHTP EEAVIKACKDANIYDFIMSLPEGFNTVVGNKGGMLSGGQKQRVAIARALLRDPKVLLL DEATSALDSESEKVVQAALDAAAKGRTTIAVAHRLSTIQKADVIYVFDQGKIVESGTH QELVRNKGRYYELVNLQSLGKDH AFLA_048550 MMCGDKIDATKNGNESHPTHEQQTCREKRLTSLHASVAVLEAEV VRMEAQLAETKVRLKNDPSATVQRHIRLLHEYNKIKDIGQGLMGLIADARGVRQIEVQ KEYGVGDRD AFLA_048560 MMLSKSYARGLLAVLLLVSPALGHGGHENVPEGAAISGEPIDST LWVHMILMGLAFGIIFPLGMVLGVVRSRWHVPLQIVGTIVAIVAYFLGHAHKGRQFSK NIHASFANILMLMMIVQVVIGFYLKLHLSKGIHGRIRRVIVVMHGVIGKAMPVVSWAQ MLFGGITAMGFCHDDHLGQCLAHFIMGSAFIAYGIMLTILLLVGQFWLRRSGRSQEFF DSLIIAAWGCVNTFTEHRWGSDWSHNDLQHTTMGIVWWCAGLLGMWLSRNRNGRPKRN LIPAIVILLTGYAMSAHPQFLEISTMIHTIFGYTLMAAGLTRIIEISFVLKDRSTLSL DGSEPNSFQYLPPFLLYASGFLFMGATEEQMQLLHDAGITHVSYVLILYSIAFVLFLF VNILLHIYAVHVWPDSGKKLPARSSSEEEEAQGATHANGSFLNGHVRSNSETQHIHDA EAYELEGLISDEEDDSKAAADSHTMGSQRVTDEESSPLVRKETTRG AFLA_048570 MEPQSNTAQSISADEIALYDRQIRLWGVKAQEKLRSANILLITF KALANEVAKNLVLAGIGTLTIVDHETVKEEDLGAQFFVTEEHKGQNRAQAAASSIHAM NPRVQLRIDTDDIHTKQPDFFAQFDVIIATELDFAMYTTINAACRIANRPFYAAGLHG FYGFVFADLISHDFVIERSKSNVPSATQETPTRSIVNITTKKENEKVIEMVTKRETYS PLILANTSPLPEDFTRLPRKRRQVTPLLTCLRALWEFQKLSGGCMPTFSRQDLELFTK LARDGHQELKLDISTLDSEFLRTFLQNLGSELSPVAAVVGGKLAQDVINVLSVREQPI QNLLLFDGEKSIAPIYPLHPFFPPEVENAMPVIHPAANSIPLNGDLTLQQPIIPPSGA SV AFLA_048580 MVIPGGLFVHSSGNYNYPPASLRVPRGTRAGDRPHYPTGNSLQH LRDLLHSERNHSTARALETLNEEIEEYRSGRVRDRPNFEETGAMVDRQIQQHIPRPGM QRLHALNVAATDTDSSTSTADSSISSSGHSTRPRGSGRTGRQRRNQGPTSNQLRDESA PHTATAIGIPGEADGDRWRIKRRKLESDDNREGLQSFRYGQYGQVVSGALKMELASCD GGTYETDGESTWPENVLRNDSSVYCTKSDRCNLILKHRGETPFCLKKIVIKAPKSGYD APIQEGMVFVSMTSDELLARTAQYQIQYTSSRRSRRNRRTEMQPSQEYLNAYRHALQS LTGRDSYSESDTDISDPTGLNAGTIPDPVSGFRVITDYDERSENSDHGDRRYGSDLPS LADVERLQMDQMEDDFLCSESDDSDSDEDTSELSTYNRRHRELLRRVTSMRRRYVMER NGQPRRRPVPSIIQPIPQSSFSGPHTGSDAQNPNLELLKPHARFFIERTKSMVSITFD PPPYGPYSFQCG AFLA_048590 MRSRSETVVSRNGRRPRSRGSTASIHSNTTQQTQDQQITDGFPQ FLPAQANASHNVFGGNPEEIIMRFGQQLSHPVSGSSLDPTMSDAHHPVLPRAEDFPSH AMHGHHLSHHSIPPGIHGLSSLPMPQYQAMYDSGIENHVPEHVLEENENSEAGAKKKK GSNSSLANDNELRKLLRQYEGYSLKQMAAEVLKHEGAGGKAEKVKQVFAMIWLKENCR KSSGSVRRDRVYCCYAEKCGTERVSVLNPASFGKLVRIIFPNVQTRRLGVRGESKYHY VDLTVIEEKQQKPLPLNPQIPANTTGSAASTEHKVGEAMQKSVSIAPQPPADTAVFPS PTTSFTARSSGSFPSSDCSCHTSSRSSGDSTATLENVASHSGRIIHQMLQLPTTEDSS IDNDTLQLPDINDYVPVNTDSKVAAALAALYRSHCISVIDSFRYCKERNLRHYFSAFH GTLTVPVQKLLTHPNLAPWIKECDWLMYQKMIAFVAPLTTQVVPKLVLDTFSSISQRL TTHIADTFKAQPMHVSLARLIPAHIFCNLLRHMLDVNQSANAAAAWLCHPDNRNQMWF DFKTLVDPKEMISKANIPSCAEQATEQILKHDVRALLTPITDLNPAAAHPFYTKPDSE GSIQSHKYPVQSSTGDDYNFPDKWISFILNLPFAFPNHRTKCIIEKVDALWDCILRRL TLGGAQSFSAWWMTKVFFHEMMLWQAEKGGFMRYTPSTLQSASARADQQGPSNIPMRQ PSYPDSVKNGSFTAPNTQNTVDSRSGLDTPASVESIHPARSSLERSQVDAEPQSNNAP NEKPADISENIASFHASNNDDSAIDLDDDSMLMTVGKYGDMMASDPADAEGDVVVI AFLA_048600 MSCQKVLSMQLKATSPLPIHRRIVLLKVLSIQALVYMIVQGISP TFWGSISDATGRRPVFIGTFIVYMIANVALAVSTKYGELMAFRALQAAGSAATISIGA GVIGDITTSAERGSLVGIFGGGKILVRPILRLYWALGGHHELRMLGQGIGPVMGGILT QYLGFRSIFWFLTICAAVSLLSILIFLPETLRHIAGNGTVRLRGIHKPFLYVVIGQKG AITGADPGQKKPELTWRAILAPLTFLAEKDIFVTLLFGSIVYAVWSMVTSSTTDLFQD VYHLTSLEVGLTFLGNGFGCISGSYLVGYLMDYNHKLTEREYCDKYGYPSGTRVNLKS HSDFPIEVARMRHTWWIVGLFIVTTAVYGVSLRTHIAVPIILQYLIALCSTGIFTINS ALVIDLYPGASASATAVNNLIRCLVGAAGVAAMQPMLDVLTPDYVFLLLAGITLIMAP LLWMESRFGASWRHEREMRLKDKGCT AFLA_048610 MQAFRRSTASALRNAAAVQQRGYANAPAYAETINNLRINADTKV IFQGFTGKQGTFHAEQAIAYGTKVVGGTNPKKAGSTHLDRPVFANVRDAVKETGATAS AIFVPPPLAAKGIEEAIEAEVPLAVCITEGIPQHDMVRITDILKTQNKTRLVGPNCPG IIAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFS GTNFIDCLKIFLEDEETDGIIMIGEIGGSAEEDAAEFLKANNKHNKPAVGFIAGISAP PGRRMGHAGAIVSGGKGGADSKISALEAAGVIVERSPASLGKALLNEFVKRDLV AFLA_048620 MATATMTMPPPSKSKTNAKLPPENERYMRACSDIANVLIREYEA ETDPKKPKKDLNLNRLRNQIAKKHSLAHLPPLTAIIAAVPEHYKKYILPKLIAKPIRT SSGIAVVAVMSKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRAR YDPFEQARGRVDQIKSLGHSVDKVEYIIMGGTFMSLPEDYRESFIAQLHNALSGYQTD NVDEAVQAGEMSNVKCVGITIETRPDYCLDTHLSSMLRYGCTRLEIGVQSLYEDVARD TNRGHTVAAVAETFKLAKDAGFKVVSHMMPDLPNVGMERDLFQFQEYFENPAFRTDGL KIYPTLVIRGTGLYELWRTGRYKNYTPNALVDLVARILALVPPWTRIYRVQRDIPMPL VTSGVENGNLRELALARMKDFGTTCRDVRTREVGINEVKNKIRPSQVELIRRDYTANG GWETFLAYEDPKQDILIGLLRLRKCSPTHTFRPEFTGQQTSIVRELHVYGSAVPLHGR DPRKFQHRGFGTLLMEEAERIAREEHGSQKISVISGVGVRSYYARLGYTLDGPYMSKM LDPIEDEEL AFLA_048630 MMIGKRKRDTHVVSRSTTSEEDEATTTTTNDSSSHDIFRKFFEA QFQPLEVPETHITCAQGSDDEHGTNESEESEPESEWNGVSEDGHEENKVEVVEHHDLS AVAKESMDKRARKAFMTAKPPSFSVKPAAIKSSPSKDEDDGDDVANLKNDLALQRLLK ESHLLESSSDLAPTGKNRLKALDLRMQSLGAKASLYHQNMPSSHRRGIKAKAEKKDDK RRPGS AFLA_048640 MKPVVSVLNAWSCAIISLFAIVILSVLGSLYKKEHHGFTGSEGE PEDGAAVAASIFTAVFVYAAFFVFCSFQAYLHVRNRRGGAISLN AFLA_048650 MFPQHGAPMAPPQKPETFMLSNEAQQSLPHDAQVALQQVDNLKY FLLSAPVDWQPDQLIRRFLLPTGDYISCVLWNNLFHISGTDIVRCLAFRFQAFGRPVK NSKKFEEGIFSDLRNLKAGTDATLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMGQEATTVAVSEPALSFEFDSSQSLYEQLTKAQQANSSSFTAH ASTTYGQSASPVVRTVDAMPPPQMAPQMAPPTISLLPDESGSPAIYNPIPMPNTLAQS VVKRELDYGSIQYDRNGMPIARVHQRHASMPTFVEYSPAPSFVSSQYEDYSNRGLSFE PVTPPQHSVPLGTEPAYIANEDTGLYTAIPEISAAAFNPMLQLPPSNLASAHFPAPAR TFHSNVYSVLEGSPTYKQRRRRSSIPPGVNNPIATPTHTQAPGPSQPIAYAAHRPSDL RRSVSSSVAPVAETEEPRHESSRRIMNGYPTGALPQKNLLHEMSRNGTPLSSLEENPE QAAMPLANPPDELTALPNGDVLETGAQHSAMNKAERFVPGPVRRARSATMMELGPYPQ KSHSCPIPSCGRLFKRLEHLKRHVRTHTQERPYPCPYCNKAFSRSDNLAQHRRIHEAQ QDGQQPLHVQDEDLENEDNELGSQDEGSSPSESIPSTVVNVSTVTSMPSTMTLPSAMP TMMAPHMVAPQLLQQQM AFLA_048660 MSCSSLPVGTASEPPPALPDKQNVEATVGSTWLSKLQIPGFTLR RAWLLSHVLFALCMFSTFFIYTYQAATVVIGIVGISWALTLWAPFALISAEVARIDAE RRVRRHRSGMAEHHSADNSTQPNLATNVGDLEDGPRKPTDEEENLAQAGIILGLHNVA VSSPQILSSLICSAIFKVFQKPRGEPWDDSVGWVLRFGGCAAVLAAWLTSRLAEGRRL K AFLA_048670 MPEELNAPWLTVSCFALLGRAADSESDPDEDAPEAGTKEFFSSW ALFILIMLLMFALFTSYILQQKKIQAVHETVLSIFAGMFVGLIIRLSPESPIQDSVTF DYQFFFNLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISAIVLGLVLFVWTRI PLDGLNISFVEAISVGATLSATDPVTILAIFNLYKVEPKLYTVIFGESILNDAIAIVL FETAQKYADSDAGSLTVLNLFEAIGLFLLVFFGSMLVGMIVGIMTALGLKHTHVRRVP KIESCLIVLIAYASYFFSNGVRLSGIVSLLFCGITLKHYAYYNMSRRTQLTTKYLFQV MAQLSENFIFIYLGLDLLVQRNLQFKPLFIMVAVFGICLARYLAVFPLSKAINWFIRY RARRRGMEVADELPFAYQAMLFWAGLRGAVGVALAAGLTGVNAPALRATVLVVVVLTV IIFGGTTARMLEILGIRTGVVEELESDDEFDIEVTNGGTYYKRSDTALGYTPRRMDST IPLDGVQRRGLDRNDSYSSGNNRRPSPPPSSSGKGRRHSRLYSNAYSQRDTQTTRDRS STATLLGGGPGSHSDSAGSEDEFGLRSHGKGRAADVDQVDAFDIDVDEAPSDDDLPPS APTASRLRRSPSQPPQYSGSSQASPSANESPSRRETARSASQAIRDLFSGGSSGDHGA WFRQLDEDYIKPRLLLDQSNHKGPGAV AFLA_048680 MHTNPQIDIDLASHSRLQTRTAKALLNDSGICSSIQYHGSIAFY CFDTVLLDTRRPCRDGLGADRVAGWLAASVFIFIVGLAMADLASAMPTAGGLYFWTHY FSGDRWKNPLSFIVGYSNTIGLLGGVCSVDYGFATMLLSVVSLAHDGNWTASRPVVYG TYVACVVVHGVIATFFGRIMPKIQSACIVSNVGLVLATVLALPIGKAIRGGHINSGAY IFGHLENLTTWPQGWAFMLAWLSPIWTIGAFDSCVHMSEEATHAARAVPLGIIWSAGL CGALGFISLAVIASVIDVNLDGVLSTNLGQPMAQIYYDCLGKSGALGFMIVVAIVQFC MGLSLVIAASRQSWAFSRDGALPFSSFFRKVSKKIRYQPVRMIWGVVVSAVIVGLLSI INSAASNALFSLAVAGNDLAWMMPILCRLVWGQDRFHPGEFYTGRFSKPIAVTAIVYL VFAIILCMFPTTGPGPTPQDMNYTVVINGALWGGALLYYGLYARKIYKGPQATVGSSS SPSEANLGGL AFLA_048690 MSIPEMQWAQVAEQKGGPLIYKQIPVPKPGPDEILVKVRYSGVC HTDLHALKGDWPLPVKMPLVGGHEGAGVVVARGDLVTEFEIGDHAGLKWLNGSCLACE FCKQADEPLCPNASLSGYTVDGTFQQYAIGKATHASKLPKNVPLDAVAPVLCAGITVY KGLKESGVRPGQTVAIVGAGGGLGSLALQYAKAMGIRVVAIDGGEEKQAMCEQLGAEA YVDFTKTQDLVADVKAATPEGLGAHAVILLAVAEKPFQQAAEYVRSRGTVVAIGLPAG AFLRAPVFNTVVRMINIKGSYVGNRQDGVEAVDFFARGLIKAPFKTAPLQDLPKIFEL MEQGKIAGRYVLEIPE AFLA_048700 MELGEKKMEVLGLVTDRRDGDGDGKVRKEDEEEEAEERKEERVT MADEMVVVIMVMDGCWPIHAHPKHARRGMCIFITYGKVD AFLA_048710 MSRSPHYSHASPLPATLPPLNGSAPPPHPPDPSSQFQAHSAAGT PQFPLPRPYSGPVLPGNGASPYGPSTPSHPHPTGRPEGHPQLSPKKDTESSYLGGPGV AGYPSSIMREPKPASPPKEAKPARAADPMSFASILSGPAEERSPPKRQSPPPETTPAP VTSTPREATQLSPPPPPHVPPSHQKVKEQEQVLPRLEKKPSSEKRRRNAEQDNKAGEP SNGILSNGIPEPTKTTTQSWSFLSPRKVLSERESETINKLMVEIDNAEKSDVEAPGFE EEYEQYKLQCKRRALHTLKEEGIKRKASAGMDRFRIANEASVISEVQAKEIQDEKERK KDMQRKRRRENTVRLEMQKKLEAERKANKAQDSAEKAKFLREAERAQRKIKTTKRALE GVTAPEEIGEVTPLAPNLEGGTTSSFHIGRSSPSRRKSGRGGPVTRPKKSKEQKQAEK DAAEAAYAAMENDEPLPLAPKEDPRKESLKKEAKGSRSKESSPAPLSAFETKGYNQIY EQIWRDIARKDIPKVYRIKALSLSTRQENLRKTAQLASKQSRKWQERTNKSMKDTQAR AKRTMREMMSFWKRNEREERDLRRLAERQEIESAKKAEAEREANRQRRKLNFLISQTE LYSHFIGRKIKGAEGDSGDTAVEGSDETVQPGKDEEHAMEDAGAKVTNFEDLDFDAED ETALRQAAMANAQNAVKEAQDRARAFNDGQDHMAALDEGELNFQNPTSLGDIEISQPT MLTAKLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTIQSISVMAYLAEVHNIWGPF LVIAPASTLHNWQQEITKFVPDIKVLPYWGSAKDRKILRKFWDRKHITYTKESEFHVL VTSYQLVVLDAQYFQKVKWQYMILDEAQAIKSSQSSRWKNLLGFSCRNRLLLTGTPIQ NNMQELWALLHFIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLKRLHMILKPFM LRRVKKHVQQELGDKVEKDVFCDLTYRQRAYYTNLRNRVSIMDLIEKAAVGDEADSTT LMNLVMQFRKVCNHPDLFERAETKSPFSVAHFAETASFVREGQNVDVGYSTRNLIEYP LPRLLCGSDGRVDVAGPGNLHAGFRGKYLAHLMNIFAPENIKHSAEHDGTFSFLRFVD TSINEAYEQSHQGIFERAVRRRGKPNRLSRLNVVYDDDKATMASALPHTMFNIVQRND QHAINDVTTEGYMRELTTVAQSAFERKGLGIIEPCVSPAASAPPITVSSSSRAPLSEM NDSLFNVSVRHALFSTPSKQLEQQILEKKLDPIPYSLPPMLPQPISIKGRYTHIEVPS MRRFVTDSGKLAKLDELLRELKAGGHRVLLYFQMTRMIDLMEEYLTYRNYKYCRLDGS TKLEDRRDTVADFQQRPEIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTIDSQAMD RAHRLGQTRQVTVYRLITRGTIEERIRKRALQKEEVQRVVISGGAAGGVDFNTRNRES RTKDIAMWLADDEQAELIEQKEKEALDRGEVFGASKGGKKAAQKRKRDITLDDMYHEG M AFLA_048720 MVDTIRSLAPGFIFTTSLPPATMAGADTAIQYQARHQGDRVLQQ LHTRAVKAAFKELDIPVIPNPSHIIPLLVGDAEVAKKASDKLLEEHGIYVQAINYPTV PRGEERLRITPTPGHIKEHRDHLVQAVQTVWNELGIKRTSDWEAQGGFVGVGVDGAEA ENQPIWNDVQLGLKENEAIEAAVEREFAEAPMRTATRPAAAAASSIPVGVAA AFLA_048730 MPSDKVEKKRKRASNGHERPSKKPALEFQDLPPLAASVVNDDSE LAPVIITTPGVNVPQNLHLKPYLKDRADGSLSGRSTRNKGIVSSELLLQTSEHPKMDF VGREAEDDADSQLKHYIAVVDPEKKSWQFVEVRKVTLRGAVRRTKAAADEEEEVESED EEMKTMRAQRTELTNTFGTKQSRKAAQSMAENAQLSNAPAGAASAAESAILSSMPLDS ATDIATKTAAVQAQVQANKPLPQANLAASHPSDVYPIDVLVPGGLSTLQQLPGTNEWQ ETVNSGEAVATTSRYVSRRVEAVVNSTNATQLQVLRFIFLLLELARALRSGKDSKSSG PGSKRLPPRDELRRILSSPTGAKTDSAETLPDPVIDAIRRKFAPQGTHITKNDITFLH TTICALSLHIPPQPAKDGGSSSLGGNAPNELATDPSDLRDDLRLDNTVITQYFRELGC RVDKPRETEFAKWGIKGGKAEANARRVARLRVPVEFPKVSRGGKR AFLA_048740 MIGATRRWFRRNRKGLAIGAGMIGAGYLAGQYVLSKISEARERM SSDRIARENLRRRFEQNQTDCTYTVLALLPTAAEDILDALPVEELTKELQRKRAERLA RLNAGEGTGSDLSSVSPSLPEDDRRSLSSFQSDGFVRTSQPGEPFVEGDGEARPKRNK TQLWNEVKITSITRSFTLVYTLSLLTIFTRIQLNLLGRRNYLSSVISMATPPANESTI RLEDHDDDDLTQTLGNDFETNRRYLAFSWWLLHRGWKQLMNEVQTAVTEVFGPLNPRE DISLARLSELFLEVRKRVEGYTEEERKYVSSYPHSQPNFLLTSGVLGVTELANSQTAA TLRHLLDETADLIESPTFTRVLMLLNNECFQTLIHQCTADAFKSTSQTPRSVPQSFTS VATVVPGADSSEPKAKLANILAVLARQAHVIGNGTNPPNLYLTAMDQGVRELEGHVDS QSTDLPQLRNTTERKLMAKIDWHIMPCLCVMYLLAFLDRVNISNAAVLGLQEDLNIVD GTNYNTALTIFFVPYIIFEIPSNILLKKLRPHVWLTGCMFLFGLVTICQGLVSNWGGL MTTRWFLGMFETGMFPGCTFCFYLLGMWYKRSEAQKRFSFFFSSTTLAGAFGGLLASG LGKMDGTRGYRGWRWVFIIEGLITCVVSLAWFFIIPDFPEDVKWLTDEERQFIRAKLA RDSGSAGHDAKIGWRDVLEVFKDCEQNIHRWFDVLRSGCHSIWICLLRADHYQELWLR WYVSIKTQLYSIPPWAAAWGFSMLVAILSDRTRHRFAFTIGPMLIAMAGFGILLNVHG QARRNIQYGALFMVTCGCYSAMPVIVCWFAMNLAGHRRRSVGTAWQVGFGNIGGIIST YAFLKKDAPEYRPGYIISVSFLSFSAACCIGYFAAVWYDNRRRDQAIADGMAIPSDEE QELRGDMALNYRYSY AFLA_048750 MEPRKTPPEYFLEIFADTTTVRDVLKGVLNLIFFHRYFPSIRPT TFDVLDFTLPAINDEDLETLIESRISALVRQHSSSAASAHEGGGGVRGRIAVEFYEKK RRRSGIWFGGLAGKGEEEVCWEVWNLDVTIATPRTESERAKVRKAMENMLQKAALKIL AVVNREKDHIPPITTSDSNPFPYRIVLNPRSDGWQNRFGLY AFLA_048760 MATFQDRAQHAIAQLDKELSKYPVLNNLERQTSVPKVYVILGLV GVYTFLVFFNIAGEFLVNLAGFIIPGYYSLNALFTAGKADDTQWLTYWVVYAFFAVVE SAVYAPYWFPFYYIFKFGLVLWMALPQTNGAQVVFHSFIQPVFGRFFAGGNTSANLRA QADAATKAQ AFLA_048770 MQLSLFGRPLAPLTIGHKGALPPTEGKISFSTIEEARHCLDGLM NKALRFVRLSLQVSTPGHATRLQQRQKQQDIKEEFDAWTMALNKMMARRGNSKTLDKR GPLTLRLHHQVSLIWLRACFATDQMVFDNFRSDFETIVRLAEEVIRLGPDQEKPSPAN GFSLESGITAPLWFTAVKCRDPIIRRKAIRILSDYRRREGMWDMGLFFKVAELVLESE EAELSSLPIEKRIPEDRQRIYDPILPEEIVASPCQVILLSRPDGVDGGWHTRTAYINW TS AFLA_048780 MPPGIDLEQVREERELVLYNKINARKAELAELPANIGVWDTSRS DTATGDDSLKLKALIEYKMLNLLPKQRMFRKQIQNEMFHFDNLGMTANRSNHRRMKKQ SLREARITEKLEKQQRDARETREKRKQYDQLQAILNHGAELQNAANQQRTRMQKLGRM MLQHHQHMEREEQKRVERTAKQRLQALKANDEETYLKLLGQAKDSRISHLLKQTDNFL KQLAASVREQQRSLAERYGEDDQFYDEEEEEEEDIDYYAVAHRIKEAVLEQPTILVGG TLKEYQMKGLQWMISLYNNNLNGILADEMGLGKTIQTISLITYIIEKKKNNGPFLVIV PLSTLTNWNLEFEKWAPSVARVVYKGPPNARKQQQQQIRWGNFQVLLTTYEYIIKDRP ILSKVKWNHMIVDEGHRMKNTQSKLSSTLSQYYTSRYRLILTGTPLQNNLPELWALLN FVLPNIFKSVKSFDEWFNTPFANTGGQDRMELSEEEQLLVIRRLHKVLRPFLLRRLKK DVEKDLPDKQERVIKCRFSALQAKLYKQLVTHNKMAVSDGKGGKTGMRGLSNMLMQLR KLCNHPFVFEPVEDQMNPGRGTNDLLWRTSGKFELLDRILPKFRATGHRVLMFFQMTQ IMNIMEDFLRLRGMKYLRLDGSTKSDDRSDLLKLFNAENSEYFCFLLSTRAGGLGLNL QSADTVIIFDSDWNPHQDLQAQDRAHRIGQKNEVRILRLISSNSVEEKILERAQFKLD MDGKVIQAGKFDNKSTNEEREALLRTLLETAEAADQINEQEEMDDDDLNDIMARSDEE LLVFQRLDKERPTRDPYGPGHPLPRLMCEEELPDIYVSEENPVTEEVEVEMAGRGARE RKVTRYDDGLTEEQWLMAVDADDDTIEDAIARKEARVERRRVNKERRQRKVVGDSSPE PSRESSETPQPKKRGRRGPAPKRKAEELVEETPQPKRKRGRQAKPVETLSPEDRAILQ RILNSTYQALMDMEQELPADSSDSEDGPVTRSIIEPFMKPPPKSQYPDYYMIIQSPIA MEMIRKKINRDEYQNLKDFRNDIHLLCQNARTYNEDGSILFQDANDIEVGRRVDESCA WTSTDTE AFLA_048790 MNVNRKFDRFKQWAGERMGGEIKTNLSDDFKAMETEMSVRNEGL DRLHKSMVAYVKAVSKRSEGDDKEKTLPIGHLGTSMVSHGEDYDAHSDYGRCLTKFGR TEERIARLQESYIAEANSSWLESLDRSLAQMKQYQNARRKLDSRRLAFDTSLSKMQKA KKEDFRAEEELRTQKAKYEEANDDVYRRMQDIKEGEVESIADLEAFLEAQLNYHEKCR EVLLQLKNDWPSRQSQTQSSSGRRPGRARASTAHSYQERYEPLHEELSNSAELRPIIR TSRSPSDVGDSREVYVPEPVPQRPFLGRTSTFESPAQLRQEQTYSSSPRPSRAPSENF ITGRNSVLARMAADPSEDASPRSGTSPDRLYQGRSDSPVSPFGGVTRRSSSTTLNGAA IQKKAPPPPPPSRAKKPPPPPPPMKKPILNAGEA AFLA_048800 MDQENLPLKLPRLPRCASPTLSATARWQAVVHRDATAVSFVYAV LTTKIYCRPSCPARLARRANVQFYDTPSQAEKAGFRPCKRCKPQTLLAVNPQAQMIQR ACKTIQAEIATGSKPTLRELANQACLTPSHFHRVFKKLVGVTPGQYAVTILKGDPRGP LDDCSRNLNITELKPWGTGSNNHEPFLSSGLEGAHRFNSGDTMHWNDFDTLIAAEAEI ESEFDAQFMEDFILLPMEDAAGVAEHHGKDIGDVLVQHKQTSRLDGAIHPAGVETVMP C AFLA_048810 MGRVIRNQRKGRGSIFTANTRLNKAPAQFRTLDYAERHGYTRGV VKEIIHDPGRGAPLAKVQFRHPYKFKHVTETFIANEGMYTGQFIYAGKNAALTVGNVL PLSSVPEGTVVTNVEEKAGDRGALGRTSGNYVTVIGHNPEDGKTRIKLPSGAKKVVKS TSRGMVGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKD AFLA_048820 MSNMSLSDSDLSSLSSAPPSDDESVPMAVDEPVGITKYFKKESE TPPPKRAPSPPHEYVLADNPDIAFVVMFRARFHDVFPRSAPHYGPQDIEKGVAESPPG EHIERLLCALLGLVLNRKKDVDRLHYTRPLEEAIQTHASQWPKAWQGKNPLHGGRTFT GMAPEERLQLLKSLILWSLSSSEAVQAKIKESYKQARHEDDLNQPLSVQPWGRDGLKR RYWLIEGLDDTHFRLYRESNPALKNVTWWSVAGTIPELKAVADKLDEEKSMHSKKLSE KIKNSVPRFEGSEEKRKRRDYRIARKAQFSRPEPGFSLYEGRTRGKKLKYTYSDDEDI FSDGLPSTRRSTRNNSGISSPAEPVGPRFTASGRQIRSRAGGMYGESLLSGQRDELAG AEESGRPQRTRSTRANGYTGNNVDDEMDEYDEGHSSGKEWQGGEEEEDNDFEGDDEEE LSGDESIVNGENPSLVVQLRYGKGKVPSSPNGPSDMLSEGNVGSKPEIATEPAPPEFI PSSDAAKPTVSTAGEDGPLGQKIELQNETNSQSEGGAEAVRVAMSTGSHSYHPAPALG SNEGVQSI AFLA_048830 MTDPALGIAETIQTASINPEPSPSHDINPPTASSEKQPVAEDAP SEAGSISSDIVDPHRMIRPAPRRHHLPPMPDLRFEQSYLASIRGAETWGRVAWITVRD QSKVPSGPLLSPVGDSGTATRLSAAKHWAAEFDDGGTK AFLA_048840 MAATQGYPFLCLENPLLDIQVVGDAALLQKYGLKDNDAILAEDK HMGLYEELLQNDDAKLIAGGAAQNTARGAQYILPDNSVLYIGCVGRDKYADILKDTCT KAGVHTEYRVDDAQPTGKCGVIITGHNRSMCTHLAAANEYKLEHLKQPQIWSLVEKAQ VYYVGGYHLTVCVPAIQALGEEAAAKNKIFMLSLSAPFIPEFFKDQLDSVLPYTDYTF CNETEARAYSKSHQWDTDDVVEIAKKLAQLPKKNNSRPRVAIVTQGTLPTVAATVKPN GEVEVKEFSVVEIPKDSINDTNGAGDAFAGGFCAGVVQGKSLEESMDMGQWLASLSIQ ELGPSFPFPKKAYTPTNRS AFLA_048850 MYRIALDIEGELLKSLAEKEPTFAEISHYLSESVYLHLVTRLRA ACQNAILQDFDAARSIDVETRLWDAHLKINNRFRKLLSRFREQNDKKKKPVERRKLEK HYLEFIKTSQRFYRGYIQQLSSHFGGIPELENVARKFNFDNLSVEDSMVQPVGDLRKR ILQSCHATLIRLGDLSRYRETELVGKDRNWGPAIGYYDLATVIYPASGASHNQLAVIA LADGNHLRATYHLYRALAAQEPHPSAKGNLEIEFRKVRNLWAKRELIRPEDAGIPGRA LAPWFVYLHAQCYRGTDFPEHDELENEVLNQLAVDLKERSLEGTLQKFCLVNISAEDF SRTRANESRRHSHTRRDPPSLVSLVYGMWASHQRLAMQLLPGPHQVLGNTRFNIPVIL CQEASVAQAITYLRTRL AFLA_048860 MSKRSRSISRSPSVGEPLETSSRPSSPAFSITSVERPKKHLSDT ANSGTEVMHCSLPPHRETLSFPSYDDYEVHYKQTHVNRCTACGKNFPTDRFLNLHIEE NHDPLIAAKKDRGEKTYGCFIEDCERKCSTPQKRRMHLIDKHMFPKRAIITFARATGG KSRRKGSERKHRCQSSITRVKLPYPTTDSGSTNYSIADQASNKREGMTGEDQLHCAGR IHSETSSANGQIEHGNMHFAAAMVCAVADKLPLPC AFLA_048870 MRKPSQNVGPPQPLSQVPERAITDNYGVEPSFLDLTDLGDPVQD FTVFLESVGLSSDWDSGVFSSVEEPMLTANIPIDSKPPIRESARLGADMMSDPRGPAD EPPSFSNFGSRLPSLQPEPQDVDDRLVFGDEGPRPAWDISNTDRQIFLSKLEEFAYIL PKGFIPPSRHALSRFFAGYINGLNEHLPFLHVPTLSVAKCSPELTLALAAAGSHYRFE NNRGIELFHASKAILLQRLQRRDSKQVQCPSWNFVSPPSGFHDSRGSTTPSNNANSPF QQHHIPHPVESPSGYAPQDSDAHMEVIRTFLLLTVFASWERHPELLREILSLQSTLAR LVREHGLTEPTPSADPNNWEEWVRREGNRRTKFIVYCFFNLHSIMYNIPPLILNAELK LNMPCSHDVWKANNATQWRRVMRSRHGPEVSFQEAFAKLFVKPNLSNSSTPISPLGNY ILIHALIQQIFFARQLCLSAPMMQGTSLRQEDLSTLDNSLSAWKALWKRTPESSIDPQ NPAGPIAFTSTALLGLAYIRLHVDLGPCRRLITQDPVRIARALGESPPVARSPRLIMA LLHSAHALSIPVRLGIDFVARTHSFFWSIQHSLCSLECAFLLSRWLLSIPVTQAEQRL SEHERKLLLWIKSMMDETDMAVDPPGAPDVDFLANPYKAKQLSIAIVRVWARTFKGNT SWAIVDLVGSSLEAYADLLETQL AFLA_048880 MLAKATYSSPLGMSTSQTPTTSYHSGPSQKAIFTTHQDGMSFTS PTESEFSEAQDGLEAVRSWDEKQVITWLHSIKCGQYESLFKANNFNGNNLIECDQKIL QEMGIKKVGDRVRIFVAIKQLRNKSVANRKQKNIDQLAALESAYTPASSQSSRPSMGR QPTLNNRRFSHTADNAHGYKPPSRPGSPLRAQRYVASPMESARKEQGQGYFSHNSSGG SGATRNPSTPNEGQRSHLRQNSSIDGLTMGSLPPNSPVIRIIYTGGQTKVLNIKHCKT ADEIILCVLKKLQLPEHQYRNYCFYVLDGLEPDPSNCRRLADHELMEICESNHKSERG RLILRKIHAGEPDTDELRRASQLAIDESQATHINALNSSNPRTQFKIQQLTGEPWHNI KQPISPMSARHQNSSEHDLQVLNTERHAVSKLRSFFGARPPSEMIIHEITSYFPSHHR EDIEKTMRMSIRRSQRLSRAASRLSVVSNTSYASSLRDAPPIPSIADTWLNTGAQPTR ASRPLSVSKFSLPQATYRDSIASSSLQPLQEESPIEPNRKSYVSFDSGSDDPSTSRQS LVDENASVAATDGGSLNERLSVLVAEDGEEEDDGLNDFLAGNNFAPKNWMKGSLIGEG SFGSVFLALHAITGELMAVKQVEIPSATKGTEFDKRKNSMVTALKHEIELLQGLHHKN IVQYLGTAADDQYLNIFLEYVPGGSIATMLKQYNTFQEPLIKNFVRQILAGLSYLHSR DIIHRDIKGANILVDNKGGIKISDFGISKRVEASTVLGSRASGTGGGHLHRPSLQGSV YWMAPEVVRQTAHTKKADIWSLGCLVVEMFIGAHPFPDCSQLQAIFAIGSNKARPPAP EHASKDAVAFLDMTFQVDYEQRPSADELSKCQFLATPIA AFLA_048890 MAASESSRYLARSLPRAFVPTARPQGFCLRRNVSDQASSKAAPA DLNELESASSLTSTTLSEATAKSFDPIARTKARKKQLPRSRYQFRSPKYDRGPLHPHQ PPPPSDPSSRLYVPGPFSLPRVEQTYQSTVASDILTLCYVHNPPGFKPPPKAPRLRSW DDSSPYHKNRPLRGPRGGDVLRLLRKPINFNNVPQLERITIHSYVKQAAQENSSWLHV AGMAVQAISNARVETFKSKTSVATWSIAPGRDTVAVKAELRGEDMLHFFGKLVDVVMP RIKDWEGVKGSSGDSSGNITFGLEPENVALFPEIEVNYDMYPPKMIPGCHITIHTSAK TDKDARLLLSAMGIPFYGKMVD AFLA_048900 MFARLDCFEAILYKSFNDEPRRKLVILLRLWVNMLRSGKLITTD IVRALFLVTLRWEETLTECLKTKHLQDALAECGWEDAARLRQQDTSEAFTFITGTLEL PLLTLKMDIYHTGKEDASDDHKFVNERLLEVAIPEPHDGSTVTLEDCLESYFNNRIEV KRHLERRNTVGSTKSVDSLSKGSTTHVETVEISPSPSTSPTALSPPRLDEVTPITSVT ESSGSNTPKPRRNSIVQERFIPGPEGDGNVTSQRRGSYRKEVMMPAWQFFSLIPWYTD NTPTNDAQVAAHFSSKRPLLGMCLKRYFMSPNGKATRLNTFIDIPTEIGLPHFIQDDN LDEEGPIYGNFKLSLQAMICHRGNSVDSGHYIAIVRGTSAGAPPTSSHSSETAFSDTP QYWMRFDDLAAERVTLVDIERALKHESPYLLFYQILPVNEDAAAVNLPDTAPSSEMSD DVQELDTSAIAQKLSTSGSDLPESCRAEGLRSNRPSFEITAPDDTEFLTLEPNHRKHS VAFSDAVESNASGGLQVRTVPPTSPRLAPKDDDRGRNSFSFSRHTSRNRRSTPGSRAG SQTSESRISATFSRFTGRRSRDKVNSDGFSTEDDEFAVENMPPGEGAMSTSSESNEKS PTRGKESTKNKGKSKEKSREKIGRKLERECSIM AFLA_048910 MALDASNGYVAPSNLTETPDSALFDVRRVQLQFPLAADFVAAQV ANNVLILALATNRILRIDLEAPEDIDDIDLPKKSSEIGVIRRMFLDPSASHLIITTTL GENYYLHTQSRQPKPLSRLKGVSIESIAWNPSLPTASTRDILLGATDGYIYEAYIEPS TEFYRREERYVTAVYKVPEASPVTGLWAELVQTQSEQRRVLIATHGKLTYFLGRTGRH GREGGGSIYTDLFQRETPLVHEAQKASNAAPSTLAISPSVADGNPAKEFAWLSSQGVY HGQLPYSSDKVNQPFESANMLPRSFFPATESARGGKRLIQNPITAMTLSQWHILTLVE GRVIAVNRMNEEIVYDQAVLEPGQSTLGLLADSTQNTYWLFTNQEIFEIAVEDEDRDI WKVFLQKQMFDEALHYARSSAQKDAVSTASGDFLASKGRFQEAAKVWGKSSKGFEEVC LTLINGGEHDALRKYLLGQLSTYKKSSSMQRIMVASWLVEVFMTKLNSLDDNIATSAE VAEGTSTEDIKGQLSTVRSEFQEFVTKYKSDLDKKTVYGIISSHGREEELLYFATAVS DHNYVLSYWIQREKWPEALNVLQRQSHPDVFYKYSSVLMTHAATGLVDILMRQTNLDP EKLIPALLNYNKMTNVPLSQNQAVRYLNFIIVNHPKPSPAVHNTLISIHASSLSSSEA GLLTYLQSQASTPPPYDADFALRLCIQHQRFQSCIHIYSAMGQYLQAVELALQHEDIE LAAIIADRPEGNDKLRKKLWLLVAEKKIQQPGTGIKDAIEFLRRCELLRIEDLIPFFP DFVVIDDFKDEICSALEDYSRHIDALRQEMDNSAHTARQIRSEIAALDMRYAIVEPGE KCWLCSLPVLSRQFFVFPCQHAFHSDCLGKEVLEGAGGKKKYIRDLQAQLNKSDISSS RREEIVKELDGLIAEACILCGDHALKHIDKPFITASDAVDDWAL AFLA_048920 MSTLHRMETKLENLPASICNDLQSRQRPIPRTVDSPSDTSTPGR SSQGINIRTSVTNPLAQGLTPDAIEHDDFEFDENQKVTNSNGLVSISFSQHGVILWPG ARDILPKRLLEAHERLGKNYVIDIEAKRPQLPMYICPYPPQAGDDWLEALPLAMIKGL SDAFFATFNPFTPIIDKNFYFSFTIWAAIESGFGYTMESCLVLNILALGCHAVQAHQE GNYPLPGTRSNRFEPPDWMAVIHEEPLGLRFFNEARRRIGFLMCDNDLQSCQFYMLSS VYYSQILRPMDAWAMIHRAATCCLQMLTNHDVNFDEWEGDMKSRVYWNCLMNETILVQ ELHLPPSGLARLEEFVPIPKFITFETVGLVPPRSSSQEVDDSFFQYHFLAQVAHRIIL TRIRHSLYFYSDSGTFPLPAINSELHHQLEQWRLNLPPAIQFQDTHATTPANPNNPTT TTPITSPSTPISPLPLDPNRPLSPATAVSEAMLRGRFKIAKFHIGRPYLYKALRIPAS LSEDDLGQVRSGLQNAMDWPVVGGIFQNMKSCIPIKFAFCSQFFGQILLFYCISHSPD ARLRSTLPPDWERWSQEMLQFLEDCAPFSPAVAKDLELLRLL AFLA_048930 MPYHYLARLLLYLEYLDLNLCTQQVLFDILGKEDDTELLKAAMM LMATTANSMRRFSRKFGASKDTATIACETCRLKKTRCDESRPKCGLCKSLGLECVYNE RKSSKYVTSIAY AFLA_048940 MLKEVLVSVESAANRISQGHGETNRLAEDVKTATSQQLVVPLDT RPEEFSKILTRPSLRAEAIGLVLSMAGNAAICLLELDIVALQAIVPGPDLGNFSVTYS P AFLA_048950 MTQWIALLLVAICGSFIFGYSNGTNYLSQPKSAIDVWRFHYENL TCQESFFVQETPSLYKLLRYISIDLLPRKYTESADFLGRWMSDMASKADRATDRKRST GLPLALEDEPVVYDMAKEAVRKDSPHLSEGDQRKQVASEMFDHICLVLGYAFWYLAQH PDAQQRIQTELNSQGIDMRSRETVTNSSKRPRAVELDSLPYLRAVIDECLRMRPTSTP LPRITPPNRKVSVAGIDGIPPGTRINTFQWFVHRDPQKWDNAHDWNPDRWLTRGNTDN KNEREDVLWAFASGLRMCLGNNWTYYGTYIEAMTLCLAFSYLYNQID AFLA_048960 MAENDEKAIQTTDRDIQPNLTEESLEDNNEPMNFRPWQQLPVFF AMGLGIFILGLDNTIVGTATPTLTNEFHSLTDIGWYGSAYRLTTCSTQFLFGKLYEQF RVKWVLVMAVAILEIGSIVSASASSSAAFIVGRAIAGCGSSGILNGVLIAISHTVPLR WRPICNSTVGGLECIAMIVAPVIGGALTTYVTWRWCFWLNLPVGGFTMIMIIFLFKNP ESQKVTDEPFFTKIKQLNIMSLLIFTGSVVCLLLALQWGGTTYSWSSGRVIAPLVVAA VSFAGFIAFEVLQKDAATIPRSVILNRTAGLCLVYAFCSSAAFNVIDYFLPIWFQAIK GATAAKSGQMLLPSIIGLSVAAISSGFIVSAIGYYTPLMLLGSTMMATGFGFLTSFTP RTTDSAWIGWQVMFSIGIGLAFPQPWSATQTALDAKDIPVGMAAVGFSISIGAAISIS VSQNIFTNLLREGLSSVPGLDVGNVIEQGATGFLNNVPASEKERVIDIYNSAVTRTFW AGVAAACVGLVAALCMKWNSVKGAKKERTVEE AFLA_048970 MLFSQDRDLDVPGTELLVDTQHDLDVAHDGSDIILLPHPTACEG DPLNWSRWKKYWHLLLISIYACVFSFGENNTGDAYTTIVEMTGSTMTIMNGGGALNYL LLGLVNIFWVPTAMKIGRRFCFLATLLLCIGSSLWMGAFHTAGEWFGSNILNGLGTSA YEAVIQLVVFDLFFDHQRGRMLGVYIFAQQLGSIIGLVAGGYISDGPGWRWAQWVVSI AEGVLIVAFFFTFEETLFPRFLFTSSQTLSTNKATTLAQSDAALEDEIATMKDKGPVI ADTVSVEEGTAMNTPAPSQFPKRTFREKLRLWVYYPQDHTSYWTYFQRPFFLLKFPNI VIAGVIFAFGCTSGIVTNNTISETLSAPPYNFTDGQTGLVYISALVGSVIGYFTSVFG DKIVIYLARRNDGIKEPEMRLWALVPCFFYAGLGYEIYGWGAETGSHWITIAVGIGSM IAQQVAATSTATAYAMECFPGVGGEIVVILAISSSFINFTISETTQPFLNAVGMGYLF LFYGICVVLSLVAGMAVYIWGKKWRRRCAPRYYQFLAERGGNI AFLA_048980 MADGTSATEPDVSAQEPEPTKPGFSQGVSEQTNTPSSDRTGLPR RRSRYLIRQQHEQSGPIFIPNTNAMDPMERWRESPPEDEPASISAILDALRKTPTQRS TQRSSRPDTRNTGHNAFRHYRRAPSATSGESSGSSNASFGSALSHSPSDDPSSRISKG RASKNTVRARGKPDKPRRFCCTFCCDRFRSRYDWARHEKSLHLNLEAWYCAPHGTTVF SRVTGRKHCAFCNALDPSVAHLDQHNHNGCHGDSDKRRSFRRKDHLVQHLRLVHNVDT LPLIDDWKISHSAVPSRCGFCEHSMDTWEQRVDHLAEHFRKNATMKDWKGDHGFPPSI AAQVTNSLPPYLIAEESQSQIPFSATNTHVQDHFAQISSRAHYLIEEQKASPDKVAED AQAEAVAKQNISMSELSSFTQVLTLHLSRYAQEKIKQGVMPTDDMFQQEARRVLYDSE DSWNQTIADNPEWLSAFRHLHCADRNNIETEPGGVDRHLEDTPQTGMGMNQSDHL AFLA_048990 MPHSESSPRIHVLGLGSIGTFTAHGLSEIPSKPSVTLLLHRESL LGGYQENGNQILLTTREGSQIGHSGYDLEVYRERGWYPVPSSPSSEALTSNISHLIIS VKATQTVAALQPLKHRLDARSTILFLQNGSGMIDEVNETLFPNPQTRPNYIIGVISHG VTLNRPLNVTHTGFAAMSFGLVPRSQEAPHSQESSCSYLLDHLPLSPRLNATSYPYTE VLQIQLEKLAVNAFCNPLCALNDAKNGFLFTIPDTRREILTEISNVVLALPELRGVAG VKERFAVDRLEATVNAILIKTAETTCSMVWDLRAGRETEVRFINGYWVRRGREVGVRT PVNEYLVEEVTKRSRR AFLA_049000 MVIPAWAAAAWTSLTTALILPGIPGAAAENKQALCLARHWSEVE AEFIQWPICVESRWERTAPRITQDTTRSPDQTVSVTVSEGAPSTTAIPAPGGQPDHEL DTDSPLDNSNFLSFEDWKKQNLAKVGQSAENVRGNRHAAGKEDRRRPTGINNALDSLG EDTEIDLDFGGFGAEASDAAKPTSWGSSIPTAGITGTAAGASAGDMEAAVSADLRKGA SRGKDAGTTCKERFNYASFDCAATVLKTNPECKGSSSVLVENKDSYMLNECRAKNKFL ILELCDDILVDTVVLANYEFFSSIFHTFRVSVADRYPAKTDQWRELGVYEARNTREIQ AFAVENPLIWARYVKIEFLTHYGNEFYCPLSLVRIHGTTMLEEYKHDGETNRGDEEAA AEALEPSPHPVDVEVKDVAQQPLTTVALPDEPTNGPTATIEAQGSCSHHGMEVVRLLQ KGVPPPVDTCDISTAPTGAENEAASQSSESRPKANEETTPSGEASAPVSQVDPSDKGS VGGQKVTGPTGASPDSASSTTLGTETVRQDAAHESEIKSVSSPKEESSIPSESVRPSG TQPPSSNPTTQESFFKSVNKRLQMLESNSTLSLLYIEEQSRILRDAFSKVEKRQLAKT STFLENLNVTVLNELRQFREQYDQVWKSVALEFEHQRIQYHQEIHSISAQLGVLADEL VFQKRVSVIQSIMILFCFALVLFSRVPLGTYIDIPRVQNMMNRSYSLRSSSPIFFGSP SASPSSTRPASSYRATGRHRRNMSEDSQEEPLSPTIAYSPPTPTSDPSSPDEADKRPA PSLATVDMPHLAPPHFRSHSSPPVLNPADEESQGEESPVSYESRGSSYYDSPGSTESS EPILASDGSMRQEG AFLA_049010 MESPSHPLADRSTNTHLVNTEKANELKAAPAKIESMEYHRQVLQ GKLESGDKQQASYVSPSDDIMSPCSKKLSDLKGKRFKNAGKPQSLFAKLGKKNFEQSA ANHSANSEAEMQK AFLA_049020 MESLKTKARQQGLWNMFLPKNHFSQGAGFSNLEYGLMAEYLGKS KLASEATNNAAPDTGNMEVLAKYGNEAQKQQWLAPLLDGKIRSAFLMTEPEVASSDAT NIQLNIRREGNQYVLNGSKWWSSGAGDPRCSIYLVMGKSDPTNKDPYRQQSVILVPAD TPGITVHRMLSVYGYDDAPHGHGHISFKDVRVPVSNIVLGEGRGFEIIQGRLGPGRIH HAMRTIGAAEKAIEWLIARINDERKQTFGKSLSSHGVILEWLAKSRIEVDAARLIVLN AAIKIDQGDAKSALKEIAQAKVLVPQTALTVIDRAVQAYGAAGVCQDTPLANLWAMIR TLRIADGPDEVHLQQLGKRENRARKDAVIEKLNWQQAEAERLLAASGLKLKSHL AFLA_049030 MVLAKSKNSVGLGNSLMKDRFGKGKASNMKKASHNQAVARKDMN GETYITNAKEDAAWVKMRSITEQAALDEFLSTAELAGTDFTAEKMNNVKIIHADQKNP YLLSASEEKSAVKKHQKNRGRLTVPRRPKWDSTTTRQQLDVMERESFLSWRRGLAELQ ENHDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLHFRSEDLESYVKEIDPKK ENLLLVNKADMLTEKQREAWADYFDRNNISFRFFSAQLAKEKIDAQLAEQGDSEDEEV AEKLAETTIEEQSTEAPQEEHDGGLKLPGSSRSRRTEILDVDELEELFLSNTPDTLPE NDDPENPRKQKTVIGLVGYPNVGKSSTINALLGAKKVSVSATPGKTKHFQTLYLSPEI MLCDCPGLVFPNFATTKADLVVNGVLPIDQQREFTGPATIIAQRIPKHFLENVYGVTI HTRPIEEGGTGIPTGSELLRAYARARGFSTQGLGQPDESRAARYVLKDYVNGKLLFCH PPPVPEGQTPIDPNEFNVELYDIAHLPARRQEQLLKAMQAEQLAEDIDSDILSMPRQP VQGSRSRNLDTGFFGDASKGSAGRLTLPFNAQYTEQGQQMRQQLTGRKERLMVALERG IDVTEVRGGSSKKHFKGNKKQAKKKRAVTADDDY AFLA_049040 MPPRKQWIDKKNATTYQLFHRSQNDPLIHDASADDRVLAPVSGP AAGSFTLEARGKKLSDLASEFGGESVRKNEGEAANYGIFYDDSKYDYMQHLRELNTGG GDSYFVEAKSKDKGKAKGMKLEDALRQVTLDDARSEYGPGSVYGSDMRSTASSYVRQP TYQDQQNVPDAIAGFQPDMDPRLREVLEALEDEEYVDADDEEDVFGQLTTQAEEMDQG DWEDTLFDEEDDDGWESDATEKAPVQPSTSDYKPPQRDEFAQNKSQDAEPGELPAHDA PAPDMDPDDQGWMREFAKFKKEGKVKAAPAAPPSIVPSEQRSTLASTIFTVGGTPIRR KKRKGALTNPSAYSMTSSALARTEGHRLLDDRFDRVEALYALDEGDEYDDSMSMVSGM TGMTGMTGFSTASSQAPSLIDANGAAVAPRHDFNNVMDDFLAGWDGNTSAQAKRKGAK AKRGKNGNEAIGIKMLDEIRQGLGPAKMPGRASGRA AFLA_049050 MHVQEYQYRLIESVQTALEKYDSELKSINHQIWSNPELGYEEYK AHDHICTLFENLKSEGYEVRRSAYGLETALEVSYTHGTGGRVVAFNAEYDALPGIGHA CGHNLIATSSIAAFIATCEALKARYPDGPGYTVRLLGTPAEESGGGKVRLLENGAYKD VDACLMVHPMPMAPDDPELLSVATVLPGGFLANDKVTVTFTGKPAHAAAAPWEGVNAL DAVVAAYVNISLLRQQILPSQRIHGVISHGGDRPNVIPMSASVDYYIRSPSLKTLKPL TEKVIKCFEAAATATGCKVEFDWGISYADLKTNTPICENYVTAMRAMGHHTIFDNSGK KGVLGGASTDMGNVTYAVPGFHGMFTIPAEGVNHTPQFTNGAGSPEGYKRSLACAAGM AVVACQILVDDKVAEQVKKDFEKDD AFLA_049060 MAGRVDPIGKWGAAATATALRSRNLVGLRIPLLEHLGSLGPGDA GTGLALVGLAVIIRTRFVVFTLSDLLWCFLPVPSAFRLSSSRRLRKTRYRPLQNRPAS FLIIARPPLSTVTTRLPLTLTLLCSNQIACGTATATATAVSSF AFLA_049070 MMLVLIIAMAVSFGIQSWIEGGVISAVIALNIVVGVYQDYAAEK TMDSLRSLSSPTGVATRDGKTSTIPATEIVPGDMIELKVGDTVPADLRLVDAMNFETD EALLTGESLPVQKEVDSVFDPDTGPGDRLNIAYSSSTVTRGRARGVVVGTGMRTEIGA IAAALRAGDSKRRPVKRGPQGETKKRWYVEAWTLTCTDAVGRFLGINVGTPLQRKLSK LALLLFAIAVVFAIVVMGANEMRNDKEVIIYAVATGLAMIPACLVVVLTITMAVGTKQ MVQRNVIVRKLDSLEALGAVTNICSDKTGTLTQGRMVAKRAWLPSLGTYSVGSSNDPL NPQEGDLSLLPQPPVELQRDTQGEPRDPQDLLKENQVLEDYLNVAAMANLAHVHKSET DEWQARGEPTDIAIQVFASRFNWGRDRWTKGEKPVWTQKAEYPFDSTVKKMSVIFAQG DREMIFTKGAVERVVDSCTSVTWTAGSSPVPLDGSMKEEILQNMEALAKEGLRVLCLA CRENKTPTNGEEVPPREEVEKDLTFCGLIGLYDPPRPETAGAIEECYRAGISVHMVTG DHPGTARAIAAQVGIIPANMDSVAKDVADAMVMTASQFDKLTEDEIDNLPTLPLVIAR CAPHTKVRMIDALHRRGRYAAMTGDGVNDSPSLKRADVGIAMGQAGSDVAKDASELVL MDDNFASIINGIEEGRRIFDNIQKFVLHLLAENVGLALTLLIGLVFKDVNGQSVFPIA PVEILWIIMITSGLPDMGLGMEIAAPDIMNRPPQSKQGIFTWEVIVDTLVYGVWMAAL CLASFSLVMYGWGDGNLGSGCNTGYNGNDHYNGNCDTVFRARATTFVCMTWFALFLAW EQIDMRRSFFRMQPDSKRYFTQWMFDVWRNKFLFTGIMIGFITTFPILYIPGLNHVVF KHTGISWEWGVVFVEAILFFMGVETWKWCKRIFFRRQAYRHKDEENKTLPNDFSRYTT MSRSDTQTASDLKVEKTMV AFLA_049080 MSLLPRSVPRIILRRSYGTVQSSPSAASIASRIPVALQEATAAT VPRTTWTREEVQQIYETPLNQLTYAAAAVHRRFHDPSAIQMCTLMNIKTGGCSEDCSY CAQSSRHNTGLKATKMSPVDDVLKAARIAKANGSTRFCMGAAWRDMRGRKTSLKNVKQ MVSGVREMGMEVCVTLGMIDENQAKELKDAGLTAYNHNLDTSREFYPTIITTRSYDER LKTLSHVRDAGINVCSGGILGLGEADSDRIGLIHTVSSLPSHPESFPVNALVPIPGTP LGERKMISFDKLLRTIATARIVLPATIVRLAAGRISLSEEQQVQCFMAGANAVFTGEK MLTTDCNGWDEDREMFEKWGFYPMKSFEKDADASVSKESAPLENVSAAPAAASS AFLA_049090 MSPVGAVLWRSLRAHQVYGANTDVGKTIVSTVLCNAVQRLKSQD QAAFLKPVSTGPLDDADDRHIQRHAAGTLTKCLYQFDEPVSPHIAAQQKKFTIPRDDD IVASVHKTLSDWAGSGVNFALVETAGGVHSPGPNGNSQADLYRPLRLPIVLVADSRLG GISSSISAYESLLLRGYDVHSVLLFRDEYYKNHEYLLNYFQKKCIPLVPLPSPPPKPS VQDADSLARDEEAMTTYYGRVAQETDVASLLQQLSSKNAERIDRLESMASRAHDTIWY PFTQHHGMEAKDITPIDSAYDDYFQTFVGSDESQHENKLRATFDGSASWWTQGLGHGN PSLALSAAYAAGRYGHVMFPGNIHEPALSLAELLIESIGNPRLRKVFYTDNGSTGMEV AVKMGLRAACDRYGWDASQEQISILGLKGSYHGDTIGVMDCSEPSTFNKKVEWYRGRG YWFDFPQVKMSQGVWKVEIPENLRESLGSGLEFSSLDEIFDMEERLQSAAGKRYQDYI RNTIQDLVHQHRMKFGSLIMEPIILGAGGMLFCDPLFQRCLVEVVRGHPELFDTSAAK SAKDHPVATSWSGLPVIFDEVFTGLYRLGRKSSASFLQVDSDVSVNAKLLTGGLLPLC TTMASNEIFQAFSSPEKSDALLHGHSYTAHAVGCQVAVDSLQTMMQMENSGSWDGYRQ DWKPTRSDSPATLSARDSPDVWSVWSHGLVSDLSHAPSVDGVFAIGTVLSISLKDVQG GGYTSTAARGLQQRLSTSDGKFNVHSRVLGNVLYLMSSVTSQQEALRSIEGLLREALL AFLA_049100 MDVSSPKSLRDSLRQALHRRELKSARRRLTVLPQTSVDFSSNDF LSLSTSPAYRARLLDHLQQAPPLHPFASGGSRLLDGNSTYAEELESFIADFHGAPSGL LFNSGFDANVGVLSCIPQPGDLIIHDELIHASAREGMRLSRAGKRVQFAHSSPESLEV VLQSELDADPKIQEGSRNVFIVVESVYSMDGDIAPIREFIQVVDRLLPRGNGYFIVDE AHATGTFGPRGAGIVQELGVEERIFIRVHTFGKALASHGAIVLCCPDTRDYLINYARS LIYTTALGFPFLASIRTAYELLSEGITEPFQHKLQQLVSYLRTRLEDLGTWDPAVFEV DHFPRSPIFSLRSPLPRQLAATCQQEGYTVRAIMAPTVPAGKERVRVCLHTGNTMEEI DGFVDTIQYWLNRMTEKKAARL AFLA_049110 MSEESILIVGAGIFGVSTAYYLACSSSNPSRITLLDRGAPPSTS AASTDINKIIRADYSNPLYMTLGFEAIEAWKSLPFFNDAGVYHQSGWIAMDEKDSDLP HRIKKNFSESGRDDVIVDMTEEEVKSRWGGLLQRTDCSPFGSYYFNLSAGWADAGKAL AIMANEAVKMGVKYEIGEARRVVCGEGGMHAIEIDTGAVFKADKILLATGAWTSQLMS SVEDELELSDEERVENQASAAGVCVAHFQLSEAEKEAYSQLPVFVYGGQGILKFTFAT SVKNIISTASGHEISVPVPDQTMAPPGLQEDSMNLIRPRLPQVLDGGRKPDYYRLCWD SITPDQQPIITRHPNPSLANLYFAVGGSFHCYKFLPTIGKYVANVLNGVSNGLQKDQA WAWKPAHESKGGVHEKLVPTRVFRDFV AFLA_049120 MEHHETRIMHNESIIPRRELEFIFKAIQICSKETGRLVFYAPSS SESSPS AFLA_049130 MASTALPNKYWYSVIEDRMPWMEHTTLIKILTETEDPIDYKSLA ARLIEVTTTSDDRNGPWDEYLGLQNRRRIMMCIDRILDDIEDSVASQDNYEGVSTQIL GLSSFRAVTFLCDASIAKKNTDIYIRPVIDNPPSVMNVKVYFGAHGGMVGIEFLLEGD KSGRLVGHQTNWLQIVSFRKDVVINGFVISLGPMREFHTNHMIHGLAILTDGNYHHPY ARFGHWTNNDIVHILCARTTETLVGLSAQYTEDYISQFGIIVADLATGSSGFVWDMEG DLLATTRWTGNWPSPDNEPARLMPSLKQLNIRRTQTAVQFLDFRSRVIDCITAFFPFG KGKAIGGLLFKFSDGTRQLVGKAKNDRSISRFACNVITFNPGNEQRIAGVAIYCTDKR LGSPEPCGVNSIVSPGGYELKGPYEYYDKERITVGMQFVIEQGVITQLGLMH AFLA_049140 MSFLFPNPFLLTSLQNNEPDKMSNPEKFPLSDTDEKPDTLHREY TLGQDGVKSPQHQGDYSGARKKTDPVEIRLVRKLDTWIMPTLWLMYWLNYLDRNAIAL ARLNDLEEDLNLSSSEYQTCVSILFVGYLLGQVPSNMLITRVRPSWYMSGCMALWAVV SALTALAKDFKGLLLVRFFLGVTGALYMLSIFYTRKEIATRISILYTGNILATAFAGL IAAGIFHGMDNLAGISGWQWLFILQGAVTFLIAVLSIFTLPDDPLVTRWLTEEERTLA HERIVADTVGARHQTSTFSGLKEAARDPKIWLFAFMQHMHLAANGFKNFFPTAVETLG FSTTITLVLTCPPYLIAGLISVVWSWSSGRFNERTWHITVAKAIAIFGFILGCATLNT GARYFAMVVFAIGTYAVNSIVLGWVSSTCGQTKEKKASSLAIVNTIANASFVWTPVCF LFFLSLFLLW AFLA_049150 MISTAAAVAGGATLAAYLNAKFHIAKDVSSLLNVRRSEREYARA VSQKQGNIWFFFKETADRYPDMVCIWTRERSYTYREILAFSNQYAHFFLSKGVKKGDL VAFYLQNRAEFIFAWLGLWSIGCAPAAINYNLSGDALLHCLKISGAKLVLVDDDDECR ARIDERKSTIEGDLGMELITVDPTFTTTVLSRFPTDVPENGRLALNMDGEYPAILLYT SGTTGMPKGCAFTMSRLYTTVLLRSNGMVTDKPGPDGDRWYSCMPLYHGTSAIAMINC VVSGRGIGLGKKFSVRQFWSDIRDSESTFFVYVGEAARYLLAAPPSPDDRNHRVRCMY GNGLRPDVWDKFRERFGVPEVGEFFNSTEGIFALFNYNTGPFTTGSVGHHGLIMRLLL NNVFIPVAIDPNTGDVLRDPKTGFVVRAPYETGGEIIVNVPNKEAFQGYWKNEDATSK KFLRDVFRKGDIYYRSGDALRRQSDGRWYFLDRLGDTFRWKSENVATAEVAEVLGQYP GILEANVYGVTVPHHEGRAGCAALQISPEAKANFNFQELAKFARSRLPKYAVPVFLRI VESSTHIHNHKQNKVPLRDEGVDPDRTGTKVTEGKEDQFYWLPPGELSYKKFGRGDWE VLQSGKARL AFLA_049160 MTQSPSKPDYDILIVGAGFSGIFLLYQLRKLGYRCKIYETAPDL GGVWYWNTYPGARVDTESFVYQLSIPEAWKDWDWKERFPGRDELQAYFHHLDKVLDIK KDVEFETRVVGAQFDRDSALWKVKTDNGKTTTSRFFLPCVGTVTKQYVPDFPGLQSFQ GEIYHSSAWPREGVDVKGKKLAVIGTGPSGVQIIQEWAKEADTLTVFQRTPNLALPMG QEIYTVEDQARIRSRYPQVFEDRAKTFSGNLEDFLPTKLFDVPTAERAALFEANWKKG GFSFILDNYSDILLDEKANRELYNFWAKKTRERIVDPRKKDLLAPLEPFHALGAKRSS LEQDYFEQFNRPNVDIVNLREVKIAEVKPTGIATSDGNFYPVDAIAIATGFDAVTGPI TNMGLINTDGTSLAEEWKDGVHNYLGMASHGYPNMFWIYGVHGPTGLSNGPVAIELQG QWVIDAIQKIDKSGLSYVEPTVEAEQKWKELVTQITDMTLLPAVDSWYMGANIPGKKR EHLNFPGGLALYEQQCRQALEGWEGFQTV AFLA_049170 MTKYRIGVDVGGTNTDAAIIDILGIDSPSRGVCASTKTPTTSDV TSGIYTAIQKVLEQSRVDRQDVVSVAIGTTHFVNAVVQADSSRLSKVAVVRLCGPFTR QVPPFTEFPSDLKGIMGGPVFYLDGGLEIDGREIAPLNVEQIKATVKNIQDAGIKMVA LLGVFSPLDHNGIHEETCKKLMLDLDPSLSIVCSHSIGRIGFLERENATILNASILAF ARKTVRAFCSAMAKLQLRCPLYLTQNDGTLTDAATAAEMPIKTFASGPTNSMTGAAFL ANLDRGGGSKQFDRQVLVVDIGGTTTDVCALLPSGFPRQASNFVEVGGVRTAFSMPEV LSVGLGGGSRVVVNEQTGVVSVGPESVGHYLTSRAMAFGGDVMTATDIVAASAKADIG DRKMVEQIPAQVVTKAREQIRKILERAIDGVKISDQPVVLLLVGGGSVIHMDALNGVT ECIMPPHHDSANAVGAAIAKVAGEIDVIELLEGRDEKQVFEAAKQRAIDAAVSRGAER DGVKIATIEKIPLAYATNKATRLVIKAIGNLAPVDIDNQASESNTFQDNINDNLEGNE KEPSKSNKRRETPHFAAKPSLQIDLDTYQPDVRNNTWYISPVDLEFMAAGTGVLGTGG GGPSRIQYLHCLQFLQAPGYAGNMRVVKPASLRDSDVCVMGSWFGAPSVSSERLSAGT ELALAIESCAQVTGKKDFHAIVTDEIGGGNGLSAFPSGVMYDIPVVDGDLMGRAYPTL EHCTPYVYGFSSTPCAVADGRGNVSIILHAESNRRAETMARSQCVDLGNRVALSTAPL TGAQTKKYIIPNTISQAWYIGRAVYRARRSKTDMIQAIFDTSPGKLLYTGKIIDVKRD VSRGYTMGYCLLAPLSSDERESTIPSGCADGLEENHYLVIPFQNEFLYAAYTDPEAPE EIAKQEVICTVPDLISILGQDGEAIGSQELRYGLKVNVIAMAAHPLWTTEEGLSIGGP NGFGLDMEWTKLGEYWEPRSVIEEFNRCE AFLA_049180 MGSNVPANALVGGCNPSYINLRCGAYITVLVSIACNPWKLVNTA STFLAVISSHSIFLGPMVVSMLASYFAGMRRKIKVEDLFPCHADGKGIYWYTYGVNWR APVTVALWNGSFTLWVRGLCRSQRGSSRGVDADLRSTEYYICFLTGTAISAGMYTALH YIFPTPEVRAFVEKAPSPGILMQEYRLPGDQREEIVEQVKP AFLA_049190 MFGQVTGLSLLTGLLATASVKADSNCRCFPGDACWPAQDVWAKF NESVDGRLVATVPLGTPCHDPNYNAAECQKLSEQWTDPALHYETSSSIMAPWFTNGTC DPFHPESKPCTIGNYVVYIVDVAKPEHVSTALKFAKEHNIRVVPRNTGHDYNGKSTGA GALAIWMHHIKDIEIKDYKDTHYQGKAIKMGAGVQGGEAYEAGYNAGLQVVGGECPTV GIAGGYTQGGGHSALSSRYGLGADQALEWEVIDGEGNFITATRDNEYSDLYWALSGGG GGSYGITWSLTAKAHTGTPVSGYNLSFTNDGMSQDTFYEAVSLWQTVLPSVVDAGAMA VWMFTNTSFMITPLTGPNIPVADLEALVKPFTDGLTKLGITYTTYSKQFDSYLEEFNA MQGAIEVATAQYGGWLIPRSVVENNNDGLTAAYRHITEDGATFIGVGLNVSKALVGDV DNAVLPAWRETLIHSTITTPWKWNARSEMLAEQDKMTNDYISALTKVAPNSGAYLNEA DFRQPNFQKYFYGDNYATLRKIKAKYDPDNLFYATTAVGSDEWTVREDGRLCSV AFLA_049200 MPRFNNNIILQSLVAAERHQRLTSPPRGRLNVHPCSCTFQLRQS SGKKQGARVSALNAVYDVAQVWNLTLAVHQDIALGVL AFLA_049210 MQWDSDLSGKCIDTLKSYYALAGSHSRLYDPFIYCPILTNTGTS LGFDLLIIALPLPVLWNLQLGKRQKVALCCVFAIGFFVTIIQIIRIFTIARLKTYTDS KPVILWSIIEISLAPASQPTVPTSVSSSPTSVPTAAAQRDKTTPSPQAIDKPEHQVGN SASAVLVATTPDSIGPRCPGHTIIARRIQQRYHRRK AFLA_049220 MAALPSVAAIVNGANLDPKNIPQVETLFEQFTNLSPTERDNERG SFMEKVVLANAEIVNANVKENSDLFWALKGAGGNFDSGKGIVTKIEMKTVPAKVWSEA KLYAPSVTSELSQALMKHHEAIESDEKSSLLLTCNNQGSALVSFYCDAVDKLPAVFEP FEHIPATSQSLPRGVYSTYELLGVIEDLAVPGHVWFVFVWLVFPEENANYQSHNFRTM SSQPSLEVFETAERVRVEQSDLLSDVEGLRIKNVIQPMSSISIKQSRKVGGNPLGLEE VGQQWFLVMADWNNPADGDRVRQAMRHIVDVAEATAKANGTYLPYQYCNYASLDQDSL VSYGADNLEKLRAIASKYDPDGVFQTLQSAGWLLSRVGSTE AFLA_049230 MDILDRKPYNHAIHTSPANDLRLVKSDIPEIQPHECLVHVRATG ICGSDVHFWKHGHIGDMVVTGDNGLGHESAGVVLKVGEDVTRFKPGDRVAMECGVPCS KPTCYFCRTGQYNACPDVVFFSTPPHHGTLRRYHVHPEAWLHHIPDNISFEEGALLEP LTVALAGIDRSGLRLADPLVICGAGPIGLVTLLAANAAGAEPIVITDLDEGRLAKAKE IVPRVRPVKVTREDTPKALAGRIVETLGQEAKLVIECTGVESSIHAGIYSTRFGGSVF VIGVGKDFQTIPFMHLSAKEIDLRWQYRYHDIYPKAIGLVAAGIIDLKPLVSHRFALE DGIKAFETASNPASKAIKVQILDD AFLA_049240 MYSEDFNASNMTETSAQPSPMSSKEEIAAGLSTYMGFPERLMQD VGFYKHPIL AFLA_049250 MSLKGKIALVTGGARGIGAGIVRALSEQGAKVAFNYVSPSSRAA AESLVESLQNDGHEAFGIQADLADIQAPATLVSAVLSAFQTTQIDILVNNAGAGDNRP LEEVTLESYTKLMDINVRAVVFMTQAVLPYIPRGGRIINLSSISARGGYPTQSVYAAT KAAVEGLTRVWATELGHKYGVTVNAVNPGPVDTDMYQAAGPVHLARMEEQNKKVPAGQ RCGTTQDIADIITFLAEERSRWVTGDVICANGGMLYT AFLA_049260 MWSLRQTKLIRPPAGTDPSAPRQRDPWYVYKLAAFVSLGALLFG YDQGVMGVIVADQRFKDLMRPKNSSDCNSGVTGAIVSMYDVGCFIGAMSTGSLSDRYG RERMLAIASVVFVIGAVLQAASYTVVQIIIGRIVLGYGVGGCAAGVPLYQSEIAPPTL RGRLIGIEQMVLCTGELCAFWMNYGFNYLSTKHWWRIPLAIQILPAIVLGIGCWFWVL PSPRWLVTQDRHDCAREVLIRLHGPEAAVVELEQIQETMRLEKHTKASWTGMFKIPIL RLTLLGCGIQGFQQVTGTNSILYYTPTLFEKGGITDPRTANLATGGVGIALFVSAWIP IFFFDRLGRKVWLQIGTVGMMLAMVGIAVLQWHAGESPGSKGNYAIVVFPYLFYIFFN ISWGVAAWTYPSEIFPLSMRAKGNALATSANWTMCYIVAQASPPVADAIGWGLYVVYA AICVIAFIFVRFALVETRNRSLEDMNRLFGLQGYFAEGEAAAAEEIFVAKNASAEHIE ESTNFAGPS AFLA_049270 MEKAWRTYLPTVDLQEALRTVRESRQVAPVNTSQDTKLSTEVEH HTEQLPTNFTEHSNAEDYEFDESQDFDNSIDGMGFLTADPHKAGYTGPQSGIAALKFL QSLPLYLPLNSVNTPSSLDEDDFPAARSQSMATVSRYIDDYFALYHPAYPILHEGTFR ARISGALAKPRDGSWPLLYNTVLAIGAFVGDSNATKCDIPFYKEARRHLTMDVLEKGS LSYVQAIVIMANYLQKRNKPNAGFILIGIGFSMALAIGLHREFGMPSTSPFTMEIRRR VWWTLFVFVSGAQLTLGRPAVSLVGVNIRLPANLDDQDIAVDMEHLPECKPGPTITSA LIAQVKLAKIANAVQVELLTHHVPRYERAVKLEESIGNWWKDLPPYFNQEVNLEPHLE LPKRVLLWRSFHLRIVLNRPFLFEAIATRSAISTSEGPINSCLSAADECVTSICGFLN HTDSRKRGLAWYATYWLITASFVQATCYIYSPTHTMAPSWKGHLQQAVDCLESLGSSH DMAFRARNVLQKLLEQGHAVDLTQNISPNPTTAVTRPSRLWAPPPGAQNQAIYNPLSM GLEDSFTWYPQGMSNAELLDAAGGFMIQNFFEGSEGHSGTSPWMAM AFLA_049280 MLFFTVFTFFLELLSTVLSQPIDDILPRSLGAVKIVNSLPAPIY AWSVADKEGSMHTLSAGGGTYEEVWRVNPKDSRICIKVSTKPDLKANDVIQFEYEMNG DKVDWDVSCADLQLPSEFTTRGFSVQPSSKDCPSIRCAPGNEHCSQVHPWSEATHSCL LVTSLTFKL AFLA_049290 MAMPMRTTRHATKLAQSSRLLAQYTSRRSYATAEPDLKSALKAV IPAKRELFQQVKQRGDDVIGEVKVANVIGGMRGLKSMLWEGSVLDPDEGIRFHGKTIK DCQKELPKGTSGTEMLPEAMFWLLLTGQVPSTSQVRAFSRELAEKSHLPQHILDLIKS FPRNMHPMTQLSIAVAALNTESQFAKAYEKGLNKADYWEPTFDDSISLLAKIPRVAAL VFRPEEIDAVGTQALDVSQDWSYNFAELLGKGGQQNQDFHDLLRLYLALHGDHEGGNV SAHATHLVGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILSMQEKIGTKFTDEDV RTYLWDTLKSGRVVPGYGHGVLRKPDPRFEALMDFAATRPDVLANPVFQLVKKNSEIA PGVLTEHGKTKNPHPNVDAASGVLFYHYGFQQPLYYTVTFGVSRALGPLVQLIWDRAL GLPIERPKSINLLGLKK AFLA_049300 MPHSEPTTMATAVSKTPSTVPPTPEEISSLFNTIFTAETSQQSL DASYALTNLLIQSVGCLGFQKYDVLSQVKKAASDKKNGARRESAMLIIGALFERFPRE HPLSEVIFLLQDGGVLDIALDALADKGAVVRDAAQYAIDALFAGLKPESMANGLLPAL SAYLSRGTGKWQGFVGAYSLIEKMAVKAQMGNGTMEEEREKDILREAMGKTLKDLIPL VESGMHDLKAEVAKRACKAMNAVTTLLSNDDVAPRIPLLIKTMEQPSEKTLQDAIHAL SQTTFVAIVTSPVLALLTPLLERSLNAPTTPQETLRRTVVVVENLTKLVHDPSEARTF LPKLIPGVQAVKDRASLPEVRELATRALSVMEKAMSDSNVHAGSVTKTTPDEVLAVLD AQIQANGGLAVPEAHTLFELGKTYIAEMVREDVNCRMHDRIPICVAPYLRGLLPEDKH DAVASALQAHFIEEDHRKFGKPEPDDPNEVEIVNANFSLAYGGMLLLNHTNLRLLKGH RYGLCGRNGAGKSTLMRSIANEKLEGFPPQDVVRTCFVEHNQGEDADLSIIEYVSKDP KIAEAGQEHISQVLLEFGFTDGPEGRQSQRVGSLSGGWKMKLALARAMLMKADVLLLD EPTNHLDVANVKWLQEYLKKHTEITSLIVSHDSGFLDEVCTDIYHYENKKLVCYKGNL AAFVKQKPEAKSYYTLSASAVQFKFPPPGILSGIKSQTRAILRMTNCSYTYPGASKPS LTDASLTLTLSSRVAIIGGNGAGKSTFIKMLTGETIPQTGKVEKHPNLRIGYIKQHAL EHVEMHLEKTPSQYLQWRYANGDDREVYLKQTRILTEEDKAQLEKPVDLKDGRGPRRI EALMGRQKWKKSFQYEVKWIGLLPKHNTMISRETLLELGFFKLVQEFDDHEASREGLG FRNLEPPEISKHFEDVGLDPEIANHNQISGLSGGQKVKVVLAGAMWNNPHLLVLDEPT NFLDRDSLGGLAVAIRDFKGGVVMISHNEEFVGALCPEQLHVADGRIVARTNTGVSLD RFEDSAANSPQTGTAVNSTATSAAASAAASAAASAAASAVNSGAEEQGELKFKARKKK KMTRAQLKERETRRRLRHLEWLNSPKGTPRPPDTDDEA AFLA_049310 MRDEPPAYEETTGSSSTAIPGDNKTQLQDEDTRSKYGLISFSGY DRVRLMRFPESIVALVSETLQRHWPKGIQKVKAYYESTEFKLRGNPFEHGDDDEKVAL RNAILGLLDALAREGWGVHPAAGGLGRIGNYKSLGQKDSLIFKRQAPQQLSWMCISFD SDDLMHLINAPPELALSLVAVFGDRIKNCN AFLA_049320 MSWPSKETRAVSGPPRALRLYLATFLIVIQILFPRRPAVFTAEG KAVDLENSKSAFQKYSMQWCTTALDIAGNHVPLDQLPALNFRSRSKSQPLITLDSSKT SLWNHILAERYFEIVKHWALMLARSIFTFGPSYCVMKLLKSLENDASAKQDAWLWLIG IGLFSLCQTILNYHLLWIQWSEMAIPVRAQLIMALFQKALRVKDSKTSSKKGSQSKPD AVNLISSDTLSFSKFTAVNHYIPSSFIRFFFAVLFLIRLLGWQSTLAAMIATVMSIPV HMSVIKHERAARKKLAMARDKKTKAITEAMHSLRQIKFSASETQWEERIDSFRLEELR HLRLCFNASNIRSVWSVASPFIIAATSVCTYAYIKGSMSPSTIFPMVESLPHLQGTLG FLPLVFHDYFSARSNARRMEDFLRRPEQERILKPSPSGCVSFWKASVAWPSDEIRSEA NEVKKDTSSHEFALRDIDLEFPVGELSVIYGKTGSGKSLLLAAIIGEVDLLAGHIEAP SIADGQPVAFVSQTPWLLNATIKENILFGSPLDEERYKEVLKGCALYPDLAALPDGDE TQIGLRGVKLSGGQRARVAFGRALYSSAQTMVLDDIFSALDAHVSREIFNALTGKLGK GRTRILATHRLSLCLSEARYMVHVENNTIAYAGISDLNAPQLELAQPGVDIELVTPVN EKPDKDSGGRKRAKARTARADLKVYSSYFTAAGGLGFTLIYVLGLVTKQLLSALTTWA LGRINTSRPKDTSDPLKETSWGSASQSNDLQRYLYLYLLGSLVTLSLEILYNLHVSSG SLRASNVLFREMTLRVFRMPLLWLDTTPIGEILRRFTVDVRNVDDHVLSTMSDFADAF MKLIIVVCVGLYTSKYTSLLTMALLYWCWQVSQRYIKARTTVKGADSEPTADILEHFT SCAAGVSTIRSFGATDKLADRMHYHVDRLSTARRHFYMFNRWLGLQMSLVGILFTTGT GIVLLSSGSVIDASVVGFSLTFSMGFSQAIFKAINTFGMLETYMNAAGGVVAYSELNT ENQGGNEVPDDWPSQGAVEIKDLNVAYSPDLPLALKGASFTVQAGKRVGIVGRTGAGK SSLTLSLLRLIEPQTGSILIDGIDISTIKLNSLRSRIAFIPQDPVLFSGTARSNLDYF QQIPDKDLEDALRRVKLLAEKSSGSDGLFTLDSPISTGGSNMSQGQRQLLCLARILAR NPKIIILDEATSAVDNRTDLWIQDTIRTQFKGTLIVVAHRLRTIASFDKVVVMKDGHV AEVGVPAELLETKGLFYDLVQKSDDRELLASIIIAGERKLV AFLA_049330 MHIFVYPVVITMRSSNVYEERSLGIYAGETTPKSNHQQEKPPKT VPSSRLYFVKQQLHVQLAYDIWWLALAVIIISIVEAGSFTRDPVVYSVFNIIFETISA YGYVGITTGLPDQAYSFSGGWYSLSKVVLRAAMLRGRHRSLPMAIDKAIVLPGDYLER VEEEDAYIRMERSLEQGHGNV AFLA_049340 MSSGVSFKTSNGVTIPGVGFGTFANEGSKGETYKAVRHALKVGY RHLECAWFYANEDEVGQAIQDFLKENPSVKREDLFVTTKVWNHLHRYDDVLWSLEDSL KNLQLSYVDLFLVHWPIAAEKDEKHQPKLGPDGKYIILEDLTKNPEETWRAMEKIYED GKARAIGVSNWRIEGLEYLNKIAKIKPQVNQIEIHPFLPNTELVNYCQKNGIVVEAYS PLGSQNQVPTTGEKVSENPTLNEIAKQKGCTLAQILIAWGLKRGYVVLPKSSNPARIE SNFQSVELSDEEFKAINDVAEGRHFRFVNMKDTFGYDNWPEEA AFLA_049350 MSAYGGLGPMTNAVLWVEVVVFAVFAGLRLYTRKHLLNAVGLDD YLVVLALILHILYTIFVSIATHYGLGRLFADVGDPVIYSKATMYEVFSQVAGIMVIGV GKGAVGTFLLRIVRNKIQIWFIWGCLGITTFITLFASITVVVQCFPVQKTWNPTLEGT CWLDFSKVGYTVGSWFVAADFSFAILPWFIIWDLNMKQKEKITVACGLSLGIFAGVCG IVRTVALSGLNASEYIYDTVPMLIWSATESCVTIMCSSIPVLRPLYVRVRYGTKDEGS SGNTSYKLPLYGSGRKYGRLSKSGLDPSAVETIGGSLRAPAGDYHKSNISNEHILPGA AGIERTDEISVSYEAVEKKV AFLA_049360 MRSQILETIAALDAINPEAFGGTEVERLQVRAAARRLLARLETP YERAWGFCFEHPVVFAALQICINVGLWKSWTSAGGGEKSIHELVEFTTPTVDTNLLRR LFRLLAAFNVVEESAEDTFKPTAFSYAIGDESTKVRASLQAATYQYIAAGHNLPTYLA KTSYKEPMDVNENNYTDSDPDGLTFFGRLQKSPAYFEAFTGHMEAWTAWKTPWTKVYD TTALLEGAKLDDASPLVVDLGGNTGTDISYVLAKHPDIPAGSLVLQDLPEVIANVHVD KKITAMVHDFFLPQPVKGSRAYFLHAVLHDWPDDKAKQLLVNTRNAMVKGYSKLLIYD IVLPPIGASISQTTMDVEMMSLLSASERTQDAWENLLTDAGFKIINFWPDPQEYEMII EAEIA AFLA_049370 MATPTGRLQDKNAIITGAAGGIGLETSILFAREGANVLMADISA PALEKALAKVKEVVPNAPRVETFKCDVSKESEVQAMVESQDSWGGTDVIFNNAGIMHA DDADAVDTPEKIWDLTQNINVKGVWFGCKHAVLSLRRHKKTKGSIINTASVVALVGSA TPQLAYTASKGAVLALTRELAIVHAREGFRFNALCPAPLNTPLLQDWLGDDKPKRFRR EVHFPTGRFGEAIEQAHAVVFLASDESSFVNGHDFVVDGGMSKAYVTPEGPATPAPKN LGH AFLA_049380 MGSVSSPEVTLDNVAEILQRDTRVKLAGVDVDGMLRGKLVSKKK FLSIVSEGFGFCSVIFGWDMHDQTYFKELAISNKENGYRDIVAIPDLSSFRRIPWENN VPFFLVSFHDPDTREPVCACPRGLVRTALGKAEAAGYRAMAGAEYEFYQFRVPESHPS PERSASSTATFLRENPVEALPSLTEGMFGYSLTRPIHNQDYYYGIFDACEQFKCDIEG WHTESGPGVFEAALQFGEAKEMADKAGLFKYVVKSIGTKHGITPTFMAKPRQGLPGNS GHMHISLVTSDGKNAFLRDTPDPSPPYPDVAYLSDLGRYFLAGVLTGLPDIMPMFAPT VNSYKRLVENFWAPVTVSWGLEHRAASIRLITPPTGSPKATRLEVRVPGADANPHYVL AAIVALGWRGVEKKLEIPVPPLSKGEEMGGGSDQGVRLAKSLKEAVAAFTRKGSVARE VLGDAFVDHFGGTREHEVRLWEEAVTDWYVFGVASIILLSL AFLA_049390 MSQIDVQLKDVAILGAIPNDARKILTKEACAFLAILHRTFNPTR KALLQRRIDRQAELDKGHLLDFLPETKHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNADVWTYMADFEDSSAPTWENMINGQVNLYDAIRRQIDFKQGNKEYKLRTDR TLPTLIARARGWHLDEKHFTVNGEPISGSLFDFGLYFFHNAKELVARGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMPRGTIRGTVLIETISAAFEMDEIIYELREHSSGLNC GRWDYIFSFIKKFRKHPNFVLPDRSDVTMTVPFMDAYVRLLIKTCHRRGVHAMGGMAA QIPIKDNQAANDKAMESVRADKLREVRAGHDGTWVAHPALAAIASEVFNKHMPTPNQL FIRREDTHVTANDLLNTNVPGKITEEGIRKNLNIGLSYMEGWLRGVGCIPINYLMEDA ATAEVSRSQLWQWTYHGVTTAEGKKVDKAYALRLLKEQADSLAEKGPKGNKYQLAARY FAGQVTGEDYADFLTSLLYNEISSAGQVSKL AFLA_049400 MLGLISLVLAASRLTTALDCKCSPSDPCWPADSEWASLNETISG HLIRTVPLGAVCYRTESVYNPEACTTVLANWSTSAFHSADPASIDDPMWANNSCNPIY SNGTSLTGNIHAGEKGCSIGNYPPFVVNATEPGHVQAALKFARRWNLRLNIKNTGHGS ERNTGHGSLSIWTHNLKQIQFHENFQPQSCKTGTRVNETQMATTLGAGVQDGELFKAM SKHKAIAVGGTNADVGVVGWATGGGHGLATGKYGMGADNIIEAVVVTPTGEVVTANAC QNRDLFWAIRGGGGGTFGVILSVTLKAYPMPSVTLVNLSMSAKNGTHPSSWYRFVARA HAHLDLLQEAGVYGYYTMGGGSLTLQGALLIYDAQNGTVENLLAPMRRFFDASNATAT SSLTPLVTLPWYELVEMMPTTESVGTKQSVRASRFIPRKVVKDDIELFAETLEAITSH PGPLNDGVSPPSISGTMTGSRTPVDNALNPAWRDSVVHIITSQSWDESLPPAVADQVV HNMTYQKGYALRQLAPDTGAYFNEANANEPNWQWSFFGDHYPRLQSIKQKYDPEGLLW CRQCVGSERWTEQEDGKLCRAF AFLA_049410 MEARRTKWSRRSHRKSRNGCGNCKRRKIKIAPSETASTGSTGTP VSCSTLVARAAPSPYSSITFISSSKTDFKLPKRRYQRRPATARETANADLALAEVLPT PTNSQLQLNTTDLELLHHYLSVTVIALADDDEGLHLLQVALPQVGFRFQYILHLLLAF ASYHMARSSSMSASHGRYLEGDRHYNTALSQVSSLIAELNESTCQAVYGSSVLICLCS LAKGPRDGEYLAFSDSDRAEWLTLLRGIRSITEVSRDVFYVDPISSPKSETRERLLQD EPQLRHENTWPEWKGRLKECEQLIETEHTAGGGIQHAVYVHVLTCLTNAFHHVYGKID NSRGERCAKTFQWLYQLPDEFVFDLQQRKWPALLLLSHFLVLLQQLNSYWFVKGWPEH VMGEIYRSFNEQQRVWLQWPANQIGWYPPSAVDDA AFLA_049420 MYVVALLLIERLHGDIVERADEPQSMIPAESWFPSPRGGALKEW AADYQKAALLVGNMTLIEKVNITTGTGWQMGLCVGNTGPAESVHFPSLCLQDGPMGIR YADHISAFPPGLTTGATWNRDLIRERGIAMGLEARLKGVNVLLGPSMGPLGMMPAGGR NWEAFGSDPVLQGVAAAETIKGIQSNGVMATAKHFVMNEQEHFRQPFEWGIPTALSSN VGDRALHEVFAWPFAESIRADVASVMCAYQMVNNSHACENSKLLNGILKDELGFQGFV QSDWLAQRSGINSALGGLDMSMPGDGLHWADGKSLWGSELTRAVLNTSIPMERLNDMV TRIVAAWYHLGQDQWERPPPDGEGGPNFSSWTDDQTGWWQQASVEAGDQDGGWGIVNK YVDAGAGHGDIARKVAAEGIVLVKNNNNTLPLSRSPPSPYRIGIYGDDAGPALGPNAC PDRGCSQGTLASGWGSGTVEFPFLVSPLEALQGAWETEVEITPYLQNMVMPVNVQDKD LCLVFANANSGEGYIHAGGIHGDRNDLFLQKGGDTLIQAVANNCAGPTVVVVHAVGPV VVESWIDLPGVDAVLFAHLPGQESGNALVDVLFGDVDASGRLPYTVGKSLEDYGPGAQ VLYENNAPVPQVDFLDALYIDYRYFDKFNITPRYEFGFGLSYTSFELSKLYIKSMQWK SRLPKSRPQDQVSPPEYDTRPPVNENVLFPEGFHALSKYVYSYLPSLDGAAAANYTEY PDGYDLPRQPSEAGGGLGGNPSLYEEMAKVQVQVANTGARAGQTVVQAYVSFPSDVVE EGDLVEVPVDEKGETVTFVPSKEQVEFPDRVLRNFTKIALEPGEKKTVEMTLSRKDLS YWSARQQNWVMPDGDFQIWVGQSSRDLPLHGKY AFLA_049430 MKFLAISSLVAAVSALPSVPAPKAQNDPNAFGVVAARSASPIHF LTLNAANSHFYLGGKAATYCPENIEKLGACPPGKETALLGDKYLDVAVPGGQSIYVDP QGALSFTTPHSGYTPPGSSTEGFAYKPGKNGTLGSWTYKNGFMACPTTNSTIVPGNPK WQVFAASNNATVPTGNVRDCLGFSAVAAPYTGPAAAWEYI AFLA_049440 MFLKTLFSPRPRSYTLLQPVHHSPTEFAMRTSKTDKVVTTATDG DGPAGNLDGDVRRLAEMGYTQDMQRNFSVISLLGVAFSLANSWFGISASLITGIKSGG TVLTIYGIPWIAFVSTCVGVTLSELASAMPNAGGQYFWASELSPKRYAAFASYLTGWL AWAGAIFTCASVALSLGSAGVGMWQLSHPDFVPKPWHSVVAYEVINLFTFLFNCIGKA LPTVATATLYISLISFAVILITVPATAPSHANAKFVFANFVNSTGWPSDGLAFLVGLI NPNWVFACLDSATHLAEEVSRPERSIPIAILATVAIGFTTSWFYCIAMFFSVTNLDPI LSTPTGVPILALFHQALQNKAGAIALESLILVTGIGCLIACHTWQSRLCWSFARDRGL PFSPFLAKIHPTLDVPFNAHSVSCFIVGLLGLLYLGSSTAFNSMVSACIVLLYSSYVV PVIALLYKGRGNISHGPFWLGRVGWVCNWVVLGWTVFCLVVYSFPSVYPVTTGNMNYV CVVYAVVGCIIAVDWVVRGKRRFRGQHTRHQEVEEHVGHYAD AFLA_049450 MSHTDDRQDLPKGCRVVSTESHGISFWGHTGRINVELEDGTPFS FFMKVVSNERGKNMVHGEYESMKAIHTLLPDFAPKPIAWGTYQDVPDMHYLLCDYREM TDDMPDPHKFAARLATLHESSKSPTGKFGFHMTTYSGNLPQMTEWEDSWETFFAKSLK KALDLEIKAKGPDPELDHLLPLLFDKVIPHLLRPLESDGRSVKPSLVHGDLWYANSGI DVETGDSLVFDACSFYAHNEYEFGQWRPICNRFGDEYLAAYHSYVQVSAPEEDYDGRL DLYKLRFNTHVSALFTENNTLREQ AFLA_049460 MELVPSSPPIYLYSPLPEGYIRLLRLLPHQDKHAPIQCQLFDYP LHSYVVGTHRYEALSYVWGSPEKPYSIFLDDGCLAVTTNLYAALLHLRDRFIERVIWI DAVCINQTDLDERGSQVQFMAEIFAKASCVIVWLEEERVIAGPDKLSLHIRSLADLTT MYNNHEATDCRDKVYALLGMSSDNHGPGAILPVYTVSWKDITCRLIRFYVGEQACVQT WDDEDIAVIKGKGCVLGVVIRVKLNSSKDNMWDNSHNAFVTMQLTGGDERGDGDMWTF CKWPGLIKKGDIVCVLQGASKPTLIRPCGDHCAVITLGFVPHSADYSMHGIQSANGGE IDEWNGLLQSVALYPRDFLLVWEWQGFSGRLENEKDRAWLMDRRLPERAKRELAVELP KLHRLQNLGLILVDSEKFEQALEHFPQALDFYGPKAEEAYLPIFTAIMNIAMSWSVPS DVAILRSMVDIARRRGDFAMIAEDTMAEIVKSDDALRWMEFLFTQRRNELPITEKVLE EAAANQTNSGELMSFILDRAGQEIRITEKILLAAAQNPGYPMDIMRSIFVRRENELQI TENIFMAAKSNKKWGRDLVIILDVLRRKLSQNPHVRYWNVKANLGPTTLDDLF AFLA_049470 MDTSTTPSAPQRPHFKRPVYIPPVKGNIGKWYLPTMGMIALGFG VYNYYNAPTPAYDPEEAERLRRNKALMDAYGDKETLQDIERAFALYEIQ AFLA_049480 MLPLVCLVFATLSVMTTSSRMIFAFARDGGLPASRFFAHVHQRL GLPLNALALTTLVVIIFGLIFLGSSSAFNAIVSSSVVALDLSYAMPIAVNCLRGRKTL PDRKFQIPNAIGWVIDIISLAYIVLTTVLFLFPPSRPVTGSSMNYCIVAFGIIVLVSV VQWIVDGRRNFTGPRVET AFLA_049490 MLNKTVSWPQWVPILSWITGWVNVAGWVALVATNSLLSSQLIAG VVSAVYPDFEWQRWQQFLIYVGITLGAFVINAFMNSVLPLIYRGAFTWSIGGFVLVSI TVLACASPDYNSA AFLA_049500 MDPPHFLPNILSSTAMEESKVEYVPEDDAQLARMGHKPELHRNF STLHPD AFLA_049510 MELGQVGQANKHGLKNTPDNFSSVLTYDETGYNDYQDLLNTFSD VKDVAYRDAGQMLLDNIQDNNARTGFAMAGWNPPRNDMKAQAVEWWNWDCECAASPDA SSFIFGVAAENLTFNQFGENNHMVVDPRGYSTIIEREASTFLHKEVQDRRLWLNTQVT GIEYSKKGVKITNSDGSCVSAAYAICTFSLGVLQNDVVQFHPALPKWKQTAIQKFSMG TYTKIFLQFDEAFWPTDTQFFLYASPTTRGYYPIFQSLSKDGFMPESNILFVTVVEEQ AYRVERQSNEQTKDEVLAVLREMFPDKQIPEPTAFIYPRWNNEPWAYGSYSNWPVGTT LEMHQNLRANVDRLWFAGEATSAPYFGFLHGAWFEGLEAGEQIAAMLQDKCSNTHDEA ICGDRTHYDVLHGTTPIDAYTIINGWSVNSTDL AFLA_049520 MEVAKTGPYYQGPFAPFCRPNGIHSFQLFKWQSVPRSLVHNGGN DCRSSPWLTRESDRWSDVVRWRRFSKFYVDDYLVAAACLCLIGDLIIQHFMFNWGMTD MANASKSDMVNMMKMIIPGSTLYVTSLWLIKAGMVCFYKRLADRTHYQRIYNVVLALL AATWLTIFLNIIFKCFPVDRIWDMDNPDRACSNKQTRVNYWITILFNIFSDVIIICLP ISQVLRIRMPFKQKLGVMSIFLLGILVVITSIIRAIFAWQNKQMITCTVSMIETAIAI IANSLPVLRTLFFGSKSRSGTYYNNSSRAYELSHSNGPAKQGPLNKVTASVASNVVTD GHGTPTGLSRHDSEDELVKDAACPPVDDHAGISVTTEYHVFHGRYSSPSVNGR AFLA_049530 MGVIWDLLERAACLAVTAVALLEGRAGATFQGTPSVLTEQGLVK GFVENGTNVFLGIPFAQSTAGENRWKAPQSLGKSATAEFDATSYGPSCAQAMSGSAIV AQGEDCLNLNIWTPQNGSNLPDWLTNAQVFVYIYGGAMVTGGNSNAQWQGYNFARKDV IYVNVNYRESIYASPYAPELEGQSQNFGILDVEMALQWVYDNIRGFGGDKTRIVLGGH SSGAVHVDHYLWNHPETFLAGAIEMSANAESGPAIAPAGVALTQVAQDIEAAGVTLSC DSSNPTLDCLREVDVYSIQTSYFNSTSNTWFSPIVDNITRFSDYKSRFAAGNYPKSLP LIVGNSDQEGKLFGMVYSSENTNFSQWINTFDADMAHVPDDELLAAYNASDYETVSLM SGASYGDARFFCPTDYLIDVRANEQPTWVYRWFGDYDNVIGIPGIGASHGSEVPFFHG GNECFSKLTDVTEAEQALADYMNNWFVAWIKNPSAGPGWDQAKPTNGPLAKLGVPGNE LAIEIGSTGDYNARCQSVFNPNIPKYPVVQNPVTLAESS AFLA_049540 MHVVASTTAFLGVVSTVAGVHHVNRDTSQQILKPPVPEPIVVTE LPLPPVADSKEGSCTPEVSPHRTGCLLKSSQIQSGNFLPDNNHVLADGTNFPSGDPWK CITCGVPEENKVGSTELSPYPQAFLDGKRALIGTNIVDCGSALLSSSDCTPDKVHIYP IRWNVKADGSGSGGNIRELRLHPDNVHLGFNSFTFSNGQLGQFGYFSRLQFNPAPKTG EPRSARYDLVNVTRLYNPDSPQPISAKGNELLFNRSAIAVGELRGFTGRGKEVTYIGN PVESCNIDVFAADLTTGKVRRITDHPEYVDPMDVSPDDKWQVILDTRGTGRQMFMAGM RGIPPIIDLIATTVASSTRNNGPRRFFRPWLLDHDGDRGDYYGQQINGDGDGSPGSIN DPNWNAGADPKWSHDGTRIAYFENLVVSPSCGGQNPLPCPNSTEPGGRVTRLMLAHLT SREPLDLEPVAPVSDEVPWGVPYVPESALPDRPFPAEGNYTLKGEVSGSASVSIIHDK TIPAAIKTIAVTYRNYSDDGLHVIAGSERFTNTVASMTINKVDWFSDLTSTGQVTGSK KTSPGGFHLEIDAMTNIFMANGTLTTTIDGKVWKQPANGT AFLA_049550 MSDSTAPSLPPPRRVVTGHNPNGQATVAFDSHLTPQPVGGNNLT ILWSTSEHPANVNGSEDAALSPRSWPPTGTGVSAYDIPPKAEGVFHRSITLDYVIVGK GSVVLSLDDGSQVTLNEGDMVVQRATMHSWSNTTDQWARIYGMMIPAQAPVVNGKELK EDWPF AFLA_049560 MQDAEAYSSSLTNLYLIYLNRKHAQRRLDLGKSAQVVDESMLRK EKVGKAVELEDVNAVPQQNQAEDKGFSDTTDLKNEDFIYVY AFLA_049570 MAGPAETPHDDITTVEDPQGPRNQGKEKAARFLAEVDEGERTFT PEEEKGVLKRIDRRLLPLLLGAYFFQQLDKSTLSYVSIFGLVEDTNLHGQQYSWLGSI LYLAQLVMQPVAALLLVKLPTGKLIGTAIFLWGSSLAIMAACTDFPSLLGLRFTLGAF EAMIAPSCVAVTQMWWRRGEQTLRTAYWNGMNGVTFVVGSLFTYGLGHIHSNTLYSYQ IIFMFCGLLTVAFSLVVLIFMPDSPMEARCLNHREKIIAVERLRANQMGVVSREWRWD HVWETLYDMKTWCWFFLIVAISIPSGGISTFGNLIIKSFGYGSFETILFNIPFGVIQV IAIVGGGWLATRFQRKGLVIVGFAIVSAIGTLLMIVVPREQKGVLLFGYYLVNRLLSS SRSMSLDMVSFLAGITPLVYAWEAQNTAGDTKRKCTSAVVLIGMCAGNVIGPQLYSTS QAPLYRPGLISNLILFIIVGVFAM AFLA_049580 MTKAEPKMKKYALIGTGGRAMFFYTAIVRDFGSTAQLVAFCDTN NTRLAYANSRIEALGHSAVPTYLAQDFDRMIDETRPDEIIVTTIDRTHHKYIIRALER GCNVVTEKPMTIDAPRCRQIIDAVDRTQGQVRVTFNYRYAPHNTKIAELLNSGAIGDV NSVYFEWMLNTSHGADYFRRWHRDKRNSGGLLVHKSTHHFDLVNFWLRTKPETVVAMG DLRFYGKENAERRGETKFYSRARGSDVAKEDPFALDLESNEQLKALYADAEWEDGYYR DQSVFGDGINIEDTMGVMVRYQTGAVLTYSLTAYAPWEGFRVNFNGSKGRLEVEVVEQ SYVNSGGEQALEGALESRTILLRPLLGKPVEIEVPTGEGGHGGGDPQLLQDLFGTRSS DPLNRAASHLDGAWSIATGIAANESMRTGQVVRVNEVLQL AFLA_049590 MTIFMAQTVCKIHITTTRYTRCPTSCTKVRRILVTMGCPIFAAT GQPCRDPKEVYLGQMIARGQCPNHKDEGFAEYDTR AFLA_049600 MFSYHRVLVVFGRSVWDKSLVCDCDIHPWDIDINKEQWPGKIRL IAFVDVFFLISYSANASFEKGIIIYRPPGKAPQANEVQYEFQSENDSSPTKPVSSRVK QEVKKRFNFVNGAKYSVKGWGHQIGLKYTYPRSCLREYEIEINKPYHRAMRRSMKRGL EEHSILQLLGDDIWGGIIKQGQVTGHLVSKYLANGVLDDALKEYVDGVVSTDRPGSMI LSNDNVR AFLA_049610 MRSRSVNLRQTIWLVVELQELVLSKVTFYVNPNWDLLERPIDDL GQYYNLCPFIDLYTRNQEHATSKENISIAMAALPAQDVQDLLNGKRQQEGTGMTETGD ILESITDTLGAFNFAVPKNLDEETARTRIGQCVRSTIKSLQRLRLEHGGDTSIHPLKL YSLIAGTIHGTLSDSQPKTDDIYKERRIKIVIDLLLSALAKLKNHEIRADREHIARFS KVQCLKSWSRGTGRATFFAHSVMNLASARECTVPTDIAYSLMGILGVRFPAFPAEGLT KALARSMDEVVIASNDVSVFNWSGKHNGSPIRGRSLYASNISGFRVENTELQENFDAD QELMRYFREQRIKDLWMAGRV AFLA_049620 MKAAKIDAKEEELEDGHQQPLIPGDSRGQQAPDEKRQEMIENND PPEVQGTEKKQRKQEKDAQNREKEGAEKRRLDRSPDFDKEVKNAIEQALSKRSESNIC QDPYDKVHTEGGSEDKVTVNETEPLESLDRIIISPNPIVLNSSGIKGVFDISASSLRQ KIKTAISVNDKIDGWCTISTGLALTMVAFSCERHVLAQQLDLVEVVEETVLKDPHAKL DGISEQLQRSEYRQNAETNTESYTTSEEDIRQGLKKPERSSTERSDLTTNPKTMKIQY GNSPEQRKLFRMLDFVTESNIQIVAGEWVLARFSSAPGAKWFLCRLELGAGTEFYARR IPTDEIDFTEAFPERGLVEYWHAFLLEQKSIMCDVLSFYLDSKKAGKYTDWLGESIIN SLWGDVTSDQNEDESCDDDVDLARNPRSRDYGHLSNVRKMIKMIGWSAKGVLSETWAS HLNRYLRDNALRKVPVHLRAAVEALSDRRVLLPAMFHTGKEIHFF AFLA_049630 MPGNSYYKTYSERDYIYQEEDYPSPQIPTNCGPLPLPIVVPQQR PGSRERGFIAAYAPSLEACGIDRMAFLRFLDECNTAVQGNKFLAGVQVVSFGVGFTPE MIVMGVATAVQAGAYFANKGHVRHKTNAVLDRYNQELFGPRGLFCMIMSYEPEAYDEL KSQSSQSLSSQLSRFGSLASGSWVRNPVSGKSQGAHNLPTAVAPLMFIDDRRQHKELL SDGCSLESTEKVKSSKRAKRAFDTFNDYLDRRARAQYTAENSGDILNVPLTRGFKNRY LDPNHPATNGGLIGLLSGGVLTPDPEARRRKALRRVEEEEKQVLDQYYQQMDGIRNQN QSRWEIERQIRQCDEQFAPRFEEFRERRREAQGKQRNIRKNVLYLTIVNKPTEGELAA AAARLNASSGYGNGMVGVTQV AFLA_049640 MVYCGRPSTSCNNCRAKKRRCDKAVPGCGQCRRMGQQCPGYRDP TSLIFRDESSQVGDKVRARITKRDASRGHTPGSVGPSQGAGLQVELEQGLLNEDPVFT DHSQSIVGNDALQVNNTSPDFNNASSNVLERGTSSSRCELGSENVGNDEQDRAEGKGP DNQKAIPPPFALPLDMIGLNYFLAHYVVRQSPPSPGFLDYVPSILTLDDENEILQGAI LAVGFAGLAHRTCQVDLRCRSRAMYTRTIKRMNKALTDPQTARRHSTIVTVLVLSLYE FGESSLNGWDQHIQGATSLLRLRGKAQFTTPIGLQIFKDIFSHLLINCLRMGSAIPSP LRMIRAEAAKAISASDPYWVASSGLVEIMDLYSQILPGGFDFIHSSEAVGNGTGATER QLPIDELERYLSQALDIDHRLESRFSECSPEWQFTVMQLTSPDPTRVHGDAYHSYHDA GIVGVWNDMRTCRVLANHAICHLLLRGAKSDPSWFFSKNYTDQLQETSRTMRQIRDDI LASVPQQMSYFPFPAQAQLEPVNLEQYFNQMTVPGTKWSDDSWATFNSSLGFEHSTYT GAGVGAYFASWMLLLAGCMHTNSEDTRQWIVAQLRHISLQSGLAQADFFADCVQSSKV RPPLST AFLA_049650 MYDNTDYDHVRFDPIWYGDYPGDEMPLEIAEYLGENLEYAHVHQ MVGSSRTIFHMCGRPDVVRMIDDPAYVIDDEIVAVPIGCFPVSFLLSRYQDEGIFPWD HVPGLESGAVKKCSIPASVTETVAAQELKALYPFSRPVTSGETIKVVRVQHNRNFNKF EKDVTARFADGLLQRKDTLFRGLTLLALEKCLAFFLPVIRSTNADNEFGPGIYTTGDL ATAKDYAGRAGAIMVFSTPDERPLNCWEPTGDEWRRLTARWLGLSLSDTDLSPAYYEA DVIKGAMSADQSKGQRQNRFLTPGNIKQQAFVSYRGCESLRRELKAIIFIESSK AFLA_049660 MAQLAEAISREDDWTGTKDAAARRRAQTRLNTRAYRKRKALAKN AEAFSAETGTLIKSRAPVECWDIEQQSISVVPASRIKQLYNARNPLLPDKPGKDQFNM VFPLCPDHLITLLQFNALRALAVNRNLISNILVTPLDCNKEVIHITPYPTKPDLLPST LLPTTLQQTVPHGDWIDLFPCPEGRDRLILATGTFDEDELWADCIGGLYEGFPDDEIE RRGIIAWSPPWDITGWEMSEGFLKKWGWLFEGLPGVLEATNRWRMEKGEEPFVHDDCT THVTA AFLA_049670 MFSYHQNIEFNPRHLVTQVLVFNMTFHPDTLPNLKGKVFIVTGG NSGIGYYTVTHLAEHGAHVYLCARSLEKGTAAIANIKEMHPSANINLLQMDFMDLTSV VAAAKHFLTLETALHGLVNNAGIMATPFEITKDGHEAQWQTNYLAHWVLTEHFLPLML LTAKGLYPGSVRIVNLTSSGHLGAPKGGINFKDLSLKDSGPWARYEQSKLANILHAKA LHKAYGPGSPSARNGEGEIWVSSVHPGLVETNLATSVEDSGSGMTCVFSVLRMFGLMW SADKGSWTSLFCVASQDMKAEQSGTYLEIFRRFGEPRWQSDMANDWKLVKRLEEWTRE VVRKEGWVL AFLA_049680 MLAWTPQLLEKLNATPTEAQAAFKVYTRLAISIVDHPHPMEPST TALAAMATLSHMAGNSDNYPYKLPLIRFRCFSMARAMQIHRLDTPKSREQRELKGYNP IELEVQRRIWWNMLASDWLNSFSGGPQEGAYFIQPKHMMVSYPTNTDDEFITPTGILQ DRPLSQPSALSAFIYRVKLATLCREVVDAMPSIWLEAQEPDYETILALDRKFQNFLSE LPAFFKLDPDSIEQSKTICEERPYIPVQRISLHFSLHTRLCRLHRPYHLEGVTNPKYS YSHRVCIQYAQKVLELRRLMDDAGARIGLKPGRFWTTNQHAFLAALILATDVSFNPDA PDAEARKGKVLAAYETLEKSKEESSILVETIQKNMQTLMSTLHRQRPRRLDLQSDITG IRKDTLVSASEAPQNNDNSQGLYNTSMSSHAVSTVQWSATEHPILVDDAAPYRNVPPE PGMQEEDWDQLWSDFLAVAPELDIPQWNSLLDDTDFNFGEST AFLA_049690 MDHPKRVILRLQEADLDEADLYEPVRLYLEKNGRSIEELDTDRH FVHIQPPNPDIPQVDPKLHVVIDLEAEKYTGKLGPDFPYEVYRVRRMDGKLDPPGSRV FVSALLVAIER AFLA_049700 MSEHRKAIIIGGGPAGLSTALRLHQTTNVKCTIYELRPEPTTLG GAIGIMPNGLQLFSRLGVYDALHARGSSQSNLVIHSAQGGIVGEQKDMVSYARAQTGF GYLRIKRTDLVDVLLDAVYKAQIPIYFKKRLTTIDDNGDSGVTMTFSDGSTDTADILI GCDGIHSCVRRLYVDPNQRPEYSGFSGLFSLVPTSRLSSSAAAQVSGIGATLTEQGMF MVMLATAAGDEVSWGLSQEVPLPDSGDSRDGWEVYRQKELNGFKSNMHQILEKVHGDW GDLLKQLVENTDVMKFYPVYRLPLGGAWYRGRCVLLGDAAHAMQPHAGQGVSMALEDT FLLARLLEDHSRPLTEVYKKYEEIRRPRVNEIYKLASRNAGVRKTTGPWGLWSKEVVV GMAFWASWALGLEKWGWRQKHMAYDIDMEEI AFLA_049710 MIQVPSATAERPSSPDAPTFDKDAALAVVSDEAQEIDPAVEKRV LRKIDLFFMPAMLIGYGFVYWDKAILGSASLFGMTTDLELLVIDHTTSPPSKDTSRLS WATSIFYFGMLAGLYPMTFILQRFKTQYVFGPIVMLWAITCAATAGVKTWQGLFVQRF FLGFVESVVPTGFMTIVSSYYTQKEQAMRQAWWFSGTGWFTIIGGALNYAFGQISSGA LKRWQYIYIFAGALTFLFGLWCCIMPNSPVSAWFLTPEERVVAVERLRKGQTGVRCQK IKWDHIKESFLDLKLYLVAIMMASAYTINGAISGFGPLIVSTFGFNTLDSILFQFPVG GVCVIFIPLCGYISSRIPNTRIPMLVACCLPVIAGCVVIWKSEWGYQPAAPVVGYALT GFFGPVVSLIITLGASNVAGATKKTIMAATVFVAYTVGNIIGPQLVNSKTVGQHYPEL WTGMVICYCITIAAAVALYLVLWRENRRRDQMDLDESQRDKLAFHDLTDKQNPFFRYV L AFLA_049720 MADMRRKFAMKRKLDRLEQAEDTLLHLIGALRGSENTRIAQLLN LIRSNASFDEIAVFLEQQFSRAEIERSPELREFRSQLSRPSDEDDDDGNEGTAPRIPR RMLEVRRLADIPVYKVPAKPWTTVTDDDDLVSHLVSLWLTWTYPWFDWLDKDAFIRDM QAGNLNCRFCSPFLVNAILSEASYYSDYAEVFTVPGDMFSRGDHFYEEARRLLEAEEE EVPSSIPTIQGLLVLFIRSVWVTSREGSWLTYSRLVLMGKDRVGWMYMDLAMRAAKEY ASSHPPLPIDTESVRQIESVVNRTLWGAYNISSTAAVSLMKHIDVTPPPRPRVPINHD DRLDVWSPYPQLVQPVRGHHNCVFDRWCDFSFITLRISRALHDPDNSPPQCEIPAIIN DIYQQLQGWYANLPECLRLENANVPHILSLHLFYHTNVMQIFGFLQSNSGEAITPETA KNAKDLCLSTARRIAQILSIHREKWGIDRLAPSTVQWASIGLFTLLEALDSLENRKAF TELCIFARALSRRFGLAKGILRMIQVTAQQTEVSLPPETDALFLDFETQTWRNRDSQS FSSFYPHFSTVIRQGKVRQSDVSMDRFLEKWDNLDIGDGPPRREEEE AFLA_049730 MRTHDEFLADQDEPDQPTKRNKVTHPANRICYDWMVVSGNCHYA RDRAVFTTYRSVDLRLKNNIFNPTDELHVAGFGTVHLTVCRSPQDPTSHVIVLEDVLH IPEAVCNGFNPLLFGSSMSCNADYWEGADRSGQPVWFSLPFAGHTRLVLAGDPKGESE LIEGRYYTLSLYITPEEKRELAAGAVNGNGNGMAF AFLA_049740 MADKVDATVSHSDNPGEAQIKGVNNAALAVATIQQKPKLLSKSM LKLYWCIAVAMLNSCINGYDGSLMGSINSYEQYRSYFGFDPDEGTPSTGIVYAIYTIG NIVGSFTAGPFTDFKGRRVGMALGSIFIIIGTIVQATCHNLGGFMAGRFILGFGVATS ATAGPAYVSEMAHPAYRGAMTGLYNVLWFGGGIPGTFIPWRTADIEGTMSWRIPIWLQ MIFSGLVLLFCFTVPESPRWLISQDKHEAALKVLAEYHGEGDRNAPIVQLEYREMVED ISMTGSDKRWWDYRELFNSRETRYRSMLVIFMAFFGQWSGNGPVSYYYPQMLRGAGIE NNNTRLLLQGLQNVVQFIGAVFGALITDRVGRRPQLLLSTGIIVILFAIVLALNATNV VDGPDGEPIAKSGITARAQIAMIFIFGFVYSAGWTPNQAMYPVECLRYESRAKGMGMN NFFVNIASFYNTFVTGIAFSGAGWKYYFLFIFWDAFEFIIIYFLFVETSKRTLEELTA IFQAKSPVKASLKKDEVFVASDGAAALQKEV AFLA_049750 METVDVTVIGAGWSGLAALKTYHQVDPSASIVLFESAASVGGVW AKHRLYAGLKSNNMLGTYEFSDFPMDASFGVQPGQHIPGTVIQQYMERFVEHFQLSEF IRLNTRVRIAEHNSDGTWTLTIDDTDGEKTVVSKKLIVCTGITSQPYMPTITGQDTFD APLFHCRDLPQHQDAVLQPNKRITVLGGTKSAWDAVYAAATAGAHVDWIIRDNGHGPV WMAPAYVTPLKKWLEKLVTTRLLTWFSPCIWGDADGCSPIRNFLHGTWLGRKIVDTFW SILANDVITLNKYDSHPETKKLKPWFSPFWIASGLSILNYPTNFFDLVTEGKVQIHID HITHLTPKTIHLASGSQIQSDTLICATGWQATPNIDFRPSTLSQTLGFPWAEDPIPQS VIQQADAEILSRFPRLATPPPKPANYAPLAPDAPAAAKHPFRLARLMIPPALANTRSI AFMGLAMTINTTMLAQAQALWISAYFTENLTPAPREQCPPHLRKVLEQDNADGDADLV WETALHSQFGVHRYRGGFGKRNPDFVFDAVPYVDLLLRDLGLDYTRKGGLKWLEPYGV EDYRGLVEEWIDSKEKVGKKDN AFLA_049760 MGTALDALTAPQPLNTRRPAAQSLPSFELPPPNFHIGGAAVKYH PHPAHPQPANNHSVNSLLTPPASTQSGETPVPTTATAVTTAAVSASPDPTSSYASAYW PGQSSYTTASAAPRQSWSAGVNPYPPRDTFSPSVNHLHRNSATSPPGTEAIPSQPYDM NHLPPFQQPLASSMPGPNSQHHAMTHAMLTAQNALPNPPPAPPSLPSNDPYMTKSSSA PSYTGIQQMSNHTGAYAPYGQTSLAIHPPGRVASNPPPHHLNYQRQPWPSYSLPAMNG PVMTNMHNPNGQMSLVGNLQPGLFTSGQLAMQQMYGGHPSHAGHPPGPTNDRPFKCDQ CPQSFNRNHDLKRHKRIHLSVKPFPCHHCDKSFSRKDALKVRSLERNIRHILVKGCGK DVSDAIPKQESESVKQEDKDLDHGLSV AFLA_049770 MSQGRGQRAGRISRIASDCPVSIARQATSDVWRIQEGRRSRFSD LHGSRTEKEDAIRRMRQTLADSILVGHWIESTGMACGFSRFFPPKFFTREAYPGGG AFLA_049780 MGDISTQPDPSDANGTPKKHILLNAFDMSTVGHLSPGQWKNPAD KSATKRSLTYWIELAKLLERGGINALFLADTYGGYDTYEGSLDECIRRAAQWPVTDPT IPISAMAAVTKNLAFGITASTSFEPPFLLAKRFSTLDHLTNGRIGWNIVTSWKKAAFK AIGLDTPIEHDERYRQADEYLRVVYKLWEGSWASDALSPDPETDTYVDPAKVRQINHK GKYFSLNTRHIVDPSPQRTPFLFQAGTSAAGSAFAATHAEAIFVSSHSPAVLRPKIEN IRKLAAEQGRDPRSIKFFATFTPIIGRTDDEAQAKYEELQKYASVVGGLVLFSGWTGI DISRIPLDQDITAADSLEAHKVTSMLDAFTTTSEDVPQWTPRVVAQKAAIGGLGPVGI GSPQRVADEMERWIREADLDGFNLGYVTTPGTFEEVVDLLIPELRRRGLYPASAEADG LTAREKVYGKGQRELRADHPGSQYKYEVYQEEAAVAETEVS AFLA_049790 MYSSALDPEMDVAGDPRNSIPPSQIVLSGESAGGNLILAMLRYI TTENQAIPLPRCALLWSPWVDMTIKALLEMDQHRNYKSDYIEYDFGSWGASSYFPPDW SDSNPYLSPLGSEYRLSVPLFIEVGTSEVLYDNIVLFAHNMREKGTEVELREATNGVH ATFGIADTLGMSEVAIDGHARGARFNARTGGD AFLA_049800 MDLEDLPPFRRLPSELIRLIFTLLVNDEPTSLHNLKLVSRLFYN HASRAARSITCRDIVIKVCGHYALTKSTEKIVAELNRTRGFHFARRLIIEESDSTCKA EAPCKALSGWHPPGLTELRRTDLDEPYEPTCAATLEETPLCPDTRDGDVKMGNKRHHV WKPVAELIRHLPALTDLFFRCGGQFPVCILDAMHRWAPRSRLHLQTFNLQGLETPIED SEEHKLVSSSHLHSIMLQYNEKGVYSYDNSPCYRMKTLQRLVKSAPNLKEVQITRREA PKNFTTVPIPSVLQKPKTEEQDIFLPPASLKLLRVIDLVPLAAMTLIEWGKHTNFSEL ETLELCSLAEPKALVVWSQQLEFPKLRVLYLQLNAPAFLEEDAPTTELYEAATQFLKS LPALRELYLEGWHSMVSLDPLVHHHGCCLRKLDLAGPQAWQCLTERDILQLGKHCPLL ESLDLMIPRSQGDATEIALYKAIGTIPRLRYLHLHLDVSDASLGRSQDNLETDMNQEF RRDINLVRNINTKPPSEPSFDEFGNNFSIKDLGGFYRSRNGHVQRLFRNSAIDSNLAC SIFKAISGNKVIGSSQLERMIIRLQCSAFETGTLLSHLVHIFSCAWLVERNSRDDRRD EVFATQVASCPYDEIYFKSKFPEFVEPFKDVFRSVWPRPVGMEEESAWWNDWWSFPLE TDVVMG AFLA_049810 MGKTLPTIFFLNAFLIGWPKLLNPTFKKRDRIQSGSSSQRNWSI KFGMATRKTRHMIKYASQQS AFLA_049820 MMRLYSLASSSTNLSFITMSHSKMTDKVRLFCLRAVFCDEIADN MTKIHEERFTIWIRSLPANVTISLLGTSRRSQCVLFCRLLILCTTYTEMRGYEIITEM TSETLGLATQQKQGRQVYLCASKATDQ AFLA_049830 MKLRPHLHVQQSRSAFAEGNARWTNLDLDPVPRHLRQWGPISFI AYWISDAFNAATWQFASSMMAVGLGWSDAVIIVAISFFIISFVIAGNGAVGAIYHVPF PVIARASWGFWGSYIAIVSRVILALFWFAIQNVNGANAVRAMIGAIWPSFLTLENHIP EDQGITTNGMVSYLIFWLVQFPFLCIHPNKLRWLFMVKSVLVPIAWIAIFIWAFVAES GGGLLHQKATVSGSKYSWLFLANMTSVLGNYATLSVNQSDFSRYSRVTAKWQLLYIPM LPIIFTFLSFIGIVASSAGQARYGGSIPWDPIDLISNWSSRACRFFAAFSFALAALGV NISANSLSAANDLTALAPGYINLRRGQIICGLLSWGLVPWKILVSAGNFLNFMSAYAI FLGPIAGIMLFDFWVLKKRKYDTLALYQRENRTYGYGGWGVNWRAVVAFVVGVAPNLP GLINSVNSEIDVGVGVHPYQFGWLLGFVATSLVYVVVSLVFPARESLVDVAVMPEEVY DAREREDGDGSLDSQEKVMREKVEA AFLA_049840 MGSSAKKKKEKQKDFTKAKLKVGKAKGKPENFTDTSFKSKGIVL NQQSLTLNAPTSNTQFTHHVSLLSSKSDSQRRDSLAHLTTSISSRPVNSPLPQPVSVI LPTLLPLILDANTGVRTQLLKLFRALPQSDIRDHVPQLLPYIRAGMTHLAADIRVSAV EVLSWLIDVAGTEVVSSAGGWIKTLNCFLSVLGWHTEESAKWSANRASFGKSGAKGQP MMKVLTVLAEFLNAGIGAPANEAQDVDMLGSGGVAGWEFPLCQTAVHMVPDTAAPYAY LNLFGQPRDEEGEMYETWEDRYRVFSNRFLRAIQRGLENARQEGGEMGRASSGASKVL KEAVAYGTGIAV AFLA_049850 MLSTDLKEALRYESSAHMPGFPPSISPIHFVCIGGHGQSAIALI LLKLGYVVQGSDIKESDNVVRLRAAGATVFIGHDKDQLGSAKLVVASTAATKNKTNVE VDAARDRRIPVIHRSEMLASLMRHHKSIAISGSHGKSTTTSMVAGMLEAGGLSPTTIS GAVVTQYGSNAHMGSGHWMVVEADESDGTMVRLPALISVVTNIDSDHITFYGTQEKTR ATFAQFVRNVPFYGLAVLCIDDPGVRKILPEVQDRNIVTYGVSEDADVRAENVKYNPQ DSTFVLSVRDRRDGTRRVVGSIVLSVLGLHNLQNALATTAIALELGIELESIRYALGS FQGTNRRYIHVGEANGIQIIDDFGTHPAEIKATQTMAKQAGARRVIAVYQPTVVVRNV EAWLEEYPAAFEESAHIIIGQADGVEVDPVPAGEARETLVQYLHSHGREDAISMPDPS ALPELVSRLGQEGDFVVCMGFRSSTLWARALAGQLKALGTPRMKGDQ AFLA_049860 MGFLDRPGANHIGPFARESLTHYDDSQMILLGPCNDKGDHILID DDYNITGIIDWEWAYAAPISEAFKSPIMLLPVADSYNGVNCIGEDELTFASILEEKGN KGLAAIKDAELDWVDWKQKALERYSGDEQLETLLARTD AFLA_049870 MLAHDPDLASGVPDYALDAPSSAFGFHQGNHHPVNHHNHTSPGA PFGLDTFGLGDDPILPSAGPYQSQFTFSPSESPMASGHPFANLYSHTPVASSLNSTDF FSPPPSGYQSTASTPQPTYDGDHSVYFDMPSGDARTQRRIPNYISHRSNLSASLQPRY MFNQNNHEQASSSTVHSPSYPIPQPQHVDPTQVLNATNYSTGNSHHTGAMFSFGADSD NEDDDGHQLSERAGLAMPTEYGDEDGFSSGMQWDGQFPGSFHSLPGFGPQHRKHVTIG STDMMDTPEEWNHGGSLGRTHGSVASVSEVRNREQDPRRQKIARTTSTPNTAQLLRQS MHSNNNTSHTSPNTPPESALSSAVPSRPASPGGSKNGDQGSNGPTTCTNCFTQTTPLW RRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRSSANSLAVGTSRASKKTARK NSVQQASVTTPTSSRAQNGTSSESPPAGFSAAAGRSNGVVPIAAAPPKAAPSAAASPS TGQTRNPIQAAPKRQRRLEKATEMETDEANKSAGGRSKVVPLAPAMPPAAANPANHSI AGGQGASQEWEWLTMSL AFLA_049880 MIFLYRVIQKSVYLTNPCDNDPVIGGRSSVIITNELLEFSCFCH APGTRLTSFSF AFLA_049890 MPAVVGTTMTSTPTSQTAIMESSTAADKKRNKLGYHRTALSATK DTMSGSGGRRSGSMFEHGVDRSLNRLVLSASIHPQWAIQANDSLPGNELARRH AFLA_049900 MRSFLGCDLKKVDGCWLLLGLVFGDWSLGIDWGLEVVLLSWFEI WVVSTGGLLTTPYTSLV AFLA_049910 MLSMNGKDPGAVGFRHFLELPVADAVRYPEEPKGKLNYIQLSSI PASEVYELMEHYHDVYALSGGAPYDRKQLLCDGPSAWKKIGVRDINLQCLVERLRTLT NLRRELLHGKKATTILDTESTRDLYSGLFTKFLYPPSKPLLADSSLAHSLKSQIKALT MTLAARNAYRADEPDHPGFDPVEHTGNSRGEKNHEKNSRSFFENLLHRSLSGSAAEST ESAWNCSLVPTYLDQQLQGLLVFGQEIGWPGLDGLRAGLESAIGSGQMSEAVTNAYNK PVHNMLPAEAGAPLSKEEMHTRSPSRRWIALHCSQDQKASVQLGGWITRSWLSGFVFP GEQISHLLMATILENDSDALAKLGPIANLYGGFAYNGMTWWSKECVVGRVLASLEDTK ECLGWIRSAVVPKDAWTVQPLDNSWFEVSVQPPLSMPGKPRIRQGNKLAYESTPLGRG ERVSGAFSLPLDSPLEKEPKNVVFETLTFSGKDGQSLENTPHPIVDRALMTFSIASGT DSPWKVSFQLRYNVRFITSHECRPPGNFISYHCACPTGSGTSTPSSSSSPRHHHRLPG HPLHSGYKYKHISLDSLPEHCVPDDAFLEGSHHNNHSNHSNHHKKHEVLIVDARGGHD RETFARAWCASLGYHALIGRVGRTCLACCIREARAISVKVVLRVDGGVNRSPYPSSQT LPGLKEI AFLA_049920 MSGVSKACCSIPPIVPKGYQAKGEYKTINGLKTYVTGPENASKA ILVIYDIFGFFDQTIQGADILATANEQKYRVFMPDFFEGEPADITWFPPQNDDHKQKL GNFFQTKAAPPSTLSKIPDVVSEANKLAPNGEFGSWSILGYCWGGKITALASGKDNKI FKAAVQCHPAMLAPEDAKSVSIPMAVLASKDENPKDVQDFGSNLKKENYVETFSTQIH GWMAARSNLEDAEVRKEYERGYKTVLEFLHKHA AFLA_049930 MKGLDRGLALVSTLALLFVQAVAVPLSAAENASPDQTVLGDTYN FPSTPENNADTFEKDPEAEAAPSWFTSTLMARRLLALSTTGVASTIFSHTPKDVHVPA AVAGLSISQKEYISDCDEALPPSSGNGGNGDPTFLALEVETTFRNTAEGSNISITLDW WDHLNETEPLWPGFPLSPAGLPRVTLFGYVESFPSPVPEDTEAALRSCYLKAHPDARV WLPERPGSPHRSHWVRMVVTQVYWIGGFGGFQRIGWMNVTEWKGIRREESLPGIGDGR GWEDVRLPGEKGY AFLA_049940 MTGIDVLGLKDPNPSVSYRASYAHPYASSISSSASSSSSSVFSL DGVSSHGSISSASTNPVDVIWENDGEYQTAGRGLGPSRAPLRGNAVKAADVAVPPELR KHPRRTNSGIQSNGVSCARPPPCLLRQSERKVNFVDNLVDTASQIVETIWPLSAVALR SDSTTGCKGVLPLRTFIQETLRRSRTSYSTLQVALYYLIKIKPHVPSHDLVHDQTRSK PVCRAMQCGRRMFLAALILASKYLQDRNYSARAWSKISGLNTLEINQNELMFLQAVDW KLHIPEATFQRWTDIVLKYTPGAGIIPGEGQTWRTVIPRLTPELEEIDFEPMTPTSME GSDSGSMAGSPSPRGTSMRGSFLPSFASEPSTLPSEAPVLKRIPPTLEQASRADSFNV SLPSLPRLPTLPTPQLTPQSSMASTPAASAGSFSSHRRYFCAAMSQAQNMCMARSTLD QRPSLSFCPKTGSFDSYPTMVRRSSLARSTSSASSPDSMVSDVSTLSANSSRSSSMSS TTSGPVLPRLAIQATLRCTNNSMKESRKAVAIASPIDESSYNDIYQSPESFTGSTGHV SELSKFSLGIPVDMTSAHEAAQSLCALSGSAPRAPQTNQQSGNQRQCRKRGRAGSDDF ALQNHVRHLMQSNTITEGTVLPDGNMKGLFMNAGPQRTQSLTAAQLHALNYNVPLSGP AGMKRACCGSEARKIALNPSVRADYLG AFLA_049950 MPKGASVKLTPSVLDTEEILLAGHEHKNQYFSSPSFCYGTMIPT PQMMACSFDFDIACVER AFLA_049960 MVSGHGASPGNGITGGYTGNDIGFKIAIATLAAVTWYNAIELII LVFVTFSQYHGLYFWSLFIASSVGLVPYQVGFLLKFFNLTDQTWLSVTFITIGWWAMV TGQSLVLYSRLHLVLGNARILRRVLAMIIVDAIILHIPTTVLTYGSNLAGGRAAYING YNIMEKIQMTGFCIQEFIISGLYIWETVRMLRLDPDRGKRKIMYQLVAINLVSILMDV GLLVVEYKDMYIMETMIKGVVYSIKLKLEFAVLGKLVHLVRSHVWKTESVARPTSDFP DFVDASRVTSDLTHAMPAARHRSHPWMDTDDVSIAMFEHAGLSRDHETGHSEVSHSWS GETHANHPYPDRELSPVDFTSLHQQKSLPSKTSTESPG AFLA_049970 MASLRLPRANPLAFTRWPVTVITAIVYLALLIPLLVVHHVVPSA PSSPPSGLNISEAWADLQVLTNGFHPYNSRRNDVIHSWLLRRINEILDSTPLEQEYRA LDEEKPDVFVFDDVYSNLTTYGGTLKDADLGVYFEGTNVIVYIRGWEDDTEHWWEAPN GVPTSRGGVLVNSHYDSVSTGFGATDDGVGVVTCLQLVKYFTTPGHAPRRGLVVLFNN GEEDFLNGARVYSQHPISKLPHTFLNLEGAGAGGRATLFRSSDFEVTGPYMRSPHPFG SVLSANGFDTGLIASQTDYVIFQGNMGLRGLDVAFMEPRARYHTNQDDTRHTSKDSVW HMLSAAVATTEGLVSDSTDRFDGAPNTDGGVPSGSGSQAVWFDLFGSTFVLFQLHTLF ALLVTLLIVGPLTLLFTSIALTKADKMYLFRSSAKSEDRLDVVPLQGLRGFFRFPFLF GIPTVVTVGLAYLVTKVNPYIIHSSAYAVWSMMVAAWVFLAWFVSRVADFARPSAFHR IYTLTWMYVLSWVSAVIATVYANQRGLAGGYFIFFFHAGIFLATWISYLELFALPSKT EYANQLRSVSGRASGHGSRRGTTSGEDDGEEAEEEPTESTSLLGSGQRTTFANYVRVG GDNHAVAEEEVIDPNVYGREQAWSYALPKWTWGLQLLLTAPITLIMVGPLALLTISAI SQTGQDGGHPLFAYVAIAIFTTIMLTPLLPFIHRYTYHVPLFLLAVFLGTLIYNLVAF PFSDSNRLKLYYVQEVDLDTGVNSATFAGLSPFVKDVSQELPSAAGQTVSCEWHTKRR NLLSCSWEGIAPQPVEGDHPMKDWGALKGNVVCLWSDHNQPGVLPALDEAIQFLPVWA AVTKGSDGLVEGRRAFEIGNDD AFLA_049980 MPRSRQPARFSSEAPSESSSSTSPDRNVDDDTDFFTAQANDSQS SVGGIATSRDVHAQNEHYVLPPIGRLPPELLIAIFAKLSSPADMLSCMLVCRGWAANC VGILWHRPSCSNWVNMKSITTTVGKEDSFFSYSDLIKRLNLSALMEEVSDGTVVPFAQ CNRIERLTLTNCSKLTDKGVSDLVEGNRHLQALDVSDLRSLTDHTLYTVARNCPRLQG LNITNCVKVSDDSLIVVSENCRHIKRLKLNGVIQVTDRAITSFARNCPAILEIDLHDC KSVTNRSVTSLMATLSNLRELRLAHCTEINDLAFLELPKQLSMDSLRILDLTACENIR DDAVERIISSAPRLRNLVLAKCRFITDRAVWAICKLGKNLHYVHLGHCSNITDAAVIQ LVKSCNRIRYIDLACCVRLTDRSVQELATLPKLRRIGLVKCTLITDRSISALARPKAS PHSSISSLERVHLSYCVNLTMPGIHALLNNCPRLTHLSLTGVQEFLRDELTKFCREAP PEFTHQQRQVFCVFSGDGVKQLRDHLNRTVPPAREMNEATMYDDDEELDEDEGQVTGL MHATAINDDDDDYIDIGHPQG AFLA_049990 MSGAVSRLSGLLGHFVPGAEQRVNFHTLSPTSFLPRAAAIEPEA VAIHHVTANNQVLRRTYAETADRARGLAYYLKKHGFKRVGVLCPNTPAFLESIFGIAA AGAVNVAVNYRLKEDDIAYIFTHSDVEAIIVDQEFLSLLQSYRASRPSIPIIVDMDTD ATEGELSGPFDEVVLEGLTYDLDTGAKGWPGLEAQAASEDDVIALAYTSGTTARPKGV EYTHRGCYLAAMGNVIESGLNSHRGRCRYLWTLPMFHACGWTFPWAVTAVRGTHYCLR KIDYPQIWKLLKQEHITHFNAAPTVNTLLCNSKEAEPLPEPVHVTVAASPPTPHLFEQ MTNLNLHPVHVYGMTETYGPITKGYYLPAWDNLPSSERYKKMARQGHGFVTSLPVRVI KTDVAEGTVIDVARDGKEIGEIVFVGNICARGYYKDPDATRKLFAGGVLHSGDLAVWH ADGSIQIQDRAKDIIISGGENISSVALESMLVTHPDILEAGVVAVPDSHWGERPKAFV TVKPGKFLTGSEVIEWARNTSDISKFMIPREVEVVAELPKTSTGKVRKNILRDWAKGA NRS AFLA_050000 MSSTEPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKMTRFNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAAME RLKVFEGVPPPYDKKKRVVVPQALRVLRLRPGRKYCTVGRLSHEVGWKYQDVVARLEE RRKVKSSAYYERKKAARRQLVQAQKSAGVSDQTKSQLAQYGY AFLA_050010 MPRWNRKKKSQAKNEIPSSSNPAVVPSDNLTAVASLRAVSSNPS DAPKIAELDPIELRKLAKQHAEFGPLGDPSHLYKSEHPGGEIPDPVLDEPPYFIALTT YISFLVLIFLGHFHDYFAKWFRSHTYRHLRPQNGYASLYSDFESFYTRRLKQRINDCF ERPTTGVPGRYITLLDQTSEDNLHFQLTGTTTDTLNLSSYNYLGFAQSEGPCSDFAEE TIRRDGISMAGSCSEAGTSKLHLEAIIDKVRFTNAGQVFGEAPAPSVLAQIYSSLRLI ADEDPLHPGQGIERVQRLAFNSRYLRLGLKRLGFIVYGHDDSPIVPLMLYNPAKMPAF SHEMLRRKISVVVVTYPATPLELSRARFCVSAAHTKDDLDRILRVCDEIGDALELKYS TGVAGGLRDPPSDKDLKGGKKTIEPPRWNIEEVIERGTRDAKLPLY AFLA_050020 MRRKTRFVCVSDTHGYTPAEAGFKLPAGDVLIHAGDLTNQGSIT ELRKTINWVAAADFEVKIVKSTGNHDITLDPNFYAKHGPKFHNQRLEDPQKCIEVVTA SSSIVFLRHQSALVRLTRPNGPNTIFKVFGSPFSQSPGTWAWGYESVDAAALWSRIPL DTDLVVTHTPPHSHCDRRATGGSVGCEALRQALARVRPSLAICGHVHESRGYERVRWP LSPLTGSEQVIRGALPEPGSKKQSLIDLTGKKAQRLENDDCFFDDEKNNQGALFPPAQ NTLILSSADDVEESTTSYPRLRPDECPSDPLNDRSRRRETCIVNAAIMATSWPHRGGK RFNHPIVVDLELPVWRE AFLA_050030 MGPVESISSRRLGILIRFKMSLVLVPTNIIGTPITRVSSAVQHD GFKSDSVIRHRWWSVGISIGKLGGSTPSEGPENLEPSLDALARGDEAETSLMSGQSLH EERLSWLDALCNRLHVQMTAARDPITHFEKDLFRKASAACATAMTGK AFLA_050040 MSSTPEFDPYAVLGVQKDATLADLKTARRELAFKHHPDKVGNNS SEMFQNVQKAFEILSDPTERQKYDQKVRINELRREMAARNATAGGSTSYAQRSSTVRE YRDGRIYEERTPADVYDDVRFTKESRSTPRKNDEFGMRQRTRASEEKKKTKSVPVSSP HPSKDTARSSSKTTHTDRAKYRTKERRREAYEKMYASYAGSEDDASDSSASSVWVRVK RPSTRSREPSSRKTKQAESSRRSERRYEEDYSDEWDKHEKQYSTAENYIRRSKGTLPV EREPRQRSSRSPNQRRGYESADPESSSSRRAGRSKRSTESVRPDTSRHGSYEDLESYD RPSRSYEAKVPPMPTSATASGMKVPSSVRPSLQPSRSATASYSRSKREGSSRSDPVLL GMVYSDPPSRTAKVRGVDKSDSGYSSPGTPEMAAGENPPKMSARYKVFNDKLVNEPET ILVEPGMPSQPHPSPRHSRTYLSPQERLPRAPPKPVRSSTYAYTPEPSKRYEQVRPET SRQSSSRQLFGEVDYSSRLKEKEFKYAREIGPDKYSRHHYDSYNPPPVGRRQSTYT AFLA_050050 MLLLDENQEVLTLVTNSLKNDLNHSNQYIVGLALCALGNIASVE MSRDLFPEVESLLSTANPYIRRKAALCAMRICRKVPDLQEHFLEKAKTLLSDRNHGVL LCGLTLVIDMCEAEEAEEGQEGVIEMFRPLAGGLVRALKGLTTSGYAPEHDVSGITDP FLQVKILRLLRVLARGDAATSELINDILAQVATNTDSTKNVGNAILYEAVLTILDIDA DSGLRVLGVNILGKFLTNKDNNIRYVALNTLNKVVAIEPNAVQRHRNTILECLRDPDI SIRRRALDLSFMLINESNVRVIIRELLAFLEVADNEFKPAMTTQIGIAADRFAPNKRW HVDTILRVLKLAGAYVKEQILSSFVRLIATTPELQTYSVQKLYMSLKEDISQEGLTLA ATWVIGEYGDNLLRGGQYEEEELVREVKESDLVDLFNNILNSTYASQTVVEYITTASM KLTVRMSEPAQVERLRRFLNSRTADLSVEIQQRAVEYTNLFGYDQIRRGVLERMPPPE IREEQRVLGAPTKKRQSKILKDKTKKAAKPAEQDMLLDLMGGDAPATSPTGNGSQNTA DLLADILGGDSGLSSPAPQPAQKPAQSNTAAIMDLFSSNGNTPSPRPAEPASSSMDLL GGLGSAAPAASTPPPSAPAAPAHTAFNKNDLSLTLQVQRGSSGNAQIQARFRNSSNFT SFSGVGLQAAVPKSQRLQLSAINKPDLEAGEEGIQLLKVASLNGALPPKLRLRLRITY SKDGSDPVTDQVDWTEP AFLA_050060 MTSSTPDSARMSSAVSQSNGSPLLRPRAILKKLDDPANLSLEKS SLDSSGRSTPVAEDAPPSIQSISHARKQARARNRLFYTIDYIPRVSHFDPRSDYHNFR GFFTLFWIGLFIMVATTALRNVKDTGSPLRVRVWSLLTANVWAMGFSDLAMVVSSAAV LPLHRLYRNSNGWLRWGRGGMIVQSIFEAAWLILWINWPFMLRWTWTAQVFFTLHTLT FLMKIHSYAFYNGHLSETERRLSALDNPGSVSIDAAVDRYPDPAPRRPPTQRRSSHSR SESIQELREDLATELTSPLGHVTYPQNLTLPNYVDFTFCPTLCYELEYPRSKERSWAE IGLKTCAVFGCIFLLTLTSEEFILPVLSEANTQLHLKQSAADKALILAETINMLMFPF MITFLLVFLVIFEYVLGAFAEITCFADRHFYSDWWNSCDWLEFSREWNIPVHHFLRRH VYFPSKTRFSQSVAMFITFLVSSIAHELVMSCITKKLRGYGFVAMMLQLPIVAVQQSK YFRGKTTLRNIFFWLSMIFGLACMCALYVLV AFLA_050070 MATKAMIPFLVTMMLVTGVCNTILNKYQDMQCVQNCDSSDPSDR QLFEQPVIQTIQMFIGEMGSWLVVLLSFIYQAYISPRFSNQSSPLLVGGYHPVAENDG IDDTVEDNAIGGDDLPNDPTKPAHAHDGRIRLHGRKIFLLAAPACCDIAGTTLMNVGL LFVAASIYQMTRGALVLFVGLFSVLFLHRKLYLYQWMALFVVVLGVALVGLAGALFGD SQNHDITREDAMAAVAHVSMQARALAKTPEAVQAIIGVLLIAAAQIFTASQFVLEEWI LEHYAMDPLQVVGWEGVFGFSVTVIGSIILYLSIGRTEAGRYGYFDVKEGWHQVFSNR AITISSVLIMISIGGFNFFGLSVTRSVSATSRSTIDTCRTLFIWLVSLALGWESFKWL QVAGFALLVYGTFLFNDIVRPPLKACLPRGVRERELLLPEEPIEHI AFLA_050080 MPGGGNPHGQMQVPQQKPDNQLMMNYLAQALQSQGTFTGWRADV SLKERAVNVYQMWTSLRLIQPHAALQSLAQAALSFEQKAFNNAKEKVDYDKECNDKLV HIRDTRARQAAVLQQNGGMMAQSGHAAGMAGVGQAPFPQQMGRPTQASPMPGQQQMAM GMNDPSRQAAIQHRQQQQQQQQSQAMLQQRAQPRPGNGIPLTDDLSTLSAQDYENVCR IANQILSKTSQEDVDKIKMNLQNMTPEQRQYLNRKNMDPITYFFRSQALTQLRRHKRA RQEAQQRAQNAGVDPNSAMMGDPMMNAQQRQMFQNMMNLQRNSFSMGSQPNLDPSSFI GNVENIQGQQADGLRSQEAGQLVVPASSSQMNQQPFTTPQNMFQVGQGNQTNMNGAGI SPQFFSQQQLQTSQNLQQDRTQQAPQFQPQSQAQTQAQARAQAAQKAQMAISQAGQAN SQMQQQLTQQSPAMPMLNRPMAPGQMSPAQVTSQVRPPSRAPGQQPTGVQSLGGQTGM QGRPQIPPNLPPMVQEQLARMTQEQLTAFLMNQQRRPLNNQAMARANANQQSMPMQQN LSQAGQGQPIVNGQAGNNQNMRASLNLQQQLAGMGGAQAPNQMLPGQQQMTAQQRQQQ QQQQRQHDLYKMQLLRQQSGGLEMSPDQIKDMDRLPFPPSILSNNPNVPSPVPKHIKT WGQLKQWATANPQVLGGIDLQKLVTFQKFHLAQILAQNKEGGRNPDSGGQNPWMQMPF QGQQQQFMNQQQFQAGQQQAPINMPPMRQITVQDIQIARQRLGTQVQNYTDDQLRELL FRNRQKQLMQLAQNRAAQLAAQQGQQTQSSQQPPVTAPSATPQIKSEVQHQQPTTQLN QQMQVTKTQNATPGKGAKGPASKQPPKRKMPNEESADAQNTPAQKSAQPATSQGLSGS TPARPNMPFTREQLVNMTPQQRAQLEAHIRRQQSQNQTRAPISRTAAEEQWNNLPDKI KQWYTEILANAPSNDPISVQPEQKAQMAQQLRECTDMLGRMDTLVQWFSKIPNQEKNV KSLLAMRIQLMRQFKSGPDWTINDHFTVSPEYLTMTTNYVKKLFQAMITRVSQHQNQP SGQRPSVSQGSTNVQSTAQNNMPALNASNLQQLQQQEEAIQRARRASSQTAVSATSAV PPAPFGAPSPQGVPHVYGPGSMPPQELKLPPPKKRKQSHAGPTAGTAGTKSPATKQTT ADAKMAVTAMVGPFKCSVPQCQHHYQGFATQNALDKHVEDTHKVEESIEDPLQFALDS FRNLVKEEDKASPESQAPKKSAGSAMDTPQVPPKVGMSSPSMKQEAGTTPITAGATPV ARVYGQFGAKPTSPASNQTPRGPSSKVPLATAKPSGSKDGKKEAGKATEQMPPLEPTA EDPWTSSAVSLEAIQDTFMDFGDDSGFGFGPMDEFINADMFANTQSKDTPDSVETAIV TETPKDNDLPKVGDLAGKGAEYLEDNWIPMDWINLPGRFEDGPLLNESWEDIDWDTLD RSNGSMNVDDSGIAICAM AFLA_050090 MRQDTRIKRSGNQMALGPPPSRELDARSIGTRSTTLAGRASARK TGIVRAKEKKILEPTEIEVMEIMTAVSWIDGACTTFGSVTLGILQPAFP AFLA_050100 MPSSFDQLAILPLLGEYVDSGYREPKGRIEYSLRSNARYQLIVL CCAVVGLIYISIQNGFEFTSIKALVMALAYVWGLVLAIYLMGHGLVSIPRTLFRNANV SGRLRRIQAYAPRLHDRLMDAITDLESLESQVSQLQRRKTGSALEFKDWIEDLAETSN SSEQRTALLEPSDVSSTIPSVITERYMADLTRRLQRARHLKARFIDEWDRLVLTAADL QAIINSSASKKLEFGHAPHRATFFTRQKILNPYMRHHLYVHVIPSVRLLFGVIFAAAS LCVIWSELIKSWAPRLSVVTLSIVSYHKDPAPVGFGRQVTASAWLLYMCWAALVGVND AKVWGNRALVRRNTYGESACWYAGLVARLTVPIAYNFVTFLPMSARENTTFYRFLGRS IDLTPLGKGFDYFFPIFILAPFPTSLNTIQDKFVATFSAETPLSLRSLGPPPTWITEN TFAADKHTLAVTILACALAVAIMSWRSPFGNLFRRSPQYSTVSNTPQVSDSDYSYIVD GAAGTYGNQDDNAPDTLLLKHKRNAYELNFPAYAINDGTLSVRELRRRAAEATGAPDP KRVKLLYKGKLLDDDELSCRDEGLKQQSEVLCVVSEVGESTPSEGSDAEDKASDSAAP DDAPRPKRVRNRNKNKKNKNKKKNKDGVDTLGPPADQKPSASPSRSTLPAPAPNLKAF STPFEQAQALSAYFQRELLPLCNEYIANTPTDPKSREFEHAKLSETILAQVILRADGI EPDGNVDARNARKALVKEAQSTLTKLDQAKA AFLA_050110 MAGAAAAAFSSDVWLSSGVDSNQHQWEFSVPLTPNSTVRRSKSR SSYESTNRSRRNSRGSRSSSLSKHAYAHELGYMNSRGRRDVSHGRRGSEAGSSRDVYG QDAASRSIGNVRNSEDTYNGSLRKSDLREGAGPCPEDTDDTNWIHRDKLAKIESEELQ QILFQRRVGSGSIRSGRGRNHDMHHNEVTTPPIEQMEPWPNLEGHRDITSSPTGLDHD ARGNWDLRKPDEIAADDGASSIYRNPGLRKSSSRIPIPTTSTASMNRSRANTISDEET LSFGMPRRASEPITVDSTDASPPAAGSRPASRGVQAQINAAKKTPAKGAAGTGTRKTS APASTRRPPPRSRTTSNNNPQRQGKPGDRPKTAVNRPEGDPPWLATMYKPDPRLPPDQ QILPTHAKRMQQEEWEKEGKTPTTYDREFAPLAVGHDGPRPVENIEKVEEPEEPKEPK EKEEPTPSQPQPEPPSAPKTPDPVTRPNTGTGYSPMPKLQEPSQAAPQAALTPKWSPP VVTAEPPPPKERGCGCCIVM AFLA_050120 MSAAKIKAQGIINDNAVVVFSKSYCPYCKSSKSLLSQLDAKYLT IELDEESDGSAIQDALVEISGQRTVPNIFIKQKHIGGNSDLQARKSELPALLKDAGAL AFLA_050130 MRPPTSTMQGTPLRACTQCIRRQYLRPTGATPTPQRLLSTTRAL RSTPKNPLRNSTSSRAREAEIARSKNTMTLSAAGIVACAAAMYGVIKLDVFGLDQVSP KEEEHKVEVQKEGAMKLDGPAGFGGNASVIRVQGQDGAEEVSTGTSTIPTFPSVIRLP KAIDAGSLKAGDEVPESVEEEEYQLLGLGIRTVSFLKIQVYVVGMYVAKSDISELQQR LVRTAVNPPGAKEGVVDTPGATSATSLVSTERQGLKELLLDAERGDEVWDAVIRGDGL KTAFRIVPTRNTDFLHLRDGWVRGITGRAQKANAKALEGAQSEFQDESFGTALNDFKS LFGGGQRKNVPKGQTLLLVRGGRGELDALFHPDPAKPVRFLGRVSDERISRLVWLNYL AGKNVSSEGARQSVVDGVMGIVERPVGTVVQKIV AFLA_050140 MAKMALGYRLPLLVSLLALFQFALAQKCWRNVTCTGPKDSAFPG LWEENIYAPSSRTVSPKRKILSLARPNATVDYQKSKPYTLNGNGSAVVFDFGIEVGGI VTLDYTSKGGSGALGLAFSEAKNWIGEWSDSSNGAFGPDGALYANFTKDGSASYVMPD KSLRGGFRYLTVFLVTEDQVSVDVDAVSLEIGFQPTWSNLRAYQGYFHSNDDLLNRIW YSGAYTLQTNAVPVNTGRQVPMLKKGWANNATMGPGDTILVDGAKRDRAVWPGDMGVA VPAAFVSTGDLESVKNALQTMYDTQDKTTGAFDESGPPLSQKDSDTYHMWSMVGTYNY LLYTNDTSFLSKNWNKYQKAMDYIYNKVDNSTGLLNVTGTRDWARWQQGYNNSEAQMM QLASWAGSSSLTDKWNAQATDLVQSINEYCWDDEYGAFKDNATATALHPQDANSLALL YGIVDPDRASRISKNLLQNWTPIGAETPELPNNISPFISSFEIQGHFKIGQTARALEL IRRSWGWYLNNPNGTGSTVIEGYLVDGSFGYRSDRGYSHDPSYVSHAHGWSAGPTNAL TTYVLGLSITSPRGLTWKIAPQFGDLKTVEGGFTTSLGKFQASWDKSPDGYTLQFSVP PGTKGNLTLPYVRSSEKPSITIDGNNINKGVYYVDDTATITVSGGGSHRVVVS AFLA_050150 MRWLSTPLVAVSLSLGLSAISHASQIDRKGNICTVKANGNQTDD VPRLLEAFRKCGNGGTIVFREDQSYWIASRLNPILSDVTIEWRGKWTFSDDLDYWRNH SYPVAFQNHAAGFVITGRNITIDGYGTGGIDGNGNVWYTAEAGNTQPGRSMPFVFWNV SDVHVDNFYVKDPQLWALNIMNGTNMQFNNITCNATAVDAPYGENWVQNTDGFGN AFLA_050160 MDARNIRLTNFVYQGGDDCIAIKPRSYDINIHNVTCRGGNGIAI GSLGQYKEDSSIIRYNDDMHGSAYIKTWTGVPTPQDSYDSAGLPRGGGRGNVSNLIFS NFEAQGANIGPTINQNQGDNGSYSGTSLMTVSDITFANCTGYVTNEGEVTSTVTCSEN HPCYDIYYDNVVLYQGKNASEPGIGSCKWTTDNGVHGLEGC AFLA_050170 MGPRYRSVAVIGTGPSGVSAVKALNDEKIFDTIRVFERRDRVGG LWHYDPIPDPFPTSTSSSVKQSKIPLSFPTFTPPVPEDTTARTAIYDTLDSNVGAGAM AFTHSSFPEVNSALSVRQYGKSNPSRPFRVVSSYLEDLFKEYLHLVSFNTTVERVEKE DDKWTITLRKRGQFHNNQPADYWWQEHFDAVVIASGHYNVPWIPDIAGLDQAASTHPT KFEHSKAFRSANDYVDKKVIVVGGSISSADLVADLHPIVKGPLYLSLRGKNEALQAAW DLPNVEGKPTIERVQTTERGINVIFADGSVVENVDKLIFATGFKLAYPFLSPNPTTPN NRVAGFYQHVFKIGDPSLALVGQVRAAISFRVYEYQAVAVARYFAGRNAKALPSPQEQ DLWEVERLKYKGPSSLFHEIKPDFKEYFDFLRDLAGPPAPGTTAYELPPWDDKWAELG FGVLGLKDQYWRSLKAAAEQVRAKL AFLA_050180 MALLFLKQKLFPGQDKRSAGKLGKPLLAPDDSTLNSDQDDIESQ RSYSTFRPSSPTSESGSTSSSRSRINPRIVSDAILGLSDGLTVPFALSAGLSAFGNTK VVVLGGLAELAAGAISMGLGGYVGAKSEAESYETTVRETKELIETSPSETSMIVHDIF STYALPEEAISQINTSLHASHDRLLDFLITFYHKESEPDCNQAWISAITLALGYFVGG FIPLIPYFIFSQVIVALYWSIGVMAITLLAFGYVKTCVVRGWQGRENIAAGIKGGVQM CFVGGVAAGAAIALLRILPNQVLRPLRHVNLQSQIAPLIRHLSPRLPQDPQDLLLLIP LLKLLKLIIQKHQTSHIFQHLCLRITLQTLLPHQRRHPPRLLRRIARLQTNPLRDLRL PLLQSTPPGMIPDFGHRIRRPRHLPALEMLGTNSETEGVWRGGTAQGKVFGHFVGVVE FVGNWYGGVRRGDGFGVLRVRGVD AFLA_050190 MIHGLHLLPENGTIERFNFTTPSSATTFRRGGATEQAREQIGTV TLHVRDSETADSFLDNVETRIRKLRNDSLSSNPAQLQIGSAEVTRLVRDVLQPVALDA IHEREGRDRSMNATQTILEIALAQYGSANAQHVKRVIGEHKPRGDFN AFLA_050200 MQFKTLPFLILAATALAAPEAQPGDTDSAQSYIDQLESLATQTD MPEGMPTNMPSINTPPPSIMSVLMTAVPASLLQDMGNAASRSSFASEISAGHYPDWYK SLPGDVKTYISTAYQTDAQATGAQKTGDSTATSGPKATGTSGSDSKSSTSEAGAAPTG AVAVGLAGAAGILGLAIAL AFLA_050210 MPIPTYSEQDTSNTPSTGTAGQASLETVSSTNSGAGRALGTGSG GTVAAPGYSSASGDRPLSKEEADRLYEERMEEEYAKRDGGA AFLA_050220 MRSTQIALAGATGNLGIPILKALLDAEYHVTVLSRIGGNSSKLN PHPNQTIKEVDFTSVQSLIPALQDVDVVVSCLATSAIGSQNPLIDAAVAAGVKRFIPA EFGMDSLNPLSVQLPVCAPKAATQKYLLDKSNHHPEFTFTSIANGLFLDWCLETGIIL DLKQHTATLYNGGGVPFSATKLADVAKGVLGVIEHQVETANRVVYIHSALVTQNRLIQ YAKDKDGKAWETVVKDTEDVRKESLAELAKGDRADVDTAMLGFSIVGCGDPNYGCDFS GHLDNGLLGVKEMSEVELRMLVESYLDIDTARH AFLA_050230 MSATEPSDSPAPNGQGEISSNSHDEGHRLEQKVTPGDSGPSRAS QDEPLADSKDTKDLKQDDMEADIQDTPQGAVPESPRSVTTPVVDPPSTTPTQQESLPE RMKGLSISTNALDRSPSPNTDRPPPPPEKDDAYLNQKPQSAPTSLLSTKTSSEWTEKE LPEVPERGKKVDNGGAGRDDVSQPEIQSIMEQFQDSAHGDSEELIMSPRLELAGQFFG GQGHFPPRQSSLDQTKAAGEGAQASNVKVGAPSTSPEKQPALSSTSVHRRSLVEEPAL TRRSSTSTLPPPPEPEPDQPFDFHRFLEQLRHRTADPVAKFLRSFLNEFGKRQWMVHE QVKIISDFLAFITNKMAMCEVWRDVSDGEFDNAKEGMEKLVMNRLYSQTFSPAIPSPP TIPRSTSRSKRREMERLHGPWRRGQHQEDIERDEVLAQKIRIYSWVREAHLDIPPVGS HGRRFLTLAQQELSKINSYRAPRDKVICILNCCKVIFGLLKNSKSSDTSADSFIPLLI YVVLHANPEHLVSNIQYILRFRNQDKLGGEAGYYLSSLSGAIQFIETLDRTSLTVSDE DFERNVEAAVSAIAQQNRESESIEPKSTQRSQSTPRSSVDVPRGRRETTQSNDEDNAP VAGLLRTIQKPLSTIGRIFSDEPDSPEERRTHSATTSPVGPSPRLNPNVYQPPRNSGE ERRSGERPRNASQPRRARTRDAQDAAVRQASAEDAEARRIQRVEHNNMVETLSNMFPN LDRDVIDDVVKMKEGRVGLAVDACLALSAE AFLA_050240 MCGIFFSLSASGPVLPNEETCCLLRKRGPDSFQVQQVQRDVNTD QIRSVPILLTFVSTVLSMRGDQVVTQPLVDTTTESVLCWNGDAWKIAGEPIRGNDTQL IFNLLLQAAKPSYNSESSNALDNGTAIQRVVDVISRICGPFSFVFYDAVNAKLYFSRD CLGRRSLLQGIDDTGAFKICSLCDGTSSTHFDEVGTTGVHMIDFTRSVMQDSPTPETG ATHFNTDSIQTLPWENVDSPESNTKVAVLFSGGLDCTILARLSHELLPADESIDLLNV AFENPRVAAAASKEAKTGSVYENCPDRITGRSAFAELQAVCPGRNWRFVAIDIPYVET VAHRDTVKRLMRPHNTEMDLSIACALYFASRGQGSAFDSHEGNAEPQRYTTPARVLLS GLGADELFAGYARHGMAYSRNGFEGLIDEIDLDVSRLGKRNLGRDNRVIAHWGREARF PYLDEDFVSWVVQAPVWEKCGFGLPEPESDDPTKATTGIDPEKRALRLVALKLGMSTV AREKKRAIQFGSRTAKMEKGRVKGTDALS AFLA_050250 MLSPHQMTAGVMAGPDSLADLPSGTISPKDLFMDASAPPSASFT DLSTPSFESPGYFSQDTSPMFATDMELGPGVEEWGSLFPSQDDFSLGLDTTALDIAAA LSQPKVKADVTPASPMIRTTSSRATSPSARSVTKPSHVAGVNARQRKPLPPIKFDSQD PVAMKRARNTEAARKSRARKLERQEEMQRRIDELEKSLEEAERREQYWKALAQNKA AFLA_050260 MAPYTPAPAAYGSESELQRQQQCQLPTGGLCAISPASQGLSCAS TLEYNP AFLA_050270 MSDVQKPVEETPAPVPAAAPVTEVPAETPATETPATEAPKETPA EDTEATPAAAEEKKDEAPAEQPKEEAKEEVTPASEGVLGHKAPGLVKSLRFSKRFFYF SEDAVEAKQLSAFHQNEKAAVANPIAAWASQTGKGLLFFTKRAEDKNTPTGIFNLADV TDVTKEGSSEFLFKVNGHKHTFQAANATERDSWVAAIDAKAAEAKAEKEAITSSEGYK AELEKLTKPAVVEPVKKAAEKPAEAKEEAKEEAAPAEENKDNKAKSRSQSRKRASIFG TLRGKKEETEEKKEGDKTEEAKPAEAATEPAAEASAATETTEAPAAPAEPTEAKEDKE EKEEEKKEEKKEEKKAETKSKRTSLFGNFFQKVTSPSHEKSEKEATAPAETPAVASTA PQLDNPVEEASVKPIEPETVTAPAEAEAAKDAAPASPAAETPKDKRRTSFFGNFGKKK GDSDNEAEGESKPKGNKLGGLFRKPSKAVKSEPKEPAAETEAKAEGAPKEATVPESAE ESKPAEPAATTEEAKPVNVSSTSAPVQAAA AFLA_050280 MGVFRPRGRSDSRVSGLPFRRSPFVDDEAPDAIEPPVEQANNGS PPRTPLGIESLVSGGTSHRTPSRSFYHRSFNSTADPARYSSQGLREQTAELASYALSL NGSSLPEERNTLPPSLDIFHPIQDTDLSSSPADTVVTEALDTEPPTGPIASSSALTEM IRNPSDSLDGVNGRGSAPKWSGLGEGGASVGISGTASGMHEAPSTEQTSLLPKSPHTK PFRSYGITEDVESQDTIRERKQNAFHKMLSSCSLIGFFPRHILIGCIGGVGFFLLMTG VEVSARLPGSLEITIPTLEKLSRPDTVPLWLVPLLLAIGLLVLKRFVRSNFLVGGYFI AVAVIFYIVKLSAKVPMDTLRKSGWMIDAIFLTVLDFAAVDWAAFLDTIPAMFALTFF GVLHVPINVPALGISTGEDNLNVDRELMAHGVTNALSGFSGSIQIFPQHSELTWQVVI IVVTMGAWDFVVGIFVGIILACMSFVVQTSRKSAIRATFSGKIAGSTVRRPPIQQRFL REAGQQTLIIKLGGYLFFGTIVDVENTMRGLIEEEAFNKRPIRFIILDFSRVYGIDFS AAEAFTRINRILRKRNVLMTISGLNTGGDVGRSLQNVGLFESENGVQIFEDFNSALEF CENDYLKVFYSHREALLKRKDTSSTFLEVPGSHTQHHLHESIVSSPRHRHLQQAATTT LREDETAVVPPTAWASMRQPLPLLLQTFQGLTSRNEDFWFRACTYFVRDTYAAGTVLF QEGDVPNGFYLLESGMLRAEYEMPQGRYFELIVAGRPCGELPFFSETRRTATVKAEQD CVAWRLTTAKWRDLREREPEIARELLTVSLKLTTERMDSITS AFLA_050290 MVTSILLKNGTLLLHDANDVVHASKDDLLIENSQITKIAQNIEP PSSDTTIIDCTDKIVSPGFIDTHHHVWQTCLKATHPNHTLFDYFPTGNFVSSFVSADE TFWGQLAGALECIDSGTTTVVDHAHINITPDHSKEAIRATISSGVRSIFGYCPTARVT QWSPKFQMAPDPLAPWVMETFDQLAAMNPLGPSGRVRLGFAFDMLYLPGEILKEIYGR VRRAGAQLITSHSVYGVAFGGPDVPSAANRLDSHGLLGPDILLSHNTNPKPEHTQLIR DKGVKISSTPITELQMGHGNPVCLYPEYQQISSLGIDCHSVCTSYIPTQMSTVLQWAR ARRHEEFEAHSKWAKNVGSSVRDVFNLGTIHGARCINMENEIGSLAVGKKADIVIYDA TSPGLLVAADRDPIAAIVLHSSIRDIDTVIVDGVIRKEGGKLKDVLVAPDIETKEETG GQRVGWGEVARRIRELGVLMDERKKAAVDDEVARAAILEAFHLNVSAWADAI AFLA_050300 MVRGQLPSPPHSDGHPTPEPHRESCGIIHPLYAHDDILGVGMTM DHTQQAVAFESSNPPRYLANNGYLQQPMAAHSIQVNTPPSTSDECIVAERTSSAWPQR SANRKAKSGRGSGARKPKTLHAGDGPSLPGPLSELTKHLTHVPIRDMESWVHRPIEVR RQEVTKKNGKVARPMNSFMLYRSAYTERTKKWLGQNNHQVVSVAVGHSWKMEPPEIRN KFEVLANIEKKNHVKAHPGYRFSPKKDHRRGDDRRNSRLDHTPDSSPGLGQTPRTMST SEMESGWGSRDSTPIGFMEHGMPAGYLSSSWQTTNPGRPAPGMLPPSPPEPTQYLQQS IHQSLLGSHVEDVRVNRVDMQDLQYSSSTALAGLPGATHHELLQPQTSVPAPGAGADG QLDPQLLGFQGNPNNGGGGQPYGNTHYHPIWQDSHASNNYAAASSLPPTTVPYVAGAA FTPGMQPMVDGREAWEPGHDGTMDTSGTEFEHWINPQPAAF AFLA_050310 MKWECIAITLQDYHQFLDSIRKTKDPDEKILRDRIEEQVLPVIE KEEEAQERQKAKREKELMNLQLLAGAKRSSRLAGKAEKERQDREAAEAARKREEELAA ALKEEERIKKMEKERRSRIMTREQRIKDRERKRILHESELERIAEEQKKLERGESRIS ERQLKAELEKQRKNLEDLSQEDEWIFDCSGCGMHGENLDDGEHSVACEKCNVWQHSKC LGISQQEAERDDFHLICQDCKRREEEAKRPKIPPLKFRVGSSPSSAAAEADQEGKGVE TAHTSVPLTVPPNGSPSKLPTMQPSLPSHSKAQPAPMSPERRPQSAHTVSLGSPRALF SPSKGANGYSPSREAPPKLPSIQQATHLPPNGRVSFNGGSFHSFHSQRPSSSHSTQSP TFPSPIQNRPSMSPTQGNRDVGPLAGFPPAPPSDNSGPWTPYRQHQAPRRGSGHYASF SSIPGGHPSFAATPNASHSSPPQSSHGGVALSGISPTKQSPRPVTSGSMTGAPILPPI QKLEPSPKLMGRSSPDAPIPPPVKCMTPEQEERRQRENALMLHAQSHAPNGQHSAMSS PSLNRIPPLGPSALSQRHESTVQSESGPKTEGQ AFLA_050320 MVSRKRTRSEVDAAPEQPPEESGLLHRLRNCWEFANLMQYIAIF GKPMKIDEEFGIERKNA AFLA_050330 MLQTQTQHVFSHQHQYPADPSWLQHQQQQQQQQQQHHQAQHHPH QAQQQHSSLAAQQHAQVQAAAAAAAAAQQQHYNRIAMAGNPAAGNPAQGAGAGGLSGD GALPGAVSVMDGGISDENRKVFIWVAELLDPNRREAALMELSKKREQVPELALVIWHS FGVMTALLQEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNETRTLFLNAHIPL FLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETGS ELSKTVAIFIVQKILLDDIGLAYICATYERFYAVGTVLSNMVTQLVEQQTVRLLKHVV RCFLRLSDNSRAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDNVSDGA PGVAM AFLA_050340 MHTSRILHSAISILTLLAQVQRSAASPLDFDEAGLEKRCANSCG YYGQLCCSSGQTCSTDSNGQAVCADSSGGSWQYFTTTFVTTETDVATVTSTWSSYVGQ TTTAGGGSGSCKAELGETICGNTCCGAAYVCSNNQCVMGSSSIWATATATPPVRGTSA STITATASATTTQGFVAPVGTDGAQLIGEKAPDNGGLSGGAIAGIVIGTIAGVFLLLL LCACLCCRGALEALFACLGLGGGRRRRKETTYVEDRYSHHTHGGRPEGRTWFGSRPSA PPPQASEKKKWGGLATLGIMLGALALCLGLKRRRDHEDEKSDYTYPSSYYYSDYYTST SSASSDRRTRRTRDTRQSRRSRTRSRRS AFLA_050350 MGRSDVCCRQGSVCSRDAADNIACCPTGAKCTGSLTGDSTSAST SFRFPGTATVSMTTTGPNDATITGSTMPGAYPFVYVPTTFPNAGVCSSYYSLCQSEYT GCLSSLGGGYAVTVAAEGGGVTRAGGGAAGAVSTCSSLSMNACHGLNLGYCDTYATGT GDMNRAPPGRTSSLEDLVFGVVIGVAGMFI AFLA_050360 MPPGNGSASMAIPVVDFSSWKNTQDEASRLRTAHELVKACQTVG FVYIINHSLPKSVLDEAFDWVKRLFNLPEEEKMRAPHPEGWAVHRGYSWPGLEKVSQT MSTGNDEEAKRKLREVPDVKEVYDIGSDENTEQPNQWIPDEALPGFRTFMIKFYWECW AVGEELLRALAIGLNLEDDSYLVDKHSGHGNQLRLLHYLPVPAEDLEKERTTRCPAHT DWSSLTMLFQDDCGGLEVEDVSRPGTFVPATPLKNAIVVNVGDLLQMWSNDQLRSTNH RVRMPPLADRYEGPDRMTRERFSIPYFISPDPTSVIECIPSCMSEDQPAKYKPITQAE YNKMRASMMY AFLA_050370 MSLPNEALQKLLQELENRIVTSQQQIGIAKAQVTTKQKAIRLLD LTSSEMSSLPKDTPVYEGVGKMFVGVPMSTVDKRLVAEKAELKSDVSGLEKKLSSLEM THKNSMEHYERFVKSGGKA AFLA_050380 MVNMGLIFPETRTDWKFDLVGLLAIIGESIIEEVVQPLTASPTI LLPRLLPAPHALIRPSRRTALPSTPVTVHGVYSGIQLQSIPYFPSMIHQLELTRPYEF QKMTIELCQDDEEVLRPGHDKIATVKKLAPLKLITICSSVWTAGVLAWAIVLRDGPAV VAIVLVSLASSFHSAASFWQSDAIVRPSSTRSPPGDLVLRTREGAFIVVHCKEAVARL LYTGEVKCAYVAGAKLYRILIYVGTLLLMLGIVLMSNCSWTMQVTLGASYLALNVIYM FCALTPAVSRTWHWNMRLVRVVDKSTIITENYTEAIWLAIRATKEIDWVRKGGLIPQT MVWNVWLDEAKLNASNGNANWPATKRKDELLLEDALAKEASNQDDDMSQPKRRPTLL AFLA_050390 MGPLSYWEFRDTWSDSPWGVPVRNATSLDYYHTLRSLAMSLRLF EVPRTLLPKLALFLFICFCLLLTKRWRRRKIDTTTYAVKEAKRGEATSQRPTYRTGGS PVDYRSLYYKLQNIEDHQDILPGARELLLSLLSDAINSTAYDKDQGQGIFGIRSYTDE KLFSFLQTSHQGVEDSYEKYIQDRRDGSPRVLVSNRQHATKVLTRLAPLKLVDGAWLG HINHINTPFAHRHVTKQLWQILSEELGGGKLSLHHTYIYKELLKGLDVNLPEPYERSF GEAVPNTNDMRIFKAGVVALLISLFPQEFLPEIFGFNLHFEGLNFETMTLARELRELE IDAQYFLHHLSIDNAHSGHAMMAAFTVSQYLALIVRDEGPKAARIAWRRVQAGYALSK HLRDGIESVVAADEGEIDYHAENNNSPGPYDEKVVNLLIAKAAVAQKIHTACPARIGG KPLVDWLDTSHIVSDSDKLDFLRELGNAAPWVVKGNPDKSRLIREVRRGGKMFGAFTL KEVNLLERWISTLDPRETSNDAQAAYWRFTGRKRFLQHPAMAPSLDPFLNFDCSAYPA LPLGEDYIHDPLLLNLGKNWPKFISMWFAHTSLLESFVAVPSRVATELGAAVTCTLRA QYGLSPEEDGVLGMDELHHTVSAAPTPDLVDIGLEILSCKDVKRISISSEEW AFLA_050400 MASINISTIDFAKLDQFDAGEGYGDEVNKLLNAVCSPGFFYPDF KNAFGTKLVLREVKDAYAASDRYFDQSLETKMKDFRKGQPASSDRGYKFCETNESFEM SSDEMSDGSLSLPEVLAVTESSLNSLHQHCYIAANMLLDCLSNALNIPINEYHRDILP NEWAFPKPPAPGCAIVYGGNFLKLMSNGVLQSPLHRVTQLEDGAGKRFFLSYFLRPEE GAKERLESHL AFLA_050410 MPRHQQHIVPWTDSESDYSSESDYSMQNDRRRTTVRRRSFSRHR FGPDHGSTTYLSPVVQDVHLHRSASTGARRRRSRERPPPPPAVIVDIKNDSRNKGSNR SANRARKDQHQETYIDPYESEDETLLRAHHRRPRASTASTPREASPRQHERDYELVID QRLLEKNDHRQDLELLRQQQEIERLERELARHRLEARDARDSHDVRILKQEEDWYEDE ISERLRRLERYEKSQRMEEEQRQAERRWKLHQFEEAQRHAREEDGVKSKLREEKLKEL RHKIEEEEEQRKAEHRYKLIQFEDAQRKAREDEDVKARLREEKLKELRHKIEEEEEQR KAEHRYKLRQFEEAQRKAREDEDVKSKLREEKLKDLQRRIDEEEAQERLKEQIRQEKL KELQRKIDEEEERERIKKEIRDEEARALLARQEKERKEAAMKAAAVEEWKLNEERRIN AEREAKRRRDEEFRARLRLEFGYTEEEIEEIVTKKKKKEEKENGKEEEKEKKKDKEKE KEKKKKGKEKEKDDEEESEKEEKEEHRRTTWIKVHRRHLLPDTLMAYHLPWDWDDRDS NYIIIKQWISEDFQEELFDHTRRLREGKLITQTSNTLTELKVNDRKKDKMYLVRKKSP SRRAWIFT AFLA_050420 MSNSAVYAVPQVKKTSATTTSKTTFHFAAGLCSGLSSAILLQPA DLLKTRVQQSQNAALLPTIKSIISSPNGIRGLWRGTLPSALRTGFGSALYFTSLNALR QGLAHTGVPVALSSASANGKGVASSSALPKLSNSANLATGAAARVAAGFVMMPVTVLK VRYESSYYAYGSLYSAGRDILRTEGVRGLFAGFGATAARDAPYAGLYVLFYEQLKRRF ASLADSGTGDQSLKSSSSSINFVSGALAAGLATAITNPFDAVKTRLQLMPNKYGNMMR AVKLMVHEDGVRSLLGGLGLRITRKALSSALAWTVYEELILRAEKHWATRD AFLA_050430 MPEWPAIPFFPYPPSKAGYWSPVTSTLNWCEEDYYATIYSAEIV NALTNLLFMWLGIKGLRSCRRNGHDSIFEVAYYGYLLVGMGSFLFHSTLKCK AFLA_050440 MQLVDELSMIYTTCLMCYASFSYSRPTSVRVFLAIALTSLAVFI TLYYHYLQDPVFHQNAYAILTIVVVLRSMYTMEVTLRPKWRHTTEEDRLAREKQGLPI PSKEHQHYENVRDVKILKTMWFMVIYGLSMFLGGFFIWNLDNHFCTKIRGWRRVVGLP WGMLLEGHGWWHVMTGIGAYLYIIWGIWLRHCLNNRQEEYYLWWPHFWNFPEIIRIGS KDKGENGVAKKSN AFLA_050450 MSSPTHSGPFRIVDHLVECQHIREYPGATANEQEDELYLAVKQY IPLDNPNPQPGDVTILATHANGFPKELYEPLWEELHARSKANGFRIRSIWIADVAHQG QSYVTNEDVLGNDPSWFDHPRDLLHLVNVKRKEMPRPIVGIGHSFGGAHLAKLCLMHP RLIHTLVLLDPVIQRQTTQLDPLSADKRKLAMAKTTQLSTYRRDIWPSRKAAAEGFSR SPFYQAWDSRVLDRWIKYGLRELPTAIHQLPSEASKDGGSGGAAAGRVRDVYLKEYGH LLAQEAPTECAEAASKWLGQELRRWREEDQSFREQWSRKSKVEKITIDPRWKEHVPAP VRNKRGPSKPKL AFLA_050460 MVGHEEELQLFDQCKICIICSKDLSLDTAHQLATTLEEQGGESV IYEPPAAFPPLHEFSHLVSTTIDFPTFEAANDALIPVVKPQWLHACLNKRKLANPRQY SPDPRLFLNDVVVTCGDIPEGDKDAIIGGVLAKGGLYSPRITQMVTHLVDLTTDSDKA RVALGKKLNVKIVLPHW AFLA_050470 MLEGHINLIYARFDDCLKLGRRIDERPYILPNPEILRAGPDAPI RSTENRDIVGASTAEPTTLPTPRPKLDVFEGKHVMLSTDLGIGSHLLDSITEIIEEGG GTITSDPSKAEVLICRYREGFAYRVASRLNKEVGNLSWLYHLITYNTWTSPLRRLLHY PVPRTAIPGFEGFKISLSNYVGEARSYLENLVAASGAECTKTLKQDNTHLVTAHGNSE KCSAAKEWGLHVVNHLWLEESYAKWKLQPVSDPRYTHFPRRTNLGEVVGQTRLDRGTL ESLFFPSEHKPEAATSPRRVMQNRDQNATAGKATVSMQPPQAKELEDDKDVSDAGNAT PAGKSRKPSDSRKLQTPARTRLMSEGKENDTPSSTSSRKSKEAAAARLHDIAPDIALY EKERKRVGGVIYGGRKKTDEDRVTLNAKKRRSLEPEANSDEDEATEAKRQKKSKPPVT MHLLITGYQKWVGNMKKEDADKRQLRELGVQVVQDARKCSHLAAPSILRTPKFVNALA YSPMIISTDFITACLKKNELLDPAGYVLEDKAAEKRFRFSLEVALSNAKENKNRLLQG YQIYCVESIRGGFEAFKSIVDTNGGNFTLFRGRVSYQAQREESNDDSDKDDSWSRKEV YLLSSVVPEHQRLWPRFRQMVQGIGKTPRIVRVDWLLDIVMSQELRAADEYELSEDMS DKMEE AFLA_050480 MSNSTPFQFPPTYSFPPFFTPQPNSTTRLSQLQKWSLLIQSWCR HHRTYRLSLIEAIESPLFHNSTLRKRIPLSEARNILDWMAESEEKGGGGRRAEWVDGT NKTIAWVWWRRPEEWAGILADWVENTGQKNVVLTVYELVEGEATMSQEWHGMDVDVMM KSLNVLVKRGKAQVFGSEGQEGVKFF AFLA_050490 MSTPPAKRQKRDQYRKRAAAAANEDTGKVKLPQKKFYRQRAHAN PFSDHQLDYPLSPAHMDWSSHYPAFVNPDPEQKNLAGARKLLKDVEVVDIGCGFGGLL VGLAPLLPETLMLGMEIRTQVIEYVENRIQALRTQQNQLKNSSTTASESPAPAIPAEP ATDGASPDAASTPETSNSPVPGGYQNISALRSNTMKFFPNFFGKQQLSKIFICFPDPH FKAKKHKARIISENLNAEYAYALKPGGLLYTITDVEEYHHWVLRHFREEGEHEASEGG VKDLFERVSEEELASDPCVEVMRESTEEGKKVTRNKGNKYVAVFRRKADPEWPA AFLA_050500 MYRPYLHHNKTKDGQRKVSPPGPSYMSDDQVGKSTLLAAPTRPG GSRPLPTKPADSNPREDLPPRAASAMSSYSHSRMPSTTTAGDREPPRATSSLSNHRPL SSRGSIGSPAGRPLVQEPRMVPVRKNVSPTRVFSRPSPPSPNAAYRESYRRRFEREEA QSLRDALQEMDIQDDIRLHQAAQDEATELVWMHQNPGLPFKNPYAPYRNPDMDKASQG SDKSSVLVGHTLASRSPRRDSYRPMSDYNSDSPRTHAKEQQPALEEPNSSPRKNGTLR KNLKVDFSLPQNGSPSKRSSSGYGLGLASTGNGSSKGVFRNPNDHIYEEPGESQHMEN DERPDFSKSDSSALKVKPRNALPRGSRPLSGRFGSLSFVDKLSRFELHKHPPTQSRNP EYKANDPLPQANPNDEQAIPKKDGLEIRSDEIRAATSKKLKDRSTRLPMPTAVSDRAG RPIVSFDPTWKPTEAQSPGKGIDAGIRESVSPTPPPAPVAPTIEISEAPSIPVINLPD DKPPTISEMTGSSQDRKKDDSSLPTSPDSSKRPNPFQRKSPSSALQNRWLSTYSRAGV PTAKCESCTLPISGKIVTAAGSRFHPECFICHHCQTPLECVAFYQEPDAKRQERLAAA SEADEEARLLRFYCHLDFHELFSPRCKSCKTPIEGEVVVACGAEWHVGHFFCAECGDK RMALHGVFNVIPGALLLDARGAKNRYSMMLSSRLSEVSGMMSALSVTNAVLSLGRMVD ILSEKASPKEQQKAASLEDQSSYLYAKDARVYDLKRRLSFNDDCTRNSEKARFYHLNE VLLTYLQCL AFLA_050510 MADNTPIDIVRSRDYDRELISQDPLNRKGHCQDQTELDGQQDAA QEVLEDKYQRDVPPDGGYGWVCVACVFWINAHTWGINSSYGVFLSYYLSHDVFPNTSA LSYAFTGGLSISCALLVAPLATHLIHLYGTRLVLNLGVFFETLSLIGSSFATQRWHIF LSQGVCFGWGMGFLFVGSVGIIPQWFQRRRSLAMGINAAGSGLGGLIWSLAVGSMIPR LGLSWAFRILGIIACVVNLICANLIKDRNKDVGSRHKAFHFPLFKRPEFLLFLGWGVF SMLGYVALLFSVANFALSVGLSSHQGSIVSALLNLGQGLGRPFVGMFSDKLGRINIAT FLSFCCGLFCLVIWIFARSMGVVCFFAVLVGTVAGTYWATVTPVLAEIIGLRDLPSGL SITWIILVAPTTVSEAIALVLRDNDSNDSVYLRVQIFTGFMYIGASLCLWVVRGWKVE YINREAQLKSSTAVSANNDGVKVASSHGAGDEKGNQPAVEPPASDPVPEVKLWSPVAL LRGMVTLKRV AFLA_050520 MKSLRRDFSSDPHTANVTSKVFVRSTKSGKVQKIVRELYLRQDI PCSSKLCSTCPSVAPADANGNIAPFVLSEHPAGTTAFPRGHYLVPDTNALLNGMDLFE HTGAFYDVIILQTVLEELKNQSLPLYNRLLALIKTDEKRFYLFFNEFRMETHVRRGRN ESINDRNDRAVRSVAKWYTEHLRAAAKKGKKEKTVPTIVVITDDKDSLRKAKEENVTA LSLTDYVSGLEDSDRLLDMINESREQRETKGARGELFYPEYYTMSKIMTGLRAGTLHQ GVFNVSPYNYLEGSVNVAAFDKPLLILGRDNSNRAISGDVVVIEVLPKDQWKSPSTKI VDEEAVTKNDNPDAEDNEAVVTERERKALQEEVRQAHGKSTEGRPQPTAKVVGVIKRN WRQYVGHVDSGSTGSQASSGRRQQNVFVLPMDKRVPKIRVRTRQASELLGQRILVTID AWDRDSRYPTGHFIRSLGELETKGAETEALLLEYDVQYKPFPQAVLDCLPAEGHDWRV PASKEDIGWKGRRDLRDLLICSIDPPGCQDIDDALHARPLPNGNFEVGVHIADVSHFV KPNNAMDLEASLRGTTVYLVDKRIDMLPHLLGTDLCSLKPYVERYAFSVLWEMTPNAE VVSTDFTKSVIRSREAFSYEQAQMRIDDPSKKDELTESMRTLLRFSKILRQKRMDAGA LNLASPEVRIEADNDEVGDPLTDVKTKAMLATNSLVEEFMLHANITVAAKIYSTFSQT AMLRRHATPPPQNFEELINQLSKKRDMRLDVSSSHALADSLDQCVDSKNPFFNTLVRI LATRCMTSAEYFCAGAHAESEFRHYGLASPIYTHFTSPIRRYADLLVHRQLASAIGYE GEDGRAVIEGVMTRNRLEDICRNINHRHRNAQHAGRASIEYYVGQALKARGEKLSAKG VDGGIEEEGYVMRVFENGVVVFVPRFGIEGVVRLEDFVLKGDSALRSVEERRELVVRR ESEFDNEEYTLQVSDKGHSDQGMSVELFQRVKVNVSSVKEESGRGAGKRRVRVLILGT QN AFLA_050530 MVIAAVGQLCSTASMTANLAQCQILVRKAVAAGARALFLPEATD YIGSSPAETVSLARSVHDSEFVLGLQKEAVQSNLHINVGIHEPSPDGRVKNTLIWINE KGIITQRYQKVHLFDVELKGGPVLKESASVEKGMEILPPFETPVGHVGLAICFDLRFP EISLALKRQNAQLITYPSAFTVPTGKAHWETLLRARAIETQSYVIAAAQAGPHNEKRR SYGHSMIVNPWGEIVAQLGDEYREPQIAFADIDLDLLAKVRREIPLLRRTDIYPEV AFLA_050540 MSARTLSHSLRSRCLLRRPQNIQGFSTRTNLRAADHGDHYDPPT GWLFGVKPGQKYVKEGWENIWYYGFIGSLLVAGVAYVFKPDTSIQTWALEEARRRLEA EGILEDPEKAQRK AFLA_050550 MKGSGESNEFLVLKAVDDKGQAWRVEAPVKQERTVGDDKSIEKS PSKKSPSKSPSKSPIKPAHANKENQSSWLNGVTHSDQAESTKREASVDKSDAKATKEC PQTPGNRIPLADLISNTEDAISQAPAPEFTPEDYVIWQHVPVSSNPDTMSQTPATQAR KRRHISSPTSSPLAGNSKGADQESLDMHTPLQGLQRTPQNDLATELWNNYVGKLTANG NGTLPPPQFTHLLSSSPQTPAPARTGRDSSGLRRSNSCNAEWPSSKAKRRRVDGGGIG TGRGIFSRTRSNVVDSGGINSSKINFLVERIEKSLRDAPKSPKSHIVAADSSPVPRRK GMQRSRSASPMQDRPGHHSSSKANRVNKASEVYPEPQDKEQASSSEFGDDDFDQGFFE LAEASMDPFVDHGSLPGAMDTGGDTTFLSTNANEPHNAKQNPHAHPTTLNSEAGPNEK MDYSLNTADFDDDEFDDFSDNIDEILADRQAIKRYLIVDIAESTYTTEKGRLQPEKVL SVQDERTSQKKVVVLRESWFDSPCYKDSYIHLIGDFDTAGHCIVNNSYNMIILHPDHL VSATIVADSIGCQRRAVLQDRIKNTGDIGKPQVFGNIFHEVFQEAMKANQWDIFSLRS LVEMVIVKHIEELYLIHMSIPEAIDYVMGKIPALISWADTFLKEKPSAQSLVEDRNSS KLRLSINKLLEVEEHIWSPMYGLKGNIDATVQVACHDGEGNKNLVVPLELKTGNRDTN HAHRAQTALYTLLLSDRYDVEVTFGLLYYLETSKIFRIRGIRHELLQMIQARNRVAGY MCRRTQLPPMVKRQALCNKCFSKTPCFIYHKLVDDGDGESSGLGDEFVKAMDHLTPRD SDFFRKWDDLLTKEEQSMMRFKRELWTLLSSEREALGRCFSNIVIEPGSAFEDKDGLT VGEPIVISDEKGHFALANGYVVQVSPQRISVAVDRRLHNARTKSKGFDAKMNQTFRGI MEIPGADAASSNSSNEPEEEVVYRLDKDEFSNGMAIVRANLVAMMERDLFQAKNLRRL IVEGSAPTFQPTLSAYKMSDTDRASLNVDQKRAIDKVMSAKDYALVLGMPGTGKTTTI AHIIRALVSQGKSVLLTSYTHTAVDNILLKIRDDNIRILRIGAAAKVHPEVQQFADLA AVPKNTIEELQGAYEDSQVVATTCLGIGHSVFSQRIFDYCIVDEASQITLPVCLGPIR MARTFILVGDHYQLPPLVQNKEAQEGGLDVSLFKLLSDSHPASVVNLEHQYRMCKDIM LLSNTLIYSGQLKCGTQEVAARSLEVPNIGGLRHLHLDHFQPPGQRQLCLGTSQGRCW LRDLVDPSAKTRLVNTDTLATPALDVAQGSRIVNPTEATLCAQLVEAFISCGIPARSI GVITFYRSQLSLLKQNLRRHLPDLEMHTADKFQGRDKEVVVLSCVRSNSDNHVGDLLR DWRRVNVAFTRARTKLLVVGSKSTLRGGNELLGKYVKLVEEQGWVYNLPKGAVEDHVF DSDNIHTQPQPQEGSTPSPKRSPTSQKKVRNPLSPAQARNAPKGLKKPAKKGVKLLSG NKVLGNRPVLQDVVNDLIG AFLA_050560 MFDLEDDASRREKCYTAVAQLPSFIDPKQPPVKKSPFSAIRGHP FVHTVEVILPEATYKSIESSLNTKLPKLRYARVFMSLSSLLEGDFFNTYIKSGILKLE LGKEIFERTGLTGKPVRSGGRKHAKERYLVEINLRLPSMLHGKKGFERLVWAFKNVLN HTVAWLFYDLASESSGVSSDDPSLKGNHPHIVDCEPVRTDYRDILVPPFKELDITGTA PEQELKENCDEISEWLGMVALGSPRVLASDDVDPYLCRYSVPHVDEAKPSDIVSLRWH GFLPLKWIMELFLTLLQETAPKGPEASAWFAFSASALGREAVEGKDGYTVLTLPSVNK TCDNVQKSSDPRSKIGRSCICWEYVGVSIV AFLA_050570 MAPPRRNLLPKERFAFSFGSLKTQSYHDPIARTPGSHTIRTIAW NPTGQLIATGSADRTLRIWNPERAQVKYSTDLRGHTAGIEKVLFNPVRDSELASCSSD GTVRFWDVRSKTCVSRLDVGGEAFTLSWSADGSTMVVGRKSQKPIAGPTTYKVLDSHP QPIQTNATTFSHHISTPTSPDLHLFATTGEGTVKILSYPSFDVLHTLNAHTSACLSIA LAPTGRYLAVGGSDALISLWDTSDWICRRTVSSNNGGAVRGVSWSFDGRFICGACDEV GCGGNGIEIFHAETGESVYTVPTGGGMNSGIPAVAWHPSRYWLAYSTTADGPGGGGAS GLKIVGAAGGSL AFLA_050580 MAHVVELPGESNPLTPQNVLNALVLAASSTQQQVHTGTQQLQNW EKQENYYTSLQDVFLDHSVPNEVRYLAIIQLKNGIDKYWRKTATNAIKKEEKEQIKNR ALQAGVVEPAALLALHNALMIAKIMRYEFPQDWPDGISSLINFLRSSTQPGANPLQLP RTLTILLQIIKELSTARLQRTRTNLQSVAPEIFHLLGSIYVDKVNKWVSLLEQGVVDE GALLESLEQSLVSLKVVRRLVIAGYEHPNRHNEVKDFWLLTHSHFSRFLQFISGSISL PENVHKAVEKHVLQLSKLHVEMAKDRAASFALLPDSISLVRSYWSLVVKLGENYGQLG ATGEQEGKSLMEKAGLKALLLIRACSKMAFNPAQTFKYQTPEDKEEKKQSIELIKAEL FTHDFVVNVMELLVTQFFRFRSSDFQEWEEDPEEWERKEEDVSDAWEFSIRSCSEKLF LDLVIHFKDLLIPRLLNVFYNFASPENRDVLLKDSLYSAIGLASASLEQHLDFNKFLE TTLVPEVQIQEQGYNVLRRRIAILLGQWVPVKSSELNTEAIYQIFQHLLSKQDPLNDL VVRITAGRQLKNVLYPFEFSPTGFLPYAPSILHDLMSLVQEVELSETKMGLLDTVRVA VIKMEDHIAPFSDQILSLLPPLWESSGEEHLMKQAILTLLSSLINSLKQDSARYHSLI LPLIQNSVEPGSETLVYLLDEALELWGAILTQTPAPASPEILSLLPALLPIFEAATDS VPQALQIAESYIYLAPQEVLSDRVRLPFLASLETLLKSTTRQRLGVVPRLVELMVRGT EAVDGGSENSYSVITRSLLDSSFLSSLLEGLYSAYEASQTTGPNRKQTPVYGVVETDY FSVLARLALAYPKIFASAVSAATGTSEEHALTWLLTEWFLHYDNIGSATQKKLHALAL TQLLSLNGPDTQPPPYILSHLQSYLNTWADIITELAEGTETENNPRAGDHLVYWNNAE TGKWDENEPPENERKRHWENSDILHNINIRDFIRDRLRSLIVGCGGEQRFQDEWLLNV DRDVVSAFVALGLF AFLA_050590 MADSDKLRMAARAEQDLNSYQMKQGLGPKSDSVLESNIDERADK KFREATGIKTGREAGATGSNRKPIPEDEGGTRDSRGRLAPASEYEGTGGPEDKVKLES ERRPGDQDTLNIQDLKTKGLSR AFLA_050600 MSSTTADQQPIETRLFINGEFQPSTDGKTFKLINPYTHEPVTDV HEASEQDVDKAVAAAKAAFPAWRDLTPDDRGVYLRKLSALIRENIPELARLESIAMGK PVSLYIDGKLAADTFAYYAEAGWHAQGTSSVNTPGVLGLSLRQPYGVVGAIIPWNMPL ALLSIKVAPALAAGNTVVLKSSEKAPLTSAFAAKLIAEAGFPPGVVNIISGFGSPAGS AIASHMDVRCLSFTGSTATGQKIQIAAAKSNLKVVHLELGGKTPAIIFEDADIEKAAE KTQFSIHFTSGQTCFANSRIYVQESVADKFIAVFKEKFGAAARMGNPLEPTTNHGPQA DNIQYERVKSYLEIGEKDGKLTMGGDGGKGFIKPTVFENVPDDSRLMKEEVFGPVVAI NTFKTEEEAIERANASEFGLYASVFTKDMDRAVRLSKLLEAGTVGVNCTSPTIVKDMP MGGYKQSGLGREGLLSGLDSYLETKTVLISTSS AFLA_050610 MKSFIASLFAASLAYAQTATESEPSLSDIEKAAATTEPYSPVSN VTGLAFDRFFQVWLENIDYSDASADENYQWLAKQGITLTNFFATTHPSEPNYCASAGG DTFGMDNDNFNQIPANVSTIADLFDTKHISWGEYQEHLPYPGFQGYNYSNQETYANDY VRKHNPLVLFDSVTKNSTRLRQIKNFTNFEDDLTDKKLPQYAFITPNMTNDAHDTNIT FAAKWERSWVSQLLDNSYFMDSTLLLLTFDEDKTYPKGNKIMSILLGGAIPDDLKGTT DDTFYTHYSIIASMSANWGLPSLGRWDCGANILEIVANKTGYVNYNVDTTNLRLNETY PGPMSAGEYSKYSPVWPNPLTSGNCSAGHGILDIVKETYKGTTATYNYTSPFPYDSKS GYNVKVTATKKSASNNGSSSSSTPTPNVAVPFGTPTVGTISGMLMGLLFCLY AFLA_050620 MSSTNFAAAQERVLERRRLREAEARARFAEQQRTSPVNHPAFQR LPYPLNRLPVSGLSFWNTIKGREGNRPAFRVGQVDAELLDEELLGLLKGQVGNALKYF GPQAREDWSHEIQFALRAILFKLSIWDHNASYGAALQNLKYVDTRSKGPIHSAPTKWQ KSLYGLLTVGGRYAWEKWESWLINQEGGYDEPSREVRMLARMTDLISTTHSIAAFISF LVFLVNGRYRTLVDRILRIRLTPPSAQASREVSFEYLNRQLVWHAFTEFLLFLLPLVG ISRWRRWISRAWRRTMSSIRSSDGDSEEAEKQGELAFLPERTCAICYKASNPESATES EVIAASAASGGILGSAQTDITNPYEAIPCGCVYCFVCLVQKVEGEEGEGWICLRCGEI VKKCKPWHGDVLEEARPQSSSGKIVGFAVDDDSGAPRDEPEKGVDDSVEKSGPLQDSI SDEGLQHSGQWSTIGRESD AFLA_050630 MDPSNPAVSATFGEAKYIEFPCLPEGTKHEDGTPALNRYSATIT RGHDFPGAKAMLYAAGVPDQNAMAKSPQVGVASVWWEGNPCNMHLLDMGKTVKKAVEG QGMIGWQYNTIGVSDAITMGSEGMRFSLQTREIIADSVETVTCAQYHDACIAIPGCDK NMPGVVMGMARHNRPSIMIYGGTIQIGYSNLLRKPINVSSCFEAAGAYSYDTLRQPDD GGDTSKTKDEIMDDLERHACPSAGACGGMFTANTMATAIESMGLSLPGSSSTPATSPS KMRECVKVAEAIKVCMEKNIRPRDLLTKRSFENALVMTMALGGSTNGVLHFLAMARTA GVELTLDDIQRVSNKIPFIADLSPSGKYYMADLYDIGGVPSVQKLLIAGGLLDGDIPT VTGKTLAENVASFPSLPDDQIIIRPLDNPIKATGHLQILRGNLAPGGAVAKITGKEGT KFIGKARVFDKEYQLNDALNQGKIPRDENLVIIVRYEGPKGGPGMPEQLKASAALMGA KLTNVALITDGRYSGASHGFIVGHIVPEAAVGGPIAVVRNGDVVTINAETNEISMDVS DEEIQQRLREWQPPAPHVTRGVLAKYARLVGDASHGAMTDLF AFLA_050640 MGLTDSPTLPTTSRLGISDISAVIRFWDSIYSVFTNPTALLSFT RLSQSLDRDGSLSSPKALLLSICFIVMAGCGFLSLILRRKCSGRTSGNKRCRRGARRK SSKTSAGTFTSSEDEGYDSSGSLRHGTDSAFSTEKTEPQRRELSDDAQTTDPGLLKKH STYISYTTSVATYPSIRTFYKPHPQKDKLPIKPSPIPLLVFVHGLGGSLAQFNHLLTS LSNVGPCFGIDLPGCGLSRFEPDSWDAYKVEALAELLATAIEGHRDKDAGQGVVLIAH SLGCSLSAMLASTTSPAGPNLKKHILGLIAVCPRASPPSPKEVTSFRRLLHIPGPIFD LWRYWDRRGGLKSTSVNRLVGADADPDTRGLQVRYNKQSKTPVWRRMAWGTLPTYDGV DGTPVGGIPGETTWAGVRTPTLLVAGESDTVTKPAELQKILKFFGGKSSKIEESSNGS NIVPDASKVNDQAPAPYSRLAHDEEFGVEPQVNEKEIENTSEKPLQAKRSVKTVILPA PASHALLYDRATYRTLAGIIQDFLQQHVDNRLSLGWQLQYLNTSGKWDVKNLAKWKKV TPVSERISDTFVALKMLREVDEEHNPVLFSQAYSDRIYAVIDISYENPVYNPASLEMG GIHYHKHPTVSKIPPTPDETRDFIALVDRLQNEITEKIAKSGNRQTPRPVVGVHCHYG YNRTGFLIVCYLIERCGYTVQEAIDEFERRRPPGIRHGHFIDTLFVRYCVGLKRAPTL AFLA_050650 MGGVTVRDVDAQKFISAYSAFLKRQGKLPIPGWVDTVKTSCSNE LPPQNADWYYVRAAAVARHIYLRKTVGVGRLRKVHGSVKNRGSRPNHHVDASGSVDRK VIQSLEKIGVLEHDEEKGGRRITQSGQRDLDRIAKTTVDEEEEDDE AFLA_050660 MSYNLTSNQALAPPNGDSMIAFMESFYATSDTESLHEKYVQSFT PDATLIMGSKVANGEKEILNLRHGLWTHVKSRQHFPTKVYFGGERELMLYGTVRYVLK ADPENEVEVPWAGRVVFDEKELKMRFYQVYLDPTAQSGKK AFLA_050670 MGSVYSPVRETGRIFSYLCDQAERLNLPSEVVENKNAVSFDSSH DEVYYPIPFKETETLAALKAVEGSVAAAIANLRYGPQKRGVKVNLERATAFGCQAYMA KVDGLSKLDPEVKKKLKDTDLLAAQSNGYRRMSANLYKTKNEGEFFHIHGSLEATTTL NMIGLDGHRPDLTDYEEIIKVIESHVQNYTAAELEEMNKERKQAGVTAFKYEDFIKTP HGELNVQQPPWKVSRLKGDLPPTPFPAGRAGSKKILEGVKVLELCRIIAGPTVARILT EYGADVLKITSPSLSDVPFFQVDGNMGKHAADLDLKSEEGRRQFEELLADADVVVDGY RPGAIEKLGYGPEALSSLAEKRGKGIVYVNENCFGYEGEWAGRAGWQQIADCVTGVAW AQGQFMGFSNPVVPPFPISDYGTGCMGAIAALTGLYHRAKTGGSYHGKASLMHYDLLL FAVGKYSEEVQEKMRAAQPPEFFKLRHCDSVDRISSTVLKIMQARFPHLYVAADNTSG QEPLTEKWYSKAYGADIEVVRPICEIDGVENKFERASRPNGTDRASWEDFKEVEEDHK KA AFLA_050680 MAAQTTTSSIAMALAGKTASVDIPKPRSAFTSGGRDSSRLGHTM LPTPPNSISPTLPPQAFKGQDVRHPASPPFATSHVDSDIDLGDADADSQTQHHQGVGD LDSAGAITPGMLAKYHLPEIMLQHGPLAIRHVMGYLTTSVPGFSRIPPAKARRLVVAA LEGRGSDEKSGGPANDVIFEKVGWGRWDARRRGEPSRDVQHQNLSPPSSFSNSFSQRG IQIPGKRGSLQPYGSSVTGDSAVFSFTEMDYAGHISEHEADKMSLDGNEQEYCSSSEA PEDEIRDEDWGEEDVTDEEDWAQIGAAALRARSLNGGGGFVNGHHPSPQLRGGGPASS SLAKSAPRKPPIQQLGFSLPDGMVGNTEERAAVEALLRLGSM AFLA_050690 MSASQSDKSVFGMPGFVVDFMMGGVSAAVSKTAAAPIERIKLLI QNQDEMLRAGRLDRKYNGIMDCFRRTAASEGVASLWRGNTANVIRYFPTQALNFAFRD TYKSMFAYKKDRDGYAKWMMGNLASGGAAGATSLLFVYSLDYARTRLANDAKSAKGGG ERQFNGLVDVYKKTLASDGIAGLYRGFGPSVLGIVVYRGLYFGMYDSIKPVVLVGPLE GNFLASFLLGWTVTTGAGIASYPLDTVRRRMMMTSGEAVKYNSSFDAFRQIVAKEGVK SLFKGAGANILRGVAGAGVLSIYDQVQLILFGKKFK AFLA_050700 MWVWVTERLFLSGEAPIVCWFGHGEMGKGGKIPKEDEKPFLDSI RTTDSQLIYI AFLA_050710 MEYMIDAASTHNKRWTPMFENKLTKHKVISPIDRSEILLVEFAW TPGRDGE AFLA_050720 MEPPAKKSRKLFDDDSSSDSGDESGGVPVNNGVTFKVNEEYARR FEHNKKREELQKLESKLGKGSAFGKRGDDESGDSDESTSEEEDDDGELATEALDAEIM ATLKAIRTKDPRVYDQSATFYSQADDDQPATSEKKQKTMTLKDYHRENLLSGANLAEE DISEAPKTYAQEQEDLKNAIVKEMHAAADNEDASADKESDDDEDFLVAKSAPEPVSAP KKAVKLDVENADKDPETFLSNFLSARAWIPAGRVDLQPLESDDEEDDARAEAFEEAYN FRFEDPSKINATLITHARDATNQQSEVAGLRASEFTDEEWAKFLDDSWDDKKWEQEMQ KRFGEDYYAGEGDGKKKPKKPTWDDDIDIKDLVPDFDDDDEKLNPQQSDIEMDDADDA EGDESASKNKKSKAQERRDQKREARKDRLRIEEAVDRNLDLDINLLPGAKKHQGHFRY RETSPNSFGLTARDILMAEDTQLNEYAGLKKLASFRDPEKKRRDQKKLGKKARLRQWR KDTFGNEEGPEFVFGGERTVDEKEGATDAGNVDIREGEPKRKKRKRSKKH AFLA_050730 MSNQQQSPVPQVGKLVSVVPVGLKEAALDSPTFRATTLHFADQI EYLERWLDGYARAASKLSMELASMENIVNTFLSYSTHPVAVSEAALDHDYTLLSMRRC GDSSKELWNGLVSTSKKIEMLVAEPIRIFIQEDLRNFKVTLGPHIQDQSIAPQVRNGL DRLLVGVSFDQWREFKTFFQINGAGFAKWHHEMDRIKGWVLEMDASERSSKRELFSAR KEIEEAAETAARPSRELDDYSVSTVPYLGSRPLSNLSMTKEARPEKQGWVYLRTLYGK PTRTAWVRRWIFLKNGIFGCLVQGPRTGGVEESERIGVLLCSVRAAFQEERRFCFEVK TKSNSIMLQAETQKELMEWISAFEAAKRKALENPASTDLSVSGKVTVQDPAFAISQPP APEFTADPADSLTPHSNDEQNSSDRNGMLPLPERDPASLRNSSDISRRLTGLDCETSP REHTSRIIQKLDLHRKTNNNIQPSTSIPGAGGGIVSLISASHNALVSGTALPSSMADS DPNRGRSMSNRFDPPTTLAPPTLATPPAPTSMSKVAVIVSNERGIGLGHADKTGGMPS GMMANLWGSSNWSFVNRLELERLGLPDAEQDAVSQQRPSSRMSDSSKHVMSTEANTPG GTAEKQQKIGPRHRQTVSLDGNASQVQRAAIGVTHEYPSYYPQQLKIQDAQFRLLFPN VKKEEPLVMVFRATYTMNDQQEFPGRAYVTTRDLYFYSHYFGLVLTTSVSLESIKEVT AAAGRDSDFLFLHTVPRPGEDTPGRITVKTFLEPMKLLQRRLNFLIDDTTAVEPLGLE AIFNALNKMEADAATRTPSVDSWEDVGVDEKFSGEDAAAQGPRKDIRPAIYIEKGLDM HSKKGSNGKDVMKFRLPTQPVQYVPQGNLHLAAEKVFDLSPKAIFHILFGDKSAVWQL LLHQRRARDIKQGPWSRNDSNHLRRDIKYQIETTNMLGHKHGQTISDYQMIDVLNDHL CYVITDKRTPWHLPFKRSFRLVSKIVITFVAKGKSKLAIYTKVEWLWSPYGIQRVIDK QATGDLEQDALDLVDLVSEQVRRLGAQSRTKKAIAIFGHVGRQNTVSQLGESDFKIEI RNPRTQRTLAQLLFETFVSFLESAVSSVMLWTFALFRWVWKTANANLIILALLISSML INGFYTSRDAYDWWYERKAENFMARLGVHPDHVMSKAIYMRDIDEVIANSTLGHASDD VSDCFATFHQQTIRNVGTPLSISTSGPRDSATKSAARRLQQTRERLAMHRHDLVVGLR VVNSIEREILQNEWERWLRQELKRCDQIEALLRQSSDTDQVDMQVDRTSQAAFADLSD DIREWYERYCFSCHQEQDLVEENHRAYGNS AFLA_050740 MASTQSTPIATPELSALTNLISTSASSDPLSASTVQILHNLQHQ HLWTSLQVHDLKDSNLRASPLGAGLATTPSEEQTVPRYLISGIPPHRVYTHPDEQLYL LERGLREEDIELERIFVLPTVKNQPWSLRKMASVFDSLPDEADIQAEESSMNDSEETN DGAGGEKGVKLVEYYEYRKKARLTKEWGGKRLLLAMVDRGMGGDGTVVYYVVQEGAVK PRQN AFLA_050750 MARQKQATPLQRATSSELMHMAPEGTDSQAKQQNGNAKKLAANG SASKGQVAHEAALETPGLAQLAICVLGIYASFLSWGVLQEAITTVSYHVRPPTAAEPE PPTERFTFSIVLNTIQSTFAAITGFLYLFFSTPAGQKIPSIFPTKKILFPLFLVSISS SLASPFGYASLAHIDYLTFILAKSCKLLPVMFLHLTIFRKRYPLYKYGVVLLVTLGVA TFTLHHPGTSKKVAASAAKNQSGSSMWGIFLLSINLLLDGLTNTTQDHVFSSPQIYTR FTGPQMMVAQNVLSTLLTSTYLLVMPHLSSTGILHAILPIPIPPSTETELTSAISFLS RHPEALKHVLGFAACGAVGQLFIFYTLSRFSSLLLVTVTVTRKMLTMLLSVFWFGHSL SAGQWLGVGLVFGGIGAEAVVQRQEKKAKERAKAAKSQ AFLA_050760 MAPKNKGGDKKGKGNDGGDKGGKGLKPATSINVRHILCEKHSKK EEALEKLRNGSKFDDVAREFSEDKARQGGSLGWKVRGSLDGTFEKAAYELEPSTTANP KYVEVKTGFGYHIIMVEGRK AFLA_050770 MNVDLETVRIKSLPDDAFYIADFITEEEEEVLLQKIATAPLPRW THLSHRRLQTWPSALTKSNALISSPLPSWLVSPVIPRFESLGIFADAPHGAPNHVLVN EYRPGQGIMPHEDGAAYYPLVATVSLGAPIVLDLYQKGQGLDGEDRRPQQRILQERRS LLVTTKEIYTDYLHGIAETTRDEGLGPESICNWGLLREQVRYQCGWFERETRISLTYR DVLKVAKVGNTMKFLGGR AFLA_050780 MTMDFLPSLVDWALATAHMDAVDVLRAFFLFASCTILSVSLLDS LRSRFVPYGARATVTAESDTTPSEPSSSSPLTHILDYLASLKVPHSYFTQFYVVSLLS SVFWALQLMCHGQAFQAIATRVHSEHLQRTMSINQIMLCWVLMLAQGVRRLHECFTFS KPSSSQMWFVHWLAGIAFYLAVSIALWIEGTGMQFPWPSTIAVPLISIGSKFTETLLS HKLSLDDVTVNNAPSLRTFLCLPIFLFASGLQHDAHHYLFSLKKYTLPSHPLFRSIVC PHYTAECAIYLSLALLAAPRGEMINKTVLSAAVFVTVNLGVTASETKRWYMQKFGESS VRERWNMIPWVY AFLA_050790 MSDVDAVSSLPAALDAGHSALAVKVNHRSPSGPRSPKRSSPFPV YRREDDRAKEVNPVAGAGEGPPSPKADSEAETIIQSGRESLSPEKRRKYIQHEPKRRD DDDHVDAVESDLPPNDLQVRKRKLTDSDSSDGRDRVPRLLSPKKRIGSPPSIVKVEKP EDVQSLSSRPELSTTSVPRTSRKRSFSETIDGEGDAGRPARLRDPAVSRERERKDLSS VTFPRPVSTDRSASPVRSVHKRTASGPQLGDMQRKKKAPTPLVTGYARQSSEDRQSVS SSTSGSPLPSAHLRKLGSVDGASASPARPTGHKKQRDQNGRTRLARACAAQELETAMA RHAERPEDLNVADNAGNTPLQIASLEGCAPIVKFLLDSGCEIDTKNIDKDTPLIDAVE NGHLDVVKLLLAAGANPRLVNAEGDEPYDLVPSDSEDYEEIRRVLAQAKANPRPSRRS EERAGSENKETSSRRVSAESARESPPVNRQPFAAKGDIVGVANILNVGQKADPESMIA AAKGGHDEVISLLLGMGDADPDPDPVQGGSQKPGYNTPMLAAIGRGNLAVIRILLNQP EFNPTRRLYRDRTYFELSRERRAENWEDEYDLLRDAYDNHVRTKKSRKQDLHSPRRAR DKDKENKRPGRRDSPSPGGRPRKTNGSPGYRDPASKDTALTKEKRRDNVAHLKEKSNP ARPKPAHPTGTDPDSARPDPRPKQLLPPREGESSRGEEAPKRRRLIAGRPPQDRRRPS LPSSDPLSSRDESSKARIDHLEPSKSGPPPLKRGRSSASPERGRALEPERHSRDTVQK KRRVLSEDGTPNITNGGLKKDHTTGDAKPRRKDDEDHHAESRPDPLRQTDNPSHKAPE RKPVKEEQERHDINGLNDIPMDDYTERAEAEAEARRQKEARKARQERLAEEARLAAEA EKARLDKEEEERAAHAARLAQEKEKAAEEERKRKEAEQRRIKQAEDERQKRLEQERLR LAKVRREQEELEQRRRDALPSRLRIAANLVGSNDPQAKSHLWLKKFMPVVTAETRQLD PSCAPDVAVEKWVPNYLVAPLLATNDLQLAQYSSWEKRDATPTQRMNLWRVTRRMLVQ ADDADFMNSSFGQVMQKDSEARPKYFDMEHVFWVKLSDFMDLVPHIPHLHGLDLQFLK MHIDQEPTAPLAFSFPQANGHISGPSHVEDTAGLPNGVQGLTNGYGHRPSTYV AFLA_050800 MSDGEETVSNPVVAADEVEVSADAGAGGQMSVLDALKGVLRISL IHDGLARGLREAAKALDRRQAHMCVLNEGCEEEAYKKLVIALCSEHKIPLIKVPDGKM LGEWVGLCQLDREGNARKVVNCSCVVVKDWGEESQERSVLLNYFQTEQ AFLA_050810 MDVDDPAALRMSVEINRRIPIVRREHDSSNSNNNSNKALLQSVR RQPRFSRTRTLHNYLLDRERASQDLEESRERSGTAATSRAYRFLPSNRGEPHRLLTHN ELRARINAHRQLHLDNPPSPRLKETIKYLDRLRYSSSFEESLTSAAAGGFVRLDFLPW DEDDFILDTASIAPPPTCSWLQPGMVFSGSQRAASSANSFSAPRVSSPPSSHDPLIVN GSEQSGSRIPVQTTSGRRYMANNIYNLGTGRDENWPVKVTIHNINPEEMTLSGTMEAY NIPDKTSPSHDAHIVTFLEGEIIDFNTHTLETKNFKADAEIDCTYWRELQPFKNLSDD AMTRSLVSRKWITEELSKGWILMRWKERCFITPTDSRQGLTISGFYYISLHRESGHIE GLYYDPGSSPYQQLSLKPESKRMVRPSYSFR AFLA_050820 MAKIKTIEYFRVLPRWLFVKVVDEDGNHGWGESTLEGHTEAVEG TLNALCKRFQGYEADDIEHIWQMTWRLGFYRGGPVFMSAISGIDIALWDLKGRKLGVP IHQLIGGKVRNKLSVYAWIGGDRPDDVEAAGKARLAQGFKAIKMNATEDVNWLDSPRA LDSSVERLKAVKALGLDAALDFHGRLHKPMAKQLAKALEPHKPLFLEEPLLSEHPEGI KQLSDQVSCPIALGERLYSRWDVKRFLEDASVDVLQPDISHCGGISELRRIASMAETY DVAIAPHCPLGPIALAACMQEMSLGIHYNTEAGDYDITSYVKDASVFDVKDGYVDALT APGLGIEVDEETVRRVAQSTEPWQPKEFFGPDGGIREW AFLA_050830 MHSSSDIPIPLWLDCDPGHDDAFAILLAAHHPSLNLLGITTVHG NASLENTTNNATRILEAIGRPEIPVYPGHKKPFCRPAIHAPNIHGDSGIDGTELLPKA TKSPITDKNPILAMRDALLAQPKGTPWVIATGTLTNVALLFATFPEVAEHIQGLSIMG GGVGGGFTDAPMSRLVGEESRIGNITPLAEFNIYCDPEASQSIFSNPVLASKTTLITL DLTHQVLASHSVQSRVLHGGDDLSVPPTVLRQMLFDLLVFFASTYENVFGLTSGPPLH DPLAVAVILSTLNPEYAKRHPDQVLKFDDRNGERFDVDVVTDGLHGTDVELVGELGRS KVISGTTGVAIPRGVDLDAFWNMILDCLRRADECNAARKLA AFLA_050850 MKWIVSYSCPRSHPGDCALLAREQSRLSGLTPSTREIYHSLNPH PSLSPIPDPTDTHTVTQQADNEARYRQLLVSGVLAVLLPTEDLKNACLRTLVCDILAD LIIGNQVSGKMCEGWFLWESATKLIDVVGSRQSHEIDAKTAVPHRQNQLQKFDLLSPQ EDSQKHHSSSSVQLRIPDWVWKILQFVFLAYVTLRFIVTGILSVASTPVASSSSFTGH VNEASAPCNPPRKRPVLNYGLYGMLSQLLDIPRRMPWLGGLVALFQHLILAGPGRLGD TDSVLDSRHTLRPLSRLSSQLQPEGLKLTGHSLPEIDWGFGHSEGGERVRSPVNQL AFLA_050860 MSLVWSVWLTLVRKIFMVDSTRFLHETIQNHVLTPTLLPNLLRA SRAALFPSNARSTQAASANQDGAPAPYLPAQPPASPTVTSPPSEAAGASNASSSVLTT SISATAPSLSPEQRPTAAEIASIKRRCAVSILSLVPRPIARRFLGVPAKIVIGQTPPE RQEHFKAPNEQNHQHSEPVCALSPDPLSDDDLKESLLLAAIENDILDLFADEYCNKHL IYSIIETVLARLLPELSERSLTELMEDRGVSLDSD AFLA_050870 MMSTHPQHPFPEGSVPAVPIPPAVSPVTHNPPPPPPPPPPPRKS STSNSTPRRTLTDDDRRRMCLYHEENKTAKQTDIGVLRQKEKYLNPDDGTRSPIKRAK GRVPDIEKALSNWVRNYQRHGYPLSDEMIREKAIFFANTCGSPDGKEKVLSTSWMEKF KHKNNLMGLKSRKSSFSAKSDSESPRRLSINSAIASAIQSPSVLSPISPTGFATPSPL SPTQSQENFRPDNLRDLLGDYQNARTTSTISIDTTSSVSAGVTSPTSTLVTDSPFTPA SQSHNPSTDSNQNRPRSQTFPFIGVDPSVLSPDEQPDQLSPKTGLQQATLQESPFMDE YNPKAIPSLDTSSSTIKRNRSNPEIKAKSIYPPLFPKSTTVSPISSPGSPTQDEARRA LELVMNYFEHQPTGLGAQDYLTIGGLMERLELAKSQQTTLPGGLTRIDEHDDGPHLHK KRSIRSLG AFLA_050880 MEATRLQPFLFTSSLWGNNAWTTPVSDKHTHTNQQDRNFRSREV PLERESSLESSKLHLMFIYPKRVIVQIQAVVGRALPVREPSSPPIKRSMASRRKLSQG LGLLDMYT AFLA_050890 MARTQKNKATSYHIGQLKAKLAKLKRELLTPTGGGGGGGGAGFD VARTGVASVGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTTVPGQVLYNGAKIQIL DLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLVDKKVIENELEGFGIRINK QPPNIVFKKKDKGGIAITSTVPLTHIDHDEIKAVLSEYRISSADISIRCDATIDDIID VLEAKSRAYIPVVYALNKIDSITIEELDLLYRIPNACPISSEHGWNVDELLEMMWDKL NLRRVYTKPKGKAPDYTAPVVLRANASTVEDFCNAIHRTIKDQFKQAIVYGRSVKHQP QRVGLTHELADEDIGS AFLA_050900 MPYLQPDPDPEPTSQPTNAFDQLVQDLSAALGPSSGLDSDDVDP LNIQRLMDQYTSNPEEWQPFALSDLSKSYTRNLIDEGNGKSNLVSHGLHPSSCGLI AFLA_050910 MQALTMSLVHKNRVHAFSSFSIMHRDSPPGGRMRRQRLVVSLAT VVFVCISLILLRDTTVPRSSNGLNAPFEANPLPSSYPPSPSVAVPNPIEPSKGRQALH PQNVHPMSSLIKDAEQEFSHLQSRQSKTLADAVKEYRRRYNMHPPPHFDKWFQFAQAK GVQLIDEYDTIYHSLLPFWSLEPKTIRERAREALGYDNSVIGVLIRDGKVTHTEGGRE GYEWQQDATVDMMQSFIRYLPDMDLVFNTHDEPRVIVPSDDLQRLVNFAKDHVIPKAF HDQPLVNKWSDKPADLNKGDRVDEVRTTRFNRFAHQPTWTSSRASCPLDTPARSLNES EPDNLEAYAYGDLGFIYNTTAFSDICNTPSLRYKYGFFDRANAFDVVRDLFPVFSQSK ISSFQDILYPSPWYWADKVPYEEGKDHSWDAKADKLYWRGSTTGGFARAGGWRRQHRQ RFVSNINTQSTAKVLSKNGNDQWELNEVNFDPYRDQFDVKFTYIGQCDPNDCAAQQEY FVVGEPAGQQDAWAFKYLVDIDGNAFSGRYYAFLRSHSLVFKIAVFREWHDEWLKPWV HYVPLSLTGDEYVETTRYFISEEEARDRFWNLSKTWSGLSHHYIILRNGFKFHYVSND PPEGPAADKPLIIFIHGFPDSWAVWRHLLKSKALQEAATLVAVDLPGYGGTDSLEEYS STNVLESLAGFIIAIRAKYGIDTDAGIRQRRTVIVSHDWGCVLSMRLAAEAPQLADRF ILSNGPLVSMVASNIRRSLSSSLKMFKTALRSPIKSRSTLYKAIKSLKPVARQLLLSG YIFVMQLPMPFVVYLGTGGNYSFLKLAHKGSYGNSPFSLEDAAECMASSLGPSVEECR TQTYDGEGYPASVVKERALGNFQHMARYYREKAATSRWQKSIETIADLHSISGGNELH RASSGAGLFDDGLTGVLKAHSTIIWGKADIALDPQICLNGFSDYLVRNSQVVELPRSG HFTPLERESCAALTRAVEWAAGGEKEDIGAAIQRDYPDAVVTSVYDELIPVHSTSNHT PANLWLMERPDLISTFTKIELWKQTQFERIVYIDCDVVAVRAPDELLSLDVDFAAAPD VGWPDIFNSGVMVLRPNLQDYFALKALAERGISFDGADQGLLNMHFRNWHRLSFTYNC TPSANYQYIPAYKHFQSTINLVHFIGAQKPWNMSRQVSPAESSYNQLLGRWWAIYDRH YHPVTTIPRNQWDDAPVTPQRNLQSQREDSEPVPLPVQSFYSTPQNLIPEVAYERPLE MHTEVPFEHPPVTQTLPKSDETSAYAPPQAQPYQEVKNAPGPVETPVEAVQPIQKEHH DNKRVQVPVLSVVPQYVRGEEHVSAYIKPHFDGAPISFHVEQPPTTQVNIPIASSALP DTVHSQVYQQPDEPMPEMGEHPQHPQHSHKPPPSPEPQTFEPPRTQWDPAREPPPLNT KPEGIALESKTYTMSEDNGLFKPPPFYPEAPKNMYYEVPSTKPEPEKLSQIFPWENQA PKPTRVFVNDDQGSVSLPSSMLSPVSPKEPPTSSVEYTASWTTEKRSESWDSYSRSNA WDDVPEIQRYIQSIAPPRRAKVQVIGGWGSSANQQTAGAESSMRLTDFPSEQERPSLP VTPAPIRRPPPSSNVLGERSTSGQLPIAEGVPNQEEWVGVTADVTRQYVSRNFSGIIL KF AFLA_050920 MSPLLAVHAALYLNFFAQSSHPDFGSLLAKRIQDPDVQWGFGGL TFAFMILFFVRPLRTAFWVQLWPTSSVKARREMFYYGHVSLVVLLCIAAYFHVAQAQI FVIEALGASALNGVCGLLLGSSEGAAGED AFLA_050930 MESQGENDELYPIAVLIDELKHDDVLIRLNAIHRVSTIALALGA ERTREELIPFLDDSVEDEDEVLTALSEELGNFVEYVGGPEYGHVLLSPLENLAAIEEP LVREKAVESLNKIGEQLSEKQIEEHFIPMVLRLSKADWFTSKVSATGLYCIPYKKSGQ ALQQSLRQYFGGLVHDDTPMVRRQAANNLAKFVKEMKTPVVIEEMIPLFQYLASDDQD SVRLLTVDILISIAEEIPKEQQPSHGVLLTSLRNLFEDKSWRIAKAVHEEVITRDMVP SFVKLLKDTEAEVRTAIAGQIPGFCSLIDRETLLNEIMTSVEDLVSDPSQHVRAALGT QISGLAPILGKEETIAHLLPMFLQMLKDEFPDVRLHIISKLELVNNVIGIELLSQSLL PAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSDLCMSWLGDTVFSIREAAT QNLRKLTEVFGVDWAKGSIIPKVMAMGQHPNYLYRMTTCFAISTLAPVVSLDIIENSI LPILDRLVTDEIPNIRFNVAKSYAVLIDTLRRLPAEGTLANVEGKTATPSPRSQDLIQ QRIIPSLEKLQGDDDVDVRYFATTAAGGNDEVMQTSP AFLA_050940 MPTISVDKAALFKELGREYTTEEFDELCFEFGIELDEDTTNSDR PIVDGVQEPPQLKIEIPANRYDMLCFEGIALMLNIFLGRKSLPNYRLVEPANGELQKI IVKEDTTKIRPLVSGAILRNIKFDQARYESFIALQDKLHQNLARQRTLVSIGTHDLDT IQGPFTYEALPPKDIKFVPLNQTQEMNGEELMAFYEKDKHLGRYLHIIRDSPVYPVIY DSKRTVCSLPPIINGDHSKITLDTKNVFIEITALDKTKVEIVNKMMVAMFSQYTSEPF TIEPVQIVSDHNGETRITPDMSSRTTQAEVSYLNQCCGLNLSAEEIAKILTKMAYTAR PSAESADLIDVDIPPTRADVLHQADIMEDVAIAYGFNNLPRSFPSKSGTIAQPLPINK LSDIVRTEAAMAGWSEVLPLILCSHDENFAWLNRKDDGNTAVKLANPKTLEFQVVRTS LLPGLLKTIRENKHHSVPMKIFEVSDVAFKDLSLERKSRNERHFAAAWYGKNSGFEVV HGLLDRVMAMLKSAFITGEEGLEKPGMSDSQYWIEELDEATYFPGHAASVHLRIGGKE HVIGAFGILHPTVLEKYELKYPVSTLELNIEAFL AFLA_050950 MAAVQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKTLPDSVTIIEPKE EQPVLQPMSQDYGAKAIAAQQAAEQQRLAEQQAAEGQEGAGAETFQQE AFLA_050960 MERTRVAKVEDVTLARRGEQVVGTLHLTPHHIIFSHIPSLPDSA QPSATPVRPRELWITYPIISFCTFRPAPAASRQPSSIRLRCRDFAFVCFYFASETKGR DVYESIKQWTCKLGRIEKLYAFTYQPPPPERELNGWELYDPLKEWARQGVDRDNHGWR ISRINTDYTFSPTYPALLPVPSSISDNTLNYAGRYRSRARVPVLTYLHPVNNCSITRS SQPLVGVRGNRSIQDEKLLAAIFSTSRSERPLANFCPAHLDRESSGSMQGDANDETVT DLTRAEDLEDELLTSFHGDSDGKHQVYGAQQHNLIVDARPTVNAFAMQAVGLGSENMD NYKFATKAYLGIDNIHVMRDSLNKVIDTLKDSDVTPLGPNRDQLARSGWLKHITGILD GAGLIARQVGLQHSHVLIHCSDGWDRTGQLSALSQICLDPYYRTMEGFMVLVEKDWLS FGHMFRHRSGHLNSEKWFQIENERIGGDSSRGFGEAGGAGKAIENAFLSAKGFFNRDN TSRDSLPESDGEMQSYDSDTPGPKKLSSAPRSVTPEKEMTKVKETSPVFHQFLDATYQ LLYQYPTRFEFNERFLRRLLYHLYSCQYGTFLYNSEKERVESKAKERTRSVWDYFLAR REQFLNAKYDSHIDDHKRGKERLIFPRLNEVRWWSEAFGRTDAEMNGIRSTGSPPAQR ENIDRERSPVLTGIETAQDVVTSSTGMKGAHNAASAGIAAVTSGISSLAFSKKENGQD PKSMGQLEVEMR AFLA_050970 MSVIRGRSNLEAPTECLIFAIYYSTVVTMSAVECRGEFDEDKAE LVTRYVCFSWENAFADWVRYRTGVEQALSRANFLRSLDLTICGRKDENGPDVCTLIGV AIGTAMKIGLHCDGADLGLSPFEIEMRRRLWWQICILDVRTAEDHGSEPTILEAAFNA ELPLNINDTSLQPDMSELPQVQPGKTEMTFTLVRFEVSHFVRRVTFSDRFCRTNSYPI LSEAKKCEAIEQFKERVEKQYLSYCDKEVPLDFITATSTRLILVKLKLAVCKLRKDQA PGMLMQTIYRRTCEEVLQHAQTLRNYEKGKRWLWLFQTYVEWDSLAYLLLHICTAPPE ELSDATWKIVDEIYHHWKCESGIYRDRRWRLIEELRFQALSARATKQKIPQLPRATQP GHHTTPEQYDLGPAARLHKDPSARAHRTTDTGIDQPSNAEAAAYVHPSQVAGFQTTES NPTVDQPFPMGTLISAATATSVTQPVDEAMSSAAELPSGGTGCEWSAALFEVFWDLTG SGQGASVSWL AFLA_050980 MNGYKNIVLIGASGDIGKIILDGLVASSSFHITVLSRKESNASF PAGITVCKSDFSDADLEAVFNGQDAVISAVGATAFGEQKKIVDAAIRSGVQRFIPSEF SSNSQNEAVLKLAPFFGQKKELIEYLKTKQSDGLSWTAIATSGLLDWGLGNGFLGFDV ANRTATIWDGGNQSFTLTNEKQLGEAVVSVLQQPQETSNKYLYIASVETTQNEIVAAL EEVTAAKWSMKATATEEQVGEGFKKLGAGDFSGAFHLVRATCFGNTPGLQANYAKDLT LANDVLGLKLESVRDTVKRVVAQ AFLA_050990 MTDPNYTPPTVEDIFRYRYQDGTNLGSMFVHGPWLSDGASSSDS GGSRELEEVKRSLKACGLKETRSKWEAHWRTALTDFDIIWLKDVARCNSIRIPIGFFT LGPVFCTGTDFEGEPSEVYVNCWNILKRLIEKCYHHGIGVLIDFQTIPGSMDRNSHSE IGIADFCASERNWTMATDCVAFIVQEVTYHAMFGVIGVQISSETDWKTCDLRKWYDEV LEITSCINPPLPIYISDGGNFADALDYAMMKNRLESPVARSPIIVDTHKYYTTGSYQH MDPRDIISRIHNELSELSTRQGKVGSQRTAVDAYIGQYSCALDAQTWDRVDASERPTL TKAFGQEQSKQWESKACGSAFVGFKIHRITGDEWDFERQVSADAIPSPSWLAIPRAQV IIKIAQAESQRLRSRETALSQHSDSSDTCEYQQYALGWDFGFKDALNFFGALSQDVIT GGWNGGEKIGAMELWIRKRFADTGKLNEDFAEEWENGFRKGVSDFYDLVSINTYSVH AFLA_051000 MQAFVDLNNVPLVNGTAFVKWRLPSSSGAENHGHTDKAVIIDHR AYWNYEKTLQVRLTIDRNQSLHDCELQFEIIQEFESGGGGDTKNFLGRIRLNLAEYVD KSDDDEGIVRRYLMQDSKVNSTLKVGIAMRQVEGDRNFTTPPLKSAMAFGGITGVISS EQTDPDDLGPLPSIHMQSREAADMQDMYRRTLAASWNSRSDDVPADKLVEDLFSGSIS WSNEVHGLQSAEAKGGEHLPSIGAATKNTSANRLSPNFERRPKSSSSNQFRIDAMTTN SRSLIINSPSLKVELQHSVGENLLTKCYSLFVSQMLSRSSKGVAGLLSCPIPPSSRKC SSPSTLRLRDVFVRSQHTDGESENDSTESADSAENIESTENTESTESRPRRYRRRFKP QTTPKKEFDKVPINVSSLGNPGEVVVVPPPKRRRFAWLKNPQYRIDQDTKTALPFMLN DIENDDLLDSDLITERIESFRAHYRPGDKLSPTDWENLRSGFQTSFTNQQLSDYIEQF KAEDTVPEEGLMLHGGAKLAEWRPGTSAFFETESAPQAGAAKRVAKSLDLRGKYVLTE RILRDCWHLGLIGEVGQLDVRLPAHSLSLFLHSEHFSFEELAGLHDAKIDITHSLGLV RITGKQHSCEFIRDIIYDATTRIREEDLELYPPGATPKGKNRVFTADFLSWISKTYGV SFDLTTTTPTKLFYLAENKPKADSARRTLNLAIHDATQPPIPFGTYMPASEPVDTYDI NPELNVSWFDRQKSWFRWAVPSAQTAPSSMSDAPFFSKHEMRLSDELLKLLRARARTK LGNAEAHESITAAVGRCLFEHKPFEGKAITAAQLGKMAPPRTFTTDIPRVIPFLHQLT ADYPEDEEIQPHRLRLVPSAIHAHIFPQLELEVAIAPGGFEYNVQNAKAILSQSSVDY LLPESGLDLRFTRKLTHDVLDSFGEDAPLQPLQDSLQDFFSKAVIYEGETPLPAFSQL SIPNHLLVETGEERDPDGFTTAEYMYLPVNDFRGTRLHQYNYEGHRLNYSFYESGPFS PHRTTDIFLDMDVDGSEEQSPSENGDSQEPVQQEFGSFYKAACSLAFELDRSGRVM AFLA_051010 MNQEPLSPPSEPTPSPTTNPVPLSSPQRTTPIHPLLPEVRVPGE PLPPHRYHPITCTQIDAESEDIRAQLEQLRQEYTSPEAALRAQEQAAREVKQKMEDAE RKREDVQKAMDKKIKERNTEMKVLSKYQEVKVSDIPA AFLA_051020 MRFSTVVSALLLSSTSLATPAQDFSALGNASSQGAPEEHPIAQT PAAAAAAQPSDNASQQQNVNVQQPTAQPSQQPTVNVQQQPTAEPSQQQSANDEQQPTA NVAPQSNAAPEPSSSPNANQQTDGGLLSHLIPTGVAESSAQQESNAPTPTASPAESEG SASPTEQSTTQGSATKTAASSSTSSAGSPWESLIPDGVTGNPGTDLGNGLQGLLGLLS PTFLKDVESFFHHFAYLFDDQTTEQTKSLINIGSGLLTQDLIKELNSLLSNAGKLLTS DFVDEIQKLIKQLGPLLTDDLFKQISTLLNNGNDLLTADFVKEVNALIGNANQLLTAE TVKELRQLIDSLGPLLTPELFKEISGLLNNANDLLTADFVKEVKSLISAVGPLLTPEL FKEISGLLNNANDLLTADFVKEVKSLISAVGPLLTPELFKEISGLLNNANDLLTADFV KQIKSLINSLGPLLTPELFKEISSLLDNANSLLTKDFVDTVKNLLNEAGPLLKPELFK QISSLLDNANNLLTPSFVNETTGLIDTISPVLTPDLLLKVGSLLNSAGKLLTDGFVDE TNTLIGNANSLLTEKFVKETSGLIDGIAPVITPELLGLVGGLLANANSLLTPKFVNET QGLIDGISPVLTPELLTQVGSLLNNAGKLLTSEFIDETKSLIGNVAPVITPDLLEDVG FLLGNATNLLTPKFVNETRDLIDDVAPVITPELLGEVGGLLGNANDLLTKKFVNETQI LIEDASELLPVVVKILGTL AFLA_051030 MLVYACDHHFSVPYGRPPMARESEAVRDARKFLDCTHATEDDAR LVNQVLRWSICTNFYDTFGADVDRPLSDADIPQVRRFSIVLDSLRAEWGDKFNRNAYV GNYPRKGVGIQYHFAKLYLCSHALHGAGSSHAKYRAPDVALELEEIANTAVLSALSIL RAVISDTEIQSYPNGLPTYFDIMIAFAVVFLLKVPTHFSTSVQLDNQEIQRLMLSLAM VLKGVTATMHPHHFLVSITEGIDDLLQRSRMVTGAAQAGGMDPLQ AFLA_051040 MPTVLLPSSAAAFAPRSSPNVVLNTKIEPWLTATLKRVSRVKRP LNNVTQHTKCLTETLSSPNAIWTLCSMMFPKAPEAELRRDENPWVEAFFNYQMIHVEA YVVHVDMVSRNEVAFKLTPETIEALVDFHKEVYSVDTAASTWDWSEKESQLKKLQEEF VQAANKFVYRASAQALEGLEEDGAGELLGGRSEEAKSAITSLFVPLLPPPPRVVDVLR STPVLPSSTGPETWWHDPIQQPVSMDTWKVLPSSPATASTGDSNPNIWTSMNNMNEFS YASPTPSYSQPYTTSPYNATQYYSTAATSAALAALPLPSMLVQPCSTAANMIGFGWGD RYQDFALPYGTTM AFLA_051050 MAALQEALECLAPTTWDEVPTDPSSLRTYINDLSTKAHLIVNSV PEPPPATTTTTTHQVKPSPARLNTTDPTLQSLQQQWSKPIKVSSTRDNPLDLLIHKLP GNDGKGHWFGRRSVHEGLPFSTWQAKLSSEMTETLKANRERMKHGQTPDQSVRGIGAE KQVETIEVKDESGEKVLAHVTVFHVSAQFPKPTTPRDFVSLIVSWEVGVEEGGRFWMM VSKPVEHADAPPCQGYIRGQYESVEFIREIPVKRGGGGDAQGVVEGSSNGVIEAASKE KQGSGAEEETNPVEWIMVTRSDPGGNIPRWMVEKGTPKSICSDAVKFLDWACRDPNSV SEPGMDDGRHKRRRNSLHTAGVQEDEDSEISDSEFSDTEVEHHGLIASFAYLLNAGLE RYAPQAVLDYIPGHSHHPSGDMSDVTTEDGERAPRSSGDPVPQRDATERDKDDTRSQL SQDKASSINSGLATPIEAGHHDIPPVDLMKIEKKDGKLTSHEKQLAKLAQRKREVEAQ LDRVREDIRSLHLPSREEGFKRDKASAAALAAADASNDQLSTSAGSSNQRKTPESRSS SSNNLAHPANSRDPAKMHKVASGLFSEESKLLKQLGKIEKHQLKEASKIESKQRKQAE QEEKTKSRTENDILRHENEHLRKELERLRNERRQWLELIASLQTENTNLAAAAGKKSD A AFLA_051060 MADKLRTLQNLEAMQARYVGTGHADTTKYEWTSNIIRDSYASYI GHPPMLSYMAVGMGEPKEKVRAMFIEKMVRGAGNPPETQE AFLA_051070 MGESETKTPLASRIASLVHAHFDGLPARSKPSIRSDGTREWVPM SGIVVVKGEYTPDEELTCVAVTSGAKCLSASQVPKSKGLVLHDWHAEVLALRAFNHWL LSECRGFLAQGRSLRSSSEDDTSNEKKEKEKEKEKEKEAYSPYIRRRRQDPDDSTITL PPFELNPDVKIYMYCTCAPCGDASMELCMAAQEDPTPWEVPTTPDGGDGELLDGRAHF SRLGIVRRKPSRADAETTRSKSCSDKLALRQVSSLLSFESSLLVATTENAYLEGVVMP EEEISRVGCERCFGEDGRMRELKGRFWSPGGKKGVEERGYGWRFRPFRVLSVPTGLVE ELWAFGKSDPMGASASRRTKPAVISAVWAAASSVLVPSVVDNGAKSLPKLAGSRTGLY ETIINGVKQGNRASEPLARGASALSRARLWGLSKEIVQSCVDDHDQETGTEIGVEERQ SVELDVTKRIADASTYREFKKEPTVLTESLEARRSAIQEARRVLSGWIPNLGDENWGL EVLIDPKKRKRCPN AFLA_051080 MAPKKNSKTKVPIQPVPEKRGYEFLGPPGAFAFVTCLPTLIYAF TFLCNDVSGCPAPSLLNPSTLSLDQLKAEVGWPQDGLNGFFDARVTLWVLSYYLLSLV LYVFLPGEEVEGTELACTGRLRYKFNAFPSAVLILSGLALGTYVYGADFAVWTFLWDN YVQVITANLVICTAIAVFVYVRSFSIPAPGQLNPELRQLAPGGHSGNALYDFFIGREL NPRVQLPIPFVSEASRTIDIKVWCEMRPGLLGWNILNLSNIARQYRTYGYVTDSIVLS TAFQLFYVLDGLYMEPAVLTTMDVIMDGLGFMLSFGDMVWVPFVYNFQTRYLAVHPVE LGLKGILLILAVTGVGYSIFRGANNQKNRFRTDPNDPRVKHIKYIETASGSKLMTSGW WGMARHINYLGDWLMSWAYSLPTGFAGYTLIESINQTGDVQKRVVQTPEVRGWGMICT YFFLIYFGVLLIHRERRDEEKCKRKYGADWDRYTSLVRSRIVPGIY AFLA_051090 MKSLATSLLGFLLLLSAPHTSVYAASDSKGSNALPPCVARSPTT GFYYDLNSISLSPPKTKDEKLRGNVRDESWHAKGHDYHANFTINVCAPVVENIKDVVG VDRARWQNVSAYYEKEGKVYSIGQQASEPFFRGRKLVLNYTDGSPCAGELIGNASRTK STIMSFLCDRDAPAHQATASFVGTMDQCTYFFEVRSSAACGAVAPADGQGLGPAGVFG VIALIAVVAYLVGGCAYQRTVMHQRGWRQCPNYSLWAGMFDFVKDMFTILGSSLGRVF RFKRSPALGHIRSGSQRGGFIGAIGGRRNSGRDVDAENRLIDQLDEEWED AFLA_051100 MTTASNAKPPPTDPQSSPYPPEATASSRNFVPSFSRDQDEISWT SPQSTSSGIPNDDPRLALGVPPPVMIPPESDESPLASSAGLTLGHDDIALPDDIDTHS RASSRDPGSVQTGGDISTILEGGYDHHPDDGKGDSVNGEQRNEKPAWSEMKTKAGKER KRLPLACIACRRKKIRCSGEKPACKHCSRSRIPCVYKVTTRKAAPRTDYMAMLDKRLK RMEDRVIKTIPKEETRDMAAIGRSVVRPPQPGQASKSQKKRSADEAFANEMDEWARGE RKASLDIFPMRRESKINDVTGLMTEGAEFLPSLEIQEHLAEVFFDCVYGQSYLLLHKP SFMRRLKARTVPPALILAVCAVSARFSTHPQLNSEPPFLRGENWANPAAAIALSRHDA PNITILTVFLLLGLHEFGTCHGGRSWSFGGQALRMAYALQLHNELDEDPLLSQTNGGG SQLSFTDREIRRRTMWACFMMDRYNSSGSQRPPIGNEKFIQIQLPIKESHFQMEIPGP TEDLDGNVPNPVPEDVGQLSNAKDNMGVSAYIIRAIVLWGRIVDYLNLGGKKKDVHPL WSPESGYTRLKRQIEEFSASLPSHLAFTYENLQIHAADRIANQFLFLHIIIHQNMLFL NQFAIPLSPGGRPPRDMPKAFLSNAGRAAVEAAHHISVLIDRASAYPLTVPFAGYCAY SASTVHIWGIFSKNSQLEARSKENLRHTYRYLNKMKKYWGMFHYMVESAKDRYRQFAD AAIKGSVVTQNGSQISAMFQYGDWFDKYPHGVSRMHWEDPNAQKKNKTDEAVMSQKPD LQSVEDFFASLSPTPQPSVSGRQHSKKDSRGESLSDMGQPTSQPMVDINTPGMMNTPG AGFPQPALFNQARGQLYGQYPFDFPVPADQLPQLDRQFVYGSFGGLDPSTNFMPSENP PISTVNGSEPSPATAPDNSAIFPGQLDPNAPAGVGEYYQPSAWFLPFNLDPVSAGVGL DPSPAQVPGSGTPDMSTFNGGNMPMGTFDMGMASVNRGPQMNE AFLA_051110 MPGNKLHFPIWIPYARYGAIDYIYGWPAFNARNGFPAAQTVLNL VECIGYIYYLWMVYRHGVSSSGGRGQRKTKKGLMWMLRTEKVVSGRTGATALLVAYSA SLATLSKTVLYCEF AFLA_051120 MDNVNQKKIDKLARVRENQRKSRARKQEHIRGLEQKLVALQEQA HQKDVEHRLAVQKLEAENRGLRLLFSRLEIPAEIIAEYVQAVEDPNTAQKVAIPALRQ SLDVQTHQKNCRSPPSLPRCQTDSKAPHAEDLGGLSKTDVSQNATRLTQKTEPQVTLN QQVPNQRQSICGCLPDTAAGSRPTSYDVLNTTLCAIAEELIKQHNRRGLDMAEIQKKL WAGFSNGLTTDEGCRVQNQILFQVLDEISGD AFLA_051130 MNARNIPVAIVTGATSGIGADIARDLHSRGWKVACVGRRAQAGN DLVQSLGENARFYQADVSDYQSQAAVFRKVFRLWGRIDALCANAGVGDQSSLYLLNRR DKGVEDVPPEPDLSCTDINFKGVVYGTVLATHFMRHNRPEAGGRIVVTGSIGGIFPHR TYPEYSGTKAAVNQFVRGVAPVLKAKDNIHINCVLPGIVATPIVPPEMIEAVAPECRT PMKTVLDAVQIFLEDETGMAGELLECSADKLIYYHLPEMGNGHITKRAVTVWEPLFRL AHGENSDLPDAIPDISPSNGSSFGALREAKL AFLA_051140 MKLGWIEVAALAAASVVSAKDDLAYSPPFYPSPWADGQGEWAEV YKRAVDIVSQMTLTEKVNLTTGTGWQLERCVGQTGSVPRLNIPSLCLQDSPLGIRFSD YNSAFPAGVNVAATWDKTLAYLRGQAMGEEFSDKGIDVQLGPAAGPLGAHPDGGRNWE GFSPDPALTGVLFAETIRGIQDAGVIATAKHYIMNEQEHFRQQPEAAGYGFNVSDSLS SNVDDKTMHELYLWPFADAVRAGVGAVMCSYNQINNSYGCENSETLNKLLKAELGFQG FVMSDWTAHHSGVGAALAGLDMSMPGDVTFDSGTSFWGANLTVGVLNGTIPQWRVDDM AVRIMAAYYKVGRDTKYTPPNFSSWTRDEYGFAHNHVSEGAYERVNEFVDVQRDHADL IRRIGAQSTVLLKNKGALPLSRKEKLVALLGEDAGSNSWGANGCDDRGCDNGTLAMAW GSGTANFPYLVTPEQAIQNEVLQGRGNVFAVTDSWALDKIAAAARQASVSLVFVNSDS GEGYLSVDGNEGDRNNITLWKNGDNVVKTAAENCNNTVVIIHSVGPVLIDEWYDHPNV TGILWAGLPGQESGNSIADVLYGRVNPGAKSPFTWGKTRESYGSPLVKDANNGNGAPQ SDFTQGVFIDYRHFDKFNETPIYEFGYGLSYTTFELSDLHVQPLNASRYTPTSGMTEA AKNFGEIGDASEYVYPEGLERIHEFIYPWINSTDLKASSDDSNYGWEDSKYIPEGATD GSAQPLLPASGGAGGNPGLYEDLFRVSVKVKNTGNVAGDEVPQLYVSLGGPNEPKVVL RKFERIHLAPSQEAVWTTTLTRRDLANWDVSAQDWTVTPYPKTIYVGNSSRKLPLQAS LPKAQ AFLA_051150 MASPDKSPSTTIPRLYQPPTTALLVYPITLIIGSLFSVLSPTAQ GTRDPPSDHPTPLAPSIAADVNLPQHPVNYFARKDNVFNVYFVKVGWLWVTAAFASLL FSQLPYTTTSSQQPRRVGQALARYSLATLVWYLTTQWFFGPAIIDRSFVISGGKCEQV VPQAEEGSASFHTLLTATACKAAGGAWRGGHDVSGHVFMLVLATAMLAFETIGAWRGA PDIDQGSKKSEDADRELNGPRKWSVWFVGAVTGLGWWMLLMTAIWFHTWFEKVFRPFD TLV AFLA_051160 MSVNGGHDDFTPSPYAPSRLSNETERPLSISRLMIMSDAFPDVA SRLERFQEAQESLYGDLRSIANPQDWTPPQQSGGHRGRYLWTDAFGVINFITLHREYE KALASSTSAPDDRYLTLARRLVQTVHDVLGRTRDGQSRLPGATDDNPMGGGLRIGKIE EHGSDGDGQYHHYLTIWMFALNRLSLATGDPTYNRQAIALAKAIHPRFFIGRHLSRPR MVWKMSMDLSTALVASEGNLDPVDGFVTFRLLQAAAMQMGEGEVLANEIADYRKVMER KGKHFVTSDPLDLGMSLWTAHWFAEKEDWATQLSGECFEHIYELFEIDRYLDRSHKYR LAFREFGTCMGIKCMSEQSTEKESAVDLKVYADKILDSWNIYMQKSLATKMTPDDLRP ITRVMYASALLPGAFARGYLGPEPMPSPER AFLA_051170 MYDGGVGAIRVQLTKYSQSDGLLTAWFTVRTEASKSFGLAPKPF DNTLLLPTKRRRSKSYIQRLSSFARASIDGKYQKDPKPIIPLRSRT AFLA_051180 MSQLQGLFAAAAHPLPDIKDPSFSSNFDSFADYRLVLLGDGSHG TSEFYSARAEITKRLIQHHGFTVVAVEADWPDAEVIDRYVRQRPGPKAKIGGHSKPID PFTRFPTWMWRNREMQELVEWMRDYNAQVPDSKKAGFYGLDIYSMGASIREVIDYLDR VDPAAGKVARQRYGCLQPWVDDPAMYGLASVRGMRNCEKGVLDTLRDLLQRRLEYSEN RHDGEEYHSSEQNAYLVRDAERYYKAMYYSSASSWTLRDTHMVDTLRRILRHKPEGTK AVVWAHNSHCGDARHTSMGIRRKEVNIGQLCREQFGRNNVALIGCGTHTGTVAAAHEW DEDMEVMDVRPSREDSWERVAHNTGIPSFLIDLRRDHIDPDLAAAFEAAPLRLERFIG VIYRPDTEKISHYSAADLLNQFDGYVWFDETQAVKPLEKHEPATPLGKEETYPFGL AFLA_051190 MSSSNSAVWLLEAGGQFVVQEAPLPSPGPDEVLIKNKAVAVNPM DWKIQIYGPHLPFPKKYPFILGADVAGEIYEVGQDVTTFKKGDRVIGGANWFLTNEIR DSGFQNYTICKVNLVAPLPDTMSFESGSVLPLALSTATMGLYPAGRLELPLPLTPKAS PINKVILVWGGSSSTGSAAIQMAVASGATVIATASAKNHSFVQSLGAAKVLDYHEDSI IQDLIQAIRDTPGEFLGVLEAIAEEETIRKCADVVKGLGGGRVVTNLPVPVKDIPEEV ELVGVVDVANLHDHKEISEYVWGKFIPEALKDGTLKPVPEPLVVGEGLEKIPEGVSLF QKGISARKIVVTL AFLA_051200 MAVRRSARLRNRQASNVSHPQIHRLLTPIHSLAQVCPCFCLVKY QTTNFGSWLKEPQPPVSPVNNTTTDTTNNNNKLPSVMERDETPADVPSNHTTTNTTTT TPKSTTNVRTPHKATPAQKQTPAKTPTSAVTRPSHQEMHPSKVHQSTTKQADSGLILG FNPIKKDAEGNVMKDSLVETTPSKTKTSPASTYYGTPAFEFKFSSADSQLSDEAKKLM ESVREDVARIKAQMVQEKESQPDQNSNNQTENRKIAEPKGQASRFNAAHMAEFKKMDS IAGHASAFRATPGRFQPVRKDLKRTTSKARLDESNQNSPTKSPTKSPAPAPVGAKRVK RDRSDDTSTRRETVGEKSASKPAVTRTRPAIRSSLMTPTRASMARTSSTSLRPPRTSM LPRPSLAHSPTSKQSNTPRTPNTDFNPRFKSGLPQLNLKSILRPHQPLFSKDPAKIAA GTHVAAPEFSSKFLLGSTHDASEESAVTPSPKKRVEFSSSTRLQDDEALPSPSPSKFT AGSPSRVASDIVYPTLPALTPDHASKKSDSKTKSPTIRHVRQSDAPTNLSLPDIPGVP HGIGSKKRHRETEATHDPSLPDVPGVPHGIGNKKRHRDAAGRDVDTENVPPADTAAEN RSAKRVKLSSPSKLSPFKFSPSKMPTPSPTKARSHTPLRSTTSASRTGTPASARQKSR NALTMSRLNALAQPKNRS AFLA_051210 MGANKVRVVDLSARKTSDVTTPSVTTPHPIKAENGEERNGDSKS DYFSLERPSGDDDSKIVSEFKGEAAPEKPLIQPESSDEGPSIAWPYEPMCDFLMVDLF DPNWEVRHGAAMALREVIRVQGAGAGRLRGKSRSENDTLNRKWLDDLACRLICVLMLD RFGDYISDNVVAPIRETVGQTLGALLSQLPSRSVIAVYRCLYRIIMQNDLGLERPIWE VCHGGMIGLRYLVAVRKDLLVKDAKLMDGVLEAVMKGLGDYDDDVRAVSAATLVPIAE EFVTSRQNTLGILMNIVWECLSNLQDDLSASTGSVMDLLAKLCTFREVLDAMKANAAV DPESSFGNLVPRLYPFLRHTITSVRSAVLRALMTFLQLEGDGTNEWVNGKALRLIFQN LLVERNETVLKLSLQVWSELLKALDKHGSFKSEAELLSHIQPLITLSMAPFGVPRYPI PMNASLFIKPSGVPFPMSAAAPAKSSPSAFNNTSDATKKRGRKAEKKEVPPPSAHNVD GHMLQGDIDLVGADTMLRSKIHAAKALGQLLSFWDKNGLPSLWQPILHGLKHSASTSQ LATAMIIEEYARIQGSDSPYASVLCEQLRPIIEGDRPSWYGDIACYLHVARAQCHSLL NAFRDHAHVPGSRLPVLAVIVQGEAEAGPSAFSLADAEKVVGPDFERLKKGLAPAQRI TALQVLNDTRATAESAINEARSVREARDLRILAAAAGALVAMHNIPKKPSHIIKGMMD SIKKEENAELQQRSATAVVTLVEYYTTATKRGPVDKVIGNLVKYCCVDTSETPEFHHN AGLEKSILSLRKEEDRRDHPDAAKFEREAKEARIMRRGAKEALEQLAVKFGPALLEKV PNLASLVERPLTDALANELPADIHNPDNELGQEVVDGLSTLRALLPKFHPGLHPWVVS LMPLIVKALQCRLSVIRYAAAKCFATVCSVITVEGMTMLVEKVLPTINNGLDVHHRQG AVECIYHLIHVMEDGILPYVIFLVVPVLGRMSDSDNDVRLLATTSFATLVKLVPLEAG IPDPPGLSEELLKGRERERKFMSQMLDVRKVEEFTLPVAIKAELRPYQQEGVNWLAFL NRYNLHGILCDDMGLGKTLQTICIVASDHHLRAEEFARTQAPEVRKLPSLIVCPPSLS GHWQQEIKQYAPFLKCVAYVGPPVERARLKGSLGDADIVITSYDICRNDSDVITPLNW NYCVLDEGHLIKNPKAKVTLAVKRVASNHRLILSGTPIQNNVLELWSLFDFLMPGFLG TEKVFLDRFAKPIAASRFSKSSSKEQEAGALAIEALHKQVLPFLLRRLKEEVLNDLPP KIIQNYYCDPSELQKKLFEDFTKKEQKQLANKMGSSEKSDKEHIFQALQYMRRLCNSP ALVVKDGHKQYDEVQQYLHAKNSYIRDVAHAPKLSALRDLLLDCGIGVDPPSEGDLGT GASYVSPHRALIFCQMKEMLDIVQSEVLKKLLPSVQYLRLDGSVEATKRQDIVNRFNT DPSYDALLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRIGQKKVVNVYR LITRGTLEEKILNLQRFKIDVASTVVNQQNAGLNTMDTDQLLDLFNLGETAENAEKPS DNAAGNEVDMVDIDGEVKEKGKKGWLDDLGELWDDRQYQEEYNLDSFLQTMKG AFLA_051220 MASGFGLNGGPSRCYNFWQEVLGCYVVNAGDGETGKKKCMPALE DYYECLHHRKEALRTMKMQAAYRKAEAAHPRENAPKAEQIRSLGLLGKEEEASAFLTK A AFLA_051230 MANPGRLSGAHAILLATHLCVTGNVSRLPQLQAQFPGYLPFERV LRIILTFLPESTAPQSYTSVLQELLDGPPSQTDDDDIDVSPVDKFSESAAKKRVRTLR LLPLKYHDDEDSQDPTDLLTQFLIHRAYRIDLETALQPLILELLLPFYQRLPTVRTWL ISSLLPLLRLNYEYYPSQDETFSLDVLESMDSHTAINVLLSMTGAQKNSMDLVNNLRG LLGPWMYGSNRSKRRRLNKAAEANSISLPQLNTQQQSNNISGWQYVNEWLLARSLVDY ESTVNAFLNWDGPEDADLGGFEEGNQKYDHDVSKDLNLRYGQSGLAVIYTTSDTSKSC LEGSIKVLTRVAKLLSLEDQLFTSPNSSVLPSVTFDASQISSSSRVSLLQNALLAASN PLTCPSASSISFLSAILLSIKTLAELGHSVTCRTAANICLHSNQDTQLHELRNIVSSI VRQTKLSHDWRDVREQILWLQHWGSDKTEGNESNSPCHGLFWRISRDVVEAEILKALL EIKEFNLAIDIYINSKTSLSSAQVEEAVKEAIFTTYDNASNGNRTRGGMKQAYDILQA FQPHFPGSVSFKEIRALISATHGLSFYSLTLQHGVPFQPVSIRVHPDPLSLIEKVLDQ NSKAYTRVDDLLAIGRNLVAAGFSSHLSDSNNLDLPSTSEEDAVITAERRIMSLAISS ALSSNDFGTAYSYILTRLTPPSLLSTSSPLTNPAVRDDISWRAVYNAGRYRDPALSSS SNLQAQITQLSQRMELLSLALILVPSPDPLPEILGAWRRCDEEMNGLRTREAEEEELW DTKGDNLSSVPGGFGPTDSEQDAYETKKQHARRARAHNDRLNAEEAPMGLFEVARGAA LALHKNAFPLSGGTAATDQQPKPSSHAHGPSEDSAEERVRKRDVVSNMVTGGLVSGIG WVLGAQPVNR AFLA_051240 MSTPAVPLLKPPVPGNRNNSNGPRPPKLTLGIPPSPNARPVTGN GIPAPAPVPAPALAPEVPQLQRPSTRPAPPQLRLATPMGSSKNIQQVNGRPAPPPLAT SGLNEPNGHSRSGSFNYLDGKASGPASASSSNYSALSFAMGLRQPQGSTPDPSSAISS VYSDREGGVQMERDNSVNGLIPDLDKLSLEKGRPLDVDDLDDEGWLAASEQKKIVELG SLGEGAGGAVTRCKLKEGKTVFALKIITTDPNPDVKKQIVRELNFNKDCASEHICRYY GAFMDKSTGTISIAMEFCEGGSLDSIYKEVKKLGGRTGEKVLGKVAEGVLNGLTYLHS RKIIHRDIKPSNILLCRNGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGQSY TITSDVWSLGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPKLKDEPGNG IRWSDSFKYFIECCLEKEPPRRATPWRMLDHPWMLDMKNKKVNMANFVRQVWGWDN AFLA_051250 MRFSATTIALFAGLAAAIPNGEVHTVYQTEDVTITSCAPTVTDC PGRQTSTPEGVEPVTTGPAVTETPIAQTSSAEVPPVAETSEVPPAVPTSSSPVIPGVP SSGVPPVPSSSSPVIPQPPKQPSQGTTVIAVTTCIPTVTYSTITGPVGTPTGVSPGKS SSIAVIGTPAPSGSATPSSSGPTSLFTGAANTVGQSFGLAGAAAAAAFFLA AFLA_051260 MNPIDLSSLEGPPVIHRPHSTNPYSGVPSIQLDYSALPLEAFDG LPTHPPRPASADPVPSSRQKSPSIASGVNWHRRERGMQNPGNLTPGNGVRHVPSERRV DYSAARRPISHPGHSSPLGLFNPRPRGERPFRAQSASPSHQLIWLEEQNIWVRADITV STNFPAQVPTLTHSRSMDTYFTNNGERDADIPTSPPPPYEQHVFDQPIMSPRVNQGQP LSQTGAHDSVWTAVAGRRAHRVPFGN AFLA_051270 MVLPQLFSRHKSKRSKSKQIQHPDLSTLTPNPTPVPSASSPPPP PPPRFPPSSPSTSSSPRHSQSTRKNPPPPPPPPYQPTAAATAPPPQTNLPRKSSTRPA HSRSSSIPSHSKSCHRPTHSSATSKGSFSSSASRPTFSRASTVHRFKKHDPDLHPLNL PPDELRRLSAMAAAADRSSMDVDSNDPRLSSSANGVNGTHAEKSPTPPPHRSNGTTAE ADSFKLAGNKFFKDRNYARAIEEFSKAVEINPNSSVYLSNRAAAHMAAHQYINALEDC ERALELDPSNAKIQYRLARILTSLGRPQEALNVLSRTDPPASATDRAPAEKMIRFVTQ AEETLAQERGVSMALFCLDQARALLGNGVKEPRKWTLITAEAQLKMANENSYAKAQDI AMNMLRQNNQDPDALMIRARAFYGLGETEQALKTLKICISLDPDMKSAIKLLRTVQKL MRTKEEGNNAFKAKDYRKAIDLWSQALEVDPSNKDMNAKILQNRAQAHINLKEYDNAV KDCTEALRLDPSYVKAQKIRAKAHGAAGNWEEAVRDYKAVAESNPTEKGIQEEIRKAE FELKKAQRKDYYKILGVSKDASEHEIKKAYRKLAIQYHPDKNRDDPQGDEKFKEIGEA YETLIDPQKRASYDNGDDLIDPSDMFGGHGGFSGFGGMGGMGGMGGMGGMGGMGGMGG THINIDPNILFNMMNGGGGGGFAQAGGNPFGGGQSRGGFPGGFPF AFLA_051280 MKHASEKIPGIISGWNAPRSEGGLHIQTYRATCRRRGMFRELDW NQQLTKLMPTYEECAEQKGPGTLARMRNLMTQEGARNSVNMLQTSARRVTKGLTSLLE STGADIEALIDTTVDQVSRDYRIAIIDPRVRKLSQQQIELKNKITNIIQTAETEVHLD QHLGLSNHQELSAEILKHEGVANIKDENMQA AFLA_051290 MTQSVAEETWFVPRTRVLSESYQSLDIQDDENSDDDRTVCSQDE DMDMAHTSVPMEYDPRKYEDPKISTLETAVKEGLLALNKLQQAFEGSDVRNADIDWKP QIERTLSISQTEKVIIGLNGSTGAGKSSLINAIVDEENIVATNCMRASTAVATELLYN DGESRYKAQIEFIELRDWEQELRILFEDLLDSHEDVIRGDLQKNSDAAVALDKIKAVY PLLEVKDILNTSVEALMEHSNVSDLLGSVHVFEQNDPKVFSRMVKSYIDSRGRRGRSE KGPEKGRDMKYWPLIRVVRIFLKAPALITGAVLVDLPGLHDTNAARVEVAKEYSKRCS AHWIITPINRAVDDKVARDLLGQSFKMQMQMDCSFNNITFVCTKTDHITVSEVQDSLK LRLPSMQEREQRDRKRAQLGAELKLLQEGKGRVMEELSLLDDEIEELESCLFNEESSL NLSQLTPIKRKRHSDLEKDVTANPNAEVPSTPILHVSATDTQPSADSEIDELLRKFRS LKSERKVLDTQRREIYQQIQINKAALKRVQAESDNMRADTLRECIEARNEYSKHEIKR DYANGIRDLDEEDQDTLAPSDRSKLARNYKKIEDELPCSLHPLELTRNFVVGSGRKAL AFLA_051300 MISKLRAAGATDVIQYGSSWFEADTYLRQNFIENNTDEKGADAA MKRNIYVPPFDHPDIWKGAGTMVSEIAAQLPPRDTPAGYAFPADAIICSVGGGGLFNG IVEGLGDYMRSHPTPDLITGTTLKNVRVLAAETNGADSLALSLRSGSLQSLPAITSLA TSLGALCVSPQTLKDAQSPPAGVDVVSVVGSDAEAAKGVIHLADDLRLQVELACGISV DIAAGPRLREAVPDLTPDSRVVVVVCGGSNITAEMIAEYRQRLQNGWE AFLA_051310 MALARGPAADYDEWVKMVGDDGWKWENIFPLMKQVQVHVYCREQ TVLCAGTFGSPRILLLSGLGPKDTLERLNIPVQVDLLGLLPTSVPRSLSTLIDLRPNS KPKRNCSEFSNIGLCSHR AFLA_051320 MRSPNLPDLVCTWRVRKYHKMGGSKTLAHDTTQEWSEDGNAVLG GHDGLWIRFLTWARWYPKDMNHLEKRLVLKLDVLILTFGCLSFFTKYLDQQAITNAYV SGMKEDIGLVGNELNYITAAFWAAYCTSMIPACYYLTRSRINIILPTLEAGWGLFTFG CAWAQNPGTIYAMRVLIGICESCSFTGVIYVIGSWYKPEEIGRRISLFFIASPLGTMF AGYLQAAAYTNLNNTHGLAGWRWLFIVCTIITIPICILGYIAFLDVPHRTKPRFLTHK EHELANSCLVGLTAPSQLKVSRDIFKRVLGRWHWYVFVAQWILVDQNFLASSTPFSLY LKAKPGIYSITRINTLPTIATAVSIVAALIAGTTADRKRNFWLPSIITTIPVLLGLVL LVVWNVGEAGRLAGFILTGAEGAMSPLTMSWATVTMANDAEERAIVTASMNAIGQAMS AWTQLLQYPAAEAPNFRGGFISNLATTVAQLAVVAIMVLLTRWDARKTRGSNVSAI AFLA_051330 MAHLESRKHISPDSGFPITLHPNFNPKINQHVPPDPIREHLNPP KDRALFADPEKKALFSVAKPVDLTESIGTLLEDVQLSQLNEQQLDELALLVTERGVVF FRDQDLTTEKQVELFQHYGVLDKHPAQKDQKFVNIHGSREDHREIANYTPWPSGEFHA DTSFEINRMSCTFNEYNIGYLLTSTSAIIFPPENGRASSRRRRYSLGNQQVSQYGVYD ALSDAYKKFLDGLHAVHTSRLQYDTILDLWGVGPNRPPIDTHHPAVRTHPVTGLRALN VNNGFVTGFAELKKLESDKLLDFLSHHIHAADDHYVRWKWAVGSVAMWDNRCTVHRVI PGRYKENRRGIRTTVFGEKREYMIEISIIPDI AFLA_051340 MEMAKQNTDSKSIKDVVPELTAHEIKQAFEQESSKPTSKVYPII SSNPQPSLPAKHVTYRLIDVFVVKGIPLPVKFIVGLPYLSSHLNCLLRADISLAQAML PTLHEPTFRQDVEDVFNGSNDPCKNFQLRMVIAISMQKMSTDYAGLADGYYLAALPFL EASLRRMDLRALQCLVLIGQYSLLTPTRTAAYWVVGIAVKLCQDLGLTDEATITKSPS GELFNPLEIEMRRRLFWIVTSMEFGLSHSLGRPSCYSVNHDHIRVKFFELIDDKFITP DGILPGGKPILPKCIAIHFFKMRLLQLEIRRTLYLNKRDAPVDDQDPWFQQMLNKLDY WVASCPKKHGGSGLSEKCAAQKCYEASVFNVAMQREQIANQSVDLTWIFTQSLFMALN TILWSLSYPEIRREHPVDEVKRHLDVALEAIVVAAERWPGVASALLLYKSLVAACLKA YNTDESFVVQSPSNHTTPASSQEVATPPSMSSPASTTMSIPSRNIRGMNASVPDSLSS GTLSRGHSADPTFPFSTTSPPSEPIKVAPYPLWDPQVQPQELTSSSVTPTPYTTSSFD PTIVACSDGRFDPTTPYNQFPSIVPGLQGWDPDFTLASTTASHLAYVEATVDPMNWMD SIGNQYSQYFNGAYPIPSWRERTLSQQDQIELMTKLEENIPDVSAQLVRESATFYQS AFLA_051350 MPFFSETNFWTSVDTVYQAGGRFAKPSDHWFLRLVLAIASASIS HQSGDSSHQRALSLISGALPFAEDVLRPGSIVGIQAILLLAQYSLFDPKHFRVWYLVG MAARALVDLGLHQDPPSEVQSADEQLDMRRRVFHCVYCMDRWLVLGVGVGTVLKSSGL VR AFLA_051360 MSSRLVNRPMDTKQRDKDINQKLQLYGIYQAFKNGKLPSNKQCD VALNSALKSKALSTPPKELSEEGRALIGDVRDVIEQAKRLILSKNDGQLIQEFIWEAQ SITGEHVGEKPDVPLSRESAQQDGREALEGLKTLGNLLITNGEFRKLLNDAWILVRDI AGDASQKAANQVRPSEEQLAQVDQPAEDNTWHEKPDYAKHKEQFTSRFRKNKATAEQQ ANEVTDTAAQAATGDQRQDSAVEIDGRTGAAAGAEKTKEKLSENIPEEQKSRARDLAG KTKGYLSKKMPKERREQAIWRMKKMIIEIQGRADYQRAIETLLSLAEKYGSTTRDVTQ QGAGTVKGTRGTDKISKMEKNLRVLIERFANSTSLDDLFDSLENIYRDADRDPELKGW FKNMDTFIRKSLQEQGYVMQEDWDRHYDQLSDHGRYLLRERYRDHTDRILDEVKFIGD QFAQDPQNKAFGEAVEKLFLDLGRDSSGNVSFKPHLLTDLRDVILPGIFENVRYVPIP RIEVSDPMADVVVENLVVESDNLMPNVVEFGSDNYLRWGRKKISSKRDNKIMLSVSGI QADLRDVSYYINKKQGFPSITDQGVMDIFLGGDGFGFKIAASNAQREDRQNFVKLDKV SVKIDSFNIKLKKSKHKALFTIFKPLLFRTVRPVLQRVLEQQIRDAFSRGDAFAYEIH SEVKRAKEAAIEDPANAPNIYSRYLDAARAKMEENKQKAQAVAQRASNTKVQTATTLH DSLFPEIKLPGGISSKATEYKELAERGERWESPIFSIGDAPESSNIPTPADITRKPHT TAQGRISDGNVTNGSAAASGARTSNGSTTIGATNGSAAVTGTSGVTNGATNGVHKANG YGAHGFSDQVDKAFTSNGGYKTTQDGVTATIPGAQHAFNPQTA AFLA_051370 MWNSPKVGILGGGQLGRMLVESANRLNIQCNVLDAENSPAKQIS SHDGHVTGSFKEREAVRELAKTCDVVTAEIEHVDTYALEEIASQVKIEPSWQAIRTIQ NKFNQKEHLRKYGIPMAEHRELAENTPEELAKVGEQLGYPMMLKSKTMAYDGRGNYRV NSKEDIPEALEALKDRPLYAEKWAYFKMELAVMVVKTKDDVLSYPTVETVQEDSICKL VYAPARNVSDAINQKAQELARKAVAAFDGKGVFGVEMFLLEDDSLILCEIASRIHNSG HYTIEGCPLSQFDTHIRAILDLPIPPKSLELRQPSIMLNIIGGAAPDTHLKAAEHALS IPNASIHLYSKGAAKPGRKMGHITVTAPTMHEAETHIQPLIDVVDEIRSQRSDIKTQP QKSGPSKPAPSVAVIMGSDSDLKTLVPGLKLLKEYFGIEPAVDITSAHRTPEYMAEYS ASAAARGIKVIIAAAGGAAHLPGMAAAHTALPVIGVPVKGSSLDGVDSLYSIVQMPRG VPVATVGINNSINAALLAARILGSFDPAIQRKVEAYAEQAKTENLDFKGTKMRELGWE KYFEQM AFLA_051380 MPQVPPESTAAISQQQPISNLSASFPQEPNSIPTSSNKENATPA DSQTSTQLTEPSGERIPNSQPQSSAGPNLPTPLQLLLDSTRSTLRSLFSTKPPHTIQR LAELIIRPNKHYKTLPAYLRAVDRVVSVTSSADIFPLKTHASGDQSNGILNGAESGPM FSDHALGSDESLGGALLTPIPWLSNVASPDAEGEGGASLSEDHDAMSEAAPQQHSLQQ ETDGAAEPVLTHSTTSVIENEAMEDTGPQQTNPSEDIPHARGPRILGVEDMGLQDGKG VEMTLENEGANNNSAEQNGQQGNDAGQTATKENADGDGDITLDDAKNANEEPGASAKG DEQPKP AFLA_051390 MLGAEVDGSSAARSPAHMATTTVNVEGMTCGACTSAVEGAFKGV DGVGEVSVSLMMGRAVVHHDPNVLSPDKVAEIIEDSGFDATIISTDSPAGPSGDTTTV KEKGSMVSTTTLAIEGMTCGACTSAVEGGLKEVAGVKSVNVSLLSERAVVEHDASTVT PDQLAEIIEDRGFGARVLDTAAPQSGASQETTETTSRLMVTTVSIDGMTCGACTSSIE NIFSGVDGLVQFNISLLAERAIITHDPVALPSKSIVNMIDDAGFEATILSSEPQAPVS SAVGRVILNLHGLRDALSAGALEESLLQKPGISSASVDIPTSRITVLYDSSVIGVRSV VVAIEAAGYNALLADTDDTNAQLESLAKTKEVQEWKRSFLFSVSFAVPVFVINMLLPM YLRPLDFGKVQLIPGLYLGDVACLLLTIPVQFGVGKRFYISSYKSLKHRSPTMDVLVV LGTSAAFFYSVFTMVMALIIAPHKRPSTVFDTSTMLITFITLGRWLENRAKGQTSAAL SRLMSLAPSMTTIYDDPIAAEKMAEEWEASRTGNGEQKSTSDNERPGPGHQIIPTELI EVGDIVVLRPGDKVSADGIVIRGESYVDESMITGEALPIHKAKGSAVIAGTVNGTSSV DFKVTRAGKDTQLSQIVKLVQDAQTSRAPIQRMADTVAGYFVPAIISLGLITFFGWMV MSHLLPHPPKIFLADDNGGKLMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVGAQQ GILVKGGAILEAATKITHVVFDKTGTLTTGKMSVAEAKIERHWTSNEWRRKLWWLIVG LAEMNSEHPIGKAIFSAAKTESGHPDEGGLPGSLGDFDACVGKGISALVEPASSAERA RYRVLIGNATFLRSRDISVPESAEAADSDMATSKVPAGITRIHVAIDDQYTGTLLLRD TVKVTAVAAVAALHRMGISTSLITGDTHATAISIANAVGISPESVHASVSPSDKQSII SSLEASGERVAMVGDGINDSPALATASIGIALASGTDVAMEAADIVLMRPDDLLSVPA SLSLSRAVFNRIRMNLVWACMYNVIGLPFAMGLFLPFGGYMLPPMAAGAAMAASSISV VVSSLLLKFWRRPRWMDAEKLEKQVEMGNLSFRGSCKSWWEAALSVSGSSGRVGPLRW IQGTRFWFFVTGKPSGRLDSDEGYVPLQTVEPAV AFLA_051400 MDQTHSRAMEALQPFVLLANSNSATSPKFVANLITNATSSPNTY VFAELLETPTVQALRSEDTPAEYQGYLTLLEVFAWGTWQDYQTTPNLPPLNNEQTLKL RLLSLLSLSATIKPLTYQTLMDALSIAAPSELESLVTTAIYSSLITARLSPVTNPPTI NVTSVAPLRDVKPQSLTTMISILTQWETRCGDVISDIEAEIARIKTDSAKRRAKEQAR AVLFEKTLSNWGSDGGESNPRFPGSKKQSWHGGAKGGSSGFVGNKREFSGDDFDDGYW DNGADGAYDSQFSTSKMDIDQGAGSSATGSAGAGARHAKRVLGRKS AFLA_051410 MASPSLTDLEQRNRLPTLFEVLSRRTLAPVDLFSFYIYMRDQQR SVDYLDFWLDVSQHMSLCRHYVRELRRSVLVATPDLEKADSKGSSDALGNLETFGDIP LVEAGPSGLRHGNHDLDDQDADQRLSAFLRADGHNPGHSPQDSLGSQNAARTPSNEQP PRPSSGTRNDSTSPGHTVARADIRASAEKILYTYLLPGAEREIVLPEDMVSTIINLVE DDGRDDPEVFDPAKDYVFQAMERDAFPGFLQAKALGNLVPLSTIARLAFALISFGGGF WGAFYVVLRDKPRHIRCWVCCSGLPGFCCASTLTIMQLILPFIVASYFIVSYQYKIDP VMAFLGYSEYTFMNWSPIREPYVRKLLNKRATATILIAAFVATALSVLFIFVPGTML AFLA_051420 MASENNKRKASEEALSPDSQLQSKKARTDSPEREPKSEGGPLGK PPLRIVPFPEKPAVLEERRGEIEFRVVNNDGSRDSFVVLTGLKCIFQKQLPKMPKDYI ARLVYDRSHLSIAIVKHPLEVVGGITYRPFNSRRFAEIVFCAISSDQQVKGYGAHLMS HLKDYVKATSPIMHFLTYADNYAIGYFKKQGFTKEITLDKSIWMGYIKDYEGGTIMQC TMLPKIRYLEIGRMLLKQKEAVHAKIRAFSRSHIIHAPPKEWKNGACKIDPLSIPAIK QSGWSPDMDELARQPRHGPNYNQLLHLLNDMQNHSAAWPFTQPVNRDEVPDYYEVIKE PMDLSTMEEKHEKDMYPTPQDFIKDAMLIFDNCRRYNNENTPYAKSANKLEKFMWQQI RNIPEWSVST AFLA_051430 MSLNEVWEAASASPYAPLISKDSQFSVGFTLLLSALILTGLFGL NGIRFSVEEGRVIQGLAFLDKALFTTYTFNPPAAPNSTSGDLGDGDDSSDATYNPCFV VSLSALLETLKIFGVNDPSSTGANKAVSVQPSNPSSSNAFTTPALLFDRSCTLQYFQN GSPLSITLSETGIKTTCELTTYEPDGGEVDIPLQRDAIIMKVIMRSAWLHNAIVELGA TNPNVLKISASADREPFFALSGSGGPFSESSVEFSIEEQGPNNGASSQSRKVLTDDGT SRSRAKRTKLAPTVTETFLVSPPSSMGSRIKQNYRFSLMQKASRAMSVANKVSIRGDR QGVLSLQFMIEFDAHGSSGASNTTRPSGGSLGPTVTFVDFRFVPLLDDEEAADEDSTG DLE AFLA_051440 MTRRATNKTLQILDAAEKGRYGVLAAIAYNVEHITAFVRAAENR KSPLIIQLFPSALTQTPSLVHAAAAAARAASVPISLHLDHAQDYDQIKEIADTLPFDS IMVDMSHYEKEENLERTASLREYCHARGISTEAETGRIEGGEDGIMDTGDLEGILTSP EDVEDFIRAGVDYLAPSVGNLHGDYGPKGPQPDMDRLRGIFKALNGRARLVLHGTNDF SPELSKACIEAGVSKFNVNKLVLDPWYDYIRANINKPITQVIDEGIEVLIQSMERWMD IMGSSGKV AFLA_051450 MTNAVSASAGQGEQGPQRSVRCHVAQAASARAPTPTRLSSNAKS NILALVDIGCHIIVYFWAFSTMPNNGPQSGIRKQRKSRGRGLRTNTGLICKRRHVKCD EIHEPLQVLVDACHQEHAIQPTNFNQTLAPNRSARRPISSRSVSSPLVEYVPSPGTES SATSSRVAPLSWFELLAQDAANADREFLLSPQQRFPLPTADEASISSTQSPAFEPRTL RERESFQAAAFHHDPEMGKKVPLAAVDEQSQALPDVPSSWSTSAPIQLSEQEFRLFTH FVQTFSGWLDFFDSSQQFCSVVPHLALRNTGLMKALLALSARHLTLLRETPGDRRDSD SSYSIDRNVAVRYYYETLHYLNKAMRYQSYAGSQELIATALLISTYEMIDGSNRDWER HLKGVFWIQRYQNNHGECGGLRQAVWWAWLRQDVWVAMRERRRVFSFWRPQKHVSVLT IPELTTRAIYLLAQCVNYASREESESTDLEQRLERGNELLYMLQEWQDCLPREFSPLP VPSSSDVFPPIWVNPPPCAAALQFHSLARIIVILHRPSIGGLQDYRAAQKLLTSSLCT ICGIARTNDENDDMAFFVSLQCLYGGNLPPAKCFAMCLPLTSFQQGSVFILPMNELHC LIS AFLA_051460 MAGHLEVEGKEDLSSKEIEDVGEETVPPYSPEEEAALVRKVDLM LLPTMWIMYLLSYMDRTNIGNAKISGMQEDLNLTSDQYSICLVVFFIGYVVLEVPSNL ILSRTRPSLFLPGIMVIWGTLTCVMGVVKDFKHLVVLRTLIGCVESGFAPGILLVISS WYKKTEQSKRFGVYISAAVLSGAFGGLIAAGIVGGLEGAHGIRGWRWLFIVEGAATVG FALISFFILPDFPATTKRLSERERYVAIARLESDNVTAMTDGGERLSPWQAILASMKD WRTWMFVIGYMVIVGASTLSYFYPTLVKGLFGDASTMRINFLTIPIYAVAFVCTGITA YFSDKIPLWRGVVIAAWLTFSLVCSIAVCAVYNYSARYALLVLMAAGLWATNGGTLAY ASSAFADMQPQVRGVSLALVNALGNLAQIYGSYLFPDSDSPKYIMGFAVISAMLAVGV IVFLILHVWIRRKAKSDARQYN AFLA_051470 MLREPRCLPRAEIKGYDAVLHPTLGAWPIRVDVAQNNRQLPSPP FLHLNIEGPGHLYQFPHPYICFSSRFTITIAIMPPAATENVPAPAPAAAAAPKPEAQP YLSTMPSSDFSWQITLANKVIAITGANRGIGLGIAEVCLANSAKFVYSFDLMEPGEDF AELQKRYSNFRYIQTDVTSEESIENAINKVIEETGRIDGLVANAGMTKHQPALKFDRE QLDKLFNLNVFGAYFCAQIVARKFIELGIKGSIVMTSSMTSYRPNRAAPSAPYGATKA AVRNMCHTLAMEWSQHGIRVNSISPGFVRTAMTYYVEKSPDWDLKMQYYGGMPRLADP RELGGAYVYLLSDASSYTTGIDIPIAGIVGAW AFLA_051480 MGSFLRSLRRDVGPPTPSVGATPAKKEPPVPPVTPLEKMLQDMG AIREDGSDKFFGMENYGNTCYCNSILQCLYYSVPFREAVVNYPTRTPIESLEAALANT LRYQNFAANLEAEALAEKQKAANAQRPGAPPNQPQKPEDKDSPEYKKKMALQTLPLLE TKNNATSYGMSESLFTSLKDLFESVVASQSRIGIIRPQHFLDVLRREHEMFRTAMHQD AHEFLNLLLNEVVANVEAEASKQPEPERSLPPAESADSTELSGSSGSKTPNTTRWVHE LFEGTLTSETQCLTCEKVSQRDEVFLDLSVDLEQHSSVTSCLRKFSAEEMLCERNKFH CDNCGGLQEAEKRMKIKRLPRILALHLKRFKYTEDLQRLQKLFHRVVYPYHLRLFNTT DDAEDPDRLYELYAVVVHIGGGPYHGHYVAIIKTQDRGWLLFDDEMVEPVDKNYVRNF FGDRPGLACAYVLFYQETTLEAVMKEQEQENMDLNTSVADINDSTLKQNGYPLSPGLA HVHSASQIPSPSEPARFSNLQRAPTAPPLFPHPEHADSESSPADPSTTASATPPVPPI PDIHSLPLSPKKSDSHFKKERAKEEKERKANEKEKEKQRRRDQEARIREQRREDAEIR AALEASKASKAEEDRRHSPDDTKKSSHGLSRLKRGSKSFSHRLGKDKENRVSSSSHSA TPIAEHPPSRNGASESQQQLPNGQSPGSHGLHTRHTGLDEERDTLKDPKHDRSGHHGK WRSFSLKKKSFSILS AFLA_051490 MSHPDNQEQKQLHSRLQFAAICSITQLPKADIDTRALVHRFSQE AKRSLVIWMGSRYRVLWQALHSI AFLA_051500 MGKADAAFILLLGAIPALILLHLYQAPYTKVEESFHVQAVHDIL SYGIPTQNVAETLRAKYDHFTFPGAVPRTFVGAAVLSAFSQPFIWLNDTIDRQLLARA ILGLFNALSLLSFASGLRRAFGKTTAIWYLLYQASQFHVLYYASRTLSNMFAFGLSTL ALRCLLQDHSQSATSKTYRNRCRLSLCLLTIAGIIFRSELAIFLATNTIFLFLTGRIG IQREIIPAGLLGLLLGLGTTVLVDSFFWQKYPLWPELEAFIFNVIHGQSSAWGTHPWH FYFTNAIPRLLLNPLVYLVGLPFALFQPSTRSAAAYLLIPSLTFIAIYSLQPHKEWRF IIYTIPPLTAASALGASYIWTHRTKSLLYRLLSLAMILSTLASLLCSTFILLPASSAN YPGAHALNSLHNHAHSTKPTISVHLGNLACQTGVTRFLEMPSPLENSTPTWTYDKTEN ETLKSTSSFWSQFDYLLIEPGEEEVKVRSLSGPDRWEDVDVVEGFAGLRIVRPGEEAV GPVEERVLTKFVGEDGARLWRTGREFARRAVTRGWWVEVRMDPKIKILGRVSV AFLA_051510 MAFNKKYAGLPDLDLAPDIYETPDLTDEASTVPTATVRTNSNAD DDAGSNPDIDRQGINADEARAHFLGATVDAREVNFSDSIATKRKAYRSKSRRRRRDEN GLEEVGDFSDSEDEGLDRRLARLRREVEELKDEMASRQTGSESNEPQAEHKEGLDDGM LELSRALDNLYASSRSASGPHSAAAILSKKISETSPDDPDKPDGPTEKVEKKTTPPSA PSSGVLAHAAAFDGRLALVEAAMGISSSSNPFIADGSSDVPLQPVLPALDHLTSRLTT LMNILVGPAPVSAVPTIGSAPPSTTVSTPHLENLSTRVRKLTADTEALASARKRAVDA AKAAQNARIATAALEPSDMSVSSSSATEVDPAATQRDEQATKVQALYATLPTIQSLHP ILPSVLERLRSLRAIHAGAAQASESLDELERRQADMAREIDQWREGLHVVEEKMNQGE AALKSNIALVEPWVRDLEARLDRLESKEA AFLA_051520 MAAPADITIKDLSGEWTMDKSLSNPTEPILALQGMGWMKRKALN IATVTLSVHQYADEKDPKVLHVNIDQTVTGGIPGTTEHRITDWEGREHDDHVFGKVRG QSRLIRGSKGDDGKFRPNVEIATKTDDEDVKKFLKGEILADGKDTEGFVADNVGEEYG EGESLFLQSFVQNLDSTGGWTAEQIWGFEVIDGKRYYTRRVVVAKDGKYEKARFVYTF IKRRE AFLA_051530 MAARRSLARSLPTLRAASPRTSLANASSARSATSRSLMTASMSS SSALARRASSVTSSSPASNVAARRLHATARQMTPATTSAATTATEYPTDHKPIANPID TANFLDNEFVASKATTWIDLHDPATNNLVTRVPQSTDEELRAAVESAEKAFPAWRAMS VIARQQIMFKFVSLIRANWDRLAASITLEQGKTFADARGDVLRGLQVAETACGITTQL TGEVLEVAKDMETRSYREPLGVVAAICPFNFPAMIPLWCIPIATITGNCLILKPSERD PGAAMILAELAKEAGFPAGVVNIVHGSAKTVDFIIDEPAIKAISFVGSNRAGEYIYTR GSANGKRVQANLGAKNHAAVLPDCNKNHTLNAIVGAAFGAAGQRCMALSTVVMVGETE EWLPEIAERAKALNVNGGFEEGADLGPVISPESKKRIEDLIASAEKEGAKILLDGRGY KPEKYPNGNFVGPTIITNVTPEMTCYKEEIFGPVLVCLSVPTLEDAIDLINKNEYGNG AAIFTCSGSTASRFQKDIEAGQVGINVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPG LQFYTQQKTVTSLWRSEDAVSTKAHVVMPTHH AFLA_051540 MRFNAAVASALVSSATLMGYAHAEEAEKNPDATSVVEKPTFTPT TLKAPFLEQFTDDWESRWTPSHAKKDDSQTEEDWAYVGEWSVEEPTVFKGIDGDKGLV VKNPAAHHAISAKFPKKIDNKGKTLVVQYEVKPQNSLVCGGAYLKLLQENKKLHAEEF SNATPYVIMFGPDKCGATNKVHFIFRHKNPKTGEYEEKHLKAPPAARTNKVTSLYTLI VRPDQSFQILIDGEAVKNGTLLEDFNPPVNPEKEIDDPKDKKPDDWVDDVKIPDPEAT KPEDWDEEAPYEIVDEEATKPEDWLEEEPTSIPDPEAEKPEDWDDEEDGDWIPPTVPN PKCNDVSGCGPWSAPMKKNPAYKGKWTAPMIDNPAYKGPWSPRKIANPAYFEDKTPSN FEPMGAIGFEIWTMQNDILFDNIYIGHSPEDAEQLRKETFDVKHPVEVAEEEASKPKK EETAPATSVSFQEDPITFVREKVDHFVGLAKQDPVNAVKQAPEVAGTLGALVLSMVLI IVGAIKASSPAPAPVKKGKEAAGAAKEKVSEAVSSSADTGKGGASKRTTRSSAQ AFLA_051550 MAKQVENNNNDHLVQSTDPEHPSNLIPELCRKFYNWGWVTGTGG GTSIRRDDHIFIAPSGVQKELMKPENIFVLQFPTPKYPPSERKYIRKPLDLKPSACTP LFLAAFERGAGCCIHTHSQWAVLVTLLVEREKGPGGYFEISNIEQIKGIPRGKGKGML GFFDTLRIPIIENTAFEEDLTGSLEKAMEENPDTCAVLVRRHGIYVWGDNVAKAKTQC ESLDYLFQLAVEMHKLGIPWVKE AFLA_051560 MDLDAGDSPWGGKQAITNNTSASYSTYLTRSTDVPSQSTGNHAR EQSSQQTTSTGPRSPVRRGPRGIRKISAHATKLEAVDDTLDPLGPLGDKADETSPAAV EQAPVPPQKEAFAGRNVRPTSSASQTSSGAGMVDSVNLEEDGAGFRNPPPVQPPSDAD SSKRQSEPSISIEKAAKPTFEITVGDPHKVGDLTSSHIVYQVRTKTTSKAYRQPEFAV SRRYRDFLWLYNSMHNNNPGVVVPPPPEKQAVGRFDTNFVESRRAALERMLNKIAAHP ILQHDGDLKIFLESETFNLDIKNKENREPDLGQSKGMFSSFGINVGGGSKFVEHDDWF HDRKIYLDALENQLKALMKSIDTVVAQRKGLAEAAGDFSASLHALAAVELSPALSSPL VGLSDLQLRIKELYERQAQQDVLTLGITIDEYLRLIGSVKTAFSQRQKAFHSWHAAES EMQKRKHTQEKLLRQGKTQQDRLNQVNADVADAERKVHQARLLFEDMGRLMRNELQRF EKEKVEDFKSGVETFLESAVEAQKELIELWETFLLQLDAGEEGNPFYAPAADAPAESA TEGTTTEAASSAAAEEEA AFLA_051570 MTLSIPLRRSAVTAARVQTSTTFICSQCRHATLLRRPKRPYTFT QLITLSDGSAFTHRTTSPAPVYRSTRDTRNSLLWNPSSSKLMNVEEDEAGRLAAFRAK FGRSWDANTPTEAEASKKAEQPDKEAEAAARAAAEEEEDNLLDLISSFGQEEEQPSGK KKN AFLA_051580 MKLPYSRPAVSVNRIVGQIKGFPCGSTKYLPLRAQQWHSSRTIS SAEATSPSPRPQSSRENDTPDTGHTTLSLSDQVRLLMRRVPYPVAIITATDPHEPLDK AFRGMTVSSFNTVTLNPEPAISFNVRRPSETLSALQSSKRFLVHLLAPGQTTATLARD FSKGNHNLSIASGKGDFEFVPHTSTSREGAPTRPLPLLRRKKAADAPEGDSLSDIPFV FECQLHPKEIEVNDHTIVVGTVLKVLSEHLTSPEAIVNAHSVTDLCLTYANTRFWEMG HEI AFLA_051590 MQQWVRRVGYETSDFDRLNIVHVAGTKGKGSTCAFVNSILQCYN RSIGLPRKVGLYTSPHLVTVRERIQINSEPISEEKFTKYFFEVWDALESSALREGLDP ALKPSYFRFLTLMSFHVFMREGVDAAVYEVGVGGENDSTNVIVQPAVTGITTLGLDHV KRLGDTVDKIAWHKAGIFKNQCPAFTVEQVPDAMEVLEQRASEKGAELATVHIAPELL AVDIKPAEDFQKKNASLAIALAYTALEKLGVSFNKEQGNLPKPFVEGLETVTWKGRCE TIKSGQLHWHLDGAHTEDSLKVACSWFGRVSKEKELPRVLIFNQQSARDAISLLKCVH RTVYDDFRTKFQYALFCTNVTHKGHLYKVDLDDKNTDPEVLRNLTLQKELAATWHDLD PSTEVVALYSIEEAIEYVRNISGHIGETRALVTGSFRLVGGALSILEGEDVAHGRATA N AFLA_051600 MTEEDLQGVSLDDGLVWITDVDSSSIKFAAGDLVSEDAGVSGSC ESGRLGEDMEPFYVCVNGALWATVTICKVQDVAKGSSVVHWRGEISGLAPNCAYTCSF LRSDTEEEICVMSVKTPIANDAEQVVSSVSTPPQPSYRPSSPTTTLKNSIVNAELKLN EKRTRLRKAKNDHKLVVSKIRKELDNYNHRLHSGTDENRQKQRSLQLERNIKQTEEAT AVLEVQLDNLENIPEEELEEWSAEKAKYEHELELFNSAKEDVATARSAAAREVSQLES DLTSTIQRRERLQGRRTRVNEQYERIISANAQGLNERERRAAEQFAREQDQAKLEANF NEQFASISQSVQEYQLRTNQLWQQCVAIEQALQQQQQQQILLDSAPLTPEGNLPGTNT LSEAPTLSLGALTTSASSNRSLLGLSFPPLKSSPLQHASSPIGPTSSRPTSPTQAPSY LQHFPASPLINTASPFESDFIHRDRSFSNRSGHSSLYGSDFFDSGRRPPFQFDLSEKV VDKRRSSGSESNAPNLGLRPICSPFPRAGSRASGSGSGGSGSGSGSPSSATGKGN AFLA_051610 MKYPDEVTVYHKVAHDPSSSHSHKDAFHLQAVIISEAKQRPAAR VHEDLVIYDYKRGKKAEMPPFMLDQFKSTWELQEKAKTFWQQRILDIEARVRTLEMES WDREDAVEDTGSAKK AFLA_051620 MDMMSVSTAAFAPAAASGSDLHSILSSTGPTRAATSTSSLNSLT DYTNYPLIRQGERTYLRDPESLYPLPCDLPEIHRQTLRSLMLLRVFGGPFCNPYFADR PPQRVLEIACGSGLWSSLSHDYFARRGARNVAFHGIDLVSLAPDLRKKGVNWQFKRHD LRKPRLPFPDDYFDFVFIKDASMCPSSPAQQASGLSEPLRVLKPGGVLEIWDSDSVFR SLLPNPAPARKLASRELEIADATATYTFSPATPFTRVQNKFLQDYNSWAEKAFDRRKL TALPCSTIGLSFNSEVDVLEKVDSRRIAIPLGDLRWERESKDSSGGARKQLTADQMSI RRTALLTVIQMIEGMEPILMEASGKSRDEWDRWWTAMMADVFQKGGLANGECLEVSAW WGQKKNPSTKNS AFLA_051630 MADGTYRFQQPGAGQFFFQTQQQQPSHQRHLVRNGTNSPTARLK FSHDTPSPSRSPPLGQAAALNPFTMYSQTHQGQHVLMNGGQAHQRFGMQMPKFQSQSH HPHPAQQAHHHTHHNQASHNINHQHNFSSGALAAATPHFTPSHMQNGAHANVDEDIDE SMNEHWQQQLQLAAESRQASSPHYYARAVAQQTKGIQIAPSQPEPQENGGDVKNGLTK VKASPRQGWYALDFGGQGLRALSTSLFSYDFLKELYLNHNKLKALPQTIGQLRKLEHL DLSGNDLTELPEEIGMLTSLKKLYLFDNNIRTLPYEMGYLYRLDTLGIEGNPLNDILK SQIMKEGTRALIKYLREEMPENPPPPDRDWVILDETAGTSTEKITVLSYNALCDSSAT QSHFGYTPSRALSWEFRRDVILSELRSHDSDIVCLQEVDQGSYNGYFREQLAYNGYKG VYWPRGRAMGMQEEEAKSVDGCATFFKGTKFILLDKQMINFGQTAVRRPDAKGQDDIY NRLWQKDHIAVVVFLENRLTGSRFIVVNAHLYWDPAFKDVKLIQTAILMEEITKLSET YAKWPACTDKTAFRFSEAEGGEAQTPPEPAPSMEYSSGDQIPLFMCGDFNSSPGSAAY NLIANGRLTEEHPDLEKRLYGNLSRVGMTHPFKLKSAYNSIGELSFTNYTPDFKDILD YIWFTSNTLHVSALLGEVDKDYLQKVPGFPNFHFPSDHIALFAEFVVKGKKGKVVEAD FGPQRN AFLA_051640 MARMLQSLRRALGLTSPKPVTAFRRSIDTDFSFFREGDRAIVHG KTPSLTKPLRQGQKTDLRRGYLEHNNIIGRRVRERIQAQKGQHNLNCPKGHERKSRTL PNIASHPSTGPEYRLTLPTLDEYVALTPRLVTPIYAADANLIVSLLDIHVAPPAEGEE RTQQPLEILESGTGHGSLTLHLARAIQAANSTPPPLPAQSQIQYLQGRPIRPDEEPEE KNKESAPTNETPIDPAQQQWDAWRTQRRAIIHTVDVSPKFSAHAEKTVRGFRRGLYAG NVDFYVGHVEKWIAEQKRLRTPTSLLPLTQKTADPFLSYAILDMPASHQRIPHVAPIL KENGVLAVFMPSITQIGDCVNLIRRQKLPFILEKVVELGPGISSGRQWDVRFAVKKSR ADPSSWNESSEPSEGAVQQDRETLDEGSVESVSVPEEAPKEEDSVLVCRPKVGSRIVG GGFVGIWRRIEDSQKQ AFLA_051650 MSASNLPYMKTNPKIIFFTDFDGTITLQDSNDFLTDNLGYGQEK RRQGNLDVLENKVSFRDAFRDMLDSVKVPFNECIEQLKKNMQLDPYFVEFYHWSKENN VPIVVLSSGMTPVISALFETLLGHKPDDHLVIVANDVESRDGKDINTEGGWQIKYHDD SHFGHDKSLEIKPYAALPDNVRPTLLYAGDGVSDLSAASETDLLFAKKGRDLVTYCER QGTPFTIFESWSSILATTKDILSGKVTIKKVAQEGLETIQKEGN AFLA_051660 MTRRFVRAGVQLAIFALCILVFVVTLDNRFRVLPAAIHGHLPSH YSGLVVTDVTIKTCSHINPFSKCKPTSQSWTQVDKDLYLRTGWTSTAFVQFERKKEED LLPTDKVVIDLKISRLVPETTEDTKDGEKDEETWEPRPGGIWLRRTAKRHASDSQTAI TLVDVLFGADAVDPRIGWEVRDTPLLLDSRTEELEARLSIQRGDPQKMKKPVPRINEH GRFKIMQLADLHLSTGLGLCRDPIPAEPVPGQKCEADPRTLEFVERLLDEEKPDMVVL TGDQVNGETSKDAQSALFKSVKLLVDRKIPYAAIFGNHDDEGNLNRSELMAILEQLPY SVSSAGPEDIDGVGNYIVEVLGRGNSAHSALTLYLLDSHSYSPDERQFRGYDWIKPSQ IRWFQNTAQGLKRKHHEYTYMHMNMAFIHIPLPEYRDPNNLFIGNWDEPPTAPGFNSG FKDALEEEGILFVSCGHDHVNDYCMLNNNKDEKPSLWMCYGGGVGFGGYGGYKDYVRR VRFFDFDMNAGRVMTYKRLEYGETEAKIDEQMIVDGGAVRGLS AFLA_051670 MCISRLYPTPGALVSPQHQQMLKPSPLYSPQQSHLPVEDEETGL PPVDPRGRDPYVELAVQKALSNPASAWLWKPKDEILGKELCIRVGGSFVWPPPSGIDL EKVKNVVLIAGGVGINPLISILSHLNNNADETPASHHPFNIHFLYSTKLPEATPQEAA TSPESILDQILFLSRLRQIIHSQSQSHRLRITLDLFITSLRDTSSPLLLNQPDDLSIH SRRVSQDDLRTALTGPNGDIKPEETVCYMCGPPAMTDEFVAALRGLMGDGSDRVFFEK WW AFLA_051680 MVYLTRNWRKHNLFYILMAIELPITIVILTFTGIASHDLYRTKL WQDGADNGFNSSPDEVLYAAANHRPYKVPMVWGSFITNYNLVLGVLSIFILITKLPVH ILRIFYPPVSVFVHVGLFIVYIVSASYQAGSDKSDPKHLQSGPPWYITKSCSVASNKD NIGYCQQAKALFGFTIIIIVLYFVEIIVSVHSCFVTKEEKAERDELREEKRTMKEYED MVLRTPRTFPMMSPALPSGGTTQMMPTMSSRSPEFSTFGHGSSDLPLRDHFSTPNPRP PAQQESSETLAPGNQPQMYFPPPPKKAAKV AFLA_051690 MQTEDHDSFAGEIQKLPSPPAGNTGGQNPSDPADEQGWPQRVLN EMKDMLLLLSSDGKILYASPSCKSITGYDANQLQQNALERFIHNDDKTTFAEEMNECI TTTRPVHCHFRFRKKDNSSNTSCLLEAHGHPHMKTSEPNDSPENHNEDCIGVFLLCRP YPTRGSQLLDSFLEHKIENVRLNQRIAQLREEEEEDLASGQQLYAGDSTGDSGFRHNS HSGRSNSNQSSFRDTTGSGEENESSDTLTNDDPDSRSYLENAADELGQTEDMSHIEGI EMLTGLHYGDGERSQGLSTGVRQGRLIRYDMESAKLDQQARVIQDSDRKKRQKGEYMC TDCGTSDSPEWRKGPEGPKTLCNACGLRWAKKEKKRQDQI AFLA_051700 MNLIYCPILAKIDKLSILGVRSFDNTRSETIQFHTPLTLIVGYN GSGKTTIIECLKYATTGDLPPNSKGGAFIHDPKLCGEKEVLAQVKLSFKGTSGAKMVS TRSLQLSVKKTTRQQKTLEGQLLMIKDGERTAISSRVAELDQIMPQYLGVSKAILDSV IFCHQDESLWPMSEPSVLKKKFDEIFEAMKYTKAIDNIKSLRKKQNEELAKYKIMEQH AKEDKEKADRAEKRSIKLQQEIETLREETQQLSLEMRRVAELADKAWRESESYSQVLG TLEGKRIEAKSIQSTIDNLKRHLVELDDSDEWLQSNLEQFESKQLQYEQQEEARKENY MEIKEQIERTRQKLGVKQAEYGKFENDKANFERQVERRRRMTKEIARSNNIRGFDNIQ DQNDVDEFMRKVRKLLKDQNQTLDRVKREAQGELREVQTALNDIAQQKSALQETKNAA KRQIAANDKESTTYQGKLNEINVDEGVQAALEANIEDIQSHLDQAKGRAKSASWDKEI HDINSEIRGLEDESSRLNSELIDATKRAGDLARLDHLKKELKERERSLETMKGAHGER LSKFVGQDWKPDTLEQEFQHVLEEESKQVSRAENERDGVSRELEQVEFRLKNTKKVLG QRQKALKECIEEIREAINDEPEEFPEVLKQRQAQLDIARKDAEQYAGIGEYMATCLET VKQSKVCRLCHRGFKSDAELQTFRNKLENLVKKAKRDADDEDVKNLEEDLEAARSAST SYDTWSRFQQTEIPELEKEEEQYVLQRDELLNQLEDRDKIVSEKTEKKRDVEALAKTV NTIVRYDGEIKSIRSQIQDLSSKQQDTTSARTLEDIQEEIAAIGEKSRALKKSLSKLT HEKEQTQTEINNLELQLRDVKSNLDNAKFQLERKSDLLARIEEYKNLNNQQREAIAKA DRDIEDLTPELLKVQAKYDDISQRAEAREREMQQTISQMSESVHQLELANEEIDAYNE RGGPTQLERSKRELENIEKQIGQLEAEQANITKEINKISSQLKDSENTKRQYADNLTY RQATRALDEVTQEIEQLAAQNAEVDRSRFKDESERRTREHNALAAKQAGRMGEMKSKD DQLMQLLADWNTDYKDAASKYKEAHIKVETTKAAVDDLARYGGALDKAIMKYHGLKME EINAIVGELWQKTYRGTDVDTILIRSDNENAKGNRSYNYRVCMVKQGAEMDMRGRCSA GQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRSLAESLHDIIRTRQAQSN FQLIVITHDEEFLRYMQCGDFSDYYYRVSRNEKQKSIIERQSIAEVGRIPNRFFDFHA NGLQVM AFLA_051710 MKSTLSSGLLRSARRAIADPVVVTLRRRALTATAAVRPTALKNI ISTSSIHDPTIPHYRHSSSSSSSSNLDSSRPVDTMGKEKNNFNLKTPKGTKDWSGSDA LLRDRIFTTIADVFKRHGGTALDTPVFELREILAGKYGEDSKLIYDLQDQGGEICSLR YDLTVPFARWLAMNPDVRSMKRYHIAKVYRRDQPAVSKGRMREFYQCDFDVAGTFDPM VPDAEVLKIVTEVFEELGWQGRYSIKINHRKILDGVFEVCGVPADKIRPISSAVDKLD KMPWADVRKEMVEEKGLDGAVADKIETYVVKKGTRDLLETLLKDEALLANASAKAGLE DMGLLMDYLEAFGVLDRISFDMSLARGLDYYTGVIYEVVTEGSAPAVSSSAPEAEKLQ KSGKKSKSKGNADDDDRSNDPTLGVGSVAAGGRYDNLVGMFLPKAQIPCVGVSFGVDR IFSITKARLEREKSAEALRSSEVDAFVMAFGGKGFTGMLKERMNVCQTLWNAGVKAEF SYKVKPKLPQQFKAAEQGGVPFAVILGEDELAEGKVRIKEMGLEDGHPEKEGVLVDLA SLPAEVKARVARKRDQASAVTQQLEGLNVNAPTN AFLA_051720 MMRPFTRSALRPYVCPSCRSGRLVGRRRFGSKPDQAPDVYDVVC VGGGPAGLGLLAALRASPLTSKLRVALIETQDLQKARAWSLDSQHFSNRVSSLTPSSV SFLKKIGAWEHLDTDRAQEYQEMQVWDGETDSRITFDWSADTSPFENLPTVATMTENA NLVRGLLSRIAASGDENISIFSNTTVSSIENGTDHPDGPDLSAWPVLSLAPNGTAQSQ LPTRIAARLLVGADGINSPVRRFADIATEGWDYNRHGVVATLSLADPDQVSFPIGTRT AYQRFLPSLGGPVALLPLPNNHATLVWSTTVENAAYLKSLSPKAFIAMVNAAFRLSMT DLKYMMRMERPANSASDYEDLHESELTWRLQHTPQASQVPPMVNGVQEGSVASFPLRF RHASTYISPRVALVGDAAHVIHPLAGQGLNLGMGDVASLSKTIEYAVDHGMDIGDILS LEKYTAERWAVNAKIGGVCDMLHKLYNVPGHGPVAWGRSLGLEIIDRLPFVKGFLMKN AEG AFLA_051730 MTSSTSTTTTKTTTPPAKKSKNLPAESALDLSANFANNRKGAVG YDHEVYLQLTAGRNAEKTPNQVYREERVRQRTTRALDPAILDPQGEPGPVDFECAEHE GVKERLR AFLA_051740 MDQHDDFDNVSWRHEPESDISRPTTSGTDAEESPATSHDANGKR RMSSAHENPQAGPLADAVDLAGIGDGVLECRVDSPLKENDGTKDAYISYLVTTQVSCP STVLTGETSQTDFKSFQRSEFAVRRRFTDFFFLYKTLYREYPACAVPPLPDKHKMEYV RGDRFGPEFTTRRAWSLHRFLKRLALHPVLRRAPLLAIFLESPDWNAHMRLHTSRTST NPSDNSGAPGIFDNFTDTFVNAFTKVHKPDRRFIEVREKADKLDEDLNHVEKIVARVA RRESDLETDYNELATQFRKLVSLEPNVEVPLQVFAASVEETGRGLKGLKDHTDQNYLG SLRDMEAYILSLKALLKTREQKQLDFEALVDYRNKAVSERDSLATNPSSYYASNPLTS SPASFIRSKMEDMRGVDHEQSRRERVRKLELRIDELTREVESAKTTSEMFDEEVVREV ADFERIKAVEFRDTLGALAEKHIDFYQGVLNTWERFVAEMEGDLDENHEGSAPQESST TR AFLA_051750 MRLSESATVAFGLFCAATASAHPRRSYETRDFFALHLDDSTSPD EIAQRLGARHEGQVGELTQHHTFSIPKENGADLDALLEHARIRKRSSRAEGRGMTLDK ERDLSGILWSQKLAPKQRLVKRAPPTNVASRGSVKEEDPVAAQAQKRIASSLGITDPI FGGQWHLYNTVQVGHDLNVSDVWLEGITGKGVITAVVDDGLDMYSNDLKPNYFAEGSY DFNDHVPEPRPRLGDDRHGTRCAGEIGAARNDVCGVGVAYDSQVAGIRILSAPIDDAD EAAAINYGFQRNDIYSCSWGPPDDGATMEAPGILIKRAMVNGIQNGRGGKGSIFVFAA GNGAGYDDNCNFDGYTNSIYSITVGAIDREGKHPSYSESCSAQLVVAYSSGSSDAIHT TDVGTDKCYSLHGGTSAAGPLAAGTIALALSARPELTWRDAQYLMIETAVPVHEDDGS WQTTKMGKKFSHDWGFGKVDAYSLVQLAKTWELVKPQAWFHSPWLRVKHEIPQGDQGL ASSYEITKDMMYQANIEKLEHVTVTMNVNHTRRGDISVELRSPEGIVSHLSTARRSDN AKAGYEDWTFMTVAHWGESGVGKWTVIVKDTNVNDHVGEFIDWRLNLWGLSIDGFSQP LHPMPDEHDDDHSIEDAIVVTTSVDPLPTKTEAPPVPTDPVDRPVNAKPSAQPTTPSE APAQETSEAPTPTKPSSTESPSTTTSADSFLPSFFPTFGASKRTQAWIYAAISSIIVF CIGLGVYFHVQRRKRLRNDPRDDYDFEMIEDEDETQAMNGRSGRTQRRGGELYNAFAG ESDEEPLFSDDEDEPYRDHALSEDRERRGSTSGDHARS AFLA_051760 MATASSQRPTNGGSIPPQSVPLNSVPRAPSHRRQQSHDMKPTAL DYETDSDQTYGSVDVPQTRAVSYKNNPAAARGHQASRSFSARTKDLSREGHQNWMEKP LPPESYASPDEYATSRGSITDSRLSISSSVKRDDPHMVPSPRDSHQSPLSRSNTVRST AEQKHDWAADRSPLQKLEVALTGISKEEKRARVMEAEMRVKERMARQQAEKISRAAVP VQSRQGTVPRDTSSPLTEVSQQTDFEQPALPRQRPAQGPSDRAKPPRARTSSVQHPVP LPANVPHHVRGYPQNTGVVRTGNAPKRSVSVSHPAGNNALGPQSTKPNMPREISGPYA ADAPPAHRYPVPAAGQTPYLSPATSGSRPVPQAIRRKELPTAAIVPQQVDPNAYATGN GSTNNAMPAHSDSSREPGGNATMTSQIFESEPASQPKPKRQTVSFDVPPPTPPPLSEW KTAPVARLGASDFDYQNFDVNKSKAWWEGGGSNNRRKSRALPNNYQKPPNTKPSANMR FQPHLFLKCGPLLRYGGLKRVRIDGPNGPFDKETWRGSVLIVTRDSLSSYEPPPTLRL FSQPMDLLPPPPTELNGEDAKLAPEYVDPTAGLTKSGRDGRPLYVKPVDHTEEELDLS CIENDDGIYEMSPSIIDYSSEGIKQPIPANRVHSMDGETAGFYKEVAGARLYADPGRD VTFWRFNIEIELGNTQQRIAYRLNQGPALGFWVPARGQTMNIMYHTCNGFTPGVDSNK LCGPDPLWRDVLNEHQTRPFHVMVGGGDQIFNDKITAESRFFQEWVKMKDIHEKYDTP LNMEFKAELENSFLEHYSRWFSQGLYSLANSQIPMVNLWNDHEIIEGFGSYPDEFMST PVISGLGNIAFKYYLLFQHHSVPEETEADEPSWLLGALPGPYISHRSRNLFMSLGGGV SLLGLDCRTERMNDEVLSEQTCDLVWDRCHREIVRGETKHLLVLLSIPVAYPRVAMVK NILNSRKSLGKAGLFGGFVNKSVSKVEIFDDHWTAKHHKSERQYLIEDLQDLAADKSV RVTILRAELTSIFSSGDVHLAAIGQFYSNPRLNLPKDKDYRYMPNIISSAIADMPETE MVSDTLNKRNRVHHLDTNTDEDMIPIFTQDVNNKPRNNKRLLPRRNWCSIRAYQPGFT PPTTPESESSPPVEEPRPGKLQRTLSLTRGDRPQGSGGLLRRLSGRGRPPTKDFNLGG NPVGRRMSMDGPFPPAETGDSYFPPPPDSRPAPFLRRPTNLSQKASKKAAKQGDDGVG AFVNLEGGLAISLNMELNPKDPSGITTPYKLLVPMLRYEGNEYDPPAAPVAKGWKRWL SVRRSRREKQNVEDTEAEEGFSDEEDEDEHDQYENHGRSAGREHEYIAGRDAPPETIV PVANPGELEYDGVAETSRRRKWFGR AFLA_051770 MVHSKVVIIGSGPAAHTAAIYLSRAELKPVLYEGMLANGTAAGG QLTTTTDIENFPGFPDGIGGTELMENMRKQSVRFGTEVITETISRVDFSQRPFKLWTE WNDGPDNEPARTADAIIIATGANARRLDLPGETKYWQNGISACAVCDGAVPIFRNKPL YVIGGGDSAAEEAMFLAKYGSSVTVLVRRDKLRASKAMANRLLSHPKVTVRFNTVATQ VLGDEKPMGLMTHLRVKNTVTGEEETVDANGLFYAVGHDPATALVKGKIDLDDEGYII TKPGTSYTSLEGVFACGDVQDKRYRQAITSAGSGCIAALEAEKFIAESESSGEEAPVN EVKQDPQGNTAEYKSNPLL AFLA_051780 MAPLASNMGAAASMKTHGLNGQSYEITTHLDQYIPTNISFNGSG HVALLPSNQTLPKSSLQLNASVMKAHASHLDNNFNQASVTNLYSVPNSNSNPGLRVGR TSLEGVDSSSRSTDYLSQDAHATTAHGRSSDSITTTSFLSQPSQTKPTPSITNINKLS SSSLVTDTKNADSVNGLPKYRPRSSIPSRLPAAVYAQQCVSAAYASRLNPYALHIKEQ EALQDYLCHLHVTVYLNIRNGILRLWTRNPMVSVTKEEALGCAKDYRWMNLASFAYEW LVRNGYINFGCVEIPMPFVTPKKGRRREGPVVVVIGAGMSGLGCARHLEGLFQHYRDA STTPRVILLEGRRRIGGRIYSHPLRSLQTSKLGPGLVPKAEMGAHIVVGFDRGNPLDP IIRSQLALPYHLLRDISTIYDIDGSPVDEIQDAMDERLYDDVLDRSGFYRHKSIVVPT AEGERELIDSGRDLSTSDGVTVRQYEEARASGTIGLLLPTKRVRRGVGHKTADIKAPG ASGADLARSEEQPAALTCQLNGWRLNDGIPANATLNLDPVAKISSSQTLGAALDEGIR QYQRMLPLSPKDMRLINWHFANLEYANATNVNRLSLSGWDQDIGNEFEGEHSQVVGGY QQVPYGLFSLPTKLDVRTNKIVSKILYDPSGMGKQNTVVHCEDGESFVADKVVFTGSL GVLKHQSIQFEPPLPEWKCGAINRLGFGVMNKVILVFDEPFWDTERDMFGLLREPTNR NSTIQEDYATNRGRFYLFWNCLKTTGLPVLIALMAGDAALQAECTPDDQIIGEVTSQL RNIFKHTVVPDPLETIITRWKSDKFTRGSYSYVAAQALPGDYDLMAKPIGNLHFAGEA TCGTHPATVHGAYLSGLRAGAEVIESILGPIALPNPLVPEKGKAELSTPTTAGQKRKE PHMSVASSTGNTPTNPADSTSPTSARQQAYEQAMWTSVQSEIGPPMPRPARTGLNPFL LYQKDYWGICRAQCDEARRAATNDPNAKAARDEIRHELGLMWRRASEEEKRPYIEQTE VNRQTNAEMWSRWKQQIAEWEQKAGELKTKWYAANPFASWGIHSADTAVPTNHLSTGA GGTTSSISSLGQHINNSRDQTQGGTIAATVHPQTNGVQVNGSLHTS AFLA_051790 MPADYTSTARALSLPTSPSESLSPADNDSYPPWSHLASGRSNPA HPSERPTLWKQVTHRLNETSQRMMAIWRRLSFWQKVGAAAAALLANLLGIGFLVFTGK VFIWLGPVAEQWEQSVVAYTVLWLCVFFVSFPPLVGWSTFGTISGYIFGVWKGWFLYA SATVLGSTCSFVVSRTILSKFVNRMMERDKRFAALALTLKYDGLKLLLCNGAVSTFPT VQPLMQPDPFTFRERRGDERRF AFLA_051800 MISEELLEDCLQSLQGQDFDEEEQAEKAEDFLREKTSLSGSSLE NAVLDVLWRHRNRTLPGSSPPPPRHTVIRRSSPAPWQMGRSSTPLSPHSNLGTSPGST SWLPNSRGGFSRPALSSTVSPFTSPRPSPRLALAQPIPHSPNLNAYEFSDQTQVSDFY GDFGSDSNVDWLVADDANSTTSSVGTLSAMGLSATAPEFVPDMSPHDILRTVLGDKRT NDEIEAALEANGYDLGATIASLSQGHDGDGFVHHADGTRVVVGKSMAMEQAKPGSSPG QIRSPVVCKYWLSTGQCLRADCRFSHDLTNHLCKYWVMGNCLAGDGCPFSHDPSALVA NLSVTDGSHQGSTGPQFHIDSASEAFPPLQSSLGVGDQWAGQYIGKYPAHLSGFLGGK GVPQSMQLVTGKRNGSSTHGSRPHSRPGSRHQNRELNPAAPSVDDPDAFPTLAAVSAK NKKHHGKRGAHNREINSNKDSVPTSLADVVRMSPSPAVGKGKTTSRNKEGAKGRENSA AAQSIPPPQNIPWLETGSRANQQYIKYRTEAIRHGTVRNKFLQSAAQAWNRNDARAAK ALSLRGQAENEAMRKCHREAARQLYEERNKHIVNAGLDDSLEELYVDLHGLHPEEAIE YLEKILLKHANEGRRVIYAITGTGHHSKNGKDKIGKAVKAWLNEWKYLFREFSVPGER GGYVGGILGIDPTSYDRSLAKSLEDSADANAGAGVNTPVLTMGKIQLLKREDLEVKH AFLA_051810 MASRNALRRALLYIPGSSQRFIDKSRTLTADCVAYDLEDSVTPH KKAEARSLVRRALDQPAPSGIRERAVRINSVDSGLALADLTEVLQSPNLSTVVIPKVN SASDLTFVNDVITHTLSQQPQSQDASSRPPISLLALVESAKSLTNLTQICASTPLLQG LIFAAEDFALDLSLTRTPDLTEFLFARSMIATAARAANLPSTIDLVCTTYKSTKGDGS PPAVLEEECRGGRQLGFNGKQCIHPSQVPTVQQIFGPDSDEVQWAVRVTIADDKAAAV GRGAWTLDGKMIDVPVAEKARAIVKKAEACGFNVEELREKWRDQEPE AFLA_051820 MEQQSLNPEPQQGDLNWRLSAHPITLLCFLGFRTSALLMYLFGV LFIKNFILVFIITLLLLAADFYYLKNIAGRRLVGLRWWNEVNVASGDSHWVFESSDPT TRRIAATDKRFFWLSLYVTPALWVGLAILAIIRLSSVIWLSLVAIALILTITNTLAFS RCDRFSQASTFANRALTGGIVNNIAGGLLGRLFK AFLA_051830 MQTKTKRKRLSRGGRWTEEERLQLVRLRDRNKHLAWDQFQKIYF PRRSYMALTKAYSDMKLKHTRDNAMVCTPSLDHKQKQQLLNRALVPWQTNNTSNETTL PSKTNRPNKRPNIDERSVNERANKQARTAERDSTYVPEEDPESPDNGDIHEDGSSSPM HGRTRSSVNSLAKLRAQTVATQPISQSSRNTTSLQTSASDKTASESPSQAKQTRGTER VMGSAHNNKPVPTTTAMQGISLPKANPGNSPSKASQPERASAQIECMSFGTAHDLFAS LVKTIADYRKATKKRKGLIVTVAERIASSAYLTI AFLA_051840 MREIVHLQTGQCGNQVGTAFWQIISGEHGLDASGVYTGSNDQEL ERMNVYFNEVGNQKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSSAGNNWA KGHYTEGAELVDSVVDVVRREAESCDCLQGFQITHSLGGGTGSGMGTLLISKIREEFP DRMMATFSVAPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICMRTLKLA SPSYGDLNHLVSAVMSGVTVSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPRNMMAAANFHNGRFLTCSAIFRGKVSTKEVEDQMRGVQ TKNSGYFVEWIPNNIQTTVCSVPPRGLKMASTFIGNSTSIQELFQRIGNQFSSMFRRK AFLHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQEASIDDEEDIGEYGEEEIEEQ AFLA_051850 MSPEPATGRLRWSRSIIIKLTKEIRVGCDKRSQIFVVKCLNNSN SPYIPTDQNMVAKIYDPLYHDFSFNDEDPFLGVDYDYTHECAAYMHLSNLQGSVIPRF FGSYTLTINLGENSRHVRLILIEHIDGLSMDRLNPEMFPREERQEIMKQIINGESSLY TKDVLHEDLCPRNIIIQRNTTLGVFIIDLGKSVIGRSRNPSNPKEEKRYLPGVAISPL LRWNVVYRRQAYFHEWIDWPWQAWLEAQYKDTEATITAEQREIWAIEDRMLEAKGPPP IE AFLA_051860 MSKLTNYRLLSFDVYGTLIDWETGVWNAIQPTLASNNAQITRSD FLKLYQELEKFQQTKTPDMPYSQLLSTIHPQIASRLALDPPTAEESNRFGELVGEWPA FPDTVEALKRLSKRYKLVVLSNVDRESFQKTNAGSLQGFPFDLAITAQDVGSYKPNLA NFEYMLKAVKEQFGVEAEQVLQTAQSQFHDHHPAKKVGLKSSWIERPGALMGNLEDTV YDWKFDTLGGMADAVEREL AFLA_051870 MVKFLKHAQFSFYPISFSFEFSIRDIFIPAHKKHSSSYKSYVTS AGHLGENCVQPTVLADMTHDMRLASEKTFRPVAALFAFDEEEEAIREENRCEVALGLI SILGA AFLA_051880 MPCLRCQRYKRNCQYSQAPPQPASNGTTRYGETIICQKVLCLNL HYHRASTSPQRDSDKGRCLERIVRHFMGDISFEPSNLQFIADALERDQVSSRKPSTLA PGLLWGILSLELLTHDPPEITKPGKRPRRQHGSTGDSHTAHDPFRATGLQSPSSIIAS ARKYFPPRHIADFLLETFLEYTLTNYYYFDETEFRQKLDYYYAEDRYLDINDAGWICT LFMTFASGTQFAYMHASRPPYLQSMSGEDHLPDDTIGLALYRFSCRLIPDLITTASVE TVQAFLLFGVYTMPIDTSGLAYTYLGLAIKMAIQNGMHRQFGEEGLDARTIELRNRLW WSAYALDRRISILHGRPVSVSPTEIDCDMPKDIPELRPPGRVTNLPNLTATLQLTEQL AKVAPIISRLRNCPGELHQIYLKQLLHVRDELRSWWTTLPEDIHCRDLDPSKPLFRYN VHLELTYATIIMYMGRPLILAGTPSSSASVEGSSDSTVDAGAMLCFDCVQAALRIVEL CQLLQDTVGVARVSYTEFSSCRAALLAIIAQSLNTRTERLRGALTQGMGLIRRMCVGL QSARSEVAVIEALERAARRLDSRAENEDTATGEQDVGYNQFRRWAMLWQSETPSNVGD EPEPIGLENAQLPTGSFDGFFSSFPQELGAFASLPETGMQFGESLPTMLWPDDLSLPA FPPNPDAPV AFLA_051890 MGRRYLGLQGNALQIAIGVIAGMDFLLFGYDQGVTGGLLTLQSF IKYFPTIATSGAYYDSLTQAEQSTQSTRQGIVVAAYNLGCFAGSIPTIWVGNWLGRRK TIFLGSFIMVIGALLQCTAYQLPQLIVGRLVTGFGNGMNTSTVPTWQSECCKSNHRGK LVMIEGAMITCGITISYWIDFGLLFADPNEVAWRFPLAFQIFFAAIILAFVMFLPESP RWLVLKGREDEAKEVLGALLGDGTDPTFLQTEFTAIKATVLEMAKGSFKDMFTMDEDR HFHRTVLAYVNQMFQQISGINLITYYIPVVLEEQMGMTLINSRLIAACNGTEYFIASW IAVFTIEKFGRRTLMLFGAAGMSISMIILAITASLKTSEANIACIVFLFVFNTFFAIG WLGMTWLYPAEIVPLKIRAPANALATSSNWIFNFLVVMITPVAFDNIDYQTYIIFAVI NAFIVPVVFFFYPETTRRSLEEMDRIFRKTKSIFSVVRIANEEPHMYGKHGELLHTLD DVEDEAVRRASVLSHAHKELEKDSSENDSTNKA AFLA_051900 MGGWGADDGAAGWENAGDIATYNDENANPSGNFKDDGFGGNAWE NTSAGNEQNDDNKCRNCGSDGHFARNCPEPRKGMACFNCGEEGKAECTKPRVFKGTCR VCNQEGHPASQCPERPPDVCKNCKMEGHRTIDCKENRKFDLNNVPDKLPEEAWAAMQK ASEEKDLEDFREALKIYSKAVPDATFVDIENKMRQDNLNFYLIAMEKPVGDCISVINL QGKLDCKYVVGFYYSPKPQRANLKERWPESVEENLERLEDAGIPYDREIPKCSNCGEM GHTARGCKEEHVVHERVEVKCVNCSAVGHRARDCTEPRRDRFACRNCGSSEHKAAECP NPRSAEGVECKRCNEVGHFAKDCPQAPAPRTCRNCGSEDHIARDCDKPRDISTVTCRN CDEVGHFSRDCPKKKDWSKVKCNNCGEMGHTVKRCPSAVVNDTGMGDNSGLGDSGNQN ATADDGWAADNTGMADHSEAQPAEEGGCEPGW AFLA_051910 MQHTDKPVDFRISSAVVDRGVAPMGHNASRVNSIVDEKETYLKK KSHCRYYLQLVCLDIQL AFLA_051920 MSLVQPQPPSEDMVKNPDSGDIREQFRDGRDDVESGSEPDIADI ERIYRKLDFRIIPAFWVLYFLCAAVRSNVSLAQTMNIDTNHTIFDVLHVNDHQVSTAL ALFYVCYVVFDLPSNLIMSRLSPHVWMSRIVISVGIIGTCMTAMKAAWSFYLLRLLLG IVIAGMWPGMAYYLTLFYPPSRTGKRIGQYYTAAQLSAAAVGLVSAGFQKMDGVRGYV GFQWMFLVWGVITIAVGILLLWWLPDRPTIPGEQPAKKKYLRWFPRSPPALTGRDAEI HYHDLKRVYHRSAWTLQDLLRVFLDWRLWPLLIMYFGVVGVGIGVQSYATVIIKAINP NLSGIDLSLLSAPIWLMDLAAILLVTPFSDRFHHHRAVFFSVPVLLQILGLLLTTYAG TDTNSWPRYGGLLIVGFGLGPTVPITMTWTTEIFQPRHGEVGVAAASAVVSGLGNLGS IVTTYALYNGWAEDSAAPGRLKFRKSNLVMVGILCGSILAAVLMQILVRVIDGRKSDE NDPDKIVDGAARREAQQRGLDGLGSSVFFLFKKRGGN AFLA_051930 MSESTTISNTENLMKYMNLDQRGRVQAEYVWIDSVGGCRSKTKT LSKKVTSVDELPEWNFDGSSTGQAPGDNSDVYLRPVAIYPDPFRLGDNILVLCETWDS DGTPNKYNHRHDANRLMEVNAKEEFWFGLEQEYTLLGNDGWPYGWPKGGFPGAQGPYY CGVGTGKVYCRDIVEAHYRACLYAGINISGINAEVMPSQWEYQVGPCPGIEMGDQLWM SRFLLHRVAEEFGVRISFDPKPIKGEWNGAGLHSNVSTVATRAEGGMKAIEAYMKKFE ARHVEHIAVYGEGNEERLTGRHETGSIDKFSYGVADRGGSIRIPRQVAKDGKGYFEDR RPASNACPYQITGIIVETVSSILKTLNCFRHGANGCVQICGSN AFLA_051940 MARPISHKSPSTRTPSASGHAHNHQPILTHQNATPLAAATGADD PVTLSSPSALLALGGYGGISPSPAVHDVLVAPGMHDSDIQALGMQGLKLGSARDSDDE RRRHIEDVVQLLRTRVAGRGVCREGIERLGQLEGFESIWQEDSLSIAGNFVDLEIEFY RAQNTVKDVSLNIATPEATDGERREATAVLKRDLIESPEDGGRSSWKTLTKFHENLQW LAKHDRLSQEVNCFEAIEGLYESLKRIWDEEGHHRKFSGIHDHLCSGWVGQPCLHQGG RVGLNLEYWVHQARVLDSKQKKVSPDDMAIDQPSVSSMGGESGNHNGKWNIIIECEEG YPSLRVSKEWVNSEVFTVVNNNANEPSSNEMGGSDVAVVNWADPPATLSSQGQQDAMA LDSGMLGTAPNRRFVARMEPPLELPILAASDIYRHLGIQMPQEFKMVTYDGLLAPGWS PLSAAGAMGLGPEEASQLGRRRRRMAVQSVDQDGKPCTKQHSYTFQPFESVAGRTMRD IPFAHPRQLADILPTLRQYAFLANMIRNIFSPPSKSDDNRKDAQTDSPKSPASQLKFT EPGKPKKNVITLSNKDPNEKKLDWLLKGLHSTDRMKEKGKDTANSNAEGVDDDVDEVK VDVTLRTQLGQAPVVMLLFTVNDPPGSAESAKEETTISKVSVSLEVGLNGRVSVVDMT GLLDHCSSLGDMDVQMTDGQKNEVIELQNKIARVLEISQDIGTLVEWILRWMQQRKGR AFLA_051950 MFAFRTFVRNTPSLSRWSQIRGVSTLENHPHIYVFPSNGSHVLS LLPSEPPNSRLSIGVTSKLPPTTDSFKENPEFLKILQDVVSEYGHQDPDAVSQAQVMV STSGANLGSGGVLLTGGRARRRHSAADSSGGASGQGGAGSAGRGGWIHLSDNRRPPEY GRIAWPEDIFGSLEVDSDGSFVGSNGNYQPSGTYRIVTRDGILGLSPFLREKLVQRLR QQESQ AFLA_051960 MAFMRRRLQEQGLQVDEAPSDDEVRETYNFAPGNFGAVYRADIY PSEHGEGQYENDDSGLHNTSRTQNRDGGKDEQTQSSSQLHYKIQSMKWGLVPSWTKRK PDYGSLMRTINCRDDSLVEDRGMWTSMKRKKRCVIVCQGFYEWLKKGPGGKEKVPHFV KRKDGELMLFAGLWDCVSYEGEDEKLYTYTIITTSSNSYLKFLHDRMPVILDPNSEAM KIWLDPTRTTWSKELQSVLKPYKGELECYPVPKEVGKVGNNSPDFIVPVSSKENKSNI ANFFANAKKKTEPGVKVEGDGITDQNIVKNEDDPSPTKDSEWSEDNAPKPAAGIKRER TPEGTGEVADEEVKKPKTELRTPSPKKVTEHTPVSKRQTSPAGKKMRSATHNEKPIKK VNVKKADGSHPITKFFST AFLA_051970 MFTPTTLRLPRTLPSTLTRRLSTLPKTPRPSLKHHLQPLQFPQR RSLSTFQKWTLGLKQAKRDIWRKNPILLPLALFSAATATAIFTYIAYVEYTRVGPQYH KFPPPVAEALRTAVYYTEVDLSPPKALQAYKEALRIGIEMGMHPFSDEVVGIKIQVAM MLERAGLAKAAVEVLERTKGEIMGFVEGKDRGEGVIGVKIEKEEGEEKRKEVEEFEKQ QRDKVLKKAVGIEMKLAELYSSDYIQDEKKAEAAQVAAVELCLKELHRRQSLGLPVGG GLEADNTEGWLNVTEIATALTDLAGRYTAQENYELSIPLQMRALDLLHTEEGDAPTCK QVVLLNSVAGCMAGQAQKPIRAEDPKKAKEQLFDAAEKWAQKALDVAARIQPPVRDEE CDTSCVAATFNLGWLAEFQGKAKEAERLYGEAKSLSQGLGFEQGVSMADAALKRLTKN AFLA_051980 MAEQLVLRGTLEGHNGWVTSLATSLENPNMLLSGSRDKTLIIWN LTRDEQAYGYPKRSLEGHSHIVSDCVISSDGAYALSASWDKSLRLWELATGETTRTFV GHTNDVLSVSFSADNRQIVSASRDRSIKLWNTLGDCKFTITDKGHTDWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLHAGDEIHALVFSPNRYWLCAATASSITIFDLEKKSKVDELKPEYIE KGKKSREPECVSLAWSADGQTLFAGYTDNKIRAWGVMSRA AFLA_051990 MSAPRLIQRFARPSLSPFFLRTTLARRSFGSSAIRPKDDNGRAP STAPEHREYQTNRPPNQHVPNTTSTMTRDFPKAGEKSVPPEFVSAADPNYKPADPYPG KVEHFTGGRQETGAQKPELGVGEMEGITFKVEPLKRTGEDVSTIRARLLYQSRKRGIL ESDLLLSTFADVYLSKMNKEQLQEYDRFLDENDWDIYYWATQDPPTEDNVAEDTPTET WKRTGAKSGEWAQTVGAYKAAYRPVPSRWADSEVLRLLRQHVQDNSATGFHAAKSKKT GGAGLGRMPNVQVFDS AFLA_052000 MGTSQPTLRSILGILFLCLIQISAALKFDLPAVSGKNERCIRNF VFKDQLVVVTAIVSGQKGDGQKVNIHIKDALGNDHGRPRDVVGETRQTFTSSEDTAFD VCFENKLEGRSGVANPYRSIELDVDIGADARDWSSIQDHEKLKPLETDLRRIEEMVQE IVSEMEYLRAREQKLRDTNESTNERVKWFAFGTMGMLIGLGVWQVIYLRAYFRSKHLI AFLA_052010 MQNTKGPESDMGRTASRSRSNSMSSDSLSRIHMSPPAAKPPPSF IASSSAAQIITTDQEFNTADFVAEEGGDSDAKAIVTPEALAALNGFLDHILFNILAAA KSTQLACIRPAVADVLKPRLANQVVSAADEELSEYMGGPEEEEFQFRRGMSQNGVFDL IRSWKLTRLRCMVYTRLGDMEEDDEEEYIAQNGLDDDGSVSPRFSMHVGNITPAAAIF LTSIIEHLGEQALVIAGETARSRLSAKPSDGPEEAESGGERSTINRLIVEDLDMEKLA LNPTMGRLWRTWRRYTRSPMLSRVVSRESIRRRATVGPSSCRKSSGVTDDELPQRPVI EIPKEIDPASVALPEGDHDVEEIEGFTSDGEGAEIVQTMQAVVAHKVRPHSLMVLTLP SPRSPTSPITPLSAKFTRHVRAKSLPDTTPPESAETDQTADRPSPTASDEQKPLETMY EHEEDDEPPKAKAESKVSEADPLPEESLEQDKMATSSQSAVSVEVESSQASSTSGSST SLSDRFQTDSDTEVIEGQGMCEKPKLAPIQRPKRVCSRDANRENDRSTTATRVISERA MPTVVDDPSQTQGGNSTPAQPTISHDVSKDPDTNKPSTESSAPQTRTSTDLEEKISRP PSTSGESVYSDRSRTRHRPSPLVGISHHRYGRSSSGVSSISSVTERAAVQRVIRPSTS AASSVYSRPRRSDSFSSQREKRPVTAGSTTSQVSSRLKGLIGLQVDSVSIRLRNSSET NRFAEEPYDDTTGLDELIRSEETLHYTLTPKNMREIEEPDSPRWRVRSSTADLAEFLK NSAPPGEEVPRPSTSHTSSRGTINTPKYKAIEIPSTATSQQSSDPEQAADRKPNTGSS SDYDHSIKSTGPSSPLSTQPTQSPRSPSSTVRSGPKLEARSAASSKADQDRTTELIDF IREGPPTAGARRIPRAVAPFRDTMDSDELNSLETGLSENNAPSVSSTQDGSMLTSVGS RTGLLETGNRTTVHPTAAQHMPTAPVDDPRPVRKQRRVPDPYAIDSDDDEDLDELLDD PKPKREEESLIDFLRNVPPPESEPSPQPMAVNTMPPKSSSGAFGMKARLLRNASGDKV PTTKLSKASLRQQQDSYAAAPSNYSVKVGAERSRGAMYGTSSLPSVTDRQTETSALAE FLRTTGPPEPPAPRASSSLASKGRESGVNSLSRLFGRRKKLEV AFLA_052020 MSNQTMNKKSWESLSSTFSGLEISLSQDSCYASDEVSVTSTNPT TVNSSVVSSVTPTTATAERPLLKPILKRPYAEIAEDGESESGYASGASEQEFEFLSED DSGSDSDSDSDDDEVYYVTCWEDESDSMSEYSEDDDNDYDYDDDSADGSFISFGSNNV RFDTNVLYIEAPEVQEEDAPSTELTCHELMEMARASGSLHLQEGNDIGDDFDDAEHGN ISDSIRQLPEEHTSDVVDLDKRLFIAYMNGINGIADPGYKSRLHALVGDIMMGRAKSP YLEADNADGVYLDHALNHVIGTFPNLVAKQEFDELLSLSEEKGALEQPAEVRESLNLS LLKKIESLLSERLASDVKIGHDELSFFAGGVAYALENWKPYMVH AFLA_052030 MFAQPFDHSFNNDDLFSQYVNIDGSSTDGNKDVSFPSDFDQFFS LDSLSSNCGEQSPIISTSKQQTHPSPQWAKDFWSLPPDAPSSLGQAPLAFQDTVHPSA VSDLNVNLEASSTTCPAETRSSPTTPPGTPRRKPKSALVTPKSIQRHREPNGRRGLQH KQSFSPSLTRPSQFQKGRMAYQEAWAHRLQNLNFLRSADDRFPLSPPPSDILPQQENI AADNSAVHIHHSGDSTEMHHHFDTSIFTPSPAISMPSPCTGVLSRQQARYLNHSNNST VTSSPPSADDIFPSPHSSDPQSMSSWHSDALGTPGLFTPDLQSHDAQAWWPPMNARVP QRQPSYQQVVASPPPQQPIQNTTHQHDLANSQHDILQGGLMIQMDPSAYDMTATANSS FSSTTMAPTASSCQENHTYSHVPTAHAKYVDASSFATPQLHPQLRSPSLSPRADRSPK NGLAMHHSITMKAQRRQPGRKISSNSMNVPKPVKGLNGSGSPKGAKSVTVSFVNFTPN DSQKILTGVAPSGSSKTKARREQEARDRRRRISEAALNAVRKAGGDVEALEAVMF AFLA_052040 MSPVNSLWFKWKSLRLPWRKSFLVGTDLSGNTFWEFKDALNAAR YRRIVKFNPKTHYADVQVSPQWHQWLRYVRAEPPSVQEQQQDVLRQIQIKQLARLADE RWASKASYLDMPQNKQPEPATQITDATTNASAQASNAHENTAPTPETKETDHVETPAT KNDPWAAARGAPSQDWQPQSWTPKPSQR AFLA_052050 MASVTSLDKDLRSLRLSRYTPQAAAEVRSWIEEVLHERLPAGDL LDALRDGVALCRLVNLAVSPGVKYKQSSMPFVQMENISHFLRACQIAPLSLPPHDVFL TVDLYEAKDPAQVLQCLVAFSRRANALQPGKFPRSIGPQSKVGALSPNATGSSQGAHT PSRPRGLSNANSSASGYSSPAKSPGPVSSWSKRTDEHTTMPAWNIHQYGYMGGASQAN QGVAFGARRQITTAAPNVPSLAEKEKRRREEEERQRLEFEESERRRQEREAEEARARA EEERRWEEETARLKEQERRKLEEERKQWNDQQRRWEEEEEQRQREEREAEERLEKERL RRREVNDTRLNGQFLSQYQASQVGPNQAPAESSERRRIRELERELETAKQREAEYQRE RQEIQEKQAAPAATPAPRPVPVPPKPSYNLSSLESERRLLRTEWNKHQTEESIAEAAN PPPSLPPRPLPEPVATSPRPLPDPTLYASKPANQESRGIGNMLNAHRQQGYLYAKLKP INE AFLA_052060 MAVADEEAPSPLYRHARTLPFELVQHIGIFFEEKLCPPPLTPRN SLDHRTDRGVRQPDTQALNLLLNIITTGTIPPTPVYVPSPQHLALAATFLVHPSTTTR AKTAEQEEASNVSLRLLRLANTIAGPVSAKLGTAFSFTHFEASRHGRRRRAEDEQPPD DDTKPLNLDLAQSASVWSRAEDFWHAVGWAFNCSVLHRERWEKWQIWLEYMCEVLEDD WNERKRMSDRNTNIDHKVLKDSLIFRYITETTAGYGRNRRILRAIFADGGSTSVNEFR EVFHHELKKPKQDKNTKKREVQVNIDEDQYGDYLTDDDDDSSDNNNNNKNDPDTASKR PTRQTKRPRRGTRAKDVKSADAVDTTSTVYALSDVSSHGGFQSLALRQRLLHLLSGVA EALPDDFISLEELYHLFVENIRHLSLPVFQALVTPSTLPYFSPEACTTLCECLLFRIR ESAAPDTDEEYLSQAKLEECFLPYAASTNSVIDNTKMSILLEALLILLANSDMLKVTT DLQEAVEEGIQRRAEKAQTETKKSVSARKSEDAEWCWLQESGERLRFLVEVLPRGEDS D AFLA_052070 MSQNKGGRRRRSSSIIYQEPPESIERTSDQAALPNLNANWVNAK GAWTIHFVLIIALKIFYDIIPGVSQETSWTLTNISYMFGSFLMFHWVRGIPFEFNAGA YDNLNMWEQIDNGDQYTPTKKFLLCVPICLFLLSTHYTHYDLTYFTINFLATLGVVIP KLPFSHRLRIGLFSPEPEE AFLA_052080 MPDSPGDDNNDSRTASVGAQRNRATSTNGSRQSSIDSNSHPRKR QRRNGKGEPTDARDFVPQGATFSANTLEVDPDSTSSSGSSSSDDESNSSDDGNEASSQ AGPQSAAAPNWNKASKSTIRTSLNKRGNKANEGEHDSRFDAVNDKYWRSRSESVSNGG DNDNVSQTNSDGASEEGEVQEDDSSDSSRMHLSGDSDDSSLDSEADDSILLNINARGQ TQNASQKQNGVQDDDYDPESLPVSQSITNGHTFGGAADGSATTSKEEAFRHFAQKYPT NPETLADLNREDMDAQAKYVFYDREINDINLQLPVACIECMREGHLAEVCPYKEVRSE TTNPLFQGACCTASDTVGTDRL AFLA_052090 MELECDFMWKAHRQEPQSGPVLVSISCSHCTSSHHLVGDCPSLP QPLKSSSWTLKGVDPNMVTNINSVVNGRGGPSSRGRGGMKIRGRADVHSSPDDSDDLM TRRRPVGRGGNRGNIRIGSGIGKNKNLAPAGSRGPDMDSRQFYRDRQDFHSGNARQRS LSPNPRRGRGKDTWQPAPRSPPRGQSRPPPRGGRGGGRGRGGRGNGNKRGGNGDNYRP MPSAAKKAWDKYRL AFLA_052100 MFTPRPLPQLGRIMASVQNYSLRQRRFAPLNPERKGTSSAPPLK GIVFDVDGTLCLPQNHMFVKMRESLGILHKDIDILHHISSLPTPEQQLEAADKIKAVE QEAMQTQEPQPGLVELMDYLHERGVKRALCTRNFETPVRHLLDNHLPAHVFLPIVTRE TPGLLPKPDPAGILHIANEWGLDSRGENLIMVGDSIDDMTAGHTAGAATVLLLNDHNV HLKEHPHTDLCIERLDELISILDGGFVGNRGGDKVPTSD AFLA_052110 MPQWELKLTDDAMTDVKTLSTSYHRSPQNTWVIDFEYISLSGDM SPIPLQFAIRQLDGKLLFAENVHYGLSLEDFLVKLKTWENADRHVQVLFTHCYGDIVT NGLRPDEIRDEIIHNLDYSAERISIISWFSPQDMQCFQRLLPGSPELIVPKESHHLCS NFQNIDVGRLLKKLLPMNWPSLSLPAVHSSFLASQGRSGDKGEYHTAAYDTEAVTDIV KEITTLLQGFGF AFLA_052120 MRRRPVATPRVLKNLTRVPDLLSLFEALPYCGYSFKNGPWKHAL VAFGIDPRLGPEYRMYQTYEFPWNYDPIIAEPSVTSPLTVEISFPRVVRTKHSDNSHV FDGNLLYTDDNIWQYCDISDDQLHRIWSTTTIRHSFCPQNGFFYNGTNAKLWEIMSDK VMTIRDGEEPAVDDYECLLDIPDDYKGGSRSGDRKRYGQSFGQNYTRKQAFMRSLILK KAQSL AFLA_052130 MVDSSLLFSDTCINPMELELLDSIKQLMQNDIFTVKFQVSFDTQ SLRALLLDETGLKAWRTQFIKHE AFLA_052140 MAHTFYDGTIPVLQSILKTLSHILHRASQHPNANALLTARLHED MYPLTDQVRITTQFSENLVARLTGREPVSFDGSPTTFAECYERIETVLKALNEAEKIV VNRQADVVAKTPMGPETAVEMSAAAYAHSIALPNIYFHLTTAYGILRKEGVELGKRDY YVGFFPVLGGQ AFLA_052150 MAATQYFKANKVHRAWLEAWKGKKERKLLGMSGAFFVVMGGYAV DSSNQDAGLVTTISADGFISLLENKIIPTRIQDRRLSKSYFERYTIKDKGNSSNLAKT IVLVQIMWMIVQLIGRLSAGLPVTLLEAHVAIQIPFAAVTYAFWWSKPLDVDELIFLP LDGDAMQGHDVRTETRFKRHGQPFITERTSHHSVIHMLFRVWYDITVYFDWQAELVSA STAVLNAGLHVIVWNSHFPSPTERLLWRLSAIGIGLSPILVYLAIRKKGIEPYALRYF YEARLIGGSLRSQIVDFLSGFRRTFQDACDQVDLLDPEDSTKRDGWPDWMPVWCRFML LSIFVSSLLLYGFSMLYLIIEAFISLRSLPVGAYSIPRWSNIFPHF AFLA_052160 MSNSLDLTICSTCGTQYPTTSESTCKICDDPRQFIPATGQSWTT LRILQTTKQYHNEFTPDTIHKNLISIHTVPRVAIGQRALLCRTATGNLLWDCITYIDD ETVSKINELGGLKGIVISHPHFYTTHLHWAEIFDCPVYLAREDREWVVCPGERQVFWD SGRLSVPGVEGDLVAVKTGGHFPGSSVLWWRSLGVLLVADSIGVVPSGIYHVGRLPGT VSFTFMWSYPNMIPLPPNEVHNIWRAVKDLDFDDIRGGFMGTEVNGNCKQRVLESAQI FVKSMGHFNHAIREEQCP AFLA_052170 MATHTDEESTLPPNNPITISTGEVLSLLGALITLKLLHAVVFLF RLDRSRKRPLKSPVTRAVIRREQLIINIFLSIMPEGVFRSPTIPDAIELAHFPLVDGP ADENRTSSDMRSPLIHTSPCIPTGFRLWQCGSCRGVEAFPPSLQRPGIAHAASESSSA QQLLGANEDEERRSLSVTHTESPSVNQESVSTLNPEYTASRPERQQLSPVGRPLSMDS VGLPLMNDSPTIMIIEEGSRSPRSTEGYSGRYSISEGSYGNNLSMTPHCTRGRSTTSV RPFSDL AFLA_052180 MPYYVHIAAKGALNNNHLHVLTTVSREAADYFYRQLQQHTPLRA LGHTPIKTTYYSPTFWSIDPTYDDTISLLNNLVSDIDKGTNGQIPEAAQNYLKGKLFI GPLTGQITVNPTYVSDDNSSGNINNRCYYIRNRHTPEWWFVSPGAVEVNVSISNRSKF RVQICPENGEPLVLVGGDVIQLMLIEPDNARRFVCVSTDNYCLMCLPGNVTPSFKFHF YELRKGWFGVSTSLSKPGSSVQWTPTHSLSMEGPGEDWVLLASEDIPC AFLA_052190 MGVDTRRPILPAPTESIVDTTTTGGSVTGTDLATDVSRRTDKTS YSIPDDGSPVTISTRRKNRTHGDESKLSRSAQHSQTSLLIEYFEGGKGSGSLVSRPSV RVRVTPSNSRKRKDSKDHIQITESNGNRKPVYSRRISFSSPSKNNKSSDDYSICSFNS GTDENQQPSGHTPVEIELVNRDQSSELSSDTRYFQPTSDISSMPADSMLEASSSNIRR KRSQSSSREREHSPEYKDYLQTPRRQRSRSLSTERIAHRVAEKLSNDPRETSKGQRKK GDTADFHEPESKSRSRLSVYPDEDMSPNPSLLSASALSAHRKSGDQYSFRSGTSKSSI NNPKLLETVEDAIRRLILPELKELKKDQKVMTNTSKFERDMNTSHSSGSTPSRDELGR RLSKHASAPDVTRPTVVLNKDDQDEGIVLSGEPAPSQQDRRPSDAPTEASYVKWGLRP ELTEQEKLRRQKSKGLRDAEKAARVGSALTAAALKHHDSRSSIDKTERRRPSGPRSGN GSFNDTELVFQKHNVAPMPLRSAIESDMTRDSLLSEQTAEPYGSRETSEIHDTRSPHR DLLDSRYDLKHSNFSSHDLSLHDYPEEHYREQSLSPVGDAAAGAIAAAAAANLLDEHP GRHGSKFTDRRRTLSPIQSVASNQSESHIKQDSIQPVEHDFSGTEREMEQRLSIDSLS SAPSTNLARSSRPHGISPAGQKEFLRHNNKYGAELGYEESPAMSPRSMTETASTDPKR MTGFTDESEVSYMEKVNQRQPVAGIGANPQVIHPIAVESAVASLLDPSIVDTKSNQSA PNRSQTDLSRRLEGQSPKPVERANQTPHGSRQGSPLKQRQDASSPDATSFPRRMGATS PPQSVTQSLEDQTDPTHMFSEGIHDRESPSPEADRSPDSESEINTNPSIIQGPIGGGM HGGNWAYEQTPDNRGQSPIFDNAGSAGNTGPGSGDQPDYGHDYYETNDYNPDNYLNHQ YSRGPLFGTPPGKDEGYVSAPNPLSPGVDTPEPLDKGFGGMDTNGMGLFDSPTGADDQ FMSGHQRQLSGYSQGVGSPLYDSATGRGIDRIQSQDIVALMDHLTVRDAQRNARDTEI LVTLVRSAAEMRNSFEEMKKFIAQQDGMLMEASDKQHDRTYRALGGPRPLPASTRSAR QSSAEDEDLRSKRKNVFKRALKGLSLKSSNDLSRIEDMLEQLLDEVEALRDGQDERLG RSGPRSASVDPEGYEPEGHAGTSSPDHSGYLLSTSSRPMPESRGNGLRRDSEHRVSTV PEADEEMELNERGKFLSPNPTNDQQDRAGPSSASTPPRAPMASGALSNETSPKTNEKS KKHKSSSSSFFPKISRWSKTTASSMGDNIRNSIQPGRKERPSFDASRSGSDIQGPYKA ADWYDPQGDDRLRSTYTLDDQQQENRPPSPLVPSQVSEAPKYRAHRGSLDLQHPQPRQ GPTGRYQSQLETQAQIYGMPSGAPSDQWNSNPSLSAGNPNPRHSSGASRLSPISDVGY SEASSRHTGPPRPPKIKDDGPLVPERPPKVKEDDERSILHYSLPHAAHRLPVNLLGLV R AFLA_052200 MNRFRSCDGIILPSVERVKADPPRALEPTLRQDPQSGLQDDPPS STSIGPPAANSSQSFLVTKYSPPKLLTIS AFLA_052210 MLSGPPFIYSSSYGKAPLPPVISALLAWLIICRPPSYQGLSARD AGSLARQYFRSAKKLSSAEKKKKRLRISRFSFGKLSRRNPSGEILAKMPIPVDTAQSI SKKRKRKHGGNARKEEAAAPAATEAVIESPEKEEVTVEKKKAKKEKSKKQKVEHMSSD EEQKNTEESEQEASDNEEEKSDAEETPAANGEDLPSADTIRLPQQDGDPVKFTELGLS EKTMKGIEGMGFETMTEVQRRTIPPLLAGRDVLGAAKTGSGKTLSFLIPAIEMLSALR FKPRNGTGAIIVSPTRELALQIFGQVRELLAHHSQTYGIVIGGANRRAEAEKLMKGVN LLVATPGRLLDHLQNTQGFVFKNLRTLISTINLFRIAIDEADRILEVGFEDEMRQIAK ILPSENRQTMLFSATQTTKVEDLARISLRPGPLYINVDHRKEHSTVEGLEQGYVICEA DKRFLLLFSFLKRNLRKKIIVFLSSCNSVKYYGELLNYIDLPVLDLHGKQKQQKRTNT FFEFCNAKQGTLICTDVAARGLDIPAVDWIIQFDPPDDPRDYIHRVGRTARGSNGKGR SLMFLQPSEVGFLKHLKEARVPVVEFDFPTQKIVNVQSQLEKLIGQNYYLNKSAKEGY RSYLQAYASHSLRSVFDVHKLDLVKVSKGFGFSTPPRIDIQLGSSLKDKPPQGRRNYG SQPGSKFKRKHNDD AFLA_052220 MMESYRDKPILANRMMRVICIGAGPSGLYLAYRLETSFTGYMLE VYEKNEYIGGTWFENRYPGCICTLTPLSLNGTGQLVILQPEIHGYFSGFADKHGFRDL FSCNHRVVGANWDDVSSQWKLRVEDPKKEVFERRCDFLIDGTGYLNSWRWLSISGLGS FNGRLLHTANWDGSFAVNWKAGRVDWEWFKALLSALLLAFLSAPLVTATKLIESNALN LCQDSSNFTATLFKVTFTPNNRSLVFSFDGVAAISGKVKAEIVLDAYGYTALKKEIDP CEMNIGGLCPMSAGPIDVPKAQIDVPQDVVNSIPGIAYTVPDLDASVRIYINSTDTGE SIACVEASLSNGKTVYQKGVGWSTAIISGLGLAASAITSGLGHSNTAAHVAANALSLF SFMQSQAMIGMTSVHMPPIVEAWTQNFQWSMGIIHIGFLESICTWYQRSTGGTPSTTL SELSTTSVQVLKKRTLDEATGLVKKASDIIKRGSGAESETANTSNLVVRGIKRVGFKA GIEATNIFLTGLIFFVFFVAVVMILVSLFKAGCEVLAKNGKMKTDKFQDFRNGWKIVA RGILFRLTLIGFPQMTVLCLWEFTQHDSAAEVVLAVIMFFSILAALGWAAFKVILLAK RSITMHKNPAYILYSDPTCLNKWGFLYVQYRATAYYFVIPVLGYILVKGMFIGLSQEA PVVMTIALVILEAGMLIAVSVLRPWMDKKTNIYNISIAAVNFLNVIFLLFFSEVFNQP GLVTGVMGVVFFVINAVFALILLLLVLIASIYAIVSKNPDMRYQPMRDDRGSFIKSQG QLTTELDALGAAARGDVKTGAYKSSPFDDDNGSFSSGNGASINRQNLDVHGHDQAPNT RQAPVSPVDPSLPLFPSDASGRQEPPSYNARSPSPVPRGYTASPLNNPNAYRAQNNPS PWQRGAGYDH AFLA_052230 MSVRKAHNAGRNHLRNVLEYYQRTFQLRRLPRLTQSNAHQPHTE IGQEKAQSVIDSITSSYAAEGQAVPNPAMAPPGAFPPPFPFPGTLPQPTYPKKPKRRP GQLPPPPFGFPPPGGPNGAPGMPPPPGAKGLPFPPPFPQASGAPGSLPPLPNMPAGNV PFPPPPGGFPPNFQIPAPGAPGFPPMPGAIPGQPGFSPSSTPGISGPPGQEGGYAPPP GAGSAGLPGPPPGLGEPR AFLA_052240 MTARNRTTSSSLPRKRRRPAKSCEQCRERKVRCDLQLPCRACRH ARGNLTCTYRESPRPRPSQTDARAVSRSPDIPASTFAEGDQSQQQSRGLGSISFTQLE QDDVVNTRTGASGSSLQHLEDRLCKAEQQLSELSRTRQFCAVSGDLTIPGIMPRLRNT AEKTKLFGPSHWMYTAEKIASDQIDSQNMDISIVDMKADLADMVKECRNLRKAAKSQR KLQLNDPVPDLLSTFPERHVCDELASAYIRTFEKIYRVVHIPSFWKEYYQFWEAPHAS STSFLIKLGLIFSIGTTFYPILGESERLRHLAQTWIYAAQWWLVGPSEKSTRNLDGIQ VFCLLLVSRKTNTIGSSPWLSTGSLLRMAMTMGLHRDPRVFPGLAPFQAEMRSRLWTT ILELVVHGSIDSSSMPLMISPQDFDNHVPHNINDADLWPATQEFSTPKPLKQFTESSI QLLMRQSLPIRMEAAKLINSSNLEQSYNAVLQIATDLQKACRDLATYFQLHWPQYSGE TDLHRKFLDMQIRRYILLLHRPYMLQAQHNPRFYLSRKICWESAMIIASYAESLQLPS QNLDDLSRLMVMSTGPFCGALHLDIITVLGLEVTSQLKETATGSSEPGQLTMDPLAEM SKAQREPMIRILEHINDQMLQVVALGIARFKRYIFLSVVLCQIRALESGTRDIRPLMI KAVQESVKNCYMAVQSSQSVSTPQGPIETLICTESPPGLDFNLMVSRKLHLLTERFLT LLGS AFLA_052250 MPATVNYRVVEPHPSVSRPALHTARGGAGNIISLKNTKTTPSQT ATGPPSLTRLDSHVPPVYKSGRGGAGNVHSSSERAIFSFDEELERDLRRAAPVYHVGR GGAGNMVYSDNGSTLSRKFSASSNATSSSTGSTSSTREKALRGLEKGWGKLRGMA AFLA_052260 MAEATLHNAPIVIDNGSGTIRAGFAGEEVPSCFFPSFVGRPKHP RVMAGGLEGDVFIGSRAQELRGLLKIRYPLEHGIVTDWDDMEKIWHYVYENELKTLPE EHPVLLTEPPLNPRKNRDTAAQIMFETFNVPALYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVYEGFAIPNSIRRIDVAGRDVTEQLQLLLRKNGHVLYTSAEKEVVRKIKEE VCYVSLDPKREEKEWMNSYHKSETKAVDYRLPDGHKIKIGQERYRAPEILFDPELIGL EYPGVHQIVQDAITRTDLDLRKDLYLNIVLSGGSTLCKNFPDRLMREIKRLAVEDMKI RISAPAERKYTTWIGGGILAGLSTFRKMWVSADEWHEDPEIIHRKFA AFLA_052270 MAPQDHDRPMKLCFVTVGATASFHLLLQAILDDKFLAALHEANY THLLVQYGKDSQALFEELLSKYPPGSPSRHGIEIDGFDFNHAGLDREMRLAQARPDEG RNGGLVISHAGSGSILGALRLGVPLVVVPNTTLKDNHQVELARELQKQGYVIASGHQE VSVAVERAEALRARMLAWPPVNGANQKRHRTLEQVMSDELGFLD AFLA_052280 MASAARYLGRSALSMARRGPIAIPYRSFSATTPSFVSEDPPVPP APREPRPEELPSMAEYSPDLLSKEERSMYDMLAPEEREQFDAENRRMVEEFNDPQKRA AAFAELEKSVNQIDKEYPMRFDDVREKRVGFWGDEEDDEFALVEDADEEFNDDDITSM AHAELEVHREIREYARIAAWDMPFLSHLAKPFTLPPQSHILRFRYTTYMGESHPAENK VVVELSSQDLTPKHLSEAQRQTFLKLVGPRYNPDTDIVRMSCEKFTTRAQNKRYLADT VNSLIKEAKEGDAFADIPLDLRHHKPKTKLQFPDSWKLTPERKKQIEARRAERLRLEK ERAGIIDGKAVIADAARVLPALNPALRAKATEERERVAVRVTGKAQKKRLR AFLA_052290 MSSPLRPQFFCARPNGTITPLVAVDELPSHISIRGVPRTLSANE TQGMTSLGTVSPRAQTYVIDGLVSASTRASSGPRSRDFDLQASLMRLVSDENVPANQR LAVNALLQQGISQNWFMSNASTTSWLVPSSSGGTGNGSSRQGAHHNSKKEFCSYWIRH GECDYQQQGCLYKHEMPNDLPTLEKLGLRDIPRWYREKYGIPSLLPNGHGHPRSHASH GQHWKDDTVERGAMKSIQYPSRLEINGAIDSSDIEKASKQKGTHYLSSQQHATGATGP SRHAYQAVSSPKISTNPKHTHKHISGAVNSVPRRMDLLSFDSLPEYPTLDHMGGGMSG LPYPSPTGHAAIENVDRVQHEEFVRNLHSLMPAPIATSADYLSTSFEGAPTQPRSKKS QKSRRLYQPRSQMAMHDISMEKGEIDSFGTYHSHETASPSAVSVMSKETPISLLASPI PDPSHLGAASSEPPTRGASPSTHSGASFSSGSSPRAHRNQFKEKDSKTMQAPIGTKLV NRSTGSPVNYEF AFLA_052300 MDEEIASQLNEDLVADLLSQFQRESHAHIPEGSPEPTKSQLAVE TPTISNSEEYDFLPGHFDVRCVISEAIETAKEPSYLVKLRSGETETMSSSRLESLSNG PEALTRFQNTEQVYGSSQFPSSMASSSNEEGYTSSESGARRRSTRARNTQFTDFFRRM SSEDEESRSGSPSSDDVIISSTATTRKRRLRRRKQAKIHSGGSDMESGYSPRPTGSRF STRQRKTLRKSLRERYEEDISEFEDATKTQKFFGAKESFRKIPSDDPFRSLHNEMCAV CYRSGDDYAKGPLVFCQGCTTAYHQACLGPRATREHLVTKVSDDLFVLQCRRCLGVAH TKDQVCPHQGRCTACSKKGNMSKPLRERLTPKQEQQLRQENGGKDPITHVDMACLNNT ANLLFRCTECHRAFHFDHVTTDHTTTTRCGDCSSAPGEIGAIVAWRPTDLKTYVAGYS ANIMEEVDKEYLIKWKTMSYNHTTWMPGSWVWSVVSPAMRRAFFRSNRNLNPDMTTEE AIPEDFLRADIIFDVQYADDENDDSSEDRSIETDISRVDKVSKAYIKFKGLTYEDAVW DSPPDRNNAERWADFKTAYEDWVKRDYTHMPNQHSLRKHLEQVRKQNFKKSIVKDTQP ETMTGGQLMDYQKDGLNWLYYMWFKQQNAILADEMGLGKTIQVIGLLATLIQYHKCWP FLVVVPNSTCPNWRKEIKAWVPSIRVVTYYGSSFSRQLAQKYEMFNEGSHDLRCHVVV TSYETMADDASRRVLAKIPWAGLVVDEGQRLKNDKSQLYESLSRIHFPFKLLLTGTPL QNNTRELFNLLQFCDPTKNAEELEEEYGILSKENIPELHNMIRPFFLRRTKAQVLTFL PPVVQIIVPVSMSVVQKKLYKSILAKNPQLIKAIFQRKNDNQGPKQAERQNLNNILMQ LRKCLCHPFIYSTAIEERTNNATASHKHLVEAAGKLQLLQLMLPKLRERGHRVLIFSQ FLDNLDIVEDFLDGLGLLHCRLDGRMSSLEKQKMIDQYNAQDSPYFAFLLSTRSGGVG INLATADTVIIMDPDFNPHQDMQALSRAHRIGQKNKVLVFQLMTRGSAEEKIMQIGKK KMVLDHVLIDRMVSEEDDGQDLESILRHGAQALFEDDDSGDIRYDSASVDKLLDRSQA EQASSSNEDAPESQFSFARVWANDNQNLSELQDTEDPTSENSAIWEKILKEREEAAAE EARKAENFGRGKRKRVTVDYSNTATTELSPTKTPRKRDAESDTEFKGDEIVESESDTS PELDFEGIERPAKRTKVRAFERVNPISDHDLSMSAAGMDGPTETRPSCFACNQNHPVG SCRLKLAGVEHCGLCGLAHFGISRTCPHLQSDAHVARMLNALKESTEDPELVLQAKKY LTGIRGDLAQRKRKQASKATGSLTATHGTPDGATPTAVNTTATKPSVVNLTGNARFAK PATQSGTDPRFLQSYNQRF AFLA_052310 MSHRSLMKPLHLYMRLSIAPSIHLNHVRRASLNSAIGRGIRKTR GFDSPSSSRSSYDSDFERPYKESRDTRSNITEKKSQGRKWLDDDFDEDEFIRTGNFRA LPREHQRFQSSKRFTNNEARGYNGREDSNRPQDKRHSRRAEPTKHHRATERVPERVKE NVQVPDAIPYTTPASEFIYGTSAVEAALRCSRRRMYKLYVYQASGEELSSAKVALRKL ALSKNVKVKMAFGGWDRLLDKMSAGRPHNGCVLEASPLPRLPVRGLKSVPSIKEEDFG VELGAQSREEALVNSTNDKLKIHHWDQRTRYPVVLLLDGIVDPGNLGAIIRSAYYLGV DAIIFAGRNSAPLSPVTIKASAGAAENMTLLEVSNEVDFIQRSKANGWKFYAADAPGP ASKYVDTASIVEGKGTTEGEHVSTQSPCVIMMGSEGSGLSRHIKSHADSIVSIPGARL ATPLGVESDPSRVDSLNVSVAAALLMEMFLRVPLAVADVPPKKTR AFLA_052320 MASKIFLIENPNYCKSGIKSYVHLIRKYRLHPTKNGPYSIGRAI HQTGRPFTDKPIGGRVRFHDVMRKQTSDDQLQQVEKDDIQNDAAFFMPVSIGTPAQTF NLVLDTASVDFWVRSSELPADTLPKGEEESHTFDPEKSSTFKNIEDSPWKVTYVDGSS VSGSIGTEDITIGGVAFKTQPVQLAKTMSPMFTQFSADGVLGLAFGHINHPQQDIKAL AERLNTRDDREQSAKLFTAKLGSWGDSDLKKSFCTFGSIDEDAVRYCGHDVHHTPIDN SRGYWMFESPSATVGGKPMSRSENKAVVDTDAALTLLDDQTCQAIYDSIPGAFYDSET QGFLIPSDINVDQLPVVQLAVGEKSFAMSKESLMFAEAKPGYIYGGVQSRGSLEFDVL GGTFLDGLYAIFDFGSLRFGAVQAKTKV AFLA_052330 MRGVWFFFRYKSVWRWPIDAIVHDVASRFLSYLSLILPNVEFPS ASVLTVEYPQAAMDHMTGNRDQITFKSAMMTLALLDSPYNM AFLA_052340 MDEAKAKKKGSSRSGGAGGDRRRRTEQEEDAELLKDEKSGGETG TVFRESPPFVHGEMRDYQIAGLNWLVSLHENGISGILADEMGLGKTLQTISFLGYLRH VCDITGPHLVAVPKSTLDNWKREFHKWTPDVNVLVLQGDKEERHKLINERLLDEDFDV CITSYEMVLREKSHLKKFAWEYIIIDEAHRIKNEESSLAQIIRVFHSRNRLLITGTPL QNNLHELWALLNFLLPDVFGDSEAFDQWFSNQESDQDTVVQQLHRVLRPFLLRRVKSD VEKSLLPKKEVNLYVPMSEMQVKWYQKILEKDIDAVNGAAGKRESKTRLLNIVMQLRK CCNHPYLFEGAEPGPPYTTDEHLVYNAGKMSILDKLLARMQKQGSRVLIFSQMSRVLD ILEDYCVFREYNYCRIDGTTAHEDRIAAIDEYNKPGSDKFVFLLTTRAGGLGINLTTA DIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVFRFVTENAIEEKVLERAAQKLRLDQ LVIQQGRAQQQTKNAASKEELLGMIQHGAANVFSNDNSTAPFNADKQISDDDIDAILR KGEERTAELSKKYEKLGIDDLQKFSSESAYEWNGKDFTDRKKDIGLNWINPAKRERKE QFYSIDKYYRQALATGGRTADPKPKVPRAPKQIAVHDWQFFPPGLQELQEKETAYFHK EIGYKVPLPDGPEEELSEREAERDLEQQEIDNAVPLTEEEQAQKAEMSEEGFSTWNRR DFQQFVNGSAKFGRTDYVGIATEVDSKEPDEVEEYAKVFWKRYTEIQDYPKYLRVIEQ GEEKLRKMNHQRKMLRKKMEMYRVPLQQLKINYTVSTTNKKVYTEEEDRFLLVMLDKY GVDGEGLYEKIRDEIRESPLFRFDWFFLSRTPVEIGRRCTTLLNTVAKEFEVADEKAN GESGKGRGRDREDEDAENDDDGAPAKKKNKGGAVVSLVLLNSRGYSTDVYGLIEQAGQ GC AFLA_052350 MDSMRSLNTSLPSSTPRPQPPEQLLQSFKAAALSVTNLYKNAVY EQSQAKQAGYQEAIEDLLHFLDKEGLGLAGGEGSKIRQWAAERSDGTGTPSDDDEPEK QPRSTSPVATRKEQTKPEGARQPPKSTSTDESVATQQQTPLSNSQVTEANTLDKPAVF TFTAGPSFPPCQEPDVDMQSSDSSTASSQDSSPVSVSVLPRTSRQQARHNNFSRTNPR ASTREPSVGAGSKRKFAVPDFFDISGLGNRDIFGGGKRGRFT AFLA_052360 MSSTSENNGRAWDVESKEAKRLLAEDQYDDCTACRVTGSAAFIG LGVYSYYTGMSNLQKQERTVMQSATKYKMGSRRLGIAAISATLVGMGIYRAFN AFLA_052370 MSAGPMSLLLPTDKGTKQIGMMSGEIDALYIYDEHNNPLVEQVY RSRPPSAATILPLYLAHPAPRPSLLYIPNATPPVTVFSIVQSNLLFLALSEVDTEPLV ALEFLHRVVDVLEEFVGAPLISHKIQANYEVVAQLLNEMCDAGVVCNTELNSLQEAVE MPGWMGKLLGNVGLSGSSTPILGPPNTLKRSISANAATQGPAIPWRRSGVRHTSNELY VDIIESLSVTMAPSGRLLSALVSGTIAFTAKISGVPELLLSLTAPGGQQAIGRKLELP VFHPCVRLAKWRERPGELSFVPPDGRFILAGYEVDLLPIDPSLDQPPSHMEKLFLPAI VDIRKSLGSSGSDFEVRLILNTNFPGYSSSNRPGGRNGSGTSTPSFLGGGGNSSGPVL EDVLVTIPIPKSVRNITDMQASRGDALFSPGNNVLEWRVPTKDAGTVSGTATLRCTVA GHSTGDDDFDDEAEEVDPEANLLQGYYDPEPSTSYQAPEEGTTKRKTKKKKKKKVKKS SRAAPAIPDADQQEEIPQEPSQPQSPTPPQPSLSPQPQAQQPPTDDASRSIFHTTQRK TKAQLNATLMPNSAAVSFSVRGWLPSGIKVDSLNIDPRRSRGLGETVKPYKGVKYLCV SRKGVERRC AFLA_052380 MVASNIPPLEFTPVEDIPGRVSTLRKTFLEHKTRDLEFRLVQLR KLYWAVKDHEQEIADASALDLGKPRFETEVAESGWLQNDLVFVTRNLHKWAKDEKAED IDLTFKFMNPKIRKDPLGCVLVIGAFNYPFQLTLGPVIGAIAAGNTVVIKPSEIAPNS AVVIQRIIEAALDPSCYTVIQGGIPETQALLAERWDKIFFTGGATVGRIIAKAAAPHL TPVVLELGGINPAIITKSANPRLVARRLLWGKLMNSGQICTSQNYLLVDKDLVPAVIE EFKKAYNEFYPQGAKASPDYSRIINEGAFRRIKSMLDNTKGKILMGGTMDEKERFIEP TLVLVDSVDDSMLTQESFGPLIPILPVDNLEQAVDIANGIQATPLGLYPFGSKADTEK SRIRSSDAFQVLSSTRSGGVSVNDAALHIPTLPFGGVGESGHGAYRGKASFDVFVHRR PITSTPSWLESILSIRYPPYAGKLSKFKAANTLAPDFDRNGNKIRFGWLRYILTLGGG SAKAGAGRAVVVAAVAYLVLQVLERRASKL AFLA_052390 MLATYRKGFWGLVFLTRGLRKWDPRTRDPYPPKERLRKKVFDYA MLSLLPVSSHGNIYEVSTAVSLDQTCHLELAGESSGMALASR AFLA_052400 MGYLEDEDKKYLDDVQAVKAWWTDSRWRHTKRPFSAEQIVAKRG NLKIEYPSNVQSKKLWKILESNFENKVASFTYGCLEPTMVTQMAKYLDTVYVSGWQSS STASSTDEPSPDLADYPMNTVPNKVNQLWMAQLFHDRKQREERITTPKEKRGNVANID YLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVPIS EHINRLVAIRAQADIMGTDLLAIARTDAEAATLITSTIDHRDHAFIVGSTNPNIQPLV DLMVAAEQAGKQGDELQAIEDQWVAQAGLKLFNDAVIEAINKGAHSNKQSLIDQYLKA AKGKSNLEARAIAKNITGQDIYFNWDAPRTREGYYRLQGGTQCAINRGLAYAPFADLI WMESKLPDYAQAKEFAEGIHAVWPEQKLAYNLSPSFNWKKAMPRDEQETYIKRLGALG YCWQFITLAGLHTTALISDQFAKAYSKQGMRAYGELVQEPEMEQGVDVVTHQKWSGAN YVDNLLKMVTGGVSSTAAMGKGVTEDQFKH AFLA_052410 MEELLAKHRKEQKDLQARITQKKKSATKKTRRGINEECERLQRE LSERHQAEIAELNGEPAPPVDDLDDLSLNGTEEDKTQKDHADSSQNPPSIDNSPDTSS ATSESSASSPRPKKPNRQKARLARRAAEQAAQSAIAAEEAAKQTDHRGDEQEVMDGVF KRLGLKQVEIKPDGHCLYSAIAYQLEMLGLGLKPDPKRIILENPTQSRIDTVASPQHD GYRAVRAVTADFINEHHDDFVPFMEEPVELYTRKIKLTAEWGGQLELLAIARAYGVEI NVIQGDGRIEKIEGDTQEYDDEQKSKRVIWLAYYRHTYGLGEHYNALMK AFLA_052420 MCFTNILVVIVRLYWFEKRLRETAPTAFRPSSRSVTSKEYDYDQ EAQPRKLNTIRVRDPEQTAGERINAENEHLADSSKSQTVPEDSLTPEISEYAENDDAK SEIRRRLRDTSNPLERVASSLFVLGSQPSRTQGSQLREAVSLSKSSNLPSISAEATLG RNSKFYNLTAEDRERLGGIEYRALKMLLKTVLGYFFGLHIIGAICLVGWIQYAGPKYR EYLKECGQNHIWWAFYAAQTMANNLGFTLTPDSMVSFQDATFPMLIMTFLAYAGNNLY PVFLRLIIWTTYRCTPKNSSLREPLDYLLKYPRRCYTLLFRSKPTWVLFGIIFVLNFV DVLLIVVLDLHNPAVNTLPGGPRVLAAIFQAASARHTGTSSFNLADVNPAVQFSLLVM MYISVFPIAISMRASNTYEERSLGLFSSDGEVVDESNTTNYVLSHVRNQLSFDLWYIF LGIFCICVAESNRIMNPSEPGLTVFAIFFEAISAYANVGLSLGYPGVSTSLSGQLSTF SKVVVCLLMIRGKNRGLPYQLDRAIRLPNERLVDDQVDSESVNLYTDGKLDPLDIRDL KVKRHHTK AFLA_052430 MSSSNGTHRRQESIDPFSHPDVYYGDEEANARIKDRRRAFSTVS REPRRRPTMSTNHPWCVRQNLNQFNRQDIQNFLGGIPARRGSHDEISAQPRKFLIDVE QTLESLLEREDTDRNMQITIEDVGPKVLSLGTAASSGYNKFDVRGTYMLSNLLQELTI AKDYGRKVIVLDEARLSENPVARLSRLIKNSFWNALTRRIDGSNIEVAGRDPKDWTDD PRPRIYIPPGAPEQVEYYRGIAEAHPELRLDVQVLDSEITPEFVKDLNSKPGLLALAM EKKYDETTQKTEYSGVPFVVPGGRFNELYGWDSYMESLGLLVSNRVDLAKSMVINFCF CIKHYGKIMNANRSYYLLRSQPPFLTDMALRVYERIKGEPDALEFLRTSILAAIKEYY SVWTAEPRFDPVSGLSRYRPEGLGVPPETEPTHFLHLLTPYAEKHGMKFDDFVQAYNN GIVKEPELDEYFLHDRAVRESGHDTSYRLERVCANIATVDLNSLLYKYEVDIARIIRT YFKDRLEIPPEFRTEQSKDIASESSSVWDRRARRRKMRMDAYLWDEEKGMYFDYDTAK QERTSYESATTFWTMWAGLATPHQASELVSKALPKLEAYGGLLSGTEESRGIISLDRP NRQWDFPYGWAPQQMLAWTGLLRYGYQEEAERLAYKWLYMITKAFVDFNGVVVEKYDV TRPIDPHRVDAEYGNQGVDFKGAPREGFGWVNASFVYGLEILNAHQRRALGAITPYET YIKAVAIQNDSD AFLA_052440 MSPRFRLVFFVPPSAVSACKTAIFSAGAGRYPGQGNYTECCWTT AGTSQFRPGDAANPHIGKVGELETTEEIRVEALCAGEDIARKAVEALKKAHPYEQPSY GVYRMEDF AFLA_052450 MEKEGTSAGMPPDYTRREHETHAMDPPQRSTTDTDSDLHSISHE DHPPQAFEGKDEECRPSYASDTSRDAAVVVPRPNRRGLFGQFTLLAEVENPKTYSRKK KWFVTFIVAWAGATAPMGSAILFPALSQVTKELNSTTTVANLNISLYMLSMSIFPLWW SSFSEKLGRRTIYLVSFCLFVIFNVLCAISKSMAMLIVMRMLSGGASASVQAVGAGTI ADLWESQERGRAMGIFYLGPLCGPLVAPIVGGALAQRWKWRSTLWFLAAYGGITVAFI FFALPETLISAKSPSPNTSNEQQEPIGRRLSRVSSRQVVGLTTRWLKVLKMALVDPLK IVLYLRYPPVLLTVYYASITFGSLYVLNVSVEHTFGSDPYNFTTILVGLLYIPNSLGY VVASTFGGRWMDNIMQREARKAQRYDENGNLIYHPEDRMRENAWLGAFLYPAALIWYG WTADRGVFWLVPMIANFFFGIGSMLIFSMATTMLTEFMPKKASSGVALNNFMRNIFSC VGSLVTAPIIDAIGNGWLFTILGIVAFLSSSVLFAMKVFGPRWRKSMDALRH AFLA_052460 MGKPIQYAQLPKFEYQTFDRQYQTFDRHTLQFSFYPVASTSTDD LTPDNDQGNNPAEAGNAPEEGSSSSSTDTASSSEAAESSEATTPSPDPVESSGEPAAE PVEKKIEPAAEADDVTQTPKDESASDDKKDELPAEGSAQNAEAEQDATDASTPEQDDQ EPKNDTGVSEDGSAAELDKPAPENGEAAEQVCEDAKAEESATKEKDNLDDGSKETEIV EALPEEETSSPPTDAEATPQPADEPANPDETGNSSSDAPATDSNTEDHPSDPAPGTEA STEKAPTDEPTATETQEESTASANPDIDAEPNPADSTDKVESESAETPVDEAAADGEG KESPPAEHAEAVPAIEEPAPVDTNEGAASGKGKKGKKNKKKKKKGATAVEELPPTPPP EEPEQVQDPAVAEAEVESEVKETETAAALSTDEINDNPAEDTAEPGSPPNEDQADAGA DAASDDKNPEPTAAEPTSEEPVPEEPSVDKPSEESATLEDAEHVTDLSEEPPVELSPE EGAPETEGSPEAVSEEPPKEEPTEEIVPEGAEDSASAGEVTAEVSTTDEKSSEKEKPI GEPANTEAAEQTPADEGVDEAAEPAAEETPAEVLANNEEAGSDEHPTDAEASGETQVE TPATTPEPQEDLVEEERAEEEGSEETSPVIDAPVEKSNDQEALLEEADASKEISAADA PEEMVSEEPTTAEEAQADDPSPTTESVAEEPAPAAEPPVAEEAPVTEEPAAAEEILVE EAPAAEELPVTDEAPAAEEAPTTEPAVTEEAPIEEAPAEKTPLAEEAPAAAEEAPEPP TTQEAPAAESAVTEEVHVEEAPVEESPVAEEPLVTKEVPSEEAPVVEESPVVEDEPVE ETPIPEAAPVIEEAPVEEPAAVEEAPAPEAAPIAKEAPAVEEPVPEEAPVTEEPVSAE EVAVEEAPAPSVSRGVTVDEALDVAEAPVEETPVEEAPAEEAPAAVEPPVADDVPPAG APVQPAPEDTPSEEPEQVEEPAADPHVIESAPADPIIIERAPASDDTPTEDTVTDEPA VGEVPLEEPDLEDSAAERELSEEATDPTSEYPDTVDSLKDDPSTTTEAAAGIAAGAAA AATAAGLAHGHRKKKRRTADMDRERHSKRSSDDYPPRLERQKSTKHGGIFTNRWAEAL DEAKRQHEKKIRSEERRKDKTVIVEREPGRSSGREKEHERVRRSERTERSAREKEPVP VKTRPKHSEHSSSSDRERSGSSSSRHAQSSTPKPRAFLKYMTSGESDTKPLLKINGDK AAANIYEPHRRSSTSRSHGHRHSHEGRGSTERSSGSHQTDEEDRARREARRARRKADE EEQAKQKEEPEQRHHRHSGEGHHRHHRHKRAPSPPRSGSSKLKGFFKSAIAAY AFLA_052470 MPLNSKAVYSRLNPDFVPFTSRRSTVHSTNGIVTCTQPLAAAAG QRILQQGGNAADAAVAVAAALNITEPSSTGIGGDMFCLFYDVKTKRVHSLNGSGRYPA NATLEKIRKDLNVGPNDAGTIPMKSVHAVTTPGAAAGWVDTIEKFGSGKLSLEQILLP AIELGENGFPVSELSSFFASPNAHEMLKPDQKAKDGVRSPLPGEILKNPTLAQTFRSL AANGKKGFYEGRVAEELVKVVQDLGGYLTLEDLKSHAEIGTQETEAISLKFTGQSIAE KQTAGTDGEDNQGVEIWEHPPNGQGIVALMALGILEELEKMGKIPKFTEAQHNSAEYL HAVIESLRIAFADASWWVTDPDVEKVPTSELISPAYLAERAKLFNPDKATDILDHGSP AHNHCDTVYFAVTDKEGNGISFINSNYAGFGSGIIPKGCGFTLQNRGANFSLVPGHPN ALAPRKRPYHTIIPAMITNVSDGSLHSVYGVMGGFMQPQGHVQVLLNMLAFNYHPQAA LDSPRICIAAGSPELGKPVDRSVYVEEGISDEAVEGLKRLGHQVKVLKGWERGMFGRG QIIRCHYDDGQLVYSAGSDQRGDGMAIPVL AFLA_052480 MVVFVDLDHDTFSKNHFSHGPDALPHHFAEPEKLTLSKLMVVGA PQSAKLATDDDTSIDPTHDASQFRLEEQNQNQNAFSVALGCYPIVKEIARSVDLNTLH ALSRTCRQFHANLALYRHQLVKQTLRCENEYIETLSDLLRSGTPIPDSVKSVIRLLSQ EARSSGRLTAGKVAKCARDMVGECRRCSKVVCRNCTAKPPTSNMLKNRIRRLCTACQT APLADLLALSPPSLSAYDTQPLSSEQPSFTTEAFQRSPCSCADSVWLCHQCGMFVRNS DTTYRRVWTWRTRYSTYLGGLGTGIGEGCQGVKCGRGETCLAAQEIELEVECEADESS GSLHGTGYGNEEAHNHPNDRRDSREEEEPGYFRQEIIGIGGVVKHKAKKRVMVGACVV EHEDERETGQYLAREEAGQHRSWCGWCWRVIPSKSDLQK AFLA_052490 MARGSAPGTETISTPHSPIHLSTVLNSPPNSSRTMPSTASTSRT QNNKASSRKTTNTMRRTNTQTEIPLPITYTPTTHRISKAKKGKRVHACEYPGCNKIFT RAEHRRRHELNHNPEAVFRCTHPGCKKAFHRPDLLSRHVERHEIETQMNNAQWSRQSH ISMVSESHYLPKAAPVDPNAGHYLAATQPTTSMSIGSLVAPPIHPDLANDTGYMWMGM NMPSEHQNPLYPPHHIHESIEDSQFYSSPEACPSPGSDGATLSIPSHPRSSVASTPTA VADQYPEPIIDSDLTSSPMSMHATLRCWDQSEGPLATPSYAPVPLSDPFHCQYPSPTW PAAHHFNYDEPALPSGTQFPPPVSWKSFTI AFLA_052500 MTPLDLGTLGNNDSPGGIADKLTGKSDIQVTSCGVIGRQTPKHG EEALEPTTRSTRAFKLWEGQGEPPTMHLRQAC AFLA_052510 MKSFTMKSALAASALLIAAYLPAVNAQTQVDKGCYSDSTPLKDQ GSYTYQSNGYCQKLCLKDNYAVFALAKGTNCLCGNQLPATSAKTDDSNCNVKCAGWPD VMCGGNNAFSVYLTGIEDDVDSYSSSSSSSSSSSSSTESGTSTTTNGGTVVTTSGGQT VFKTSESEMTTQEADAKKEKNSGSNTAAIAAGVVVGVVGFCALVGAIFFLWRFRKRSN MPEQYRNNNIDSFGAKPMSQSSMSDSRFDGDFMAQRRQSNGSIDDDQDFSRRILQVTN PDRRY AFLA_052520 MAGWLFCTNVRRQGRALLIFFSGFFDPDVRPRANYKGTGCMYLR AHQDGDGTEE AFLA_052530 MSRRSSVMPSNASTNSGLPPAGDGGQEKQKMLLSAETGHFSMVR ALHLADLVTELNGFCGVMSVLSSMRYCLGDPHDYGAIWSALGFMPFGLFFDFMDGKIA RWRKKSSLMGQELDSLADLISFGMAPAAAAFALGVRTNVDHLLLAFFVLCGLTRLARF NVTVAVLPKDKTGKSKYFEGTPIPTTLSIASLMAYWVSQGWVQEDLPLGVIAQGTAFE FHPVALLFVLHGCLMVSKSIHIPKP AFLA_052540 MGPPAQVLEMGSFMHACEGEMVCESINPKIPYFNAPIYLENKTP IGKVDEVLGPINQVYFTIKPQEGIVATSFKPGDKVYIGGDKLLPLEKFLPKPKPPPGR TPSTAQHMLRILLTTVQVLLSPREPEALPGVVLAVAEEALVVEQEVDAADLALLEEEA ASVVELEEEVEASVVEAVASPGEAAEEDLVEVSDVRQSPSCLALSYYGVMGKRELLQE LFVLIFLCILGGLRIRKGCRLIQPLNECYYTNEVPAK AFLA_052550 MASEDSKDLPVRSAPGAQGAGSLPDFIVERNNFFEELWQQYLEE TKNKPHPEITVTLQPGNGNKEQVSAKAWETTPAQLLKNVPKELSATIVLAKVDNELWD LSRPLEGDCTVSYVRFEDPEGREVFWHSSAHCLGEACECEYGCLLSHGPPTPQGFFYD MAMPDNRVVRETDWPALDKHANRVFKEKQSFDRLEVTKENLKKMFAYSKYKLHYIDKL VTGEKSTVYRCGTLVDLCRGPHIQNTGKIKTFKIMQNSSAYFLGDQSNDSLQRIRGVA FPDKKQMQEHLKFLEEAEKRNHVKIGKEQELFFFDEVSPGCPFLLPNGTKIFNALQTL LRSEYRKRGYQEVQTPNMYDVGIWKTSGHWAHYKDDMFKLDVEKREWALKPMNCPGHF VLFGHRERSYRELPLRLADFGVLHRNEASGALSGLTRVRKFQQDDTHIFCTQDQITSE IEGLFDFLQSIYGLFGFTFKLKLSTRPEKYLGELETWNYAEEQLKAAMTKFKGDDWTI DEGDGAFYGPKIDITIADALKREFQCATIQLDYQAPINFKLEYMSNEKADKSQAAAES AEGENKSSEPGPGRARPVVIHRAIIGSFERFLGILTEHFGGKWPFWISPRQILIVPVM PAVNDYVEELQTILRGDKLNVDIDISGNTMQKKIRTGQLAQYNFIFVVGAQEKEARTV NIRNRDDPATQKQGVMIPLEEAREKLRALRKERRLVNSL AFLA_052560 MTNLVERLATYDPAEPQYIGALTEDIMQMYHGSHMAYGGAGIFL SIPLVRQLNAVFRNCYDFKGAGDRMIARCIYSHTTTKLKWEPGLHQLDLRGDASGFYE SGRPLPLSLHHWKSWFHADMVALSKVAAICGEACLLRRWELSDDWYLINGFSVVKYSL PLQDPNSMEQTWDKSKYKGPDPFEYSLGPLRSKDEDKVSFRLKEAIAETDRVRQIYVR KQEKPQVIEVVWNLRH AFLA_052570 MADLRGRKIFKVFNQDFIVDERYNVTKELGQGAYGIVCAATNIQ TGEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPNEETLSRIGSPRAQEYVRNLPFMPKIPF QRLFPSANPDALDLLDRMLAFDPSSRISVEEALEHPYLHIWHDASDEPTCPTTFDFHF EVVDDVQEMRRMIYEEVVRFRAAVRQQSQAQAAAAAQQQQIAQQTNVPIPENQQGVWK QEEPKPQEALAAGGGVHNDLESSLQRGMDVQ AFLA_052580 MAKVDAAADSPSAASPNHSLPQGPVEARSLSSITAVASNPPAYP RNPTQKKLDPLVLYIVRVPGSKDVFLSPLKPPTKASVSAEAINASLYYLHVSTPEDDV LLQECEQEREEEAKLRRELGEEADVPPEFAKMNHVRRKPVPGGGGGAKVDADARPPLP AHRSNVSLPENVLPVPNSADLPLSLMPARPGLMGSRSSIDLPQSATQMTTESRDGFLG HTVPGDEVEARPPLSARPLPPVPKDELAFELIEDNSAPKKANRWSALSGYMPGRNAEN WKEKYEVLTSGRHSLDSRRPQARPQSAHANPSYNRMGSPARSPGQSPSRRPYDSKPPE RPGFHITLIRRDPTHGSQWNVATISTPKMDGGAIDIEVSTPGYNRFAAQSEPLSLASL GINLPSEMGNRISLSSFRPTQAELAESTPTQPSHPRKFHRKLCVSRPYQEDGRGSLDL GGNRPSLDNGTSSPSKHSGSKLKSGYYTFTSPWNGTCTFSTSVNGRSLKCKHMIPMPS TGPNGASIDNPAVTVAEIRFNTPFQAGHLHHQPGPSHISPFTLSQTPAFKDLTSNPNN HGHGHNLDPSPSSPSDRTSKRASLAQFLNPNNYNRPRARSGASAHSTSSTSNFGVPTR KPSTSSTSSGVADLDDGPRRPLRRPPSEDRLDLSLARENAGGGMRGKSAKLGKLIIED EGIKMLDLLVASCMAVWWRGYYY AFLA_052590 MSSQRYQRVNAHDEDEEPQSQSSIPLRGTPNSPPPSFRSRSTSP SSRRLLHDDPLNNDADQTLADAFDDESDSEADEPDDRQRLMRAQPESRPVADGSSATA SSSSGMGNEQQQSSDPRSGIQRRQTILPSFSTGSRVISSTNDGVFANLAAKPERGEKT EDLPPSYEEAAADATPPYWETTILAPGISSDEVYVDGLPVGSVFSFVWNAMISMSFQL VGFLLTYLLHTTHAAKNGSRAGLGLTLVQYGFYMKGGSDTKPDDGTDQYVTPPDPNSH NFDPSSVADGSGSDGGGGGVSGISTSEWISYVLMIVGWFILIRAISDFLRARRHEQLV LQSPDRGLPVPIIATNERTETVV AFLA_052600 MSKPSAQTITRINHLLKHWPTDHVRPSAVSVQTYLQSYLPQTSE NASKQPQQQQQSSQSPPPPLSEASLNALSSLLEDRYARRYPLPPKLRRPTGNPDYYDN VLKEFSEAPTRDFWGRVSARLKGLFRFS AFLA_052610 MPSQVATCLRLARQFSANPSKHQRFLARAFSSSVRRSEINKVVS SAELAIKDMKSNSTVLAGGFGLSGVPDTLINAVRANPSITGLTVVSNNAGVDGAGLGL LLESKQISKMIASYVGENKTFERMYLTGEIELELTPQGTLAERCRSGGHGIPAFYTPA AFGTVVQTGELPLRHNADGTVALRSKPRDVKVFDGKSYVMEESIKGDYALVKAYKADK LGNCQFRYAAQNFNGAMGRNAKMTIVEAEHIVEPGEIEPAAIHLPGIYVKRVIQSTTP KNIEKYTFAKEEGEDTAALGKGDTAAKRERIVRRAAKEFKNGMYANLGIGMPMLAPNF VDPSVEVQLQSENGILGLGPYPKKGQEDPDLINAGKETVTLAPGAACFGSDESFGMIR SGRIDLTILGAMQVSARGDLANWMLPGKIKGFGGAMDLVSNPSATKVVVTMEHTDKKG NPKIVKQCEFPLTGKTCVSRIITELCVFDVDFTDGLTLVELADGVTVDEVRSKTEAPF KVADDVKPML AFLA_052620 MTSFQRSVLTWTTRRPGKTAPRLVQDIYEYDPAHPPATGRNLLA DVPPVFPEYYNGPLEHISSAACRHNFVSKPAQSYVPQSEQGNTGASTKVSAVCLMCRY HLQVEVSSTPGIGQPSTRFSDHVHHLVYKSGKHRGGAAPEEVSPKGQMLEMFHYECSY LSCSVAVSLRFASPVLNDDRVRLLTDPEVLRQRANEAFAAHPDRLEGVAPPTPINVLL NLRTYISNALLDSQQSKSISAVNKRFMTCFGVEGKPCKDLLEFLGFSSKNEGFWEPPR PNPWAEFPYRDQLKIFLDDVIHELTALIEQRPVVEKKGHQLEFIRQPVFNDLLYALGS LDYPKASRSSEFQMAPQPYYEDLGVVEDMAASSIIEAFNRQVSVDPARTPVYLKCLKS IGILRGGEDGSLIDQAVQVAYSEGKYTEEDVVDAYKYFGLSHDDQRLTEESIIGKFYA YLGATTTVQETETRRQLWRIGDSRRSERIKAAAEERVSTAEQARVFLGVSADTPDDFV MAVYTAKVNDNPSSRDLARRAVQLLAEERKSEALKHFLKTGEMTAGEMDVADAYRLLQ IPDRTVDEGAIMAAYTICVDEAPAQAENYNQALRIIAKDKNSPLLSNMVSGSTTKPDR NLAEWPVGLQNIGNTCYLNSLLQFYFSIRPYRDMVLDFEKFKMEINEDMLGQKQVGSR KVSQKEVERSQRFLSELRTLFNDMITAPATYVIPTQELARLTLISPSNEAAIRRRSTL SGIRPSALGEINGVPVMGPLGPPQLTPESEVKGPIAPEPEKPQRSTTSDVDSEATLVS DGARIDATVPSSNDKEPEPLVTDPTKMDVQDVLTPEAVEYQPSDKPTSGEQNELSSQP PPVPPRPGPQPDPQKQLIEEVEIGAQQDVTEVINNVLFQSQCAIKPISLAPDGEQLDQ VKDLFYGKAKSYIQTTEGVRSKEEWWCDIKIDVATGSRDIYAAIDGAFDVQKVSVGNS VAEQFSAISKLPPVLQVQVQRVQFDPVKKRSFKSTHHLELKETIYLDRYMDTQQPEIL NRRRQCWEWKNALRTLEARRAELQRQSEADGLDIPSLFDNAKDVLEELNSMKEDPEIA ADAVPINSELIPELNQLSQIARAELNYIEQEIKDLQTMISSQFADYKHLAYRLYAVFV HHGSVEFGHYYIYICDFERDIWRKYNDNYVTEVHDLDEIFTSQDRQNPPTPYFLVYVN ATMKDRLVSPLCREILESPPEDQLSGDGGIATEGVTPPTVAEDVDMNPPAYDEIWADK GTSGTEDNHHIEDKTGPTGVGGNEVTGRGPSNKDTDFHDVQW AFLA_052630 MAETATSQNPSEPSERLAHYKQKPIPDSTATMAGHTEDHSYHPK DAIQAAMKTTMLTGGVGLFASAVQNTLTRKNVGPFGVFVRSGGTIGVFAAMGGTYEFV KTASANLREKEDHWNVALGGFFSGTILGLRARTFPALLGYGAALATFMGAFEYTGGSL WGYKKNADIDEFERREQLRKSYRTSGEQTLAELGEGRGLYGPGYAERRAQRIKEAYGI EVPTSQAPAS AFLA_052640 MATVVRSQTPLQDTYGAISQPANSIFPGRGYGSRSASRPNSFIA SNSGYNLATGAVAEPPVTQNGKFHEEFDVASQRGSVVFEGPSSAAVQRSASQMSNSRS ATPTRSGTLKKKSSLSKRGSMRRSGSKRSLRAGSVRSLVLGDKEKYSVDGAEDQNSAF YIPVPTNGNPTEVLADRFQAWRKVLKDLIVFFKELQKSYETRSKLFMSASNIINNSAL PPTFLKSGGLADATDILRNFHKQAYQEASKAAEVENELVAQLMGLRNDLQKKTKEIRS LSGDFRNSVDKEVDATRKSVRHLHEALGLVDTDPAATAGKGDPFIVRLSVDKQIEKQI EEENYLHRAFLNLENSGRELESIVVSEIQKAYKTYANILTREADEAYDAAEKLRAGPI SMPHDHEWNSFIAETDELVDPRVPLRDIENISYPGKDHPAAAEVRSGMLERKSKYLKS YTPGWYVLSPTHLHEFKSADRVAWQTPVMSLYLPEQKLGSHSQPDSTSHKFMLKGRQT GTMHRGHSWVFRAESHETMKAWYEDVESLISKTGEARNAFVRRHVRTVSGASFGRTST SSDRVMDEDEADRTPYSAGSVVLNQERPASQPREAGGRFPSDVHIDRHLEAPLSPSSG ESSGERDLLAAVGSLPDAKSPLQSTRHSISERDMDIDQVQPSSQAGEATRQPFERHDS YYGAWMNTAGHQKQVQAQQIYDNTQDTAGFERGNMAPNLIAGLKTTNSPGQSTRAPSI RTASSTAVPINAPGPSIVESNEGRRPPAQTKNSVSTLELKIPGHYPPANVPA AFLA_052650 MCGNHSCPGLPATKIWSICSRLLERLIVRRFSTSPMVALAARVS SSLTTQRQQKLRLVSKFTGYQYGGRPLGITFVKYMNAGAGPVDAMEGAEPTGGITQDQ IM AFLA_052660 MGRLVYVREDREPEPRFTGGPSRGDFGGGGRGGFGGGGGGGYGG GAGGRQLYVSNLSKAPSSVPMFTPMLLDVPRAPALSPLNLRRMPVMLSSSSMATIGRV GLWRFVRIALLVPGQADLEAAVASVVALAVVVSVVVAASAVAVAALADSEVVVATVVA TVDLLVVLVALASRVPLRFPPTRSRILQPPEARRARLSMSAT AFLA_052670 MADVYRPYQRERSRSPRRRSRSPRRSRRSYSPRSRSRSRDDYRR SERRSRSPMSAAPGASGGHSGSGYGGRSSYPPPPRSFEDRAVAKEQMMQAVRESSQQD RRVYVGNLSYDVKWHHLKDFMRQAGEVIFADVLLLPNGMSKCGWFLFPFVFLAFFHFP RWFVLMDCLG AFLA_052680 MAQPHHLTRCHAAILSTSKRQLTTLRTTTRSIIPQCTRQLHHQT RPARRQPTPAHLTSSPISQPTRRSYHSEHHPDPPPHEYTNSQTTILSAALRHVPTHGF TRDALTLGARDSGFLDVSVQLLPRGEFDLVLFWLASRRGLLRASVDNGLFEKDERVKA GLKLTVEEKTKLLIMERLRMNTEIRHQWQDALALMSLAGNIPLSLSELHALSSEILTL AGDASVDASWYTKRLSVAAIYASSEVVMTRDQSPGLSETEAFVERRVEDSSAIGEKLT GFKQCLGFVGSTAIGLGRSWGLKI AFLA_052690 MTRGEKQVFSDLLEQLGAVQKDTTTAETQKPELSEEDKNEMAQI SEIFEEVLKDIKQKKKRKTATTGSADGQSADTDTPVTLRNLELQERLRKSEYSSEDIT ELLESNQISMEEAIELVVKKEAGKIENALRAAIDEGKEDTGVWDICRERIFSMLQHLG DVRLAQGLGMVQDKNQAADIPTATTDTSHLEVPESVAVEPVVTALYPKMLLVAFRLLN LHFPNSPLISQFRATIKSHGRASAVLGSSTGLYNELIYFYWRGCHDIPGVVSLLREME VIGVEPNDRTCGLLTGIVNQRDRDLKQHWKRMRNEKRGPRREPWWDLAPNRKAVCELL GPEGWMHRIERRVQEKRPSR AFLA_052700 MGNEEISDFEKQRLANIAERDALLKQLSLNAQSVFTPTLPNRAT GSQAKTKKKPAPKKVKKEEESPAPRRMSSRLRGIAADSEVAKRKAEEQHQAYQEAERA KRVRKSDSFSLNDIFVSGQKLSGDGLLGVDVVTKGVAVPYQRTFGDDDIKKTTDKELK ALRKEMSELQLWEAWEPNRIKLTPERVYTMTFHPSETKPLIFAGDKMGHLGILDASQE KPTSVKQEDEDEEDDDPDPVLTTLKPHTRTISSMVIHPSKPTHLYTASYDSSIREMDL EKTTSVERYAPDSTSDDVPLSGLDMAADDPNTLYWTTLEGEFGRYDMRTPKQGSVAVW SLSEKKIGGFSLLPTHSHYFATASLDRTMRLWDIRKLSRREPVPVGEHQSRLSVSHAA FNSAGQVATSSYDDSLKLYDFGAKGIASWKPGHTLSDAEMKPDTVVRHNCQTGRWVTI LRPQWQINPQSHIQRFCIGNMNRFVDVYSSSGDQLAQLGGDGITAVPAVAVFHRSKNW IAGGTASGKICLWM AFLA_052710 MEPEGSNGPKRNSIHQGLYSRPVERRSSKKSSSRDRHGMVYPES FRDTGIRTVTPDSAAETANHSPLSDAEHLSSGAAPSPRVTARTRPANLDTRRDFQSYH PAGDEEEFQVESKSQRARSRTTTLEDQRSELSPNSFYLKSRSRLGSVNAVTPQSRHSD EPTGSIGYPSIQSPTYLSQTLGRHRVSRASGGSNFLASVASNPPPSPLSSTDSAKILQ LMKTTCGRMHGILSFRTANTTAWTSGYCAINVATGSLIYQAKGEPALAKTLIPDLRGC QVRSLVDPELRTNYLSVSTFTSGLGVELRPHVSETFDSWLAALLCWQPIRPKGVQNKM TKPQSVAIGDRRLADRRRNSESTVQKEAAIIKVGKMLLWDRPNASGARPSSGRRVSTY RQQRALSSSWQRVSCTLQENGVFKLFTESDITFVHCIQLSQLSRCAVQRLNSSVLEDE FCIAIYPQYAAHSVSGLTRPVYLALESRVLFEVWFVLLRAFTIPELYGPETSIEDNPA KAPAPDATSTSIADMFRIERMLTVRVTEAKLFRSRSEEAPRSRKQSRSHSNSTPTGAV NDYYTEVLLDGEIRAKTAVKYRTANPFWREDFTFNDLPPVLSQVSILVKNPNPTQKDW SLIAHGSYALNQDSYPMRVLDDVELSSHDATFGRVELRLDDLEPGVEVEKWWPILDDR DQPVGEMLMRARMEETVVLMSHEYTPMSELLHSFTNGLSVNMAQILSSELTLLSEALL NIFQVSGTTVEWIQALVEDEIDGLHKDSNANRLRYTTRIHSNDTRESGQDREVLVRDL GRTATVEANLLFRGNSLLTKALDYHMRRLGKEYLEETIGERLRDIDESDPECEVDPSR VHRSDDLERNWRNLISLTTSVWKTIAGSASRCPAELRLIFRHVRACAEDRYGDFLRTV TYSSVSGFLFLRFFCPAILNPKLFGLLKDHPRPRAQRTLTLIAKALQGLANMTTFGSK EPWMEPMNKFLVGNRIEFKQFVDSICAIPADRPTPIVTPSYATPIQILGRLPPTSREG FPSLPFLIDHPRSFAILIRIWLEGAPARLNEIEDLDPALKKFHDMALHLDRRTKECLS KAEQAERPSGDLEVKWEELVDSMERSATFYEESSKPTTPATETAIAGSASIAGSHRNS IGYFATRPSLPRRSTDYAADGDDDTPPSSSSATWDQSRVPFSIPRWSDTRDSTGSSKN SSTYSLEYPDSKPRRSSITRETASKYRFFDLVPTTSRRRARDREHAQQQSREELRNEF AFLA_052720 MMTSNILSRFLPPNGSPSVYETLRQQDAESNPSDVEERAGLEFE DDRRTQFSDRELEEAMVDAARDGIRSPSPSPSEPFLTQRSPQRTSGTATAKTGGRRRK HSRPRWMHQDPDDGDDDAFQYLMDIRRLKHMHDFYYYLLGISDSEIQTISWQEVVSRL MTLRDANPATAGAVSARHRKFMGSQSKQRMDAHDIANRLMRKENYLIALVNKDILDLT LPIPFLRNRQLFSQTLEWNINLCIMDYVFNDQGQVRTLFLKDTHRKALSEGLRRRFIF AGIMNIFVAPFIVVYFLMHYFFRYFNEYKKNPAQIGSRQYTPLAEWKFREFNELWHLF ERRVNMSYPFASRYVDQFPKDKTVQVAGFVAFVSGALASVLALVSIIDPELFLGFEIT HDRTVLFYLGVFGSVWAFARGLVPEETNVFDPEFALLEVIDFTHYFPNHWKGRLHSDE VRKEFAVLYQMKIVIFLEEILSMIFTPFILWFSLPKCSDRLIDFFREFTVHVDGMGYL CSFAVFDFKKGTNVISQGGTGRRESGRQDLRADYFSTKDGKMLASYYGFLDNYGGNRP ANPSSRRQFHPPPAFPTLGSPSAIEMGNIGERLERTQTRHGPAATFMGQQSGLGPGFG AAGFGDHASPAPSILLDPHHQPTASDFRTANRSALYPRFRSSRPVRPITDPIEDDNES PSAEIRRGAVKKSPHPTTGSSGGAIGTSDSNLGESWRMNLIGDELDKDTGEDGENVDE IAGNAGVLGLIQQFQKVSKDNRGRTTVGI AFLA_052730 MVSSLTLITLLLGGWGAEVAADSYALYNADSEVLLDASENCLAA FNANVTCPALTGELFSSPFFEFNRNTTWLDLVCATSCRESLQEHRNNVSTACTSARYY DEFEKTTWQPWYPDDYMIYSHDIACMTRSDGQLCNAYFWETGPPESDCDECMLKIVHR QVNSPFAGDESDRAHFSSATEACQATGYPATVATSLLISTPTPTATGCTGTMYSIKPT DDFYSIPKSQSVGTDQLLRSNGLSYVQDKFPTTGTLCIENKCQTHVLAPNDTCASIAA AADIWQVQLLTWNPNINPFCSNLERFVGNTFCISNPLGNYSLPDSPVVTPMPTPEPVP DNIGPNDNARCGRYYAIVEGEDCAMITVKFNIGLNDFIFLNPDVNANCTNLWLNYSYC VQPVGEISTYPGYLPGPTATRPPFTAGPSTSIPWYDPESTQDADLLVIPLANLTRTDC WDYIWINSTDPDQLGCWDYAWAAGVEPDQFALWNPSIDQNAEDSLEPTYDYHCTLTPS VSYCIGLASPTPAPAQTASAPVPRASGEISDCVWWTYTVQGLSCEDLLSNLGLTIDVF YQMNPSVKEDCTGLAVGTYYCGSTLSMGILADDEDDENPLSNTNISTANPNHHAGGFV TPTPIQEGMVSNCVEFYLVVTDDDCWSIANDHSISQADLYAWNPAVGNDCAGLWPDYY ICVGVEGTTTSTPTSTATPTSTEPGSRRTVISGSCSKTGCFAMTWRRAQESSCRNCIS AFLA_052740 MPEECGGPSWARAVSFTLSKKQTVPGHLAKRGATTSPVFDFTFD YNTALAPGCRRVQCTNGFLECARLVNRFYGSSDQWYTKFKSLKFPAAQGTKYTEKLDQ LVYHNPQICVYEGTKVGESLSVAMEGTTTVESHFGFSLIATWQPGKSFNVHQAAGFFH PDGETDVTFKIGGQGVLDTSQSLQGSTITEILGEASLAGKSIYKGWASFDLYRESSVS LRSGGGNSGAVAVDTYAESRIQSDWGRVLVNFPAGAVDSPLEGTSEGRRADDKVSTAE KDNISRPLAESPKGFIEVGTTTRVGIKMHLKFASPWLSDIDGELPDMSVSQSVYSRWH FEHDGAKSCLTTSLGTTMKSHLERGSYVGWKDKETKTFVQELAQAGERQCFTGDAATK RDRIERRQLVNPNFDPDLLVPGINLGDGGWNNMAARILCNGCGFCTTEGVVEEPCCGC ACIPCRYGTQASVRDDDYYVFPISDQTSLARRDLLINASCGLQDAHCVGSDNKTWLVE RQATTPRISQTPKILNICRTQITGPKYPSYPKQSPNAVLGPQDFNSHWSTQNVIKYMH NSSQSCTNWAVGKFANSDIVWDKSTNIRHNQFYETEHPFEGQTISRFFNVHMTSVATV TRPCTWIVTWILSRAPWAGATTNVAQLMSYELESRDRQGRLAVFLTDSNWMKGAIVKG SSPIQTSRWDNLDLGDEQLAYLKELGMLFSYWNHPEVWQSFCDSYNGMRDVLLQFDNW YIANRGPSDLVGEWKKFNQLELKRIVERGKASAQYLKESRKPVPGFWGRGWTLKWQGL FTYFPGNLLYQFGTIKLDQTCVNLQ AFLA_052750 MGAGWSSDHPEEPLFSAADLRQPPNPPSQSKYPRCYGDVVPSVC SDRPEDFYDELYEQMYFVQHAYQDCIVAFQQCEKENPRVDPEEWLFIAERIPRVYEYL AHSTNTSNVDVDQPIDLHPRIVNSSTIWIRDDLSIALSGFVNATIPTDEWPYSPDGTR YETEIYYPTNPDSGHPELSPKIDLSDWATFVWQLMRKDASSHRAKRWAMPTDPLDPAE MPGEVNVWEYHKQRLKEGKLQLLEEERLGPMLVKAWKGKYENAQEILQEVRSYLQQIG VQMDGEDEVLLDDGRKWEDVFTVVPTDGARWGREIRYK AFLA_052760 MFRARRYRVLLIFAAVFVLAIIHFSRSRQPPTVTLGVPPPVDRP VSYPQSPPAADNKGPAPETPLPTPLLEGSTDPSTPDEDVPSQPPYSDNGLSTPERPKP SDEPPSKEDGPQFPDNSGSQSQGKLDSDRLEDQPASHWKKLPERYPVTPADLVKLPTG KSKSLPKLQAKFSDEASEDKIKRLNRLSTIKATFEHAWDGYKKNAMGHDEVMPLRGGF RDPFNGWGATLVDTLDTLWIMGLEEEFSIAVDQVKKIDFTTNKKSEIPVFETAIRYLG GLLGAYDISGHKYDLLLEKAVELAEVLMGAFDTPNRMPMLFYKWKPGDAANFHRASSM SILAELGSLSVEFTRLAQLTRDDKYYDAIARITNELEKFQSQTSMPGLWPMKVDASGC TVAQSPTGHEAPRDLPRNSSTPTATQTPAEGIISYPTDAESYRILLESRQLHENAQPA IYNTNPQIPDPKAHEIPTSTGDTAGYCRGGLTSAPGPQQFGLAAPGDSTYEYLPKEYM LLGGLNEQYRTMYEKTADAARKHLLFRPMIKDDRDIRFLATVTKPRLDAEFVYQYEGA HLTCFAGGMFAIGSKLFGIDNDLKLAAKLTDGCVWAYESTKTGIMPEQFQMIPCKEDE PCVWDEAKYWKALDPNEKERIAWGKQQKELSAKMEEKKEEVRGIQTNGTSPVPRSHRE KRNPERGNWHVIASPTHTPKPSDSSDVKGRDPKGPSIDPTPSHEEFALSRIQNEHLPP GVVRINSRIYLLRPEAIESVFIMYRLTGDEYWREKGWKMFEAIAKYTRTEIAHATIKD VTVDKPPKSDEMQSFWLAETLKYFYLLFSEPNVVDLDEYVLNTEAHPFKRPVY AFLA_052770 MNGEGAFPRLLELLQVQNRKKEVEGDEALLHRVFMDLIYEMSRI QRIKMEDLAHDPVDEKSSTPLTNKVIKILSMHGNLYKTFGENIILLINREGRWYRFRL SSYVVLTKTAETSLQLLTLKLLYLIFTTPTTFEYFYTNDLHVLVDILIRNLLDLPEEA SALRHTYLRVLYPLLAHTQLKTPPHYKREELKKMLSVLVRGQLSSNEVDKEKIMHFDE VDETTRRLVIRCAAVDWLQDEELDTQVPKAPEEETTLSCPTIPDGSHPEAEIGTPLES TTSRPISPTSTVESSPVTLSPTSIDESPKIEVLNQAQRLCMHLEPASSSSLSVQENSD SAQKHGQKPEPPKTRRSGRRRPSQTDSPTPSQDGHDGHAALPEVSNEKHHDNQTVSVE EAVQNVSLS AFLA_052780 MKWLICVLVVLLLSLQVKALPHESLDQSDPAPLSGVAGKHEIPI NGDPNNLPPLLPIPDPATPDLVPSLPIEGGYGISYAPYNDDGTCRSLDGINKDLDKIS EHYSYVRIYGVDCDQTQNIVSAARQRNLRVFAGLFDLQNFPNSLDQIIWAAAGDWSTF HTISIGNELVNKGQNPQDVVTAVHTARAKLRAAGYQGPVVTVDTFSVLLKHPQLCEAS DYCAANCHAFFDANQIPDNAGKYALEQANRISAAAGGKRTVIAESGWPYRGQANGKAV PSAWNQAIALYALGYSFRDRKDDMVLFSAFDDLWKQDGPGTHGAEKFWGIMRR AFLA_052790 MDIQETQRLLSEYLHELADLFHRVPGSAIFLRYVKSSYQNDPIR SAVELFLFLFAVRYLLAPKYSTKPGVVQLSEDEIDDLVDEWTPEPLVGKPTVLEEMEV DKRTVIVGPVCPKSKLANGRTVVNLGSYNFYNFNTNESLKEKAIQTLRNYGVGPCGPR GFYGTQDVHMKTEADVASFLGTASCIIYSQAFSTISSVIPAFSKRGDIIVADKGVNFA IRKGIQISRSMVRWYEHNDMEDLERVLAKVTKEQARKPLTRRFIITEGLFESYGDMVD LPKIIELKLKYKFRLILDESWSFGVLGRTGRGVTEHQNVDAAEVDMIVGSLAGPLVAG GGFCAGSEEIVHHQRISAAAYTFSAALPALLSTTASATINILQNSPETISQLREHTKA MWAQLDPRSDWVYCTSAPENPIMILVLKPEVVAAKRLSVEDQQFLLQDVVDECLANGV LITRLKTLLDNFEPKQVVSPALKVCVTTGLTKKEIEKAGTIIRHAITKVLSKKK AFLA_052800 MSGYNQGGHYDDGYGQHGGHGDSYYQDEHHGQAYYDPNDYGDGY YDRGGYYPDGGHGYNQDGGYYDAGHQDDYYGEPYYDQGNGQQRGRRRGDSEEDSETFS DFTMRSETARAADMDYYGRGDERYNSYADSQYGGRGYGYRPPSSQVSYGGNRSSGAST PVYGMDYGNALPAGQRSREPYPAWSSDAQVPVSKEEIEDIFLDLVNKFGFQRDSMRNM YDHLLTQLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFAAHLDLDDAVGFSNMKL GKADRKTRKARKAAQKKAKENPENVEETLEALEGDNSLEAAEYRWKTRMNRMSQHDRV RQVALYLLCWGEANQVRFLPECLCFIFKCADDYYSSPECQNRVEPVEEFTYLNEIITP LYQYCREQGYEIADGKYVRREKDHNQIIGYDDMNQLFWYPEGIERIVLEDKTRLVDIP TAERWMKLKEVNWKKVFFKTYRETRSWFHMVTNFNRIWVIHLCSFWFFTAYNAPTLYT KNYQQQLNNKPPGSYYWSAVGFGGALACFIQIFATICEWMYVPRRWAGAQHLTKRLMF LLLMFIINLAPGVVVFGFKKQIGETIALIIGIVHFIIALVTFFFFSVMPLGGLFGSYL KKHGRQYVASQTFTASWAHLQGNDMWMSYGLWVCVFGAKLAESYFFLTLSFKDPIRIL SPMQIQRCSGVEYLGTKLCYIQPQILLGLMFFMDLTLFFLDSYLWYIICNTVFSVARS FYLGVSIWSPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQVWNAIIISMYREHL LAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDQSFKTEFFPPGSEAERRISFFAQ SLSTPMPEPLPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPH EWDCFVKDTKILADETSQFNGETEKTEKDVAKSKIDDLPFYCIGFKSAAPEYTLRTRI WSSLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSEKLERELERMARRKFK ICVSMQRYAKFNKEERENTEFLLRAYPDLQIAYLDEEAPENEGDEPRLYSSLIDGHCE LLENGMRKPKFRIQLSGNPILGDGKSDNQNHAIIFYRGEYIQVIDANQDNYLEECLKI RSVLAEFEELTTDNVSPYTPGLPSSDTHPVAILGAREYIFSESVGVLGDVAASKEQTF GTLFARTLAEVGGKLHYGHPDFLNGIFMCTRGGISKAQKGLHLNEDIYAGMNAMIRGG RIKHCEYFQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYY AHPGFHLNNMFIMLSVQMFMIVLINLGALKHETITCRYNKDLPITDPLRPTFCANLVP IIDWVNRCVISIFIVFFISFVPLAVQELTERGVWRMATRLAKHFGSFSFMFEVFVCQI YANAVHQNLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYAGARLLLMLLFSTST VWSAALIWFWVSLLALCISPFLFNPHQFAWHDFFIDYRDYLRWLSRGNSRSHASSWIA FCRLSRTRITGYKRKLLGVPSEKGSGDVPRARITNIFFSEIVAPLVLVGVTLIPYLFI NSRTGTMDKDRDPKNAIARIAIVAFGPIAINAGVAGMFFGMACCMGPIFSMCCKKFGA VLAAIAHAIAVIILLVIFEVMFFLEGWSWPRCVLGMISAAAIQRFVYKLIISLALTRE FKHDQSNIAWWTGKWYSMGWHSFSQPGREFLCKITELGYFAADFVLGHLLLFIMLPAL CVPYIDKFHSVILFWLRPSRQIRPPIYSLKQSKLRKRRVVRFAILYFAMLLLFLILLI APLVVRKLNINLPNIPMNLLQPLDEKHNNTISQYTGNGLPGGSSGIPASVLASATY AFLA_052810 MSLLSDAGIYVIADLSSPGDSINRNEPKWDNDLYNRYVTVVDEL SQYSNVIGFFAGNEVSNSENTTSASAFVKAAVRDTKQYIKAKNYRSMGVGYATSDDSS IRKNMANYFNCNSADDSIDFWGYNVYSWCGDSNYEKSGYASRTEEFKDYTVPVFFAEY GCNAVQPRKFTEVQALYGDKMADVWSGGIVYMYFQEENNYGLVSVDGNKVSTKADFSY LSKELASATPSGTKKGDYQPTNTALQSCPTVDDKWLATSSPLPPSPNQDLCSCMEESL SCALKDKVSGEQLDKLFGTVCGYDVCDGITTNATTGKYGAYSVCTPQQQLSYAINLYY QNQKAKGNGDKACDFNGAATTQSSKSGGSACSALLKEAGTSGTGTVTSSPTGTAGSGA SDGAAASSSGSAGGLVAPSSVNVGIFQLGAYVVTAMVAGAGMIVL AFLA_052820 MGDFTLLKSLILVFFIYMVIGTSKINKRRVLFPARLYWCCDNIT ERDPARSTRRGIFIALDMAFATHGSAGSVAMPTTLRQLQLNIVNTTARKQLELMQYLH PALLIFPALVIFNRPGT AFLA_052830 MARLNPTSPVKQGGTRENATKSVRKLKSNTNSVFSSRFRDTSPT PKVGDHSGTLFDEPEFKRPTARTTTNDKPVQRQRKLQRSGTVSSGTFNIFSDSDGLSD PDDVSFASTMRSSTTRSSTEGRPSDPLQLARANSLLMPQSRHSRSRSTRKSELYDYTK ENYPVEEVVEDYSTTSSISRNPSDASSTRRSPTRREPRQTNTRRQNGRQFRDYRQPAN RSEDEESDDGFNSLDDFIVSDSEEPSRHEASASESEPEEMKRAPSPPRVKRRLVRGRR LNPEEQIKRALESSANTSLRLEPSLPAAVSMPSDHQASPRKLFRNTSNIDEKMSRLDM EDDDAGVDDNEVDHDTAEEAAAEVAAVDEMDADEYDPSAQLQQDLENFLGGFEPRSQT SEAEKANLETPPASPSKTTLKSASKGKTHIPPTPYRESVDAFWSQEATNDWIDQHSPR KLHNLLQELEESDNEVDPEIMPRNKTTKKAAKPPSKTALKKAEMEKKKAALERKKSFD NKKAAVAEDFFKVLDDHVTGGRIQEIAEETGGVQIIWSKTLQTTAGRANWKREKLRTE GTLGTEPQTPGGSLSKHHASIELAERIIDDEDRLLNTLAHEYCHLANFIISNVHNNPH GASFKQWGLKCKEALKDHPVYGGRFEVTTKHSYKIDYKYVWSCVDCGQTYGRHSKSID TTKSRCGKCKGLLQQIKPKPRSVSPRKKQPLGDSEKVAVDEVANVLGEISLGN AFLA_052840 MTTYTPRDFYGGAIKGLIPQNWVDASNVREIPSHQEVYLSRTTL TSQITEINQRVTDPETSSLDTLINTQLQSQPLNPDAKAALYHVHDLCDEDDKLEIVTA PTRVILRKFPAGVVGYRGVVRVVSPKASSRAGARVGSGVGIGGAVAGSSAEGELTSTV TLWYLVVRLVEQETDLVVFVNVPREEFERAGDLRGLEAEERLAEGLIDGLVQELEVVD WGLFC AFLA_052850 MPSSATSSSNWDFTPVINLLRSPTYSSGDRSIPARHNDSHQAPS TPGTVAAQGLNDSAPDLKHESGGPPKLGDFSSLWDFLGNTTPPVGAEAGLRQATKESI VEQPPQQPKRIQILKRPSHGDTNVDSPDKTLPRTPPRPIPTSDVSKSHETTVEYRTTK HRNQTKQPTYEPHLSEGTVEAESDNPSIFDSSYSKRRVVSFVPSQVGIAEALSESSET PPSSFDEADGALAPIAIQNLPGGAIRVQPVAYKSAADRKVGLLTKLLKNFPDYAETIT RVGRAGKSKPGSSTCRPIHVFVDMSNIMVGFHDSMKVSRNIPVKTRIRRLPLSFQNFS LILERGRPTAKKVLVGSDRFAAIDDGEKLGYETNILDRVHKVKQPTRRQLKYRKGPRA GAHDGGSGSETNDAPEERWVEQGVDEILHLKILESLLDTDEPATIVLATGDAAEAEFS GGFMKMVERALRRGWTVELVSFSQVTSYAYRKKEFRSKWGNKFKMIALDGYIEELLDM AFLA_052860 MSDTGRKDFSTKAKEELTPDSAKSTQQKVKEGATDIGDRVSRGF QPDDQKSGTQEAFDKGQRVHDNKSHGGAGESILDKAKNAVGLGDH AFLA_052870 MDSPLLSPAKARQAAIQAKDWAYVNSWLSRQYAPNPVPTFERNE DTLRTLLALAAANDTADEEAALLHQAREQAVQGFKAREETEDKQKKEILDELEYCLDD KGGQDLDDLAKSAAALGALDVEAAHLGQAVVDLTKEEFGVQDQLDKVDMLHDYLQREL EALRRQLDELRSDPAYEVPADLPAKTVEWTKGTKVLAAKVNEYQDRVAALKRNQSKGP TLGELLAEEEDVKKLMDNVKSLEHRLQLFQNLPTDVQGARAKYRELLEELSELTQERD SKLDQSRREK AFLA_052880 MPYPPIAQHDGVPHGTASRPAHSLSLRASTSLRDTSNGLWDILN DLWHPESNPHGYVTLGVGHNALMQEQLLHRVNSNFDLTGRHLLLNDTITGSVRLKTAI ARFLTRYLSPSKALKPSQIIATNGVSSATEHCSWALCDPGEGMLVGRPYYRDFSRDIC LRPGAKLVPVSFDEMDPVGVSAVSKYEEAIVSSREQGCTIRAIMLCNPHNPLGRCYSP SFLVGLMKLCQKHGVHLISDEIYALSVWRHGQDGATTIEDFTSVLSINYDGVIDPKLV HVLWGISKDFGANGVRLGVIISQNNGDLLESIRGVGQFSSISGFADYVTTSILEDEEF VDRYIEENREKLAAAYNYVVIFLDNHGIPYARGSNAGFFVWCDLLTAYLKAQPNRPSD DSDSAKWNRSRELAEKLALHKVHLGVGDDFGSEQPGWFRITFSQHRVQLDEGLKRIVK ALHS AFLA_052890 MLKSILGISPPRVEVNKEDDFDTWYEQVVVKGEMIDHCCLPGYF ILKPVAYGMWEKVRSWLADHLQPLELQSFSVPILPYLGDRAQAPYAVSGSSSFPNAES VIYPYCRKWIKSHRDLPLRMNQWCIRFQHEQNPQPLLRSCEYLLQEVHSAHITKDSAR QEAAQILELYSLLYRDLLAIPVVKGYKNAAYLQDTDISTGVVIAYIPATNQCIEGAKC HEFGQTLSKAYEITVRDPSATAEPAALLHVWQNCWRISIRALGVMVATHSDNHGLVLP PRIAETQVAIVAAQASSTDEQLILDTETDRLVSRLSSAGIRVKVDDLEGYSLGWRFNE WIVSGTPLFIVLGPNEVTNQVVTIGRRDLLHAEGKVEAAIPELHTIIPTTLANIQEEL YRNALAKFQADQTRIADNWDDFIKSLYRDKLVCLAPHCRQRSCELYIEGMGSDGTDQA LGSHIKCLCVPLEQPGATEECATPCINPTCKYSALKWAMFGCKYSPGNPIIPLRCFSL PSYRMS AFLA_052900 MSLVNYLRNFPKVSYDLRITVDHFLLHIQPQLTSHFRHWIAALL AGTAEKRLVKVSWSKHDWEWPQLGESSWSWRTIWCFAEGQSAYGNGKASNRSRFHGYF GMINAKTH AFLA_052910 MEQQLKRIGTVITSLNQTCDEMRKHISLARQDTAPVLEEASTLM NQKKEAETKQQLLDAFTKHFVVPDDDILVLTSLEDPINDQFFEVLARVKQVHRDCEAL LGGENQRLGLELMDKSSRNLNSAYQKLYRWIQKEFKSLNLEDPRISSSIRRALRVLAE RPSLFHSCLDFFAEARDYTLSDAFHYALTDAVSGTAGDSNVKPIEFSAHDPLRYIGDM LAWVHSTTVSEREALEALFVADGEELARGIQAGLSSEPWSRIDEHEEVAFDGQKALSD LVNRDLIGVSRALRQRVELVIQGHDDPVTCYKVVGLLSFYRTTFQKLLGPNSNLAELL VTLEKFTFGHFETLMKDVVSSLSTDHLALTPSEDLSTPQFLIDALEGLTSLMKTYEAS FGADDTESTSDENRFTPVLRAAFDPFLELATSSAEELSNATARAIYLTNIHLTARTSV SEYSFVSTTHLNPISTKLSSLRIDLLEIQHRYLLEESGLQVLLTALEPFSPSSVAKSS TETEKQDSHPLESQPQQQPNLADIATLPAFQPEALIAVSQQLDDFLPSALMDATDNLK RLRSAAFVKSVTEEAVEAFCRDFEFVEGMIIGADEARGKVDVTRVDRGSETGAESEKG MEEGENGWGLRRLFPRTTGEIRVLLS AFLA_052920 MNTLLRSSVRLYSTMSASLSPTSSPFTKAVVSSMRKLYPETLAD KSWDNTGLLLEAPLNPTRRQNNSVLLAIDLTKAVADEAIARKDSAIVAYHPIIFRGLK SLTFNDPQQQSLLRLAQEGISVYSPHTAVDATPGGMADWLCDIVTGSITPTPSPSSTT NTPIQSSSKTYTAPSYPTPHAVIPANASSIPKHTRSTIHPSPPPLPENMETAGMGRLV TFDSPQPLTSLVDRIAEGVGFPGGIPIAIPQGVSVDEISIRTVGVCPGSGSSVLMKGG NVPDLLFTGEMSHHEALAAIERGKVVVALAHSNTERGYLRAVMKEKLEGVLKEEWEVQ RAEALKASEGDEGLVEILKDGVCEVHVSESDRDPYGIMVRKV AFLA_052930 MAQKNSPIIIVGGGLAGLVAAFELSKREVHTVIIDQENEASLGG QAFWSLGGLFCVNSADQRRLGIQDSRKLALEDWFNSARFDRECDFWPRKWAEAFVNFA ADHMERYIKSLGVSFASVGWAERGDGSAGGHGNSVPRFHVSWGTGPAIVEAFEKPVRA AAKKGLVEFMFRRQVDSLIVDESTGAVIGVRGQVLEPSDTARGVASSRKSIDTFEVYG SAVLIASGGIGGNVDLVKKNWPVDRLGTPPSNFVIGVPAHVDGRMIEIAKDAGANVIN EDRMWHYTEGLQNWDPIWPQHGIRIIPGPSSLWLDATGKRLPPMLYPGCDTLATLKHI CNTGYDYTWFVLDKTIIGKEFALSGSEQNPDLTGKSRLRTLYRMLGSAVPGPVQAFMD KGVDFVVEPTLPKLVAGMNKLAKERDGPPLDVEKIEREIHLRDMQIDNRFTKDAQIML IQNGRNYWPDRLGRVAKPHKLADPSHGPFVAVRLNLLTRKTLGGLETDLHGRVLRPDG SPFPNLYAAGEVSGFGGGGVHGYSALEGTFLGGCIFSGRTAGMTMAEEVAGKAPRSAL AFLA_052940 MTDDDREYLQKKGVFTLPKPEVCEGLLRAYFYHVHPIMPVIEVE SILNYQHHGRLGDCNFLLLWSVFFVAVNFISPRLYEQEGYVSRKEMKATMYSRAKCMY DNGGERNKVTLLQASLLLGFWHSEQDDHMQPWYWTGIAINLCQMLGLHRDPDSLRYNS SITDCQRRLWRRLWWTCFFRDRWLGLTLGRPLRINLDDCDLPMSVPADLLSELDSIPR AIFASYIPQEFPKLAEFWIILIELSQLLGKVLVLKNQPVKSKSSMGEINALEAQIMRC RLPDQDEHGLTHLAKFYAHHVHLHYQLRLSFSSWH AFLA_052950 MITQPLGVRKGEKMICRAHDATNKFYRISSPQSKNDCMSNVSST QSTLQPECHRGAMYRLHSGPYSMYSYNKARAEVRWKLMCKSEKHGGPVLLANPQSPDQ ALLQTPARRVELPAFHVQPQQHVPLNVEATATREAHDIEDEERNGDEIAAAALGQPRR NRACVYSGYLFAGSLSAKTLSDPIE AFLA_052960 MVDIPERPRVTDSKKVWCSILTNTAYLPGILTLEYSLRKHDTKY PFIVLYTDSLPEEAHAALDARGILKQPVPYLKPAMTTDLTQDRRLYDAWTKLIAFALY EYDHVVLLDCDMMVLHNMDELMDVELDPPEMGGKGKRVFGSTHACVCNPLKRPHYPAD WIPANCGWALQHDTPELAQTTAPPIEGSWGLCNTGIIVTRPSEGTWKIITDSLATSNT ADWIFADQSLLSEVFQYRWAPLPYIYNALKTKRWEGVHDAIWRDDRVKNIHYFLTPKP WDETPPVHADPTHAWWCALNAERKEHDKARGLTDGH AFLA_052970 MVEAGGGETGESSSLLFLMNENALSAGSCLRYFQPGSRFWPSLT VRQRHRNSKDFLGEGDGQVA AFLA_052980 MLESKNSLPAEPQLRPGLEKTRDMGDMLHPSTSLNDGRMMEKQE ARNGQFHRSFPPRQVHIISLGSNIGSGVFSATGQALASGGPGNMVLADGMVCSAVWAV LQSLSEITIAFPVSGNYIDYADLWVDPALAFEAGFAE AFLA_052990 MERNCRIRSGLLQCAGAVLGRGIFASRATVTIFLAVCLSVFLLP TGHPTAGGVTVLNWLIAISSASFFTNWGIIAFTNWHFHCAPKAQKDILFSETYGWKST FWSSTPAWLMLVSLMLLVCCLECGVKPVDGLTFTAENFLQYTLGLWVITGCTLVYKVI FRTPWRDPKTADCITGRRTLGGRGNHGS AFLA_053000 MSVQTIQDPGQVSEAIQSGKPTIIHYWDPNIGLESPIAPILHDQ AENRLDLDFYIVNSGFIAPPHSEDDLPLTVFYIGGNAVDQAPFDPSEVQNLFQRI AFLA_053010 MKPPRTTFVYFILRGLLKLNATKVLLASVFLWLTAYEFCRLRYW RDPHSAFFDGRNAYEWKYSLYREHEARRLIAGHNAPSDPYVLCQSKNGSNYMRLVRDG QTGWVRLFRGLGRIFVGRIGSARAQRPLS AFLA_053030 MSKTLAVFGATGQQGGSVIDYVLNDPELSQRYKIRAITRNVDSP KAQQLKEKVEVVQGDVLSQSSLREALTGAHTIFAMTTPTPGPDALETEYQSAKTIADV AIDQGAEYIIFSTLPAISEISGGKYTQVTPFEAKAKAEKYIRGLPIKSAFFSPGSFME NYQLLPFLRPRQAPDGTWVMVHPMSPKGLLPLINAVGDTGKFIGAILAEPDKYEGKTF CAATALYSLDEIAAVMSKATGKTIACKQVHPEEFKKSLPFLALAFNVFIEGFQSIGEF GYYGPDSERLVAWAAQNARGRLSTFEEYLEAHPLQLE AFLA_053040 MDDTQIHEWRAKCLYAYEDPGISHIKCYTASAIIGDERLLRGEI LCLLRLFRGRLRNKGMENHVIAPVLMISLMRPQHARIIEGYFDGKELVISKSPLYDFT REEQVPLRLFARSLFSRPIVQLHLLLPRSGYVLYHYVLQNRLHYRRNIFLHVAPFSPK SS AFLA_053050 MWLSSLIYPASQGFTKVSLCWFYIRLGHVSLTRACYAMIGLVVA QTIAFVLAAAFHCPLSRWSHNPIHLLSCAKGIKAFTLSTGGLNILTDVLTFALPIPVL LKLQMPSKQKAYVIFIIALGLIACVASIVRLVYSTNLMQFPPDIVSISGTFYWTSIEM NLAIVASSIPSFKAIASRYLPRLVGYSSRENPMPLGNRNGNENPLPKPPRRPRHSLGA SILYDGDRSSGLPTSSQERIHVPESMILSEVSFKVDVERNRNHG AFLA_053060 MLNLLPSASATKTTTTPAEDVYFQHYRASQLKINSQFHLLITAI MFAARRLTAGVPRVFSQRALFHNTAPAFVQKGDSIPNLDVLVEDSPGNKVNLANELKG KGVIVGVPAAFSPACSSSHVPGYINHPKLKEAGQVFVVSVNDPFVMKAWATSLDPSGK SGIRFLGDPTGKFSEALDVTFDSSSIFGNHRSKRYALLVENGKVKEAFVEPDNTGLDV SAAEKVLA AFLA_053070 MRGASLLPVALAALSCVDALSLHRRDTPATVELPIERRQHAGGL QKRDSTLNLPLINYYDSFYILNLTLGTPAQQFAVALDTGSSDLWVNVANSSYCSSRTN PCKPFGLYDPDASSTYKNLGVEFNATYGDGTNAYGYYATDELGLGDVNVDDMQFGVAE STTITQGIIGVAYDTLTNEASHEGKTYANLPQALVNSGAIKSPAYSLWLNDPQASRGS ILFGGVNKAKYKGELQTIPIVRTLRGYSYLAVTLTGVSVEQGKESEDYSSRLPIVVLL DSGTSLTYLPDSLVDELYKKFNATFLEDDGLAYVDCELMKKDYTVNFDFSGATIAVGI SELVLKAVAEDFPLGTCAFGVVPSGDSQDAMYILGDTFLRSAYVVYDLGNNEISLANT NFSPGDDDIFEIGTGTSAVPGATPVESPVTSATVASATDIVHTVMVGGTKATATGSNS GAAETSSSSGIAALPTSNTRHLLSGLAGAGLLLAL AFLA_053080 MQWKSFLLFVAIADLGLARLHGHQRRHKHNPAHPDLQGPEADLK ARDLEVKTVVDLEVVTVTTTITGQPVAEAPAPSSSSSSSTTTTTTVAAAPAEITPAET HEDVISIDLGASIGVSVAAPSLTPSAESTAPTSTPAASTTVSGGSTSWTSTPSSGEFS TSGFGTRTNSSGSGIEYSGNVGSPWGSNIIEVSASTAYQYRYVVQFTGSNTEDWTIVF WNKIGPDGKMDGWYGHSALNLTLGAGETKYVAFDEDSQGGWGAAKGSSLPTDDYGGYS CTWGEFDFGSTINDGWSGWDVSAIQAQAAGQTVQGMKICNHNGETCSTITSGASTVTN AYTQSEASVDGIGGSLNTDSVRLVAIIDYSE AFLA_053090 MGQTPRPASQSLLLDYVSDWNVVTLFRALKHCHWVVAIAVLGTL LLKLLTVASTGLFMLQNVHVSNVPTTLTAEATFSGTGYNSANIDSNAALIVVGNKFLD LPYPAGTTDKYAFSPFHGSDTPSDSNTILSGTVDTFSTDLSCEVATVKNWTQGCETQH CEQTQFNLTLSTPECSRYQFNAFRRSTSAVGGWHANVFSERCASSNDDTNTDRVIFAA AHWLNDSAQVQSLVCEPTYTISPTLVSLFKSNQSVAEINSTDKVDTSNYTIPGVTAVD IVSGLLSTLTIADSSVGTLIQLNHGLSANLADPNNSYLDSFYRIATVSSADNLEPLLD AANLEAISRPVYNAITAQVARQYLMTSANKPFNGVYSASTEKLLVRELSVRLMEAAVA LLVLVAGAMCVWRPAKCTPRDPGTISGMATILARSPDLSNRLAGIKNRKDLKSSLAGG LFASEIASIDGQRSFSIITKHDAKEDLRVAEASSQISWWKPLAMSLGWRILTLAVPLL VIVGLETTYQISQKRNGLADITSDGYIRYTWVYIPAFIMLLIQALFECSHFSTQVIQP YLELRRGGLTAQESLMDNYLSKLTMHALWSALIKRKYAIFTTALTMILAPCLTIAASG LYSTEAASYVRAVSILRNDSFNSTVEPQAYHSGQKGIGLTGALVVGTNLSYPDWTYDE VVLPALKEGTLMDVKENTTYKPTNQSSTADSIFELTYPGLRAGLNCTVLEASQILETT VYKGFGSSMSVSLSLGGDCDTSSRKVDNKTIKWYSMTLPLNGTTYNGTAFGTFQVTDI INEMPECPKMVGVYGVVSNPNSTDTDGIRGFTCFPYVDEIDVDTTFTVPGFKIQSAKP DESSSRRFADSLASQLDFDSFLPTSNTVTAYDGFDNVFIAMMQDQETLTVTDLEQADR VPVVINATQHLYRVLMAQSMNGNSRLPLEQETKYQGTVVDPTRVRLKQSAVSTRILEG FLAAMALCIMVAFYLGRRTEVIPVNPCSIAGAATLLAGSEMLKPDMIPPGSEWCDDTE LVKRGVFNGVVFGLGWWEGKRFAIDIGRPEE AFLA_053100 MHFHKITLGATLAALLSLTTALPTTSYSFPLTTRDDTQCPTGKS FYRCYKNNFRGCCSVDPCDLDDGCPDNDTPTCTPGKIYQPKMQTYLLPSSDPISTPNL NVSKSATAEWDQTMTFSVPQGAKTCTLNWGVPAERNFKAGNNALVRVWQGDQVEGESI GAADFTNWPGVEGPHLHTVGTVQCAEEIVLRSRLDKESEVFLEQGAETGWYIDYKC AFLA_053110 MNTLTVIITLASLWLSRALGQLTPLNQFFKPPRFPNEQTENVTR YLNEAAAQAVDGSIHQYFQDQCITQQLYPPLFTWPSGYVQPFSPFEGLFFVGHSGVSA WAYNTTEGIVLIDTLDNEDEIKAILLPGLQSFGLNGSEIKHVIITHEHADHYGGAKYL QDTFKPAVYASELAWKSMAAMGKNATPPVPTKDRILADGDNLSVGGVDFHIVLTPGHT PGCLSLIFPVSDQGRQHMAGLSGGTGTPADAASREQKIRSQYKFADICQGRGVDVLLS NHQVADHALFHADILAHRTQRTPNPFIIGVDNFDKYMKINAICSKVIAAREGQDLQV AFLA_053120 MMSWTLLSTAISLAQEIGCFSQDALTNTTLARRGAVSGSVRLEW NRTLCTFIHLTDQNLSLRLRLEPQLPGSRCGNMVDQLHQCFSLDSFWESAIDLAAHTD KARELLCSWRSGELGVQSAPLTASWERFRRGLDRWKKQHAPISSERDLSLPRVCLSLE YFYIRLCGLSPAAHVFESSSGDDQRDPNMSILSQLADDAVQTSIDLLKCVTHDLTPST LFKYVGVRYWLYIVCASLFLLKATLRVEERLEDSHPHILLIRQAVRAIKQNAPDDIHM SQRYATLLDIYVNAALRSPPSAVISEDIFRWEHDDSSTSNCGPIQGYTLDQGNNVMFD SSFCNYLPDMVGLDDIFSWLPPGSD AFLA_053130 MCFTYALQYYDKALLSQAAIFGLREDLDLQSGLRYSWVSLIFYF GYMAGCYPITWVSQKVTVARVCPIICILWSLIVLCTPACSTYTGILINRFMLGVIESG VSPAFMLCTGAWYTHSEQVLRSSLWYSFSGGSNIISPLINYGLGHITSGSLHPWQYMY LIAGLATLAWAIALFFVFPGSPQTAKGFTAEERMLLLERVRANNAGAENRTFKWYQIY EALCSYHFWCIFLLSTLSSTGSGAVTTFGSIIFNGMGFSTFESLLLNIPIGALAFICV LGSGYIGRKYPNARLHTVSASCIPVIVGGCMLWRLPSSKTAARIIGFYLINFFSAAWV QCIAMGTSNVAGYTKKATMAAGTFMGYSLGNIIGPLTFDARYAPRYDPGFEALIICFA IALVLSQVFRALMALQNHRRDQRFGPPTAECGLQDLTDKENKSFRYPL AFLA_053140 MAPSAEPVSGQNKPYRSGDPRLAVVDEEAEQPPKFDDPYQERRY LKHRLALAFRIFAKLDLSEGVAGHITVRDPVDPTSFWVNPFGEIESIY AFLA_053150 MSESPTEKFSQGNNLLVRIFLQDADSRIVGYSKKGTIALPRWRN AGRAQHIRLVRMRIALKAGPAEIQIRPVDCLA AFLA_053160 MGSAVSHPSTPSPPANDLIVVGSGASGVAILLQLIERVKNGKAL GEVIFVEKNGLPGPGLPYSSQCEGTILNMHTDTMGLYHDKPLHFSQWRTDQESGPFPS RARYGQYLQETWGQALEEAQHIGLGVSVIQDEAHDIDRQADGTMTLSLRNGTQLTAKS VVLALGNFTSVCNTHLINLPGFFPGPWPTSQLKTIPTDASVLVVGSRLSAVDAAIFLS EHGHQGPITFMSRSGSLPKVQGDTTPFSRRYVLHDLAKHIEENSDENLLQVTSSLMEE IFHATNGDWGWLHNDESPVKQLEHDIQAAKTGKVEWQKVLRGTAPVIERYWNGLPAKS QQLFMDKFFSPWMRYRHGMPIQNAEKILGLLRKGQLQVVQGDRVQWDGIYKAQTSIGL LEAPYVIEATGQECQLDRIESPLIQSAVEKGLLKPHPAGGVAVDFDSLRASEGLHVIG SLTRGTHFYVSAIDRVAAHAARIADAITDEPTARPLHIAIFLGSDLFSHLMASTLVPQ LLAAGHTPFIFLPVHKANRKTTPPFELRELTFFERELLQKHVIPYFKNEKPNGAPHMT IEQMKDAYGILVQEVPNVNSASFINTLRKHHIDVGLSLRCYQRFKTDIIRYFARPKRL LNLHPGVLPTYRGVMTTVRAMKNREKFFGYSLHDIDEDWDAGDLIDVRHHPIDYSKSM LHFMNDVYKMGAKMAVDVCDNIARGKELSNVPQKAEESNYYTFPTKEDLEGYRKDGIR LVDAESIVNVIVESFAPLKKQEKFRAHIDEVVQEWYDKNRP AFLA_053170 MAPRVGETIQPDDLAKRAHSQISEILTNTPKRLFLMGVLASDDA GSNQYANWTRKTCQEIGVHFHLMKLSPKNVATYIRAINANNSVHGIIVYYPIFGDRRD DEIRQLVAPSKDVEGLNHQTLHRISDAAEVSRPPKPGRNVIPCTPRAVAWILEWMDVH DRTRPAGERLQNQEICIINRSEVVGLPLAHLLAGEGARVYSVDISGVQLFQRLYYPWQ SEALHGKDLPNWSVQDAVQRSRVVISAVPDPAFRVNTKWLQRGAICVNVSSEKNFEAN VVEVASKFVPRVGSVTIGALLYNLILCSSRN AFLA_053180 MGARDETPQTTNEASPTPIEPPPDGGLNAWTQAVMGHMVCFNTW GYIASFGVFQAYYQSSLGVSSSAISWVGSVQIFLIFFIGTFSGRALDAGFFRPVYYTG VFLQLLGVFMTSLATRYWQLFLAQGICTGLGSGLQFCPVMGLVATYFAKRRVFALAFG LVGSGTGGMLFPGLVKALMPAIGFGWTLRVLGFVMLGTSIPAMALLKPRLPPRRSGPL VEWSAFREPAYVLFCVGMFLNFWGLYFAFYYVGAFGRSVIGLSYSDSTNLIIVTNGVG IVGRLIPAFLAGRYFGPLNTIIPLALGASLMMFCWIAVHSVGGLYAFAVLYGMFSNGV QGLWPSTLSSLTPDLSKTGVRIGMGFTVVSFACLTGPPLGGALIARADGYIGAQIWGG LTFLLGALVLVTARVSKTGMQMKARI AFLA_053190 MSPQKEIQANELGIDLSSGTETEYFKWFLACLLFGKPVQQGVAK RAFLELVQEGITSPDAILAAGWDRLVEILDEGHYVRYDFSTATKLLDVAGVIKEEYGT FGEMLKRFRSVEELEMHLQEFRGVGPKTVEIFMRDMRPLLE AFLA_053200 MATTTEEVYIAVIGAGGVGSCFLKQLSYLSKTRPSPRLRLCYIA IIDKALHHPDYSEINIETALDRLEAEGQAPPTIPWIIDYLSGAPSKVILVDNTSANSL AEAYPQFLRRGISIITPNKKAFSGNYQLWEDIFSSAAAGHSYVFHESSVGAGLPIIST LKDLIITGDEITRIQGVFSGTMSFLFNSFAPTEGQGEKWSVAVRKAKQLGYTEPDPRD DLNGLDVARKLTILGRLAGLPIESPTSFPVQSLIPQELQGVSSGDEFLDRLPEFDQQM EQHKAAAEKEGKFVRFVGSIDMASRQVKVGIESFEKTHPIAALQGSDNLISFYTKRYG DNPLIVQGAGAGGDVTAMGVSSDLLKVLSHISR AFLA_053210 MIVKPSPETPLSVMALADLALRAGLAPGVLNVITTDNEYTPSVS ERLCKHPLVRKVTFTGSTRVGSIIAKHCSEGLKKVTMELGGNCPFIVFDDGNLEQAVA ALMILKWRTAGQACTHANRVYVQAGVYDKFAQMMLEATQKLKVGHGTDSGTTMGPLTT DRGVEKLKKLVSDAISKGGKVLCGGKQPNGPQGYFFEPTIISGMTSEMLASQEEIFGP LLGLHRFETEEEAVKMANDTSMGLASYFFTKDIDRTWRLLESLEAGMIGMNTGNSSCA ESPFGGIKESGYGKEAGKDVAIEEYLISKTGTLTVEGAPGP AFLA_053220 MSPSRSTRESSKRKLDSTDDDQEKRKLRNRLAQRAFRRRQAEYL RNLRDRADSGDRPQNEIIHALREENARLRRQLVDVQSKLSRVITTMQALSGSVSSVLD KPSQVASTDKVEATESPSSRQETPKDIDVAIAADDYPKLDTSTSSATHTILIQNQSSG LDDPVDIMPQTLNQGLARSDNSFPEFNHDILALESLTPHTNSLSHQLPNIWSFEYQMG LQPYVNALTSSQQFSVTLGKDWTESNSPFSDHIQVLQKLLKNRLDLIRPLFQPSPQLL YQQVLMVLSLFNSITRPDVMAWYAKTRFYHIVDLTAWQIYPCTGTLSKVHQQYRPTEV QLQQQYPRVIDWIPFPTIRNRLIRLHAANPQIDQIFCDTVSSYVVEANMADLIMGAPA VTAYIRVTDVIANIPSTTPGSDPEPSAMLPAPDADTLFSSPEYARAVFKKLDIDRGIS HYKMDPAFFGTYPELYDPSVDIAAKGIPLRPDVQLRLTYPKPLDSSTFQTYRSFMDFS LYAPLSVAQGFR AFLA_053230 MVNRGRSGGCVTCKQRRVKCDEAKPECQACQRLKLRCGGYNRHQ NTKLKFKDQNHKFCTNTKQDVMKVATSSPGRSPESNVQDREVVRALTLRRPSEPDTAV QFYLGHYASMGRDMGSTRGFFEMLIPAYFSEREDSALSLAVSALASETLSMWRQDATS FRSPRKSYSRALTRLQTAIQDPIERGKPATVLAVLALQTYENASAVYGLRRVSSTHHN GAASLLSFMGSDDMGGVVRAYLRRFMLHTEVSTAIRQKKPLKRIAYSWIRSKETMAVP ENPSAALDAIGTSVAELQASYTEFLTQGGSATSLKCVPKEWMADAKRVDAELLAWAEN VPDHWRPVRLISGRDIDLSIPTYQSICEVYPSCQIASIWNLWRFQRLLLAKITLGSLN AFSDLSRFGLAYGQFVGDPTDFVNCQQTLQELVDSVCHSIPFHLGNRISRSSLADFTD PTVLLPSYNALVDGTPLDREVFDGISRDDHRRHVIAQGPWRAMHPLSRLLALFSEDHG EVIASLLRPGQREWICNQFLRVATLLHLPLESGNGMESSESPGGSADAKAEYLAREIR KGAILMSGP AFLA_053240 MKLHSSVYTGPLLAALLHCGVASAAYSTANSTMLLLSNDSTMNF DLLTPLGESITGGGDVGPILGAAKDIKPGNMTSFTEVFYKLANDTKAQAEDPENAYDP LNVRDTWFSAAQYFRRADVYNHGNWSNPLINSLWAEQIEAFNKGIAALPIPGKRIRIP ATKGNFTVEAIWYSASESKNDKLPTLIIGNGFDAAQEDSYHNYVAPALSRGWNCITYE GPGQPTVRRNQNLGFIPDWEKVAIPVVDYVLSEKKDVVDENRLVLVGNSLGGYLAARV AAFEPRLSAVVLIDGVWDNYAAYIRELSSEMLAIYEAGNYTQFDDVLLSARKAGMLST GAAWGIDQGMWAFRTHSPSDFFTQIKQYNVSSFIDKIKIPVFVGDAALESSYVGQPKQ VADALGHWATLHTFKGVAGFHCQTAAGQELSRTIHAWLNKTLVNVTHDH AFLA_053250 MAPSGYTIRLQKGIRGGFAPPTPTAILSLAKDADNSYISIYESI RPDGGSGLEDKPERTVDSSDEVEGLVTELYEILQDLPLESPPGSEDIYGKDISISWSS DDFAWCNGGQQGCVGGDSDVRPSETERHKFTRAVEIVNKLVDMVV AFLA_053260 MALESLPTELRILIIQQLPDVQSLKSLVHASPVYYNTYALSKKK LLHDILQRQYGLVDLAEPMAALRSQGLHADVPANRDEIVTLLDRRRRHDELPVSENAP ADMEECLQLSHFYRQLEYLLNVYCSQASCPPGVSQETWEQNRPINPSNTEKARILRAL CRLQTYCNIFGAREWSEEHATELSSPSQSRFKRRSSSWYRNFNLHEMWSMIFGTMPPW EVEEFGCLWVFLQQQYTEIFSEIAQEFPRNSHEWQSLRPTVDGMELFPSVDGDGSDGN DYNDYRNHLVSLGPSFLYKVLRQPSYEARRNLIACNAVSSKSSFMILVQVSRDPPSLL YPADKYESEDIGRTLPLMPAIEQPNSGWKHHWHGYGPIHRVREVVRSDNLQEPWIERT IGNSAGWQWGYAIWDEERNAAGHRL AFLA_053270 MYRSDMFQVFDRFSGTLGFPFETCIAEEPTKSLEDNFSKIRTHL AAQSSGTWSNSISILLKCTPCSVSKASPFLISSLISPSAVLTSPQSHLIDRERLFLSL AHPIDPFTTAPNANHGIHQIDAVKKWLHSPRDQVLHIYGTHGAHEAGEQLFYSIQKLC REDKNLHLTLYFSFDGWDERRSSLNRMLSTLWAQVVCHLSETYSGWTDTVLTQIAQEH CWTDIDLIKWFRKLQNWTPVRNISLVVNYVDECSSASYNSLIQLLSQMPFGNSCPCWI AITSQKHLDIAQGPSTWQSVDLGTVITDMRLESPTDMDFARLLQSHPELTLQRPLICE TIESFSSGNSLSRHILFEQLRKNKNWSWDITRLVSFLREANNNKFSVELILDRVLRSL GDSNLVHLILTWLIYAARPLTVWELATAIKFTSRPSPEPWANFNVMGARETGSTLESW LAGIVRIEHNEIRIPDRRVRDIFSQCSNATDNTYIWQEIRGTAEFYITQSCLEYLTLS DIQEKMQARLPASASESIEPSCQLRRRDLCFYATQTWTYHAAQISAPCDYSSLLDSFT RSEAKTLRTPFLTAAAYNSTATMHVLMKHGADIHHRGMLQRTALHYTGDLNCIEAAEA LVTECHDILDQGEEDGLTPVYESSQWGQYAMLKCLLELGADPNMGACTKTTTPRWSPL VAAAEEGHDECVRVLLDYGANTNIPGPWGTDTPLRYAAVNGHEATCRALLDGGADPNC PLISPPLLIELFNYSNEIPSSTMLNLLDLFLDRGAQANAKDESGTTALTMAVTRGEES LVRCLLDHGADPRASGDPFGLLAIAIDQGGSLIRLLAERGANLETRNHQDVTPLMYAA TRCHTDALAALLQWNVVIDAEVNHTKPDWPGWTALCFAANHDNQKGLKLLIEAGADLS HRTADGFCPIHLAGECSALRLLLEYRKRIDIDERTSFGSTALMLATADPPAPIDRIKL LINAGANLNAQDKNGDTALTLAACQNNIPAASILLQEPDIDINSASPCYGAALHQACR NQSLEMMKILVEHEADVNRTVPGIPGTPLQSACLVFGDYEFEGIRERIEYLMDKGADI TQSGGLFGGVLSVAALQCGSEVIEFLITKGARVDICNPHRCMPIHYAAFHGIETLVSV KEAGGDVTVRDKLGRSALHWAAQNGRVRAVDYLLTSLGAHAVNERDIDGWTPLCWAAR GTNGTPFPSLAGESCDYLGTIQLLLRSGADVSVSASIGDETWSPLKIACYSRAPVEIV KALRLSPEQRVTEDEVKIAGSRSGWCDCCHWVSDFLVVIYFLYYIKAKRAADPIGNIL HMYEVQGLSFLSKMLRKTRYHPCATYRSHIRRRAI AFLA_053280 MDNTLVQVTEELTTDDEDNEQTTSFGIHTEKAGEESGYHTRNDP KAPEQRRTITAYHGSVDVQGESLAIIHGELSPESELYATLLVFEFRFDGVKWKNRIPW VQISLEFRSSTLEAAGPVVHAISPQGTYSLCPVDQDEACQREGQIQAGAEQMGVSMGA SYGWSKTTNRTTTNSTKIKGFKTCDVYGNSTGVSWTLEENQATKTGVPTYLRTAVCLE RQQESKFEANVKVEYVIDGKSWKERFFGATDPNDPILYNPKRAPTNMLQLVYDIDDLE SVQLEEIFDASIHTTLQNRVKAHASPNYKGD AFLA_053290 MAKRFRRRRTDVDQGESDGEGTFPSITPEISLKTVLDDVLLKLE DKNGWQTVSPETRQYLATQVDAGKSTALHLIVKHQDKKTAKKVRPLIQYLAQEHGQIL CQRDNNNDTPLHIAIRDQNDRMVYRVLQAFDNLDEALAHANDSGKNCIHLAIEKKSRD LWALVESASAGTLSAKDNNGNTPLHLAVDYERLDHEDLHLIVETIVNKSDPVMRKEKN GDFNNFFINNKCEQMSPYVYHLWTRNRALKLSGDIAEKDGERARNAMRELRNIQEAKP QMNETQKRITPGVSQIQPAFQKGPGQLKKGQGSVELNEKLLEGRESQVDPEQSTTQLE NSISAQGKQRNKDIESAWIQRFLKMHYLRERGHDAALEILQGRNTLANKEIYLDLSNK SNVSVEQICQQTIQTLEFEDILQYVHIPKFKPSQPPQRRSNKRLPKRSLEDIERIFQS EKLEGVKTILKIVVEDLEEPPHTDTAIERFLKPKGVETWDWKKVDICPEVIQQAAPTV RHLNLYWSGRNAVLRGWSEQEGLRRLKQLEKITLHVLPTQSRESKARIEINLSAFERR IRRLFISDRAEEVAHNEYFVDDLKIALKESISESILDEHRQRGVVGIGKAIIEATYQR ITKVLIPEMSKYIAQGMVQHFEEIAPSTEESDENLVDCAAIMKMTEPFVVTFIGEMFD KLQRQIEKLQDIDSHPGRTKEAVEKKIEYIIREQILKDSLKIKELSYQLGRGLSNQLR ALKVAFDHKLSEDANQETSALSISSPKKKQTQKWTECMKSFNQTLFTALENDSDIEHQ IHKRGEPIVVAVIDDGVDIEQMDLKKYGSITGRSFCPQPHDPKFKIPHYNSSRGHGTL MAKQIHRICPKANLLVLKLEDRDDRETNKRCITPISAANAIRYAVQRKVHIISMSWSI RAPAVNNISDEFNELRGALQEAQNANILLFSSASDQGPDTYDTYPAFGTSAIFKIGGA DVDGNLHAQVGGEDNVHYIFPGDTFEGDDNNDGLASRSQWFTGSSVATAYASGFAALI LYCAQVRIALSNENDRVKCTEAFRALKTHSEMERVFRNIGKTKKYLPVWEVFGRSHKS HSGTSESDFIASVPVNLYPEPYS AFLA_053300 MTRIVYTSHLLSGRLVEFLHQTHLKYGAVVRVAPNEVIFTSSQA WNDIYNVRQGAPEMGKDTPLYQSLGTPPTIAEAGHDLHRRYRRLLFFTFDLISELTFG ESFKCLENGRFHPWILMVTQSIKFRAIIQALGYYPLLFKLFMGLIPKSYQEAFRDHQK LTSENVQRRIDKKVDYTDLASNLIDPKHNLERYEIDGNCAVLIVAGSETTTTALSATM YYLTQNPEAKAKVIKEVRSSFSSAEEITAISVNQLKYLPACFNEAMRKLPPAPAVFTR RVPREGAYIAGNWIPGGTHVGMCHFATNNSSLNFKDPEKYTPERWLGDPEYEDDARAA MQVFSVGPRNCIGQNLARLELRLLLSRVIWEFDWELDPVSVDWDKDMPVYLSWGMKPL KFHFTPVVR AFLA_053310 MEKRDIEPRVAESLSSDFDPALEKKLLRKLDWRIIPALWFLFLV SFMDRSNIGNAKIAGMVKELHMVGNDYNVAVTMFTVAYVIFGVPANLLVKKFGPRMLV LYMFTWGLFVMGQGLTKTATGLIACRFFMGMCEAGFVPGCAYLIGSYYKRDEFLRRYA IFFSANMAAGAINGVSQLFSSLLTGLKLSGYAGWRWLFLIEAIITMGISIVCYWIVVP FPEDANFLTPEEKALLLARLEADGGGVRNDPISFKRVLSMAADWKIWICVLAYIGAEE SASSLVAFQPTILKDLGWTARSAQAHGIPIYAVAFVLTLSSAWLSDHLRHRFLFTLFG SVLIIIGWSVQLAHYLPAGVRYMGMFFVASGAFIMMSITVVWLCVNLGKGVKRSVGMG LLPAFGNCGAFVSGNVFITSQSPKYPVGFGVGLGFAVMAGVASTVYYFGLRAENRRRA SQPEKEWTPESVQDLGDAHPDFRFQL AFLA_053320 MNHVQPPTQVQMWIISGVCSGRVGYDQCAKNRNFLPVEILVINH HGPILPEDHMCRDQTYQPSTELEVILGSRLVDPAAWFSCE AFLA_053330 MVLSPSDVPNKGPLFVKVTAVLTVIAFILVAYRIVWKVYMKSKI ATDDAIISFSMAIQIVNTVMGDLACHYAFGRHRADVARTGGNRVLALKFFWLFQILYK LVLCLNKLSFLAFYLRLFPTRKFRLVCWITIALVLSGTFGFVIATIFQCIPVHASWHK DIPKKCVKNAEFRWSWAGYNTAMDIWVCLMPLPVLARLQLDRIRKIGVMIVFCMGLFV CITSIVRMSAMAESTKTNDPTWGSFDALMWSAIEASTGIICACLPFLKHPIQRLIPSW FVSLSNGSKKTRPSYRMSRLGSQSGTRTGGHRDEDYYGEADAESMGSQGPIAKGQIVM KTDIVMRSERAYS AFLA_053340 MADESTVGSPIVADAFLEALAEAGVDYLFTVLGSDHPSIIEAYI RRQNDPTRQYPKMILFQHEFVAMSAADGYARISHKPACVIAHVDVGTAALGQGLHNAS SGRAPVVVFAGVAPSTLLGEAPGSRSEHVQWYQDIRDQAALVAPYSRFSAEIKSPHNV GSLVHRAVLMATTGSPGPVYLTATREILATPIPSVEPRPKPVPSCHLGSLSPEAVEMI GNALLEAKAPLVITGYLGRSHRAVQQLITLADTVQGLRVFDSELREVCFPATHPACLT RSTGAAPAIQSADVILVLDADVPWIPRRVHPSPSAEIYHIDLDPRKERMNMFDIGASA TFHADTTSALTQLNAYITSSPRLPALQEAWTSRGQDLLTAHKEGKARIDSRATAPLST PNEPCTVDYLCSRIRASVPQDTIYITDSVTNQVPMTEQLQLTRPGSHLTKGGSGLGWS GGAAIGASLATARYDISDRPNLHLNETTKTPFICNIIGDGSFVFSVPTAVYWAAHRYQ TPFLTVILNNGGWNATRQCLADVHPSGVAAGLSNRDLGISLVEDGPDYGEVAKAAANG NLWTKRVRSVRELDVVLREGIRVVVEERKSVVLDVVIR AFLA_053350 MPIHIEPITQADTSRMVDIQQIAMGASAFFRSTGDVPNIDGTPE EVSASPCRTNKISRVLDNWEKDPTCYFLKAVDEDSGEMVAFAKWHVYHGEEGMKEWRA SVRTDEGMKVPLGANEEGFRFCKGKLLEKRRVFFGEEGREHCLLALLATDPRYERRGA ASLLTQWGCDSADRLGIECYVESSKKGYPVYKRKGFEEISSQEDENVISFDASRFTGR GGNDGDWVNLVCMVRKPTKSVC AFLA_053360 MASDSSTFAHTALPSDAHYIRLLQFEDTAATEPLRFTLGVYKFS DVPVYNALSYEWGDGTADRTIFINNGPFLIRDNLHHFLSVLAGSGQRDTLFFADAICI NQDDIPERNTQVQRMGDLYRQAQKVLVWIGPGTTESDLVFDICAEETQEAIDLQGSSG NALDMVYRRSYWTRLWIIQELFLARDAIVFCGSKSTPWSSFRRLTTAVRGDFVLGGFT GVDIQLGSSPLGLHTRTVLGQLDSKDGKYSILNKTIDNIVIKFGQAQCRDVRDRVFGL LGLAKMQEDGRGLRIMANYSATTVNLFVRLLSNMPYTLRLNHALSIFNILKLHHVDVC AWDIGIPETICNLVFEVGLTHLGHIRHVSESHPLLCGWCKKWNKRNKHTPFELGEQLR QELRDKAITEFMVGTTQSSLAAHNCGPLLSLGPYDSCVTAKKLNEGDEMFLMEGTNIV LIEHKPTPEDESHEPVTRFTRGVLAHTQREESILQAAMLLDSCVPSLPAPTEVRLQKA RFERPAYPFEVIHEELTLRQIMFILTRAAQHIFYTNVDRGPKHYQIPHSLTALRTVEI LHNHRILAGQIWPSMMVKVTDSNITATTVVVESATSKFKATFTSLENGVSLQEEAVMG FGVQIQWIVVNRCIHSASHLVDECLHSTAVLEENVRFSSLKIVDRFLNFVDDFNPKTK CLIEFLEKVASGEISKEDIRVIDKGYGDTGRFKDE AFLA_053370 MTTKQDSHENYIRIGSGFCGTVWARSLDGPVIKREDGGPSRSLA NDFVMHKRALDAFVKLSLTKCSSPDYLIQPQVRIPQCYSFLTPQHTWWGENLSHFPPG FSPCNAMSSERIPPFPEDVRVLIVERYCPPEIRNQILLSASNRACLIRPYIGRRRTYG TAMNARSRFRGFSLQNYPLHLDQMVELGIPSTHIERYAAMMGEALATLHWLGEIDGND VEFVLAPPPRHDSRITAMTNVLGKHNLWMIDFDLCCSMTMDLEGVEQAVNAFRRNDPF YPRPHTDHWIAFRQQYLQTSVDLTYSFHKDEIKSRLGLAQKFIDLLETTKK AFLA_053380 MVLHNIYSEQAEEAVQEAMNKVVRVAPKHLKSEGRELASRTDNQ PLTQTLIRTVSTKQWKIYMKKLIEDCLRWTKKVYKVLKHLNWIAVVVDWLIYAFNAMT GLDIPYISSFGPGLQHDGDNVDFQPLTAPIAPQETGGLQMVLTDRNGRRFN AFLA_053390 MQLTHLLAFALSLATSEAAYKGFNYGATKSDGSVKSQSDFESEF STAKNLVGTSGFTSARLYTMIQGGTTNSPISAIPAAIAENTSLLLGLWASGGGMDNEL AALRSAISQYGDSFAKLVVGISVGSEDLYRASSEGEKVNAGIGIGPDDLVSFIKEVRS IISGTALSSVPIGHVDTWTAWTNGSNSAVIDAVDWLGFDGYPYFQSSISAAGSATPVG SAVPSSSAAVNPSSSGIVSSAAPSTTPGFTVGKGFRPSNSSAAAYYSSASASGSAYPK FTKTASGSSATSTSAGSSSDSSSTNSGKSSSDSSSTNSGASASSSSILATGGASSVSG SVFGALVAVFAFVATL AFLA_053400 MHPGEPNLQQFLPVALLRKIPQRRLVRRSLLIERQRMKRIPNIL GENRIPELELLAYPSSQPGLVEGDRVPNPDDLHSKLREGISESECSSLLSV AFLA_053410 MVVAKFVVGIWIPVWKHLPWTKSKAGKTSKESSSGPDVPIETPK DNASMLSALLLGSAMVARGEIGLLIIEIGYNSTEYVSEEGFITGVWAILLNTIIGPVT VGLLVKFYGKRIEEGEWGLQKDSSQSQEKGNAQSAV AFLA_053420 MSPVQLQSSQEAHILEDLPPDDYVRMQDPDPTPTAARPRNNYFE QWKPGTQTSNGGLPPSGTKEQNYPHEIYFLELAQSTEIINGDFFGQNGRILHSMDGGK SVTALVSGLRSPDGIEISQSAGRMFWTNMGLGTSIQDGSVMSADFDGSDIKTIIPEGA VYTPKQLTIDDQNHKLYFCDREGMSVHRCDFDGQNHENLILRGDYKTTDIEDPTRWCV GIAVDINNGKFYWTQKGPSRGGKGRIFRTNIDMAFGANAFNRSDIETLFVGLSEPVGL GFESETQTLYWTDRGAHPSGNTLNKAYVGEKSPRVQILARQFHEPIGLKVDSINQQVY VTDLGGSLYRVGMDGGGKEVIYRGDGFYTGVTVV AFLA_053430 MKDIKTVAIIGTGVIGASWTALFLARGLKVLVTDPAPNAEKNLE TYLNAQWPTLTQIGLSEGASLKNYAFVDSLDNHFEEIDFIQEVPFPFSNTGVILLTVT KNGPERLEFKRTLFAYLDEKARPEVIIASSSSGIPSSEYASACRHHPERVLVGHPFNP PHLIPLVEVVPHRTTDRETVVPRAMEFYRSLGKKPVLIQKEIPGFIANRLQAALSMEA YSLVSRGIISAADLGKILSCLLGVSIAV AFLA_053440 MKPYSLLSSLAILGPAALTVAQTLNIVAHQDDDLLFMSPDLLSD VRSGRAVRTVFLTAGDAGKGEDYWTSRQAGSLATYAQIAGVANEWNEGDAGIEGFDIP VYELAAKPQIELAFLHIPDGNLDGSGFASTGSVSLQKLWEGTIDQIGTVDASGTTYTR DQLLDVLSDIIENFSPDRINTLDFVNDLGDGDHSDHHTTGFFADHASQNADNDAVFYG YMGYLVQNQPANLNADQIADKKNIFYFYAGYDSGTCNSDAACAGRPELAWLERQYLV AFLA_053450 MSPNPERALSVAEHLRDLVPDAGHTHHMPTHLDVLVGDYRRAIS SNIHATLADEKYVAVEGPNSFYSLYRLHNYHSLIYAAMHAGKKQIALEAADRMERTIP AEVLRSKSPNLADWLEGFVAVHLHVMVRFGMWCEIIAMALPKDQELYCVTTATIHYAK GVVYAATNRVTEAEQERKLYVAATERVPVTRRTHPNRSVDILNVDVAMLDGEIEYRRG EHEKVFRTLRRAIELDDGLNYAEPWGWMQPVRHAFAALSLEQGNIEAAGEAYKADLSL NSTLGHAHHHPNNVWALQGYYDCLVRLGRDDEAKLLEPQVKVALAVADVLVKSSCFCR LNTSDCPDVRVLTSYCK AFLA_053460 MLSDGKASPSVESVEYLFDLGAFSRKITTSSPASQVWFNRGLTW VYAFNHMEGVKCFQKAIAYDPKCAMAYWGIAYALGAN AFLA_053470 MVTGNQPSPPQSRLTTILVNNLHCPSCVTNVEETLSALTPSPFS ISTSILSHEIKVVHPITLSSSRIVRALEEVAFEIDSVIAHDSDESDIEAQQPRTHRPA SGKHDVHSQSKIHIQKCNECATQLASSSSNSSDDEGAMKEITATAASVSSAENSLTSV MDDRLTGSRTRITLSISGMSCSSCVGKITGALQNRPWILSADVNLLTSSAVIMLMDNS HIDEVLEIIRSSGYTVELIDTEELQPQKTSKSSGMAHAWRASYVIGGMTCSSCVGKVT DTLNHLDWITKVDVSLVSGSAAVEFQGKAHLDEIAGIIKGLGYTATLSDLESRAPAEQ RSSKRSVMIQVDGMHCAHCPQRILDALDVYSDRLDVTEPPSKAQHRLTVNYLPDAPNF TIRHIIRTIADVDKSFTVSIYHPPSLEERSQAMHRRHQWQIARRLALSVLVAIPTFII GIVYMSLVSKDNPGRKYLEEPMWAGQVSRIEWALFILATPVYFFAADLFHRRMITELR ALWRPGSKTPILRRLYRFGSMDMLMSLGTSIAYFSSIAILAIDATEPRNGHKASSAGT FFDSVVFLTMFLLIGRLLEAYSKAKAGDAVGLLGKLRPKEAILVERNGEGSTTSRAVP ADQLEFGDVVRVANGASPPYDGTVVEGESQFDESSLTGESRPVTKSIGDDVFSGTINQ ARPVSVRITGLSGNSMLDQIIGAVREGQIRRAPIERTADQITGVFVPIITLIAILDWI IWLALGLSGRLPESWMNGNPGGWEFWSLQFAISVFVVACPCGIGLAAPTALFVGGGLA AQNGILVKGGGEAFQEASQLDCIVFDKTGTITEGGEPAITDHEIANSEDVDKVWGAVL DLEKNSSHPIAKAMVSFANSQQPPALKATTVDEIPGKGMKGSFFPQGQDGPALEVIIG NEALMKDHKVAISPTNDEMLTTWKRQAKSVVLVGTRICPTPGPTEHVPWKLSLMLAVS DPIRREAKDTLQALRNRGVAVWMLSGDNPTTAHAVGEMVGIPPDNIIAGVLPEQKAEK VQYLQKTLQKPPRSSWFRRGKEPQSGRAIVAMVGDGINDSPALTVADVGIAIGSGSDI AISSAEFVLVSSGLTSLLTLIDLSRLVFRRIKFNFGWALVYNCVAVPVAAGNVSLRRQ STLWNWSTRAGIVVVKDPRDLWNSARRGIKGW AFLA_053480 MSIFAPGNKLREKRAENDPQPVLQGHRLGLEKTPPVFGGTKGCQ RLELWAQIPHRIEPSYTMSDHQYKFTVKMGCSGCSNAIQKALGPLSGLKSLDISLEQQ TVSVVAEPSLSFNAVLAAIKGKGKDVHSGEIDGAPQPI AFLA_053490 MAASMASGMTTSIILETILLRQGVDQLSWPMAARTAMGMSMVSM VAMEAAENIVDYHLTGGVVALGDPKFWMAAAVSMTAGYLAPLPYNYHRLKKYGKACH AFLA_053500 MTARYHTKIHPTLYPPLLETLIPDDRTVADQLVELYFSTFETTF RILHVPRFLEEYNDHWHPDNHGPMSTGCGDIFAAKLLSLMTCATCLVDTAVSGEDSQS LNEKAKSWIQAVVSWVKTLTSHARLTLDVIQVKCLLLLARQAVGHEGDFAWLAAGSLV REAITIGLHRDPSHLKGLSPYWGEIRRRLWLTIIELDLQAALGTGAPVTLSEDEYDCA PPSNIDDEDLLVDSPVAPTPKPITVLTRTAFQVSLAQTIGVRINITKAVNRVRLTLSY QRILDLSEMLTTQVAKASLALLAPLEISADDLDHGPDNEQRTVYPYLLRLRGGMFRDE FFHAAATLCFELQLQAKDKPLLPLPGSVQGFVDKTTSY AFLA_053510 MGTGDSGLSGTGYTPQLDDNDECSSEYDITWSPGDGVCSTTAEF NHCCQSKTDDWENAALTYLEGIESFQYYLSAFLGLFENISLFYHCLPGAANILGRLKS FLVLKSSL AFLA_053520 MVHPKVRKALEEARKLVADLESYEDGPINHQAVVKQTERVRIAL QEPIDLVTRSIEFLALGGAFHTILGIRAYHAMPEDGSAITADELARVTNVANTVIHRI YRVAINHGIFTETAPDTYAHNDLSRALNPKGMGSFFMIALEFTRAWIHLPEYLQSHKT DDVFDLVKSPAVYSVGKEHLGKSYYELLELDPDPERREVWNANMFMVDQLMPIVGMFP FASLKEEVEQDPGRPYLVDIGAGRGQSCFAIQKDINGAFDAKFILQDLPGVINNMNPE DYPGFDLMTYDAFTPQPIKNAHIYFMRRFLHDFYNPVCIEFVKNTASAMGPSSRLLIC DMLVPDMVEPHENTDLYWLDFALLCMTGQEKKKADFMEIFEAAGLELVKIYPSAYGRT VMLEARLKK AFLA_053530 MSNAGSKPKIRVAIIGAGPAGLGAAIEFQKLPFVDLRIYEQARE LREVGAGISIQRNTWRMLDALGVYDNIDPSTIFSAADGHSVQHRGQHDTPPRHKHARA LRTVLQQALLKAVDKTNLRLSSRLVEIRELPNKTLSLRFEDEHTDEVDLLIGADGVRS VVRQFSFPDHRISYTGTTAFRALVNADDILSIPNFPDAVTFWHGPTRAASVEALSGAF GAGAGFALEDVYVLARAVQWAHERDFPLSDALILYDRVRSPHYKDMVCANA AFLA_053540 MTRTDVLIVGAGPTGLVLALWLTRQGISVRIIDKSEAKASTSRA MAIHARTLELYRQLDLAEDVVANGHKIAATNIWAGGVHRSHVPFGDFGAGLTPYPFIH IFPQDQHERLLEARLNTMGVHVERNRELAEFQEQESSITARLRNTTKPHIENSDIETC EAAFIVGCDGAHSAVRQNCRIGFEGATYSKLFYVADIEGSGPSLNGQAHLSLNDNQFF LLMAYDKDRRARLNGAVDEGALTKDISDLTLDDVAPDAGKAVGVKIDKVNWFSTYHVH HRVAEAFRSGRAFLVGDAAHIHSPVGGQGMNTGIGDAINLAWKLTAVIQDKADMSLLD SYEVERRAFAALLVNTTDTAFNAIASEGYLSYFIRTWFIPYVSPILSKIGLVRQRMFR GVSQIMLNYRDSALSAGLAGMVQGGDRIPWAPVGELDNFQSFGEITWQVHVYGEAKDE LKEWCRSKGIPLHIFPWNEKYQSVGLGKDAAYLLRPDTYVAVAEPSGRPERYDQYLKD NNIRL AFLA_053550 MDHGAGHDSATLLYSGHPPVRSSNLPPGQLTMSSTRHNASATSS ERKRLRDRRAQQNLREKRENRMRALEERVSYCEKNHGNELIHNCMLTVETVRRENELL LARQEHLRRLFQGWEAQGNGAAGARLALSSTYMASDPLPAPTTPICSPGSETVLVDPE MHTMSGWSGNGIESAHLGSTTDGLTTTSMPSTWPVGSDTQSPPSPIIELGLVPNMHST PGPDTCPLMTPKEYTYITANTRWDGWLAVSEAIAKLPLLSTPFELLHGSRHNWLADQI NRLLRRMSLREPDRLAYSWIMYSFVKWRANPSPLAYANMPNFIQPVAGQVRQDQHADL VFFLWPQLRLNVLENWNTIDIIELYRYAISACRVRWPSSQSIWDWDDNNNMFVKPEFF QTFMDRSGWGLTSVFIDKYPQLMKGMDVEHIRYDIP AFLA_053560 MERFVNDILDALLQDHTLRREFRLEGRRRNRSADQFCVHVASDE RRIPAYAVEFKAPHKLRIPDLISGLHEMEPERDVIDKKGDTFEFHASHLVAAAITQLF SYMVDSGVRNGYICTGEAYVFLHIADDPTVVYYYLCVPNRDVNPEDKYHLHRTAVAQV LAFTLNALAAEQPSQDWFDAARKNLSTWKVEYLHVLKNIPETIRKDPPYFEYKPSDWK PVERSPYHTRSRGRCQPNQKTCQGSLKRNIAYEDDFPPPYPAPATRAQNNLAGSSNPN RGSNTRKYCTMACLRGLLTRGHLDFQCPNVHQHGPVRHQINSRDFTRKLHAQLRQDRH KGFEQLHIRGRTGFLLKATLLSHGYTVIIKATTEARQHNLRKEIDAYGSLLQLQGHSI PVHIGSFQPRMPYWYHGERMSYMMILSWSGIRMETLLMQNDANASLFSQERNKLRKIL RSHGIVHGDLEYRNILWNDSLGHAIVIDFEDVTWVKNPQPLGPTSGNVARGNASDKRG NLHGHNTLPSAPRLQPNTIENYSMNSSLNMRGGNRKPLNISLT AFLA_053570 MKFTGLAIVSLIGCAAALPPFGASSTPSSSVSVSVTPSASPSSS SIAFAGLSKRSETPSSSAAPSSSSVAAPWVYHKRQFATPSSSGIASSSATPSSSSVAA PWVFHRRQYATPSSSATPSSSATPSSSATPSSSSVAAPWVFHRRQYATPSSSATPSSS ATPSSSATPSSSSVAAPWVFHRREYATPSSSATPSSSATPSSSASVTPTSFAAQKRKF SGSSSSSSASPSSSSATPSSSATPSPSP AFLA_053580 MPAPAGTHPNPQAGVRNAPRRNDRRRQDKKAKPDHVEHATGGQP NARLRRLLRIRQRGREKRRQKKREEAQQALEPVPPPEWLSLDSITPLSFSDVPLPGPF IPTFPLAGSLSETHAVDREMPLETSEATDHIKYPKDETAVQGPKIEGDEVDEGLNTLD GDESDVSDCWDSYEKYYPDIPSPSDGERDNGVIQSEQEIKDKQRAYEISKIEEVQNAY KSLKAALEKHNGRPRISIADTHFRLYSTDYFDHCYKPEHYPSKYVKFYHWTDDHTKMN GHVILDSCFGFYFETFSSPTYASPHGVNLTENETKHDCDIKFLSNDYLILVLSREGVF APEDPPPGAPQYFTFFGVHFDKDKERVKRESRLMKSQLVEKKPSPSPDS AFLA_053590 MGCSTGKIWRDCHENEWTIFGMGWRSRRRKRLLTVDPGEPYSGW LDQLHHGYVKDWETLEFDHATHHDEKPDPTRKKRGGVVWPSDDALAIAPEIGDQ AFLA_053600 MSEHILVFGATGPSGTEFCSAALRQGHRLTLLVRTPQKLPLEVS GNPNVTVIPGTFEDVTKMEQAAGCGARIFVSFAGPTYGSKGTPVTEAIKLIFPMLVAN NYKRAMVLGTSSYPSPLDKGAIKWKLSVALIKIIAGSAYQEFRGLGEFVASQDPTQLP WTLFRVPFLTNGAEAPVTATYTGHGDDGFFLSRKSMASWVLKEMDTNSVQVGKTPVIS N AFLA_053610 MNQQPTLSSNLIEAAIKSKSTKRFIPSHFGIIYNEQHASVFPPL KGKLLAAEKLRSSGLEYTLVSNGFFMDYYGLPKVKSYLQPFVFAVDIANNSATIPGSG NVPVVFTHTFDVAQYVAALIGEEKWNERSIIIGDKLTWNDLVSLAETTKGTKFDVTYD GEEKLKTFQVTELPSHPPVYPFFAKEQLQYILAVFGQWTEAGAFNLPDEVTLNKQFPD IKPLSMNDLLQAAWKV AFLA_053620 MPQRNMDQALEFDQNMTQVRRPRDDQENHEGTDADEGQGTTTSN RPYTQYDILAPFTKADAEKNCPDPADPFQICMEPFEYTRSLPGKNTVGKVIESLRPWL NISDRSAAVLTDVMTMLQNSSLMLDDIEDGSQLRRGAPAAHVKYGLSQTINSTTYIIA KIVSDVQTHLRPECAKVLSEELQTLTLGQALDLNWTFNKKCPSVNEYLVMIDHKTGGF FRLMLRIMEIEANATPNDELRHLITLLGRYYQIRDDYQNLASDEYTAKKGFCDDLSEG KFSFPLIHLLEHSPNASTLHQMIFGRQPVDEGEMSEETKSYIISQMKEVGSLDYTQDV LKSLFSSIWETVERIEEAMGKNMGFKGLCQILKL AFLA_053630 MSMTVSDSTQIRDGFPRPFPDTPANVLEQFKLNGKVVVVTGAAD GIGLAVAEAMAEAGANVALWYNSNDAAIKRGEELAKDHGVRTVAYKVDVSNPEEVQKA VADVVRDFERIDVFVANAGMAISKPILEQTLDEYRKQMSVNVDGVVACAKYAGEVFQR QGSGNLIITSSMSAHIVNVPTDQPVYNGSKAFVTQFGKSLAREWRDFARVNIVSPGFF ETKMGASPLALNEAYRMAVLGRQGHVKEIKGLYLYLASDASTYMTGSDVLIDGGYVLP AFLA_053640 MPNADIYKDLKDKKVLGTQIPVYKSGDLEYEVSVDVANLLYRFS RPTCVVKPELPVHVEEVVKYAYENKTPITVKNGGHSYAGLSTTNDGILLDLSRMNDVY LQHKSEPPTITMQGGALWAHAYRQLVIEKVNKLVVNGGRCPTVGVSGFVLGGGLGPFT RKFGMGCDSLLEATLVTGKGDLVTVRKDDPDPEKRKLFWALCGAGANNFGAVITMKMS LHELQEEKVVAGRYTWYPSTDEREEGFMEAMNSFYAANWSNSMTIDTSWFCDLKDGKG DLAVRFLTYYDGKKQEFQEEIDRKLRGDAGSDIHKIKNSLADNIKRRSIAEDSSRFLH ETLVSQWSEETKKAIPSNKAYSIYASFVFGTEPDYTGITKSIRDHMKNFKKEFRGESA LLQVTFIHTGQQATRIGANETAFPWRDASRIAYIMLQWDEKWLGEEMEEFCKNFKENL MQFSIDRKAGFLNFPDRELCVKEDHHQAYYGPNSDAIREIKQTWDPKGIFQFAPKNER DESIATDIVPILQAALSIEEIVSASLPHLNPLMTLISQLSAGNLS AFLA_053650 MTAPKDISSRDELTKRAVPSMVLSDGSPSSMTPPPATNTESDPT TAAQKRFTVQGNAVVTGGAGTLGLQSCNALLEHGLNGLMIMDVDPMISQKEIEELRVK YPKAKIATLKVDVTDEVAVESAFVETTKVLGSVDALLCFVGVVGCVNSLEMPIPQWRK IMDINTTGSFICAQAAAKRMVARGTGGSITFVASISGHRVNFPQPQAAYNVSKAAILM LKSCLAAEWARYGIRTNSVSPGYMDTILNAGDGIAEHRRIWAERNPSGRMGSPSELTG AIVLLASSASTYINGADIVVDGGQILF AFLA_053660 MSEYGSIARSFVSHYYGVFDDTNARSTLSSLYRQESCLVWEGQP YQGPESIMAALSQTSLNNVKTRVTTTDPVPTSNSGVLVVATGSLVVDDAYDKPLKFSS TFLLQPIPGQPGGYFIEGQIFRLVHE AFLA_053670 MLGALCDSGQESGSSQLCTVFYHLRIRIAPTFMIGTRQRTYELQ KMLYLLEMMGRRQRRMNGSCANFSFTQDSSVQRMDLVAFGGYGDFRSEGLSITKTEQG QRNMA AFLA_053680 MTSLNPISQPQEEEEKKQQQTYSDWLREQYNIQYEKWYPWIEDQ YLKWFGKGDNKASYATKDTLNESKVAGINQVDQLQDDVHNLAGNQLGDNGLLSPVGNL VSKEGINRAERKGKDEEGRYGFGGFGITGK AFLA_053690 MLLFPLIHLAIGATIAHASFDPSHFTWYTSPASNFTSTLPLGNG RLGAAVWGSTVENITLNENSIWSGQFMDRVNPDSYSALDPVRSMLKEGNMTAAGQTTL EHMVGSPDEPRAYHPLGSLVLDFGHEDSQVENYTRSLDLLKGRAVVHYGYHGVEFRRE YIASHPAGVIAARLTASEAGRLNVAASLSRGRYVTENTATAGNDTGSLKLRASTAESD DISFSAAARIVTHGGWVSRSASSVVIQNATTVDIFIDAETSYRFETQEAWEAEIERKL DAAMRAGFPAIEQAATADHEALAGRVHLDLASSGAAGNLPTDVRLERYKTHPDADPEL VTLMFQFGRYSLIASSRETGTSPLPPNLQGLWNEDYEPAWGGRYTVNINLEMNYWPAG VTNLAETLGPLIFLLETVKPRGQDIARRMYNCDNGGYVLHHNTDIWGDAVPVNNGTKW TMWPMGGAWLSANLMEYYRFTQDTNLLKERIWPLLRSAAQFYHCYVFSFNGYLSTGPS SSPENAFVVPNDMSESGNEEGIDIAPTMDNTLLSELFHSIIETGKVLGINNTDTTKAA SSLPLIKLPQIGSYGQILEWRHEYQETEPGHRHMSPIFGLFPGSQMTPLVNSTLAAAA TVLLDHRIAHGSGSTGWSRAWIISLYSRLFDGDAAWNHTQVFLKTYPSANLWNTDSGP GSAFQIDGNFGFTAGIAEMLLQSHAGVVHLLPALPSAVPHGKVSGLVARGNFVVDMEW SGGKLTWATITARTGGKLAIRVQDGQQFGVNGSVYTEEISSVEGGIYEISLL AFLA_053700 MSAPVVQGLSSLGSQAGQYARRQANTWRDRLLTTDKSRRRAQIV QTSFAVHRPVWVTAGGAAYTTAAAALLTLRYMKRLR AFLA_053710 MHAKRFLRQAKGLINLSPTSWESLSGLVRSAARRSIDGAMANSP DKPRVMLTNLVQVLVLRVVLSVLFRMETEALEIPDHDLLRLAEAINDAWTSSKDKTHL VSFQDNISLQNSLKTLFPHLNCLDPQENPLNLVIPGFETMWRIVLRLFIEISYTSGLY HPEWRGIMTTFAIAPTKDEFERRNGKQNLSAEMLVNEALRLYPPTKRVYRAFLPVGSD TVEVLNADIEKAQTATHIWGSDAEVFAPGRWGALTPQQKLAFFPFGSKPFAAFGPRAI ALVVAYPKADYD AFLA_053720 MMNWIYGAPSLTLTISHTLNIMLQKSKIESDSAQIDPTHPAHGI VGTIVETDAVFGNVTEDGPNYRNVGWISTAALMMKTQIGLGVLSMPLVFGTLGLIPGN ILLLAIAGITTWSNYMIGVFKLRHPEVYGIDDVGRMFFGQIGCELFGAAYALFYTVAA GSAMLSISTALNALSSHAVCTAAFVAVAAVIGFAFGSIRTLDRIGILAWIGAISIIIA VFIVTIAVGLQDRPSAAPSTGIWKSDYKIVNNPSFTDAVSAISTLVFTYAGTPAFFNI AAEMRQPLLYTRALAACQTTVTMVYVIVGTIIYYYCGSYVASPALGSAGVTIKKVAYG ISLPGLIVSCVLFVHVRYQLPAKHTFVRILRGSNHLTANSVIHWVTWLGSTSSVALIA YIVASAIPVFSGLVSLIGALLGTFLTFHPYAGMWLYDNCKNRERTLSWYSMVGWCIFI FVAGTFLTIVGTYGSIVSIIDSYTQSGGTSVWSCADNSNST AFLA_053730 MDVTIIGSSIISLLSALVLTHAQYKVTIIASDLPGDQNQDWASP WYAYLTRTRHFIRKQVHSIEEAKHIVKRDMPVHASGLGAAQLAGDKDVLPIRGQTMYL ETDFDELATFQGSHQGLNKRHCCISAREERRLPY AFLA_053740 MSNARTHTKIAGTPDYDDPIFWDTKFATGQDVGEWLNPGENLVQ AVLSHLDNRSSVQERSPRVLHLGPGISKLGTKLREAFVDRDWKGSGIVNVDFSAEAVR LGQEIESKQNPSHAMHWLCADLRSWNDMSSLAPLAPFDIIIDKSTSDAIATSPSTTLS PTSISQDTCPVVRDVANTQGQTTLSPVELLALHLVPLTIEGTMWFSLSYSTMRFDNLP RLANHWDLVSRTPLKAPQGQTSSFAHAPEVFHWLYILRRK AFLA_053750 MRATIVGWHRGRVKSRGGIPGHRIPRRAKHRAARISQDAIWTMR IEGHREVVTGTTQSFSDTQDFVSRQLHPHLPVRRPTTTDPSLYLKARHGLWKI AFLA_053760 MVGVPRSKGCSLCRKRRIRCDQSRPHCSQCRKYGAVCPGYKRSL KFQDEGPRLHGLYLITSPDTDGSDEGSSPISDSLPVKAQLQMRCFTNASLDEQICPSL AFKSFLGQQPRLFKEFVCASFPTMYYHNEFRFGPGFTFPDNVIKKFGSKPYYDATVMC LSLAWLAHLTKDPNLQYASRAKYTEALSGIQYALTSDDIGSDALLMAVILLAFYEMNT IFMELVKCPGYIKEARAIVSVTSPEARSLAYRIRTTCDRLRRLSKEMRTLLSAHNQRK QGITLRFVGPEPQLFPETSPSLLLRAGVDAVRILEQILHRITVPTPAVEQTLIIRDGA LTPVSSPESSGDAESPPLLSFDLSCDLGNGPQASVGNDDQRALTWLDRVAGAMGLLGA EITYGMKPEVQTDLALRTVRTLTPVDDDLPEPRRSSD AFLA_053770 MQYGTPDGSAKRLSEAISTETTNWKPSIYPLGEIDSCSKHVVVL QTGITSLRDLTVDVFDKAKRTLLNASHLLWVYHLDSPDAQMIVGLTRSLRSEGFGRIA TLGLEAKDIEKPTPAILAAMDALWPVDGERSCKELDFRACGSDLVVPRVTNDTVANAF VHKETHEKTISVQPFYQSGRRFKLEIASPGSLDTLYFADDNVGMLGDDEIEIEVKATG LNFKDIVVAMCQLAQPWLGIECSGVVSSVGKNVSSFTVGQRVVALPEGAFSTYALSRA TSAAPIPENI AFLA_053780 MAGYLAMAIEAAERRAQQHEAIFSRFEFRELKVGAALVFTDDVD TEAVITLRPYTEGTRGNSDIWDEFRICSWNTKRAWTEHCTGHVRVRINGKQQTLVSNV AETGLKHMSIQTKKVMTAATYRIDTQNMYRVLSGVGAGYGPCFQGLENYFSNPHHSRA DLYLRDTKKVMYKDRGRMELEALYMPTMIRSLIISANLSTIPGDFVKAWCVGGPSLST PQPTKFDLWATSQDSTEVLINMEGLILTPMKDPNADSGGDVAELCYKIEWQPLHDDKA IAEEERQEPIDYINVTLWSPMSLPMAILPTKSSPMDASSMAGLSTAVLAIT AFLA_053790 MKNVVEAYFKAIKTIKPATTAIAIFFSSVTGGIAAPADLGPAYW VQNLTSTVLFTNALGKMCADGESRPNMLIELGPHSALKGPIRDTLKGIGPPTAKIAYA PTVVRNSEPSHSLLDAAGAAYVRGAVLDMTEINFPKSKAKNRSFLRDLPRHPGSMTPV IGINPASPINTVTGTASATIFWGPWLCSPITWSLHGATYSALTMCPGFGSRGSKV AFLA_053800 MLSVIERHLVEQGTEQQTLGLLKIVRQDIKSAGSPSLFYGDPNA SILFVNDLTKMGLIKAANFGPALLSQGDKRKSRSNPPGRLN AFLA_053810 MAPAPIDPRIVDVAEPQKDTLALPAASRERLVKAGIDLSNGYPY RPSRPLYSDDVYNIRNYDRPHVDPGTRADPEKKALLSAAKEVIHLTRHIGTEIVGLQL KDLTDQQKDELGLLIAERSVVFFRDQDLSPQQQKALGEYFGEVEVHPQVPQVPGLPGV SVLWPALQATERAASYRRPGGASRWHTDLVHERQPAGVTHLHNDAVPTIGGDTLWASG YAAYEKLSPEFRKIIDGRTAIYRSAHPYLDRKDPEAGPKYIEREHPIVRVHPATGWKA LWVNRAMTDRIVGLDKAESDVILGYLFDVYEKNIDIQVRFKWTPRTSALWDNRLVNVL WFTIFLLWGTNPRQNYHSQCQLGLRRLGAQTWHSSHGPRGEAFLRPQRPDPKTGFGLA GPR AFLA_053820 MTRTQIHKVAVIGAGISGVVSAGHLLAAGFDVTVFERNKVAGGV WNNVPTPLIRVKLNAWPEGTPDFVSHDVIKEYIQDTSRKARVDDVTIYGARVKDLRKR GDKWEVFWSTVRENDQSDMVVELEEISVFDAIIVASGHYHAPRIPDIPGLSEAKTHWA SRIMHSKGFRKSQGFENKNVLLIGGGVSSADIAKEIGPVAKTVYQSTRNGDFDLPASL LPDNGVRIGEVSHFEIDRSQDTVSDDEPLPLTIHLKSGQKLCGIDRVIICTGYHITLP FLRDYHSDHTPAELADEKILVTDGTQVHNLHKDIFYIPDPTLAFIGVPYYTATFTLFE FQAIVATQVFAGIAQIPPADVMRLEYLAKIKEVGSGKKFHSLKDKEEFYVRDILQWVN EDRATYGLGPLEGHSAQWLEAKEEHRKRIEGLWQSTGRRDSGVGELPVLAVCS AFLA_053830 MAPSTAIAEERAVDSTSDIVQKAPKRKWVSYIWDTFDKSPEERR LMFKLDSAILTFASLGYFIKYLDQININNAFVSGMKEDLGMYGNELNYMQACWTVGYV IGEIPSNILLTRIRPRYWIPAMELLWTVLTFAMSRCHTSTQFYVLRFFIGLAESTFYP GMQYIIGSWYRKDELAKRSCIFHTSSGIASMFSGYLMAAVYNLEGRGGFRGWQWLFIV DGIISLPVALSGFVILPDVPEISNPWYLTKDEVALSQKRMQLEGRKNREPYTRSKLKK IFTSWHIYLLTVLYITFNNGAAGSQPVFQQWLKHSTDPKYSVGQINAYPTTTAAVQVV TTLAYAWSSDTFLNGKRWPPIIFGAIINIICYVSLAVWDIPDGWKWTCYILAGAGYGL SGLCMAWAHEICSSDNEERSLVIGSMNEMAYVFQAWLPQVVWQQVDAPQYRKGFITVS ILSVILIATTLWIRQLDLKERRVR AFLA_053840 MATTTASFSKTLQSLTQSKIHELKKQRIAYESQKSQILASAAQQ SDPRDRVACILQGIKAIRSENDNLKDSKIANIERWLDQARYDSSIPQEKLDSFQEHLI ATLDAQSMRLNIADLYSRLLTEWMEPSSVSDHISDEEEGYLVVEERQKQRLQQLCDQF EKVVFEPLETDENEIHGFIDDLFPSEDSRVALDNLRKKVAHECSELWHKTDPFNIYSI RPCIRGLLTEDLLSEEKQEILKYCLDNTVALTEITDVLNMRYADLKNWDWHAEDGIPV LPRQQLNGKYRIWIDDDVLQTIFTQYIAVRLCSIVKTILKEFIEKKSVWNWHPSPRMT GRDSLRRQYYLSDYDIRSSIETSRKKDYLESDFLFHMPLTESSLSERGMLYDDENNED GSDRGINGPSNIKQQLLRKVASQVLLERQIYGQAVVVQSDMKWYATALPHSTILAVMK FFGFPDEWLAFFRKYLAAPLNLDHSAEGRMQTGPRIRRRGVPISHAMEKLTGELVLFP MDLAVNRETGLLLYRIHDDLWVCGKPEKCARAWEVMQDYAKVTGLEFNRGKTGSVYLT DTPDPQITARLPTGQVTFGFLTLNAESETWEIDQSQVDAHVAQLQKQLSRCDSVISWV RTWNSCIGRFFKNTFGQPAHCFGRAHMDAILSIYEKMQNTLFNVEGGHSGNTVTEYLR RKIESQFGVSDIPDAFFFLPAELGGLGLRNPFISVLLMRDSVDLSPVERIDRFKKGEH GRYAFAKKTFEETPEKTRRRRAETVNPRPKSGEPLVITEAEMHTFMSFEEFTRYRESR SNNLRVLYEDLMLVPYTKTIQSTRECRDALNAVCGQFQLSDKNPEMKWILQLYSDDVL KRFGGMTLVEKRFLPVGILSMMKEKRVKWNMVL AFLA_053850 MSAADHPRDVEGSITTPSVNITQPANNSYDGVVDAAVNSSGIYN NSELNRPSRWSQQDPAFDFMFDTFIFWGSQETAGLLDNPLPNDSAEVAAHTTDSEELV LLPHSPHSGDSDQGDRSTRTCQISASDAQLAGKSTEAARTDTAGQMREQESSVVGLAD SMANDRDILVSE AFLA_053860 MQMYFEFCREELPLFHLPTFAPSPESWIVVAAIVAVGCNYSVSR YREEVSETMLMLLHRVMPQKRYVEGLG AFLA_053870 MEQCCSNVAFPEAAEVKEPNSVRRPAPVRLNRDKVTEYVVDNVR EDPVPFPIAIVGMGMRLPGGVSSGREFWDFLVNKRDGLCRVPETRYNVDAFYDEAREG AVRTKHGYFLEQDIAQVDVGFFGISKLEAEKLDPQQRLLLEVVWECMENAGQTNWQGT NIGCFVGVFGEDWLDLLSKDTQQNDRYRVMSAGDFALSNRLSYEYDLTGPSVTVRTGC SSSMVGLHEACQAIYTGDCSSAIVAGTSLIMSPTMTTTMSENLVLSSSGICRTFDAAA DGYGRGEAINAVYIKPLDDALANADPIRAIIRSTAVNCDGKTPSITTPGSKAQERLIR RAYKKAHIEGDDILKTAFFECHGTGTIAGDTAETTGVANIFGEKGIYIGAVKPNVGHS EGASGITSIIKCVLALENKIIPPNVHFQTPNPKIPFESAKLQVPVEPTPWPADRKERI SVNSFGIGGTNAHVILDSASSVLRKISPEARLASEPDYQLLVLSAKEKESLDGQIERI TRYIEAKTSCLNDLAFTLARRRDHLPYRAFAVTDKDGSLPTFQKAHSTVPCPVFVFTG QGAQWPTMGMDLMCRFPKFREDIHQMDKILTELREAPTWSIEEELSKDEAVSRVGHAE FAQPLCTAIQIALVNLLRGWGIVPYAVVGHSSGEIAAAYASGAISARVAIILSYLRGQ AVKSLSTSHAGAMAAVGISPDTARAFLEEGVTVACENSPVSVTLSGDKEALDRVLNRI RKDDENAMCKRLRVDVAYHSHHMLRTRQTYESLVSPHICHNSSMVPLYSSVISTPITE PSRLDTLYWSQNLSSPVLFRTAVQGLLDDNGPVKLFLEIGPHSTLAGPLRQIFQAQTS KNRAALYVPTLCRGTEEWQSLLATAGHMFTHGIPIDLSNIIPNGAALHDLPPYFWKHG ERFWNESRLANHWRLRQEPHHELLGSRVLESSSVEPSWRNILHIDQVPWLGDHKIGKD VVFPCAGYVAMVGEAIRQISELTEYSVRNVFMRAALTLETSIATEIITSLRPARLADN IDSVWYDFTISAYQNSTWKKHCIGQVRPTSDQKFKAKRITPYSRLVRSEKWYNALEKR GLEYGPQFRGLEQISASPSSYQAAATLQDDESLYVSHYSLHPIIIDESLQLLSVAATH GIPRQMTRLAIPTAIEELYVGNGRGTMSLNVSCDTSGGMMRGNAVLVSEDQVVLSLHH GIFFSIQDPDIGNPQLPVAATIHWGPHIDFVPAGKLFSSSQNFLEGRRRVVRLVGLYG VEYYYQTRSSQPTQAHLSKWLSWITSNYKYMRDNAPVLVPELRDICSLSPAERAAEIE GLKSMQPQDFGYPFYVLCSRILGSIHKLLGGQLEPIDLLVEDGALKYFYEQSALNGSW HEFASLLGHSYPQLRVLEVGGGTGGDTLIALKGLTLDHSNRLYSTYTFTDISPGFLLE AKERYKSYPAMEYATLDISRDPVEQGFEPESYDLIIAANVIHATPRISETLRNVRRLL VPGGRLLLIELACTIPIIDYIMGILPGWWLGEGDGREERPYMSVDKWHDKLLDAGFTG VEAFRYDNEPPYHLNAQILSRVPSAKSPEKGEVSLLYLTEIHDWARELARDLEKAGYS VHWCTLKQVPSSGANIISVIDLEGPFFHELSPADFESFQSYVSRLADRHLLWVTRFVQ MECDDPRYALVLGLARTIRHEIMPQFATIEIDQVERASLQPVVQVFERLLSQLDDPGA APEYEFAVREGSVHTPRFQFNSFEEQVGAGESQGPRMLDIEAYAMLDSLTWTCADMTS NDLEKEEVEVDIKYVGLNFRDLMVVMGLMGDMAQVGLEASGIVRRVGSAVHQFSPGDR IMISHLGLMCTRTVVRAERCVQIPDNISLEDAATIPCVYATVVYSLITVGGLKRGQSV LIHSACGGVGLAAVQLCQLIGAVIYATVGSEDKARHLVDNFKIPADHIFDSRSSSFLQ GVLQKTDGRGVDLVLNSLSGDLLHASWQCVAKLGKMLELGKRDFLGHGLLEMDRFLDN RSFIGIDLLQVLDENIGVLQEMIGCVMEYFQEGKAGPINPVTVFDAANVVKAFRYMQS GQHMGKIIVKMPDLPLALPVARVHEMAAYFPANASYLLVGGLGGLGRAVATWMVEKGA RHLVFLSRTGANTFESSSFIKTLECQGCDAITVVGNVGYIDDVQRAVSAAKTPIAGVI QLSMVLKDQSLHNMVHEEWVAALYPKVKGTWNLHHVLKDKPLDFFLLMGSMAGIIGWP GQANYGAANAFLDAFVKYRQSLHLPAHAIDLGLMGDIGYASEASLVPTLEASQSNSLR VLDERQFLRAVEVAVLAQRFQCPNQVVVGLGTTRTLSSADFASNWIKEARFGIWKNII ATMEQPAEASRADELREHMEAIKNNPSLLDRLETEERIVIELGRLIASYTSRPEDMTI EELSNIPIDSLMTFEIRTWFRRHAGIEITLVEVSNSGTVGGLGKIAVQKLRDKHTCKG HKGSESQDGDSDGAEKEPSYHDDLTLAKTMRPISNHIPDWTSESEGNVFFTGATGFLG AFLLSELIALPHIKQIACLVRANTSDMGHTRIKQTFANYGLPVDFRSKVIAIPGDVTK RNLGLRPETFSHLAQWSSVIFHFAGYANYTLPYSVHRGPNVLGLLETLRLANTERLKP IHYCSSISACGITENLIGPVPEDVRPRPESQNVAQSIGYTQSKFVAESIVWDAIENGF AIAIYRPSLVTGHSRTGVCKKEDIINRLMSNCIRLGCYPHPPQQRFHFIPVDFACSAV SRISLNRSSFGHAFNITQPEQDKVITLGEVYTILNNYSPTPLVSIPTAEFIKRLTKKR DSLIKVSSSILAERLAGHRIWWDDWEYMAAYGTENLRRAMTDHPDIIELKPVPELLKV YYNFWSRVD AFLA_053880 MHGPNPAERHLMDNWIHDLVAFVNGDNGHSYGTKECDEYKVMTP DGNIEIQKDPRWDELLKLMDVFSGFSCEN AFLA_053890 MGNVLSGYQSAECEVELFNNAGKIRGLQFDSKSRRYADIPYALP PTGDYRWRKPRPLPESFSYSSPNGTPYDATKFGKVCLQSSYSASVKKQLPQHIFGEDC LRLNIWTPVGKPNETNPKWPVMIWFHGGWFQIGDPSQEESMDPTELISTGQLNAVFVA VGYRLNVFGFLAGEVLREESGGQEVGNYGLWDQRLAMEWVYKNISAFGGDPENITLSG RSAGAYAVQAQTLYDFRGSMDESARSHFRRLISPEIPGPEKLQRLRQIDAEELCDAVM KLKHHTFRPVTDGVFIHPGIFEYYRDGSFANEFKRRHLRLLIGEVLNEETLYAVTNGP QANRESLELQVSNYYSPSTTSRLLQHYPLPDSDRKEDWEAVFGRIISDGQVRAPSRFL VHNLLQHGVDIKDVWRYLIAYRMSFITEKVAPAAFGVSHAMDRPIWK AFLA_053900 MSSISPASLESGGLGSSKTNEDRHEARSTSVHSGEQVFAPEMSI SSSLSSLSYRDSSIIGPVVSPSPLLTPEQQVDEESTPSLPNTRLGRQAGLQEEYRVLH YCPPINLLDPMPLLLMNYTHGIPTHDVDDMHALSFHRTIFGPLKSTRTPAQSAQSLFV DYVVDKKMALHFLLAVAHSELSLYYDNGLVLPQKSYLHFDQGTKLLRYASTPRGPTDH VNMMLSFLYMYMFWMRRDQPAPQKLRELSRTVVDYVRTYKVDELCTNDDVNVFSETFA AGLLIPDQVLLARIFTYLYDRDGFCSFFGCGGSFATFVNDDYSKRRKIWRLSRTAFLL FPEENGLTSDSLPEVHEAAILELYFMLITIHHEINIYSQTGGLHRYGDERRLKQHLDE LKKVHTVAHTNFVS AFLA_053910 MAEKPRSCIDRETKCTAPSDTVDGQKERGSVDVLGPEEEKRLVR KIDLHLMPLLIISYGLQFLDKTSLSYSAILGLKEDLNLVGQEYSWASSIFYIGYLAAS YPISLGFVKFPLGKYLSLLISSSFLWGAILTLHAVANNYAGLMVLRTFLGVFESAISP GFSLITGMWYTPPEHVSRHTFWFAGNASFSIIGSLIAYGIAHYQDHFSQWKMLFLIFG LITVAWSVVLWFYLPDSPSNAHFLSSSEREFASLRPKKFQRTTQTRKWDRDQFIESFT DPKTWWLLIFSFVICVPNGGTTSFQSLLIAGFGYDKYQTILMGLPASAFQLVVVLLAT IFCTNVRKSRLVAIIMIFAMALAGILMVKLLPSEQKLSRLAGYWMSSAIAPVFPLMLS LHASNTAGFTKKSTVAALIFVGYCVGNLIGPQFFKDSEAPYYPTAYTTIVICYAIAMA SAVVFRVYLGWENRRRDKQQGVHINPEETREIDLHTDEGLDHADETDIQNRSFRYIV AFLA_053920 MLSFKAPLWFVSLAAVVSTASAAQTFGLYAYGENVGGLPLYYVD GSAVVSPKTPENGTDVAPVVCMSPSPITIPVKLTGSSVNKDSDNELIGNPNTTSTASA PAFTDASLFVPSADSSDKEMGFTSDPSSNQVTNKFVWYGNFLLVENDSGEYTSLFSVK KSSSHDEDGSYDLYWNVTDSDEEVIAISMRSVAPSNA AFLA_053930 MKSSLFCILSSIVAATATSVPSFLARDSVSASLSSSASLSTSSS GACTGNTASTRDQWCNYDINTDYTTTIPDTGVTREYWFDLEHVTVAPDGRSRFALAIN GSIPGPTIEADWGDTVVVHVNNKLPSSVKNGTSIHFHGIRQYYTNPSDGVVSITQCPT APNSTITYKWRATQYGSTWYHSHIGLQAWEGVFGGIKINGPASANYDVDKGFIILNDW DINTVDQLFDSAQNDGPPELDSALINGMNVFGEDGYANQTGTRWNTSFTEGESYRFRL VNGACDTHFKFMIDNHTMTVIANDLVPIEPYNTSVLDIAMGNPTSITII AFLA_053940 MNTGQRYDIIVHADKASIAKDFWLRAIPQEACSENQNPTNIKGI VYYGSSPSTPTTTGYSYTDACDDEDMSNLVPIVNPYTITSNPFYNKSEPVSLGKNSQS LYRWKLNSTSMHVSWDDPTLLEIYRNHTSFSNTSGVVQLPRADEWAFVIIETTLSVPH PIHLHGHDFVVLSQGSGTYSAGDITTNNPPRRDTAMLPANGHLVIGFVTDNPGAWLMH CHIGWHTEEGFAIQFVERYSEIQDLIDYDSLHSNCQNWETYQSGKNFAIEDDSGV AFLA_053950 MGIHYHHDITALETLKLQPISRLAPFSALIISIVLVVLFVIRYY VLEGFLIKRLYGSIYTNLSEVNRRGFINHHIAGLTKILILIVAAYPFLEVVIGKSSFH TPYAHGSRVTMGDMLVVVAQMLIGMYAFELIYRTRLSPIAVLHHIGTIIIGQSAIAIS LDLAREPDADIEFVLCTVWGAFDIISEFFPHLAIILYRIFPNRHRFLKRLFLLSCITT ALGTTCETIVIFFLFGSLWDRWQIAFKVVTPLLHIAFSAAQVHGSVVFWKMYKRQQKL EEEIPRFDIENGEITEMHVHADKTKPSSSGSTVAIV AFLA_053960 MHFQGPHFVNYIVEAAHTASVPIGVHLDHCMDPADVEQALNLPI DSVMVDASRLEPEENIEYCRQITEQAKIRGITVEAEMGRISDGEDGIPDASHLERCVH FLAPSSRNIHGPYPEGGSEKYWQLDRLKLIADAIGPTIPRVIHGTHPVPDWLFHKAIA TGARKININRNARDGYTAFVAENADRLELTALKEQSVAIYQRSVEHLMDVLGSSGKAH AFLA_053970 MMGVNQEYVMDLGEPLTDTLHTYDARNMNTVLQSSDCRGRIDQT RTQRI AFLA_053980 MASKSLTGACLCGKITYRVDLPADAPSPKVALCHCEDCKRNTGA PFSSNLIVPKPALTYTAGTPKIYAHPSGSLGNELQRHFCGDCGSPLNTQPGGRGTVTV KTGTLDAESRGDLGLALEIFCKRREQWVDQIGSVPKIEAMP AFLA_053990 MAPPTATETAPPITLQARESTQEAGAEKAKVKMNIPRPPVFEDK VKEREYLKGRLAAAFRIFGKNGYDEGVAGHITLRDPVDPSTFWVNPFGVAFSQIKASD LILVNHAGEVIDGGPCRLLNAAAFMIHSAIHAARPDVNCAAHSHSLHGRAFCSLGRPL DIITQDACAFYNDHVVYKQFNGIVLAEEEGKNIAAALGDKKAALLQNHGLLTVGNTIE ETVFWFVSLEKCCYAQLLADAAAAGRGGQTIKVDDADAAFTYKSVGTPLAGYFSAKPL FDVIHEETKGSYLN AFLA_054000 MASNSVIPVDQPNTTAEILRLQNRLTDIRNQRKILELRNEIARE SQLLADAQHRLQATESQMMTGDRSLNSSHTPTRLTAGSAIPADKGIKASHRTRDPNPR EVPKLPINKKYCGRDRSSYITLVSNLRGFFCKHEQHFASDKNKIAEAKRHLSRLILDE WTTLEESSGRTHTWDEFCFFLLRQIERPATPRLARHRWNVTRKHCDETVQDFANYLVM LEDDFSTSLSEHERSQRLCNGMSSSLQKRARDDSSFFTLRYAAQVELLAK AFLA_054010 MRWRGFVYNILYIPFLITPWVSAFIVESVVNGIGWRWGIGMFAI LMPFCASFIIVTLLVFERRAKRSGLILTERLNLFTFCSRIDLGGITLLSGGFALVLIP ITLAATTSDCWKTPWVVVLIVLGAIALACLYPYEKYFARHPVVPTHYFRTLSVVVSMA LACVDNIGFGTTQTYLYVWSMVSHNFSPRDAQFLNYTNSVMQALVGMGTGLLMYRLRS YKWIGVVGAAIRMVGYGVMVRLRKNESSVAELFIVQLIQGAGSGMIETIVIVAAQISV SHAELAQVTSLILLGSFLGNGIGSAIAGAIYSNQLRDRLRLHLGHSVDEATVVRLYNS ITDTLPTWGTAERNAVNRAYSDVMGYVSRGGSMMHANNRRYITIAALALSAPVVILSL LIPNKRLGDGHNLVQDNQSNDSRTSDETLVDEKTCTR AFLA_054020 MKLHSLLPLAALLTPSLAIFGINDFECELTSEHPNPVVLLHGLG ATYYEDLNFLQYWLQSQGYCTYAQTYGAYDGFPLLGGLRPISESSSEIAAYIRDVADK TGAKKVDLVGHSEGAFQSLYVPKFQGVSGLLDKIVAIAPPTHGTNFGGLYNLAYLFGN VSREVVGDVLSTFGCPACDELGPDGAAVKRLNDGQPILQSGNNLTVIVSKYDEMVTPH ETSWVEGANNIYVQDFCPLDPVGHIGEAYDLNVWNLVKNALDGTPDRKFICVIGSPGK I AFLA_054030 MSGFNQEQEIAFGSLRHLRGGFDFIHGPPGTGKMYWLLRTLTIF LYRPNSETGKRHQVLSTASSNNVVDSAA AFLA_054040 MITHDSRALPVSGLPREKRAAVVPSQAPRQVRSCRVCRLRKVKC DRVKPCHACCAHGYPSKCVYEPGTDEDPQPISQADEIRNLRAEIRDLTARLNNSERRH RSERRQAQLQRLFATIRSAPLELVDRLITQIRTGPSGSNELEGTEGVCAMADNDYCAS RGSGGFDFNRSPSESSEDSSSSSIISINNSSRPMLDVFIERFVDAFSPEVDVKSGQAG ALRRAAEIRMFSPLLMDAFEVVSATFFGRSIQDPRIEKSGTLLYGRVLRNLQNALFDP ERSKAESTLATVILLMAFESVERTSQASLQAHVHGAVRLIEHRGPENHIHGVEHLLFA ELRPYWVSLSGEMNAMSSHAWTNTSNQVGAALVRRQPSFLAEEDWINVPWSAGTTKKD ILHYLLDLTVEVPSLLAEYDALEVTRQSGVPSAHEITVKQAALWNGVTNLTCRFLQWK IDWVDAYPDGPPKEVPAEPNDHFPIFRCRDLRTGAVITPTKLVYPNLRLAQTMCLYYT SRLILSTVDTRPDDDRVGPAQQYALGCGICRTLEWYILTAPGNMINRLAFPVRVAWEV FPDGGPERRFIYDVLKLVEKRHSLGLWGSGMSELSPRAGSPPKA AFLA_054050 MEGQDYYAKAPPSDNRDDTDSTATVMGDESAPTPRPANVSRAED WSLMPQVKQQHERDVASGFKSRELGVTWKNVNVEVVSSEAAVNENFLSQFNIPQKIKD GRNKPPLRSILQNSHGCVKPGEMLLVLGRPGSGCTTLLKMLSNRRLGYKSVEGDVRFG SLTHKEANRYHGQIVMNTEEELFFPTLTVGQTMDFATRLKIPFNLPKGVESAEAYRLE MKKFLLEAMGISHTNDTKVGNEYVRGVSGGERKRVSIIECMASRGSVFCWDNSTRGLD ASTALEWTKAIRALTDVMGLSTIVTLYQAGNGIYDLFDKVLVLDEGKQVYYGPMSQAR PFMEDLGFVCREGSNVADFLTGVTVPTERKIRPGYENRFPRNADMLLAEYEKSPIRAQ MMAEYDYPDSDLARERTDNFEMAISHDRSKKLPKNSPMTVDFVQQVKACIIRQYQILW GDKATFIIKQVSTLAQALIAGSLFYNAPNNSGGLFVKSGALFFSLLYNSLLSMSEVTD SFSGRPVLVKHKGFAFFHPAAFCIAQITADIPVLLFQISIFSLVVYFMVGLTMSASGF FTYWVLVFATTMVMTALFRAVGALFTTFDGASKVSGFLISALIMYTGYMITKPQMHPW FGWIYWINPLAYGFDALLSSEFHNKIIPCVGTNLIPTGPGYENVPNHQSCAGVGGAIQ GNNYVTGDQYLASLSYSHNHVWRNFGILWAWWALFVAVTIIATSRWKAASESGNTLLI PRERLDKHSQVARFDEESQVNEKEKKRNDGSSQEGDDLDNQLVRNTSVFTWKDLTYTV KTPTGDRVLLDNVYGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGTIHGSIMVDGR PLPVSFQRSAGYCEQLDVHEPFATVREALEFSALLRQPRDVPDDEKLKYVDTIIELLE LHDIADTLIGRVGAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRF LRKLADVGQAVLVTIHQPSAQLFAEFDTLLLLAKGGKMVYFGDIGDNGQTVKDYFGRY GAACPPGVNPAEHMIDVVSGTLSQGRDWNKVWLESPENQRSIEELDRIISDAASKPPG TFDDGREFATSLWTQIKLVSQRMCVALYRNTDYVNNKLALHVGSALFNGFSFWMISDT VHSMQLRLFTIFNFIFVAPGVINQLQPLFLERRDIYDAREKKSKMYSWVAFVTALIVS EIPYLCLCAVLYFACWYYTVGFPTDSNKSGAVFFVMLMYEFVYTGIGQFISAYAPNAI FASLINPVIIGTLASFCGVMVPYQQIQAFWRYWIYWMNPFNYLMGSMMTFTIFDVNVK CKDSEYALFDPPNGSTCGEYLTEFMQGMGARMNLLDADATSGCRVCQYTRGSDYLLSV NLMDYYYGWRDAAIVALFALSSYALVYVLMKLRTKASKQAE AFLA_054060 MSETNVCSGKSTLAHSIVSAYPSFRRLSIDSYIYSHHGLWNIDY PRERYEEYQLEAEAALRAELISALTGGQVDLVLDFSFAYHEVREEWKRLIEGSGGRWV LVFLDVDAAELRRRVQARNERVDKDGDSAFYVTEEILERYLSGFERPDGEGEIVL AFLA_054070 MRKTMSKSYDKVLTFWFGQKGSREYLQQKSFWYGSPSDDAYVRK HLGSDYEAARTGALDGWKFDGQGEGALALILLLDQVPRNIFRDTPKAYATDAKAVAVA RYAVDQGWDKNMPVIQRRYMYSPFNHSENLEDQEMSLRLFTELGDSYHLHWARNFHDQ IKRDGRFVHRDRILGR AFLA_054080 MVWNQINPTPLNLSFLFLSGSILLYTVLSRFLKETLLLAEPPLA TLVGILTGPAVLGAVEPQPWKLGNHVTKELARLTASMQVFIVGIELPEGYCSKHWKGI GILLGPVMIGGWVVCAILIHLIFGASYPSALVISACLTPTDPVLVATILEDPKATSDR IKYLLAAESGVNDGTSFPFLYLGIFILKEHSAGAVLREYLTVTIAYQCIASVAVGISL GYGANRLLRYSHERGRITENFVALFPLALIFFSLGFSSTMGMDDFLLVFSAGIGYAYH GRLEHAYQVNPVATSFISFSLSIATFIYFGLMIPWAAVTDTPKLSLSKIGIFVLLVLL LRRIPFIIALKRFNPDIKTYREALFCGHFGPMGVGALFLSMEARDILNSSCSRTKMQP GDDWDKYACETVELVWPVVCFTVLGSVVVHGLSMSIAKLARTLICAQKQHTKSLCTSD DPSTGSESMDGGMYHDEESLL AFLA_054090 MTADSLAHSPPAIPTPSTQTSSEPTSVDQDDLSILSEDLCMPIA IVGMGFRGPGSATHIKELWTMILEGREAWSPIPKSRWNNDAFYHPDHSRHGTVSTVIS SRHLTILELTTRHTQINVEGGHFLAEDVTLFDAPFFNMTSDEAAAMDPQQRLLLEVTY EGFENAGIPMAKIMGSSTACFVGCFNADYTDLLLRDPDCIPMYQCTNSGQSRAMTANR VSYFFDMKGPSVTVDTACSGSLTALHLACQSLRTGDASMAVAAGVNLILSHEFMSTMT MMKFLSPDGRCHTFDENASGYARGEGIGCLILKPLRDAIQDHDPIRAIIRGSGLNQDG RTPGITLPNGTSQEALMRHVYEVAGLDPCETDFVEAHGTGTQAGDHIETAALAKVFCH NRSPMRPLRVGSIKTNVGHLEGTSGVAGVIKAVLMLENRTFLPNRNFRVVNPRIRCED WKLKVRIQLSAEPWEYPGPHRVSVNSFGYGGSNAHAILEDASGYLYSRGLRSIVQHGE HVVDANQVCPNRSRVYMFSGFDERSTTRQLQNFREYLLKERSEADDRYMSNLAYTLNE RRTVHACRAAIVGASPATLAEASSGRVKIVKARRRPTIAFVFTGQGAQWAGMGKELFE AYPVFHESIQRIDDYMLSIGAPYCMVDEILKTQEVSRLSNPLFSQPICSALQIALVDL LASWGIHPDSVTGHSSGEIAAAYATGALTMEDAMAVAYYRGVVVSSSSFANGQIRGAM LALGTSVRESQSYLEMLQSGKAVVACINSPTSVTIAGDLPAIDELERIVREKQVFSRR LAVEVAYHSHHMELVKEQYLGHIAHVSPRSREEVANYLHNRSVSFFSSVTGAEINPSE LDSRYWVSNLLNQVNFADSLRALCFETINQRDPVRTLGDKRIKRAGTAQKVSVDALVE VGPHATLAGPIKQTLRDDTKLNAADILCISVLTRKSNAITTALTAAATLMCTGYPVDS RAINDPTASQEPSVLVDLPQYSWSHTNTYWAEPRVSKTFRNRKHARTDLLGVIDRMAC SFQPLWRNFLRVSENPWLLDHRIESNIVYPAAGYIVMAIEAFLQHTLIGTTADEKPTI YIRDISIQSALVLHETAAVETLLILNQCESNSRGSHQAHKFHIYSVTQDNIWTEHCNG LIGAQNGDRVESGLESERAAHNFTSLDIPSFYKALASVGLEYGPCFANIRQARFKNST CISEIITPDTAAVMPMNFQFPFLIHPCTLDSIIHTIFVNTDTINDPAVPVRIDEMCVS CRTDYAAGSKLNVLTKTQCTRKGGLIASISVLDESRRPSISITDLRCRRLGPSPPPES KRMKNHIAHELKWDLDIDFLTGSALSSIVPVCKESKKQSSGFNALHEACALYYIKEYV KHVNQATTEKLPAFRKRQLEFFKETATQRYQRSRNIQSDDIERVKSSGPEGHVLCTVG ANLSLSLTEDTSLGTVDEHSMWDEYWKTVYDDTAYETVSRYLDLVGHKNPMVSILEVE ASTGGASKRFLQRLVGAKGGVTRFTKYTATHPDLRLLEPTRDEICAWDHCVEIKELDV EGDLHAQGFAIQQYDVVVIAHGLYTVESKHKALSNIHSLLKPNGHLVLIDPLCKPKLS EYVIFADFAGPWKQDGVGYFDNDLITTLKDAQFSELQELSGSRDQCMVIISRPIRQQT SLTSEVVIVAEEGECGVQIPHLRDLLSSVSQVDTTDLAHLKPQRKACVVLSDLQAPVL ASLDQATMDIIKQMFLEAACVLWVTRGGTITPVNPEAGIITGFTRTARSETGVEPIIT LDLDAGSPLCGYRAAELIYDLVRQRMLGGKSAESDTEYVERSGALLIPRIMENQRWYE AIASVQDKHLTSVEAFHQVNRPLRVAVNDSVQPEDIHFIDDSRITTLSDIEVRIQVHA VGLSERDAQLADSQASGAAEIGLGCSGTVQAVGRSVRNLIPGDRVACLRSGTAASFYQ DRETAFQKIPSDMSFETAAAIPAAYCTAFHAVHCLADIKPGDRILISSAVGAVGQAAI EVCTVSGAHAYALVGSAADKELFVSSSLLSDERILRTDESYFKDLLKLTDNKGVKTIL NCGESDNHTFRSSWKCFGASGTFIQLHARNTAEKRGWEVPELDKDIIFATMDIGNLVN NNSEMLDEVWDRVARLFRRGTLRGHSHPTSYSISNFAEALDVLTLTNHRDPIVLTAGI DVMVKTIVSRQPDMLLRPDVSYMLVGGLGGIGRATALWLADHGARTIIFVSRSGLSGA SSQRTIQGLNEKGVRTIIHACDISQSDQVEKMVNDLQETVPPIRGVIQGAMILRDTHI EKMTLADYITILGPKYHGTWNLHRYLPSNLDWFVMLSSISGIIGNATQAAYAAGSTFM DSFAAYRNSLGLPAVSLDLGVITNAGYLAENKELASKMEQQGFQGTDTKTLMSLIEVA ITSCQEDRTTSQIITGLGQWKAGRSLPNFDAPLFSHFRRLHLDTSVSSQTEIPDTLRQ GLQATKTLEDATAVIYTALSTRVATHLSIPVDSINPTGPITEYGIDSHVAVELRNWIS KHIEGTVPILEILASSSLMELAGKIADRSNLVMVDE AFLA_054100 MTDLRRDNTVTFHFLEGDVDSIPGPGISGFYDGPYYSYYKFPRS ISDNGAEGESLLSAYDRLYDVVDEEGPFDGVLGFSHGGTLAAGFLIHHAKLYPQELPL FRCAIFINSLPPFRMDPGGTPVIDPDLNGYINIPTVSIGGAEDPLLEYSLALYRLCNP SMSTWVVHSKGHDIPADTRNVSSIAAAIRKLAVQTLAVW AFLA_054110 MKLHLAFLSAFASLAAAAVAGNSRDIAPPFTEEPGGVEKRDNIE SPPSSMWSMQCNNVCERDVNCCLEDKCSGDKRCEGYWSETFPFGYPLHEAVNYSDPAD VEKWSRLSNIRARPPLRIRSPTPPVGKRGDEKDLSPADSEYYKSTGLWFSRACGRSCE GDFGCCISDTCSKDKKCEGFFQENFPFGYPLRQKVNWSDSTEVKKWSKLSMDEHLAHS PSASPGKRDDVKDSTFSKNERSYSAAFGEN AFLA_054120 MSHSREGSDSYEWLNPQVNPEQPDTADHRHDQIVEQPSPPSPEL RPDDPLNEHSRPQRVRFQSTNAQESEAPLPVRPSMARFDSDQTLMSVHSINPTSARST DLSDALRAEAEAEPTTLARGRDGSASPPEVPLDYDPERSRFSNDVPNRSPSVGARLKA ASKLIRQKTVRLGERLGRPQDGGEDLGASLLPDDLEGGVPYHEIQERRKPTNETPEEE KQDLAPSAEAHRLVRRVTQSQNALRQRKPKSAYTRSGQTTPEGLGRPESWYNARSRSF SGGGILSQLLKLQAGQTGSTESVTTDSSDSESQVSSGAATPKSGAATPKREKLKWYKK PHHQSTASLVEASMNLSRASLPASADPLAFATPKKRKKKAYGKTRLEDEIRVTVHIAE ILARQRYIMQLCRALMRYGAPTHRLEEYMKMTARVLEVEGQFLYLPGCMIMSFDDPTT RTAEVKLVRMVQGVDLGRLAETHNVYKNVVHDLVGVEEASQELDSIMQRSPRFNKWLL VPAYGLASVAVGPFAFDARPIDMPICFFLGSLVGFMQHVLAPKSVLYSNVFEVSAAVL TSFLARAFGSIKSPFGGEEYLFCFSALAQSSIALILPGFMVLCSSLELQSHQMIAGSI RMVYAIIYSLFLGYGITVGTTIYGLLDGAANSQTTCKRLDVWGSEYIQHFVFVPVYVV FLAIINQAKWKQVPTMIVIAICGYVTNYFSTKKLGSNSEVANTVGAFTVGLLGNLYSR LWHGHAATAILPGIFVLVPSGLASSGSLMAGITYANEVRENLAKSNSSDTLNSASQDT SIASLGFGMIQVAIGITVGLFIAALVVYPFGKRRSGLFSF AFLA_054130 MDEGKITDHEVMGEKADITHEEVVQVTQLTPEEQAIEKKLRRRI DCLIMPLVILVYLMNYIDRNNYAAAKLQGLMDDLHIDDQQYQTGLSILFVGYILMQVP SNLLLNYMGKPSLYLGFFTTAWGLVSTLTSQVKSYGGIVACRFILGLVEAPFFAGVLF YLSKWYTKKELALRMSIFYSGSLLSGAFGNLIAAGILNGLQGHRGISAWQWLYIIEGA ITMAVGLTVAVLLPDFPDTWKLLSPEMKHVANRRLAIEAAEADVDEAGKMSQVKGLKL AFSDIKTYALALAYMAITGASGFQNFFPTLTKTLGYTETISLLLVAPPYIFMVAYSLA HSYLSDRFGRRFWFFVYPIPITIVGFVVFMTTDGFGPRYFSFFLMIFVFAQNGTVYSW IANSIPRPPAKRAAAYAFINSVGNSASIWTPYTYRDTDYPYYRPAMGTCIGLQVLGLA MAVLMYFHLRSLNKRLDRLEDEEVTLTPKELERLQKTAEIEGIDIAAARRLQKGFRYM I AFLA_054140 MSTLMQASRPLARARSKSNTMRPFSIAIPARLANEWMHMPKPRG FLAEKDTTPRQESPYGKKMEFNSLRSVQQTMSEHGHRLDQLEKSMQQLTRMQGVY AFLA_054150 MAAIICSTSLGRIVHWLSGGRLLRFPEEEPGFCLSPAYSTAARV AGNVESDTYDTECEISDQKGPSKWLVPETTPDGMILVDWYSDDDPANPHNWPRWAKIM TYIQINFYTFVVYMSSAAFTPAEVAFQAEYGVSSSVGSLGMALVLLGYGIGPLLFGPL SDKPSIGRNPPYVISFAIFLIVSVLAAVVNNVPGFLFLRFAQGFFGSPCLATGPASFA DITNLVNLPSGLWIWGVCAVSAPTVAPTLASLCVASKGWHWSMWLIVWFAAPCFLLLI FLPETFGPAILYQRARRLRTLTGNEAFRSSSEVNHTGLSQETLYDFLVIPWKINAFDP AILFTTLYTALVYAIFYSFFEAVPLVYQGVYAMSLLQTGAIFLTAIVAVLFTTPFYLA ITHYTISRPVKAGKMPSPEQRLIPGLLGSLVVPAGMFLFAWTSSTAFHWIVPTIGFLL FMIGMPTLLQSMFAYMSVSYGRYAGSLFAMNDFARSVLAFASILWSTPLYVNLGIDKG ASLIGALTVVCVFGIFGLYWFGATLRKRSRFAEYETD AFLA_054160 MDRTDTQPFTIEAVTMLCLYLKLKKILNLTRQYHAAAAINPTED SRVASS AFLA_054170 MPRAPQKWTPEEDKLLCREVHNQCELGRNSVSHILGLTPFRALV SEGRVRDWRSIADKIPGRTNKDCRKRWHNVLSGGLNKGYWTEEEDKLLTHAVQIHGET WTVVADVVKTRSADQCAKRWKQCLDPQLDRSEWTELENRRLMEACAAKGRRWKEIQME HFPTRSRNSIKNQ AFLA_054180 MIRHTILTRRYNKLKNLREAQTAASAGTGPEDSSLSPSSCEDSG DTVDSSDDDSGLGSGSTEGSTGLHDPRIADDDVPMNINPTDILPSTTQGIPGHNGFMH GTWDMAGTLSGDPWGLPSVGETDSMGLFLNGMVPELHSIPPDSMHNFGFVDAASGKDC ICPSLLSPTEEMPSDYSQGDNFCDDLSVSAMDTEEQTLASLQENVTRGKRCAKIVLTV EEPDNNTVESLVQIAFSSKSRFHFARE AFLA_054190 MVYINHTQLRGPAKITRISHDKLSGTTLGYPAGSSAGSSVTSLC SPSVAASSAGSSEILVGLGISTTTSGLKLDDHQLPITPRPSRGHCVGGNVPACLQNQL DKPLPPLPLRASARDHGLAKHYPLPPRPQGRKHWSLPNKPEAHDLAALDHAFARKGLR SNPLHRLRVHRPRHDLPTATHVPTLVSAGADAYAGIPALPATTATTKAIPRHSPVHPP CWRGVHAASTVPHALENHRAEWAANSRPLWGGYAHEAHPAQRLVLDYLQPTLWTPGGE SHARGSLSTAYAGSSGPIVPVPRPRHSIYELDVRTRVLSHPCASSALNVPVPVMRRIL QQVDCLEDLFSLARVNRAAYKAFKAHELPLIQGTLWKVSPPAWELRQVCEFPIRYTKE DSDGSPLAASLYLRHYARDLDTLVRIKLLIWYYCRAVVREEMMTALCEPDSEQGAAVD DAIWRVWTFCHLFGSRKDREWDLAGQIQWLRGKTCGAVLPLVCCTSPDPSDFNTVLFT PPEGFARGNQGPLSEDQLCDMIEVWTAMASLLDFLRVQTSHARRYGVFSETGITPGDK QQEESMLSAWLDFILTLGPAAVLELAQSGRRFGPETAFAHANSYGWTHWTPPTFNPRS PDSGFFISAVKALSSPV AFLA_054200 MLVLFNSARFWTCRELFCISDGLGSVHLLEAANKPGTSILDQPC GSSLQGVMSPALLLVSGSLVQSLYAIMV AFLA_054210 MLQVFCSGIDPNFRYRSKEARSWVTFLARAAGAGHVDTVALLLS TKGINPNLGDGMGRPPIAHAGFNNQVPVIEQLLATPGVDMNGKDHHGRTPLAWTVSFG SEAAVAQFLSRQDIDVNAAIATDDLFKKGWTALMFAASRGFAKKVELLLNTPYINVNH QSSSGKTALHWAAQVGSETIVQLLLAKGAYPDPRDSHNRSPLIQSALYGHLSIMELLY EAGANLNTVTSTGSTALTSASGEGHTDIVVFLLGTGKVDVAAKGKEDKRNALSVAAEG GHRDIVDLLLKQNGQGFPNERDYMGRSPLSYAIEHGDLETIKLLIRNSSVIDTGETDA NGKTLLSYAAQHDDPAILNLLVSATKVTR AFLA_054220 MRWIIPNLLAALAVSSLFSSVITWPTGDSELGKGQSVDNDFGDE VGSYDSQPFYGRREITESLPGLSEHPDSETHVGYGAILERVPRPNTDKPNDDADVPDV DQEDLATALEAIHLESHSPSIVSRGQKEEAELSSKFGSRWKGETFYYFIEAKDKNAKN FNANEIKSLAKKGYELIKDKFNFNGNVIVSALFIPEVGVAVGSKPRGTGVVEEILEKS HKTSGKNVFVGTWFERYWAFIDGRDLTHACDSVQQEDLYHAEDLVIIKGADEYLKKMR SDNWRDKKFPRGTHMVSYGKYNSEDKSAGPKEPCGGTEKTKLTIPCKNVAHELNIDWS T AFLA_054230 MNTRFLLTIFIITSSLFFCSDAAPAPAADEALVTIDSPEVQTNW TESSFLQCVKQLNGFKSANIVDDNIAFKCHGVYGNGDLNLHAPNGKKADSCAASFPLS ISEEVNMTSCTTELSKNFEEAAPFRAAVDAICHDIVGSWVDKGITHMTHHFTDASSKS DSWLLKNKKVILKTTMNMIPQTRAALNAGKIAKATLDTLCENGLRDFGTEGKGCTHEL HYYKNTIGISHHGIPYDRATTTGVTNGMVDLFVDNIKNIIGTIDLSWSKP AFLA_054240 MKWSALVPLSVSALAVLPSTGAWEFTWRDASNTTQVESGHGPSK CITVDHKKGMVFSIDAQGEKNINMLLYGTDDCSGKAVGQATERFSKASSVDIHGFQVE SLSTGSNATTTAANATVTSTRLTQSSSTANSESSDVPTTSATTTSDTAATTTSASETS TSTPNASLRLSAAGSDMAKTVIGMVLGLATVEWLC AFLA_054250 MKELFSRFKHSMRIPASTSDPTPAATAGQVGSMQTPARGKLQKR VDCYILHRNAPVEDLPPEVRHHLLSMLELETLWALVHASPVYHQQDLSARRRLLCSGL ETTLRSATSDACAVYQSRLVDFSHSRTKEKVNMFLQSYQDQRDTSLHSVPSEMANVDE LVDVAAFYFSIVKPLAQYYTSWTLGNLAIETDKPRSDEPLSKMEETRLIRALYRFQLC CNLFGVGLHKSTQIQRLSFDSVEIGTIFLDLFEPWEVEEISCIYTFAKERYNQIFNDI RWNVHEENPKFEGQRPSTPEGAFDLDNSWIRDTLLKGTISRGLDLLHMVIFEIQDHTH LVTTMQQHISWPTGNFLEGEALGESAHFQRRQEHPSNRDLRQERRDPLPFQGDEVPDP NGVHPPLAWTLIWRGSYSNLYGYYVQDIIRRWGYVMWHATRLDYTGAKELLARQWEAD WGDTDPRDNLL AFLA_054260 MSAPDIVDEKKHDAQHVESVRSLITIDNIQVLGLSPEDAQFYTD FSAEQKKAVLRKVDIRLVPMLAVLYLISQIDRANIGNAKIEGLTEDLRLSGIQYNIVL SIFFVPYVLLEVPSNILLKSFFRPSIYLGILITCWGIVMTLTGIVQNFAGLLITRLLL GAFEAGFYPAAIYLCTFWYMPKDLAYRVSIFYCASALSGAFSGLLAAGIAQMHGIGGQ EGWRWIFLLEGLATVVLGVMCFFLLIDSPRRSSKWLSPDEIRYLELQHFIKEGGDFKE QRKRVSWEELKTVLSNWRLYMLSYILLCQSAAAYGLKFTMPTITKAMGFTNTNAQLMV APPYIAAAISAICSSKLSDHFYWRMPFAAIPLTIVTIGYFIVISFHGQLNENIGPTYF AMILVCIGLYPTHPATTSWLANNLAPSGRRAIGLALSICIGNTGGVIGSYMYMDKEAP TYYTGFGLSLAFGGSGLIVALLLELSYIYANRMKAKESEAEIRERYSDDELLAMGDKS PLFKYTL AFLA_054270 MACTAPSLPVLSSPATSEAASASPEVSSPVTSNDEYDEPEREIY TIHDLLLTRANGKTADEPIVAYPSKDIDYVYYTPRQADLGSQLHDYVEAAAIHYAELV PQRRSSDDPVQVVGLLGPSDFEYLVTLMAISRLGHTVLLLSTRIAEDAYVSLVDATKA SFLIAQDGFKAMADNVSRRTGVTVQPVLRREDYDSSTTGKLVLDETKFDGPSESKNVC WIIHSSGSTGHPKPIYQTHAGALKNYANNFGLKGFITLPLFHAHGISCLFRAIHSQKL IYMYNAKLPLTASYLLSTLQGHPDIQVLYAVPYALKLLSESEQGLESLARMELVMFGG SSCPKPIGDTLVKNGTLLVSHYGTTETGQLMTSFRERSDLDWDYVRPGPSLLPYIRWE ERFPGIYELSVLEGWPSKVASNRPDGSYATKDLFEKHPTKPNAWRYYARLDDTLVLEN GEKANPLIIEGVARNHPDVGEAIAFGANKDRLGLFLVRAANALSKTDEEIIDAVFPAI EKCNADSPSYAHISRDMIQVLPSDTVYRATDKGTVIRSAFYRDFNEQIEQVYEQGDAT GDRVLEGTELNMFLRESLLEVAPTINSAVLDDTTDVFSLGVDSLQSIRLRKIITKTLN VGGQRLSQNFVFEHPSIQRMADEITRLRLGLDADKEIPIEEQMSQLIDKYSNNFKAHT PVPQTVNGERIAVTGATGSLGAHLVAQLVQMEQVHTVFCLVRANSAHDALRRVRQSLY DRGLLYSLSPPDERKIVALPAQLSNTSRLGLDEPTYKQLTQSLTAVIHCAWSVNFNWS LGSFEDSCIAATRNLLDLCLDAQAPMPARFSFCSSVSTVARTPGHWVPEELPESLSYA QGMGYAQSKLVTEHIVNRAAQHTNIAARVLRVGQIVADTVHGIWNATEAIPMILQTAK TIKALPELDDILSWTPVDVIATSVIELTLGTNVANIVNLTNPTLSHWTRDLLPFLKTA GLEFEQLPQREWLNRLRQSNPDPAANPPIKLIEFFASKYDNDRPSRVLLYDTKKAQAG APALRQAGGLNAQFVSRFMAHFQNQCWSNKDTTSISKKSREVIFLAGPCGCGKSTAAQ ALAQRFSIPIIEGDDLHSPASRQRMANNIPLTDSDRWDWLAHIRGAVMDRLQHSAAPA VVVTCSALRTIYRDELRRLSRLFDFPVNVTFLMLSIKDRAQLKDRLIARSAKEGHYMS SAMVDSQLDTLESPSGSEGDVILLDSDEPMEKMLEGVQDVVQGLLDV AFLA_054280 MFGQSLFSWFSTSKTESSENAQPTWDPNTLTMTQPQSPAAPTTE NVVAHQPTPTEDMKLQLRGGGGPDDDCCCCCCFCGDCCGPDGPPGGPDGPGGGPPGPG GPGGW AFLA_054290 MSLSTHFTLNTGAKIPAVGFGTWQAKPLEVENAVEVALKQGYRH IDCAAIYRNESEVGNGIRKSGVPREEIFITGKLWNTKHAPEDVEPALDKTLKDLGVNY LDLYLMHWPCAFKSGDKWFPLNEDGVFELADVDYITTYKAMEKLLSTGKVRAIGVSNF NVRRLEELLGQVSVVPAANQIEAHPYLQQPDLLRFCQGKGIIVEAYSPLGNNQTGEPR TVDDPLVHSIAGELSMDPGPLLASWGVQRGTVVLSKSVTPSRIAANLQVKQLPEDAFA RLSSLERHKRFNFPAFWGYDIFEEVGEEAVRKAALEAGPVNKTKFTV AFLA_054300 MADIDSKSSSSHVESAVKPLSPEVIQFEGLSDQEALKLERRLVR KIDLHLIPALFLLFIFNILDRSNIANARLGGLQEDLGLSDTQYQTAVALMFVGYLLGQ VPSNIILTRVKPSRYIPAAIFVWGGISICMAATKNYAGILCVRIFLGFAESPFFPGAL LLMSSWYKASELAVRVAIVYCGNTVANGFGGMLAAGILSGLDGKGGLAGWRWLFIIEG AGTMVAGMLAVVLLPDFPRSGQRKWLTEQEQRFAEWRLAVATNNEVDENGSIKQGLRD AVTDPKVWVLVLVQICQLTSQTWTYFFPSIVETLGFGKIVTLLITAPVYVFGFISALG NSFITNRTNYRAILIVWPLCIDIVGNVMVISSQATAVRYIGMFLMCMGSYSAFNVVQA WIASTIPRTRTKRAIVYAMVNFFGNSSNIYGSYFFPTKDSPQYRPGGIILSSFAAGGV CFSILLAVYLHWLNTNARKAEEDDGQIRYKYIF AFLA_054310 MQAEMLASDDSPARKMRKVTRACDASLVHRYFEFASPTHRVLHQ QTVENVVQRLYQRGRPDGEQISGVTTVCQAILLMAFSTATMFKMDAKGGMTDADENGW QTSEMYFTKADHLLSKESGAIRLESVQVRFLMVLYLLSSSRAHRAWFTLGTTIQLIMA LGLHSRRRNRETAEVSLIQQECQRRVVWCSYTLDKYLSLMLGTPRLWHDEDLDERLPA RANDEDISPDRILPPTRDCVMDAAIFHALLARVLSQAAKEPYVVAGITDREEINTIRV LCERVAEWQAELPPFLSGVIHSSSLIPLFKRQLTVLQLARYHALMFITRPLLLRNYGQ IWTDREASYVQYLRVCLAAAKDTVELITTFARDNQLFPAFWYSQYIAFNALSIIYIYL IQVQSNRILPLSQTYVATEGGLNDGFGFDESTLYVLAETAQYHLTHATARNAPSWKYG TILQSLRRELERLGAPCDPVATNMPPEHQGEPRIQSRNLNDTDLGATIPPSQPEPHYH EPFPIDAQTLPLFDTFTLDNDLILDLWSQLDSLPISAYPAYS AFLA_054320 MYGKSLILATTLLGTHSRAAVLPRASIDHDAVVGFDQTVPSGTT GEVYLAYQPDLYVVNGCVPFPAVDAEGNTNAGLEPTGDPSGSCSSSTGQIYVRGGTSG DYYALMYSWYFPKDEPSTGLGHRHDWEGVIVWLSDSTSTSADNIVAVCPSAHGGWDCS TDGYTLDGTTPLIQYYSVWPVNHQCGLTTTVGGTQPLIAWESLPTAASTALEDTDFGD ANVPFKDANFSSNLEKATF AFLA_054330 MRKMFSFLKNTLVPLLLLSQAGPGAAASPTYPTAPELSFLYTAY VKCEGTLMESRGPHGIRKAIPIVGGNFTGPHLSGEILDVGADWGLTDPQTNVFSADTR YNLRTHDGENIFIQTSGPKSPSGQLHLRLVFETGSEKYYWLNNVLAIGVLTNVEKTAN SSLLRIDAWNFASDWNATTTSLIGTD AFLA_054340 MASFKPRNILLFGATGNIGTYILQAILTARDEFDRIAIFTSQAT AASKKDYLDDLKRTKNVEVLVGDVQDQDAVRKAYHGIDTVISALGRGAIASQIPLIRL ADASPTVKWFLPSEYGTDIKYSPASAQEKPHQQKLKVRAFLENESPNEGVVSDLAYTY VVTGPYSDMYVHYAGNPIAGGWDVKAKKATLLGEDGNAKVSLTTMKDVGTLVLATLRH PSVAFNRALKVNSFTTTPAEVHAEFVRQTGGQPWTNVQYTPLSQLREAESEAWHAGKP EATVLTLRRIWTEGGTLYDQRDNALIGEPPVQTLEDVVAQEIRKSS AFLA_054350 MAPHEGLVHPKEYDIKDSNVELIGSDLDHRVKYNSAATEPAWNN GQIGQEAGLWIWRIENFEVVPWPKNRAGEFYDGDSYIVLHSYKVGDEKLGHDIFFWLG SKTTQDEAGTAAYKTVELDEFLHGAATQHREIQQQPSEDFVSLFRRITIRSGGVASGF NHVEEEEPKEVTTLLRVFKHPGAGRIDSIIVYEVEPTWQSLDDKDVFVLDKGDKIWVW QGKTCSPMEKAKAAQVVNDMTLAKHVDVEVLSQLESRSKIFVDLLGGKEVDQLSFQAP RPVSFSKRSHDESGASRPSKLFRLSDASGTPSFNLVKDGGPVRRSDLDGNDVFLYDVG SRLWVWQGSGASEREKALWLKVAQAYVRHLQQDQNDSDAYLTPISKVVEGYESPAFLK WIEV AFLA_054360 MEQTGIEGQALAAWENHARSWDSTMGDDGNDYFSVLELPALKRM ISGQKRNRALDLATGNGLVARWLAEEGFSVVATDGARAMLEHAKARTTLWYEEGRLDK GRKISFELLDVTNKDHWAQFTSSDNLLKDGFDVVAMNMGIMDVHDLEPLAASLTSLLK QDGCFVATVLHPLFFTSGARRQITVHEDPATGQRIIDRSILLSQYQNVAPARQLLFSN DSEHKPPVHLCQPQVCRSKD AFLA_054370 MLSRRLGIARLYARRSAPATTSLRWNAVRPPVSCATCIAKRSLA GQAHLKEKLPSTEKSRERFREFSLAGKVFAVTGGARGLGLSMAEALVEAGGQVYCLDR LPEPDEEFRAAEARANPDFGGSLHYRCMDVTDDANTEAVIADIGAQQNRLDGLIAAAG INHVASAIDHRPKNVDDVIHINYTGVFRSAVSAAKVMLDRKCHGSILLVASMSGIVAN KGMASAIYNSSKAAVIQLTRSLAMEWSEAKEDGTGGIRVNCLCPGHIETPMAKMVMEK DPDTRALWESENMMKRLARPEEFRGITLLLMSDASSFMTGSTVVVDGGHTAW AFLA_054380 MNSHLRTPPGPENGQRDSFFDALNDQLEKEELVLAVPADLTETT GIEARGSSQQRTSQLNTLDLAFILHPSHEVTTPSQKQSPSSPGGQQTDLCRQACTELG VSQASMNKM AFLA_054390 MVAIDIFHEPSFAEKLSNIPSFCQLTALLAAIAGYATRFGALRT NDGASDAVQLAAKSHRQPAYFIDLAFKYINEALAECDDEMPPLCVIQALILATHCRLT QGVRGKAWRSLGLCVSLIYETNLHLLDSRKVIQTEDPHHWQQDEEKRRAFWAIWEMDV FASTIRRTPTTINWNQMEIWLPVDNAHWFSGHPASSCFMETDINKRWKALQDSGNQSS KAWFLVINSLMKNAQIACDPLGVPAISNQGYHQQMPNSQISTADSAMEARQKLETLAN AVRCFTWALPSHLQYRDQYLAFGAPVQGELESQRRQHCSIYNIFVMTQLTRLMIYRYD AFRSQNRPTETSGRHPSGDSAGRNTFGLLETENEARRQYYEAADRILGIVNRSCEDHV QHINPFLPSTIWLASAVQLVRKHFARAQSNRDLVKSRFDVLYLTYKRCVQFWDIQTAL QKNLELIEEQLDARNKKLEVRACPTSHEASNQTSEDTGMINQSASDQDGHNVERGLNF DRTARQASQYIPETPSVPLTSSTPIDVRLDITAQNHAQKHPYVGDSLAMLDYMTPPQL SRNQVPEEYMVPPNSHFLDTIYQLDQALDWPTFDFPGGIYDLLSG AFLA_054400 MTASSNESRINLNRIAHVFYTHREIDKAHHFLLDFGFREVKRVG NDIYYRGTSSEPFVYCARQGDQDGFGGAAFVVESESDLFAATKLPGATGIYDLGNAPG GGRCVTFHDPIDKFPFHLVYGQEAHADEKVLPQLDYNFVSYSHIYIHITHSSETF AFLA_054410 MVQLIHDSTGRDITTFLHLDRGSELVDHHCFFFFEGPRSHVHHS SFETHDFDTQVLGHDWLRSKGYENCWGVGRHIMGSQIFDYWFDTSRFIIEHYVDGDLV NDEHQTNRSLATPDNLHVWGPDLPPTFLQ AFLA_054420 MGPNWAHLVRFIGEEDGQTHLGEVDPNKYPDVGIAILNGERVAV KLVKGSIFDGRVTDTTMHIARLLAPIGIEEVPIIRCMGLNYRDHAKEANMPIPDVPVV FIKPRTALNGPHPAKINVPKIAQDGSSDYEAELSIILSKTGRDIPESEAMEYVLGYTC SNDVSARTQQFKNSQWSFSKELTQFDGKALTDLVHWARSLCLPPPSATRIICKLELSI MAMWCKTQTLEKGTIIMTGTGPGIGAMRNPKIVLKHGDDMRVEIEKIGTLINEVYYE AFLA_054430 MAPYNMNDNSAIRSDGAEEFQVIGGIAINARRNCSARLSDHAVD TEFLIVGAGPAGAALACFLGSHGLKGIMISSAPGTANTPRAHITNMAALECLRDIGLY DELEKLGSAGADHMQHTRWCHSMAGEEYARIHSWGNDPRRKGDYELASPCEPFDLPQT ILEPVLVRHAALKGFKCRFDSTLVSLYSDSKTGLITASIHDKMTNKEYQIQTRYLFGA DGARSEVVKQLNLPLVVQPGQGMAINVLVKADFSHLVKNRTGNLHWVMQPDREHPDFG WMGIVRMVKPWNEWMFILFPDRNYDRSQGKPSKDANQKRVQEFIGDDTPAEILDISTW YINEIVAEKYSEGNIFCLGDAVHRHPPLNGLGSNTCIQDAFNLAWKVAYVHKGLASPS LLSTYSIERQPVGHSIITRANQAYRDHFLVWKALGMLPTDLSARKEILKELKSATPEG SNRRRALHAAIKHTSHEFHGLGVEMNQHYDGQGVYTADEPNPYAPSRRAAEDSILFHE PNTYPGSRLPHVWLNKAIPGEPVSTVDIAGHGSFVLLSGIGGGRWKKAAENVAETLKV PIQVHYIGFRQDWEDVYFEWENLRGVEESGAVLVRPDRFVAWRAPEVLKDTEACESKL LTVMRSILGFLDV AFLA_054440 MAGFEASALDNYYLPRAKIQPPSFSPTLSGCGWINGWIGLSRTE TAVRRMRRKAKAKRKSRTRMIGMIWQPRAFAATCPAPYSLSTRFSYIS AFLA_054450 MGGRVAFTLQAVNSDVTEAFVSAVQSPRPMPEFVPVPSAATGPA GSSATTTQETFEPIRTPNHSAGIPHYLPFRTAHTVPELWREWTCGLGGKPSIQSLEDT YGAAWRPLQRERVKFCRRKVFIDEIRKRYASGTPLPKAVEEVDFIRQRGRMGLHVLSQ MLQKDREECTKD AFLA_054460 MAPRTEITRFLAFFLFAFVALLCIYHGTQSDSLRLTTYAKRSLP DDATIQPRLDLGTELHLLSKRAPPKNRAEAAEKGRGYMCQLESIEPIDLDSELTDLQT FNNDWSPQPYGVSNGDPTETGSYISVALAAKGLPINIGDRGLKGLIYEQNQEYKVGNS YYEPTIGYYKATISTKAGYISAEDNISPAYRLAETRKGNPPPRISRLSDVYFLMWKEV AGKSEAELKNIKYFVRHHVINGASLDVALEVASGKPLPPTKEDGSRDTSMVEEWPGKS YDMSTDDGKAILGTPNGAGVARFLMDHKQELGVKAPSKVTLFKTTGHTNADTNQPEDW IHFLFEISPVHKKKRRGRK AFLA_054470 MPSPFFFLALSLSLTHSVQVAADPSFDAWSQVWKPCPRDCSEIP SPAEWAVYSSVDQLATCSQTLRLDFSLYNPSNPAIRACSASQSYPAAKASQFQAVVSS GFTDQEVTLQIGWWNTSASLKSAGVKSALEHVQKALLASENERPATVYSYHGKSLIGV YAGPGVQHSDAATTVFQEFTDHIESQDLVGRIALQYCGENSNKAIGIVVDASGDLAAV QRIVRGWVDGECQTDFDGVKELSQSILQLQNDATSARVSESSSRGVAGEGRLHSHQGG AHSHQRRAICRTIQIVPGDSCGALAERCGLSPADFTKFNPDKKLCSSLQPEQHVCCSA GTLPDLRPKPNGDGTCASYVVKTDESCHKLAVANGLKQEDLERFNKKTWGWSGCNRIQ SQQTICLSEGTAPMPSIIDNAVCGPQVPGTARPKDMNDLEGLNPCPLNACCDVWGQCG ITDEFCTESKSSTGAPGTAAPNTNGCISNCGTDVVNNNKGPDDFISIGYFEAWNAERG CLNMDVTSFDTAIHTHLHFAFAEITESYEVDVSMVHSQFNKLKNMGSINRILTFGGWS FSTRADSFPIFRKGVSAENREVFATNVANFIVENDLEGVDFDWEYPGAPDIPGIPPGD RDDGKRYLEFLKMVREKLPSDKSVAIAAPASFWYLKGFPIEEISKVVDYIVFMAYDLH GQWDYGNKNSMPGCPSGDCLRSHVNLTETNTALSMVTKAGVPSSKIIVGVSSYGRSFK MTEPGCSDPMCKYVGPESAAKPGKCTTTAGYISDAEINRILEENPTAKKTYDVSSDSS ILVYDSTEWVAYMDIEIKRNRTEHYRQLNMGGISDWAVDLQSFANSPRGGNNSAENQT VVYVDSSIWTEENPEVTCPAPCALVLPNYPLSSTTVITPPPHVTTLDVAWPTQTLITR SNGEVITTTTVTRILQETTIIAPPGRAFYEFPCNETWLTRRVTVTATDLPMANINITI PLDEDERLIIKPKPRFTPVIVTITNDPNPLSQTAVLHPPVTRSVTVPPWPQTTVIYIP PKENTDDEDNNTDRDDKDNDKDNDDKDKDNDDKDKDKDDKDKDKGKGGGHHRIRFPRI PTITVTHGRGSPICRGKNCGHLCSDRFCGCNHGCGGGDNGFSDPNDPNPPPIPPPPQD PKDKDSCTSSSVTNYWVSCDSKTEGPTTTSCTTTKSLVAVGCDVTATTTTTGVEYCPT VDPNDPQGEDGGAHKVKRTNTSKTKQPPKPTSSPKQPSSTSSETTTSTKSSKTSSLPS ATAASGCSKVGKSPSMPSRKCWNKCDPGTGLPVGGDWAKNDPWCWIAESGSDSYANCL KQSDCPTDFECAESWGCVVPLSGGCAPQGGKTGLGKTCWSSCNEKTGKRTNDEWEKGM PWCWLQNGRFASCKEDDDCSATTECVPDHWNHGGCNTKSKA AFLA_054480 MMTKLWMIFGCMAAAFSTATSTKLLQEQNVPLTLALEIAQDAVQ DCAKKQYSVSAAVVDREGVLRALLRADNAAIHTPEAARRKAYTAASSRTATSTMVKNI QNPGAAQLAAVDDFLILAGGVPIKVGNETIGAVGVGGAPSGDFDEACAMVALQQVADK LL AFLA_054490 MDVLPAYPNNHGVDKKEDEFDADAEKRDIMAGQVQDAFGSEEDA EIKYKTLTWWQCGLLMICESVSLGVLSLPAAVATLGFVPGVILIIGLGLLALYTGYNI GLFRQRYPHIQSLADAGEILLGRFGRELFGLGQFLFCIFVMGSHILTFRVMMNTITEH GTCSIVFSVVGMLISMVLSIPRTMKGMTWVSFASFLSIFGAVMITMISVGVQDHPNRV IHATVETNLYTGFQAVSNIVFAYCAHVAFFGLIAEMENPKDFNKSLLMLQSFEICLYL TAAVVIYYYVGTDVASPALTSAGPVMKKVAYGIAIPTIVGAGVVNGHIGLKYIYFRAC RKSDLLHSRSWKSVSIWLALGLTCWVVAWIISEGIPVFSNLNSLISALFASWFSYGLS GIYWLHLNYGQWLSSPKKILLTILNIGIALIGLILCVLGLYASGTAIHNDTNSSVFTC ANTDT AFLA_054500 MMHSPLTPEFDALVHVLLKKWHVPGMSIAVIDGSRTFSKGYGIA EYPDTKTTPDTLFYMASTTKAFTAAAMSLVINDINNHHGENSTRMATTADQIHWRTPL ASIIREDFVLEDKYTTAQITIEDALSHRSGIPDHIRHYGGTGASPGSIKDAVRILRYL PATAELRTKYMYNNLMYTAVSHAIETLTGENLGTFLLQRVWTPLHMDSTYWTRDDAQA GDNILAQGYTWNANKSEYTPEPCPDIIGGSGAGAMISSVVDYAQWIRCMMTCSGPLSK NAHAALIQPRTIIAEDPTNMFPGTHLYALGWTRDNYHGEDIIWHDGSVAGYGCTMMYL PRRQWGLVMAGNTTLTSNIVQVVLYMHLLDQVLGIPSHDRVDWQQQISERIATWREKQ AHAKDLLYPRLPVVPIPPTLGVWEYAGLYEHPGYGRLELRVDIDGFGLVADRLTCEVP IVILMEHVSGEFWLASLRERNQDPRDHERVRAEFRIGVNGLVSEVGIDLEPEMNGEKI WFQRIDLDI AFLA_054510 MLLAHMWPGFSCQWERFVLAVLRQHQSLKHQHPSTTLGSQRPRN KQTMKTFTIISCLVSAGLGAVSQPVEMTPTSLLQRTRFFNTLLPHSRCDLAVTDREN AFLA_054520 MAFQKTNLSARGEVFAEPKSKIPLLEVVCDLRHPDTNPGGYVSL GVAENTLMHDEITEHMNKNFTVDSHSLTYGDGFSGSHRLRDTIARFITRHFNPVNPVT KNQLLVTSGVGQAIELSGFCLLDKDDGVLLARPHYGNFPIDLGYRVGAKIIGVSFGEM DPFGPETVGIYEKALADAQRQGIRVKAVLLCNPQNPLGRCYTREVLEAYMRFCQKQNL HLIADEIYALSTWKNPDFPNAPSFTSVLSVKKDGLIDPSLVHALWGMSKDFGSNGIRL GCVISQDNVNFLRAAEANSYFSCPSSLSDLATSRILSDDAWVQSFIQTNRQRLAENYT ITIRFLESHQIPYKKGGNVGFFVWVDLFDPIRRQVNATLKKQAEANVSSENAARALET KLQEKLLKHRIFLALGADFGGDVPGWYRIVFAHEKEYLRLGLNRMINALGVFREELDT GLA AFLA_054530 MIMQSIKQYRKVYQTIQSITHLESQTVGNHNAIDPHASTDPSGH GDNQDRDANIHPFTHRPIPAPQNTRQVAEGEQRSCGSKDCAAGMHDLEKTNIADAPPY IVVNFDGDCDPLNPQNWSYGYKWMVTGAVSLTSFIGTGASAIDSEIVPQLMESFGVGE EVALLGTALFMIALGLGSLISAPFSEILGRNPVYIVSLIIFGLFTMGAALAPGIGSWL ACRFSAGLFSWPPLTNFGGTIADVWSPIERTYVFPVLLCLCFLGPFLAPMVAAFIGGS SVVNWQWPEWLTLILTGALVVTFLLFVPETFPPVLLSWKATSLRKVTGNQLYLAEHEV DAIPLYRRLQRSIKLPLKLLLTEPIIQLFSLYMTVIYTVLFGFLPGSVQSTHAIIGAP ILPISIFWMGWSSWPSVSLWSPLAASVAFGFAVMQLYISCYQYIIDSYEIYAASALVG MTLTRYCVAGPMVTVSVPMYENLGVHWTLTLLGCIVTLLAPVPYVFKYYGAIARKRSK GATSFE AFLA_054540 MALSFHRVLRSSETADIDRQTFARLVEIGLDGLQAAKRLAQQQK PWWHLANVPFQFLCAMLVIDTASSFAQIPTALQVFEDVARAIPSSTITEALKLATKLI GLSKLQKTEQLQYLDQCSESLHQHTFRSEATSDEDAQIQPPVAGLSVPREIFNMEELG GFSSFDWSFLPNMDIPIFEYDISNPIIESQ AFLA_054550 MVTQVVHVPSLNSLSHLFQVTYLCDVSEDAMKHSQLKVAGSCRP NTTRSVEELCNATEVELVLIASNHAFHASHAVLALQANKYVFIEKPIALTLQDTDRII AADEAAGGARVFIGYMRRYAAAFVDAVKEVGSIEQIRYARVRDIIGPNSVFVAQSGTY PKTFNDYREADTEALRTKTLDDMEQALQAELGIAVTKETHMMWEMLSILGSHDLSAMR EIMGMPKGVIGFSPCATVGSPFWSTPTSRSLTSPVSIRSQGSTPR AFLA_007350 MSWARCRWFRTFTLATRRGPTTLLMSENILYFVIQTYLLRQDKL SSGALRAVPENLEPRGLRCSKCSL AFLA_007360 MLSFHFIVPGLLAICSLQRGVSAGTDITCGGQFPKAGDGLAKRI DGCSSWSDNPTQVRDSWGSANFRGVCDEHDRCYYTLGANVDECNRNFCGGLRNACRKA YCKKILSATVCEPLTHGSCLQIAETYCAAVRLVAKDVYAKAQDLQQRYETCIAENGGV TPPVVCSNGAPEGSFWKERVPGTFCDFTTFVCLNGKITTGSYHEPHCIEV AFLA_007370 MTRDVLQRHQAVHEKDEARGIASLRRTKERAIEACEACATAKLS CGNERPCKRCHSKRVNCVPRPKGRRLSRERLSYSVSLPPYSPQVGESPPGLSTLTPAL ESGLSESFPGNVHERYDADVAPTSELVLETVIPDTDAQAHLPMNGFPAFFEQVMLPVV DADDAVHDTQQPRVFDFMQDTDFTYLDTDIFGTNFIPDLDKALDVPMAFTEFENPPQS PLDDQESASQRAAAFGRSLWLWVPEKNQHAFSEERQIPLRDTDSIPSSYQNRLDAVRI PGKLSYQARDDILKLVIRTGGSRLSVTTFPSPDYLDTLIKIGIGKRTETDAWIHPYTF YDSDYQQLRPELLTALIAAGCVCCGMPSINKTGIILQEITRVSLAQLVEDDNSVLRDL QYLQASMMWLDIGIFCGYTRKMQIAEGYLQPLCTAVRRGAAFDRSTYTVITPYSFGND NESLKRAWHAWVRQESLKRLVYHLFGHDVEVATTMNRPAIISYTELTVPFPSTRGLWF APSAEAWRDIWIAYQLTGCSELNLRDLLSDPSLMTQLAPELDIEVARSALLQGLALQV WECRQQMLLSQTSLSGPRATTQLWLQSRQEDLYTTLRAVQQDSLSVPPVTTLMSEFVM MYLHIDIDAIQRFVGRMGELDARRAYPGLRDWSRTKEARFAIWHAGQMFRAARNVAAY QFRGFESLAIYHATLVLWVYGLIQCGETKRLEVTTPMSEADLTAPVPLDEPENQVTKS FLSHGVGRPGLMMLQPRGKNEQDVKVFYELAKPRAVTAVAQQVFEGNCRLPFSDVSLP PIIQNLCALIKDLGNLQ AFLA_007380 MDDKPAIELAECVEAGTKSRGNLLVDADGQVQRLPVPSKDPNDP LNYSTWEKTAIIVSCCWFSTMSLSCIGGLGAILNVFFQLYLPQGYTTNQVVWLSTFPS MFVGIGNFLILPLGLLYGRRIATIISTVVLLGATIGCAVCNTWEQHLALRIIQGLAAG ATESVLPLILAEVTFVHQHGMVYGLYWAAQNAITGCLTLAASYEVASLGWRWFYWVFA IAVAIGLVLVVFGGFETGYKRRSQFVNGRMVITDRFGVTRMLTEDETREVLESQGHPS SGEEIPEELRPKKTYWQMLKPWSHPTESALTFIPQILFQIVEALLCPGILYATLLSSV VLASSIGMSLSYNTVLEYNYHWAPESIGLINLGGVFGGFGGMLYAGFLGDKFIVWKAT RNGGAFVPEHRLILLIFPGVLGVAALLLYGFTADGGATWGGPYMGWTLFQITFVSVLI LSTSFAAESWERNPGPALVAVVGMKNIVAFGLSYGINPMVEKYSYPTAMGILAAVTGG IFLLGIPVYILNPKVTTNVSV AFLA_007390 MPIQLVYGKWESRVEKWTSRGFHELGETEKIFFMRRCDSDLMSF LFFLSQLIMTTTSTPFQIVIVGGGIAGLTAAIALRGPSRKIIVLEQSRLNKEIGAMIS LQPNATKIVYKTWGLGKELSTSRSMVDQGFRVYSTEGELVNEVPLTTKTEYGASRVLW HRKDLHDALKRAVLSPSTVAGDLVEIRVSSRVVRCDPWGGVVTLESGEEIRGDIIIGA DGIHSNLRKVVVEDGPTPMPTGLSAYRLMIPSNVLETEEKEFCDKIDPRAPFTSMVVA HDCRLIMGPGREGDVFGIVALVPDERMNEDPNATQSWVSEGKLDKLMETFSEFPRWIT DIFKHSPDIGLWQLRDIEPLSTWHRGRLFLIGDAAHAMLPTQGQGASQAIEDSEALGA FFKEIMEPPSLEQITRLFAV AFLA_007400 MSTATTTITASVAAAAPAPENVRMRIDGSDPKFGDFRDDLARDG FAVVKGAIPRERALKYADEMFSWLENFNLGFDRHDPSSVHKDNLPVINEKGMCLQYAV THEKFVWDVRSEPGVVETFEKVYNDKDLIVSFDAVNFGFPNRTDLPANKPWPHQDQDP EKPGFRCLQGLVNLLPNGPDDGGLIVCRGGHLLSEQFHRELADEERIPAWTPEWYGYT ERGMKWLDDHGCKWEKVCAEPGDLLLWDSRTPHYNLSPKGETPRFCIYTCYMPVADTT NDDLSRKKEAFENWLGTTHWPNAKHTGSNVAKRDGKECPSNRFKPVNEPQLSERAFKL TGIPYLKAEA AFLA_007410 MESFKQPPPPFPGAKTYVYKTIRDLKLEVDVFIPDNLPYKDTTT AVLFLHGGGWIGGDRTEYCRPLFDEFLAQQYVVASANYKTPTGVGLYIRPTRRHSRSI EMDA AFLA_007420 MQRHKCQPPRTRQRSLGLPEAEWQELLAAKKVQDMQRAEGLATR DRLTHQLQAAAAANDTISPSSPSSGRGGAASEAVRNELSAVERRMQDDERVQYTLATM NRCVNGLHLTSNRNFESSMMIDHACYPINGWEHHVEMNIVTRVSPNIKCMVRSVDDMF LVTESLTVETFGQTNCMCDFKKLVLTSKDLVRWGIGNLLCKMQGT AFLA_007430 MPAFSLSLIGIGVVAVGTVWALWALTRKLQVPNNYPNAPRSLPY SIPFLKSTIPFVLDGLNLFRQASLYCQDRWPLRVDLLNGEVYIVQGAKNIASIFSTPG LTVTQAYGIALKHCFGMEQKAVDAYLADTSGSWHRPIPGSQTPWHGRVSYHTHENLVQ GLLGAGLDPTTERIERLLLASLEGAVSRTSEWTYGMDLTEFFETHLGSAILQALYGPL LVTKNSDFNRNLWRYDKQIMRLAKRLPSWLIPEAYRLRDELLGAIMRWHQQATLLSET IPSCERTSGGEADPYWGSAMMRERNKMLLSIEGQDAKSVASTDLGFIWASVTNVVPST MALCTHMYRDHSLVENIRLAALNCIRPGATLRFDLNKLGKQPLLLSMYAETLRFGVQI HIPRCSPHQPLSVAGVTIPPDKMIFINTSLAHTDKSVWNTRNGEYPLDTFWAQRFLID PKDEGSGPTRKECSQPLESTPRGEYKRMDGQGSSSGQFTLEGLDGSWIPYGGKADSRF IKLSTENTGPAIDRM AFLA_007440 MAPTGMGRVFMQPKRSNKPSNVGDMSISMGLNAAPGVLWAFTAT DTVFVLFRIFVRIASFRRLFVDDIFVLLAWAIMLTNAIIWQIQGQVLYNLYAISTGQE SYTPAVLPMFESFMRYIAPMTIMFYSGLWAIKFSFMSFFFRLNSKVKSHRIWWYVVML VVGGVYIACVADVDYKCSLGGMEYIIILSIPILILWNTRISLRKKLILFSVFSATILI MVVAIIRVVVNNSLNSSVDIGWLYLWSFVEMGTGVLSPTM AFLA_007450 MVNFHVPVRAFSVRIASRSLCRRPLGQIQARFSSQSAATGSITQ LLDRVHNTTKVYLDFVKSLNLPEPSYQYGDGLDPRQPLPQEVADARESAIEATYELHH LLLGPLGLLFSCPGEVSSLHQPWQHFLQYIYRYKIAPQVPIEGTITFEELAQATNLNI KDLTRFLRVAISRHVFDEPEKGIIGHTAASRLLINNPMVEAWLLNIAEEYWPAFTRTV DATEKWPGSEEPNETGYSLAFNTNKNPFDEISNDPRRQAQFITAMRFSHLHPSYHLSH LLDNYDFGTGECTIVDIGGSHGEVSTEIASRYPQIRCIVQDLPETIADWTTRVPTSLQ DRVTCMAHDFLTPQPVHGADVYLLRWILHDWSDKYCVRILRNLVPALKKGARVVVNDI CIPEPGELGPKADRDLRFMDIAMKAFNNARERDVETWGELFKEADERFRFLGVTVPAG ARMAIIEADWMGP AFLA_007460 MLLLLLETLALAYVAWSLVAMEINYRRACAMKIPLVRLCIDPQN LLWVILEPHLWPWLDRLPINWGNFGRYSRRGWYFADRGESHRRYGPIWALVTPKEIYI NVADSEAIHDIFQRRTDFIRPVEQYTVLEVYGPCISTANTTDWPRHRKVLATPFNESV MSFVWDESVEQTRQMIDIWASPDLDKISSVAKDTRTLSLNVLAAIGFRKSYPFRSGNG NSHREQSDSVSYRDALQTVLDNAILLMIMPRRLLSLSFAPESWQQLAKAATDFKQHMV RMLDEEVQALNEGKAGSGGLMTSFVRVMNLKQEDAPSKGLTTDEIFGNIFVINFAGHD TTANTLSFGLLLLAAYPEVQDWVAEELLLLTNTKGQYADLFPKLNRCRAVMLETLRLY PPIPSLPKWTNDQAQPLKVGDRTIMIPPKVGINPSLLTMHIDPQHWEDPLEWKPSRWI TPTKLVRATSIEDEQLITPVRCTYFPWSDGPQNCPGNKFSQVEFVAVIASLFRHHRIS PVANAGETFQQTRERVLATTRDVDLQLLLRMKDAERVHLKCRRV AFLA_007470 MVMVTTAAQIAAIDKDSPTFAFEPFVDLVYDEIATVSRESKPLL WRTPAEGYKSLFPSAKQMTAAHTGIHLLHKQLTQPDAMHEFMTNSLVHVNNTLQWDSF YNTSVLAATADVKVVSLECLCRDVIIDAQLTSFFGPRILEIEPNIRSFLKAWDSISWK VSYRLPSFLAKHATQLRDHLIEVLVKYYAFPAEERPGSVSFVNDVYDDYKQAGIPDRD IAGIVFTILWGLNSNVNAISYWMIAHLMNNPTVVNEIREEIAPMMRALDSSPTIDGTI LADVSKDPLLNSCPIMNSTFNETLRFTATGSSFRETTRDTTLDGRRIPKGTKIIIPQR TQMMHSAAFGPDPETFDCYRFYRNKSLLRKVEFRGFGGGTTLCSGRVVGRHQVLAYLA LLFWRYDLEVIGPDQEVLGVRGKGFPRLDEAKPSLGPGKPIDGDDQILRLTRRNV AFLA_007480 MRGIVALSFLSVALGVTADLTESNLHKYPKVLALENSFNPIKEA YWTGYPHHRRTPFSVSPDGKSAYVAYLDASETDIHVQQVDVDTFQSTGTSVTVSGGKE AGGLVAHNDGFALLTNEAMPSGTTNAPPSDTPVPVLYRYTDGKQTWKTWLGGPGVHEA DGLSASPDLNGDLVYSEQAGLYGAYFVVTDYSGDAAGHYGDSIQYVAANGTLVTITGA SSSWGCSHNTGIAFESADEPPFASICAEDQGAIWLNSKTLGMSNDGVKISNENTTNGA SGEPMGGMSGSYSALARFAESTRYIFAWVSRGAMDLTENTWMGSGYTHALNRTNNRNV AISLFSDKYTIVGEQATSEVGAEDGDKQINWLTEGANDCSNAHAATFGNNSALVTWEE ISDPICDFVAMGCRGQFAGTFFQQVDSTGKRVGSPLKSMDTFVAGDMVTMPDGRICWP YVSMDWDLSQAVYALTSSTTTTMSFACIDLTGDSS AFLA_007490 MLGNKLAISSLSLGQHPSHLLDHKVEVAASHGFAGIEIVFSDIE VYAREKSLSLSEAASEIQKICETHGIEVVSLAPFENFEGHNSLVEERLQLAAKWIDIA KILKAAYLQVPAQYSSDCTGEEAVIVMELQQLADLARAQQPIIAIAYEPMSWSTHCST WQSALHIIQRVDRPNFGLCLDTFHELTRLWASPFDPSGKLPNADQNLRESLRDFQDHC PLDKIFYVQLSDGERFDPPFSTSHPWYLEGEAPQFTWSRHARPFPLEAEMGGYMPIPE VARSWIADKGFNGWVSLEIFDRRMREPAFQPETAARRGSYSWRKLQDALSITSHI AFLA_007500 MENLFPHPRRIVTGHDNDGKAIVVADSLIPCEPTRINCNFAVLY ETHQFPEVNDQWIDPTRTRTPDLANQKGVVLRVVDFPPRTKTVGPTIGAHGEVHLDGD ERIDMKPGDVCVQRGTIHGWTNYSDAPARVFFVLTAIMKEDGYWSDNKKSEYDEKIWD PKRSELPIKELPASTACSSLPQKVKGGKLGIFEKALDFFGDGSFFLVDSPGHLAGNIS ALFRTRSRDGEPRWIFLAGDCFHPHHFVHYPEAPFGDILIAPSGCIHVDPEAARETIR KISALRESDPSVRVWAAHAGSLEGYWEFSS AFLA_007510 MHLPMKIWGYSDFFCSLEHAQNCAPLTGGEVTRNFFYAPSVYNG RASSVMPSSTPVRRPKGIRWDHESGQPVFGPAVQMDFELEMGYFVSKPIPMGETIKAI DAPDHIFGFVLLNDWSSRDIQAFEMTPLGPFHSKGFGTSISPWIITLDALKPFACEPE HVHSATEFDHQRYVERATATFDIRLNASLISKLMIRNGERYNTTESNLRYLYWTPYQQ LAHHASAGCGIETGDLMGTGTISGQVCI AFLA_007520 MTRYLSFLFLLILFGNSVFTAVIPKSYGSDDHEVTAEREDEPGN DLVLDDMWSLEDGSGSLNTRATDAADSSDEAALLDERQPLAPEDPSASKRDDTSSTDL PLSDTIVARAPEECRRALGTGVDSCKKSVQSGVANCKKKIQDDIAKCKVDAKGEIDRC KKKAKDPFSKARCESRRPKLMAQCESRRAKIPLCEKARPKVLLCCERMRPQFQALCAI PSFPVSVVRSRLQDAQQQCMKGFII AFLA_007530 MRIIAVAGGTGSVGQTIVEALVAHGKHTVLILTRKPHDPVDELT YLAVDYSNVGATAKALETANVDTVICAFGMESDAISEAQVNLIRAADMSGSTKRFVVS GYDMLFKEEHIPMVPTAKWALAASHAVEESSLEYTRVVNGLFLDYYGLPHWRSHLKPW VNAVNVEGKWAVLPGDGASKVNFITSQDMARFVARLMDLSEWSPVSFIAGQTASFKDI LRLAEEARGERFSVKNESLEDLRNGRISFPEFEETGLESTGRSTEYIFALFHHISGTG GYTISRDGTLDAQFPDIKITTAAEVIESSWRNP AFLA_007540 MTTPKITLYTNHRCPWAHRAHIALKELGLNYEEVIIDLTKPREP WYLDINPRGLVPSITYGEHIITESAVVAQFLADAHPSHLLPPSNSENGALQRARIAFF VDTFFSKVQPHFQTSLRASITEERDAAAEALVAAIKSELEPQLPEGSDGKGPFFGGSE KLTLAEVLTGSFLLRILSFHRHGLLSEKLPSLLEDTPRFKRWAEATVQQDSVNYIWDE KVSADAIKAKLAALAKK AFLA_007550 MGSKSARNARSFFFSSQSTSSLSGLFKSVYKSFQRWSFFCYWFY TGSTEDLIGKSGAAGLKTALTSLKIERAEDTYREVIKWYT AFLA_007560 MKTSFLLAAIGFLYRLPCSAAQTLNIVAHQDDDLLFLSPDLLHE IQGGRRVRTVFLTAGDAGEVSSGYWEQRQAGSQAAYAQMADVSDIWTQSDAGIDGKNI PTFTLDGNPDISLVFLQLPDGNGYGNGFPSTGSVSLQQLWQSEISSIQTVNGSTSYTS DELLDTLATLMSDFNPDRINTQDYAHAYGDNDHSDHHTTAYYVQKAAERYSTTHTLTG YTGYSIASMAQNVFGDDLNAKQSAFFTYAAHDSKVCHDSASCGNGNEAQWLQRQYAVT GEPVTNARLLNSRRTVGLRENVVLDGTQSRDPNGASLTYQWTQTSGADVVLSNATAAR PSFTSPKSSETLGFSLVVGNGNTRSTPAKVTVVATNLENVARNATATASSQNSDGGQT AEKALDGIIDGYPGTATTEWATVGGKAGSTLRLTWAQPQSISEVYLYDRPNVDDQVTG GILQFDNGSNITVHVLDNYGRPNRIQFEAKTTRSLLFIVTSVSPSTRNVGLAEIEVYG ASSG AFLA_007570 MANDKSEAIIIVTSIFLALSLLAVSLRCYVRLHLIRAFGADDYM MVLAMAFNLAFAICGIAGGSTGLGKKMDYFADKPTDLRDSLRFWWLGQVFYALTGTAG RTSIAISLLRITIVRAHLIIIYATIALSIAVGLLFFFATLVECRPIHYVWDYGMKSPH CVSKDFLLDIVYTHSVIAALCDLTLGILPLFMIWKLQMNRRAKFSLGAILGLGCLAGA AVVVRLPYNEKFKDPDFLLGRILWNPTNSAIDATATLSILANIEAGLGITAGCLSTLR PLVRMLRDGSSDPSQGRNAGSTIPLSRSLLTRKERQKRSHHESGTCWADVPNDGYKNI TTTTILGRRATKCDSSEESFSPRYLPPTVSVQNTFEVSVAEH AFLA_007580 MKDELGPDAGHQKKSDGMDEVSPTAEHSEKPRRSSIYDIEGRPA NKLSAVFKNPLEGIPRQQLMEDVDRFCAQYGLETHKELFRKGALISQNPQDAHNMPEL TEDERAAIRREHTHKWSQPWQLYFMASMCSLAAAVQGMDETVNNGAQAIYLKELNIKS EYITGLVVGAPYLACAVIGCWLTEPMNRYLARRGTIWVSCFIAAVASVWEGVCNSWVN LFIARFVLGLGIGSKSSTVPVYAAECSPAPIRGALVMMWQMWTAFGIMLGNIMGVAFM GLPDNLSWRLMLGSTVVLPLIVCAQVYLCPESPRWLIEHNKINKAYEAFRILRPSDLQ AARDLYYAYVGVQLEREVNKGKNFFTMFLELFTIPRNARATLATWIVMFLQQFCGVNV IAYYSTTIFTESGYSIQEALLASMGTGILNWVFALPAFFTIDTWGRRNLLLFTFPFLA ICLFWAGFSFWIEEGIKYSKKRVAMVTTGMYLFEVFYSPGEGPVPFTYSAEAFPLHVR EVGMSWATATTWCFNFILSFTWPSLLRAFQPQGAFGWYAAWCLVGWVLVLLFVPETKS LTLEELDQVFSVPTRKHAMYQLRNTVWHFRVWVLRQKLEPLPKLYEGAEHLSEAGEK AFLA_007590 MTYLAIPRGKVVLYNAQSSQFSKREEMMSACNKYMSYEEIDEDE RPLCYTSKGYVRTWFISVIIHSLHQHHFLAKGSKIGG AFLA_007600 MVLSAVSRKFSRATEDPLDTPSPVTDNDSDRISNVDKKLASVDE RSSSDEEHVKKPSETDAIEQETEEDPEIAALPREVRQLVSLTDDPTLPTITFRYFLLS IIFIVPGAFLSQMSHFRTTQAPYSIFFVQIATHYVGNFFARVFPAWEIRVPFTKWSFN LNPAPWSAKEHVLVTLTAASGATYNLGYTPIALAELFYGEKLNPAVAIFFMFAIVWVG YAFAAIARQLLLYDPTYVWPQALMQTTLFETFRKQDVSSPLARRQLKIFFLSLVGMTL WQFLPEYVFPFTSSLAFLCWVAPHNPVANFIGSGLGGMGFLNLTFDWSNINWNGSSIL LTPWWTQVILFLAYVVSCWVLLPAAKWGNLGSYKHGLMSNSLFMANGTKYPVLDVLTP DFRLNQTAYEEHGLMYMGLHNAWATFFDYAKVTAAVTWIATFGFYQVKSNLKKAIASR RKSEKAKGQNINYQYHDRLNVLQRQYKEVPLWWYVALFMAGFIILLVATACGYLWIPV WTLFVGLATAGVFVLPFGWLYAISNYQLAVGTFNEMLYGYMVHTKAGASHRHPCGPST YGAIAGDAWYRAQYMLQDQKIGHYMHIPPRTVFFSQIFGTVLGIPVNYGVIRWVLNTK GNILKGVEKDPLNQWTGQSIIGSNTLGVQYAVIGPQKMFENPELRILPYSFLVGAVIP PILYILHRCFPRLRVDLWNVTIFFSGLSVFYGNISTGYTSAIIGGYVVMYWAYRRRFE TWKRYSYMVAAAFDAGFNLNMLLIFLFFGSGKQIKMPNWWGNNADSVERCFAL AFLA_007610 MRWLISTIWALAVGSSFATARKAMHFAMEQNLDMLPRTHSARGL PAPGKKGVMLMNRIGPSSSELYVANIDGSNERKLLGNNSRFDYHASFSPDGQWITFTS ERNGDGNSDLYRCRADGSGLEKLVATSSVEDAGVLSPDASKVAWVSTANGYTTNVWVM DLKTGEQRNLTNLEGVKGDPTKPNGYFRPSWSPDGEWIAFSSDRNTEWRGHGNGTGWE HTQELSVYVIRPDGTDFRKIASREGWSLGSPRWSPDGSRIIFYEITTENTWDAHRPES VASATSQIVSVDFATGLDRIQHTNGTGVKVSPQYVTADNIGYLIKGGSSEGIHYTAES STGTVINGTMRSPSWSPDGKSVVYEKSEFEARPMEKKLYSWDPEWEYRFTDVFPDLSQ QGRLAITQKQLGNSSIVTMRPDGLDQTLVFDPLTQSNISSSEVGQGLAGAFQPSWSPD GEWIAFGIGAWFQSRATGKGYIARAKADGSYHEILTNGTINAGFPSYSLDGRYLVYRV WGTEFGLRIMDLTDKSVRVLTTTRDNLPFYSPDGQRIVFTRRTSYTNFDVCTIRPDGS DLKVLTSSGANDAHATWTADGRILYASGMYGFRDEAAIYDNTFQPYGQIFVMNADGSN KTILTDSIWEDSMALYLPNEVLE AFLA_007620 MTLPIKPQTWTKDAFIISTDKTLLSVSAINTAFDNDFMYWTKSY PENILKQIIEGSFCFGVYKAKQNITGQNGDSNSIPSHGPTKSIEGVDQIGFARLITDN VTFAYLTDLYILPEYQGHGLGGWLIDCVDEVLRPLPHLRWFMLRTSAEKSKQAYEKRL GMHVLDTSCVSEGIS AFLA_007630 MFSWYQRSLIQRPVLTQSLTTACLFAVGDGLAQQGVEKKGIARH DVTRTARMALYGGAVFGPVATKWFQFLQNRINLGSPGKTLVARVATDQLVCAPTMIGV FLSSMSLMEGGDPRDKLKKTYWEALRTNWTIWPALQTVNLYLVPLQYRVLTVNVFNIG WNCFLSFLNNADNVENVELQEIPAL AFLA_007640 MAGLFKGIHGVVQDKTGVAAGDVQEAVTAVGEIPKGILDIITND KKEPQLILHVYSYSRESRLIKEKCCLPFKNVKDEEMQLTDIRKLLIGENILEPRLVWS SFCNQRGAVVQDITNFKAYLQILNEKSSEVAETSEDNADTYRVYLLSEKIINQDVINK AILDRGAKVTTDKKLAELPTASQPEPIQAPTSFSHNIFVNPTTTFSIVHPADMSEKQW SVVILSILRGVNVAFVLKPRAFQDYQISASGAKTSVSAQQLLRIPFFRIEDDSYVEQF EETKSVSRAVAASSMSQFDASLAIEGGAFGFSASASASYGDTSSSSDSSSSNEENKVM NITYNFPRVTIDFDHQSLDLSNQCKADLKAVSTAADIESFKNKYGRLFAMRVQLGGRL HAAEESTARTSAEKAEHAKSQRAAAALSFKSPYVQASANVSKSSAEDSSSATQNSSSN KSMCWEAKGGDTLLCNDPPAWAYTVGSFYNWRVVKQSSVLAIEDVISSIPGYQDTKQI FADILDKNSKKEAPGKTEQKPGTIGFQFRSKMVDKYVTIGQQAAKDEVAKHISELTAG KPTTKKRLHFISRLSASITDAAQLQFENKKDSAPQKFYVDVESSNDTTETKLKYNYPY KIYGKDGNEDKLWLFSNQTMPGFMSTAFVWAAKEKGATSFRFLLPSNLDNSPSRDIED GEEVSVQLFDKHDHEINLATRFDRRGDKVGTVVDLDDFAKLANELDTTWEVHYL AFLA_007650 MLIHRPALTFGQQEPQFADSLRACKEATTHLILAFELASDASFV PGIWPSGHHLIFQSGLMLLYDRWFQNPIQSPGISSEPDTLPKFIHIAITLLSRSAAYL DERVGSGRPRSPSTTDTIESLRQTSSYLHYLSQWRPHGKPNTSGYSNNRRQSFISWTS ATDTTSRLLFLPMGALVYRGDQSNEDIRAY AFLA_007660 MAERRPAQVWYIEPTEELIQQLRQELESARAQLQLFSAREEGLQ ETIVSQNKEIEHLRELLTASRTGGYTQHGGERAGNGSVVAHLGRLVLGDGNSEFFAGS TTGVHFVLSAQQLYQTTFSSQEHFPECLFRLHILRHKELPVALEQNISIASCLENGQL TSSNIFEPLRNHLRNVGVVAVRKAFDKYQQCWGILYPVLLSKQFLDTFDATINDAWTT PLEPHLRIPFLLQVYALMALDNVDSSTTATEIVPLPYHLDTILSNLLGQMPCRGDISS LQGLILYLLYLQMTSQHSLAIRTCGMIVRLAQSLGLHRHTRRFKHTPGENNQASYMVY PETYKQQTQIPISLPIPTMMTCIAISCRIRYLEKLPISSHFSKVE AFLA_007670 MRPSSFMGLCGSALNLAQLLLIVCPAFILFGYNQSNLGGLVSVT DFTNHFPRIDTVHTEGEQKSSNATIQGVVVATFTLGALFGCLSCSYTSDRFGRRIVIL AGAILTVVGEVLEASSFQLAQLIIGRVILGAGVGMLSGTVPTWQSECSSSSNRGKHVV LDGLFISIGYILQAWINLGFYQVKTGSASWRAPIAIPIFFSLLLSLAILAMPESPRWL SQQGRMQEARSTLAALKGLSDDDASIIDELSAIERSLEQSGRTAASLGDMLKMGQDRL LYRFCLCILLQFYQQMSGGNLISVYSTVIFQEGLRMNSETSRILSGGTLTWKLLSCFA GFFAIDRFGRRFVLMVSGTGMATCMMGLAVATSFPHSNFGAQVASVFFIFLFNFFIPI GFLGANFLYCTEVAPTKLRVAMSSISTANHWLWNFAVTMITPVAINTIGYQYYIVFTC IGFCIPISVYFFYPETMGRSLEEIDLIFRNSPSVFSTVRYARENPHLALEHDLEHEKG EIRHEENV AFLA_007680 MSISALDSRIFRNLFGTEEVREIFTDEAYAKFLVQTEAALARAE SKVNAIPADVGDAITAVLGNIELDFDRLSRETETVGYPVLPLVMQLVENTPEDLAKYI HWGATTQDVMDNASMLQIKRGLDLVKRDLNKLIDILQVMAEKYRDTPMAGRTHLQHAL PCTFGYKCAVYLSSILRHRDRLCQIERRCLLVQFGGAAGTLASLGSDRTGILVRAQLA KELELEDPMITWHVARDNIAEVLNFLALIGGTLGKIALDIIVMSSNELDEVAEPFVPH RGASSTMPQKRNPISSEIILATSKLLRANASLGLDAMVVDFERASGPWHLEWVAIPES FTYAVGALYQTTFALSGLCVKEESMEKNLHSTRGLIVGEAVMMGLAPFVGRQRAHDVV YEACKSAIEHDRVLLDVLKENTEVSEHFNEAKLTQLCDPLSYLGSGQLMVDDVLKRVA EGKAGLKAM AFLA_007690 MHFSTLTLLLSACASLTAAWNVTAYSNTDCTGYLTSYAGDTVWG CLWVAGVDDIKSFKASDTPEGYVFSAASGTGCDKFRQTGGDGCYTQGQGFQSFTFYKQ SN AFLA_007700 MSVEIAQLHSEVEALVEKFDVLSKIKEIQEAGDVRSSVPVTISV TTDATISLLEDKSTIGESTEAPKSLSKGEKSSFKITQGDELKYTVTAGELTSDFKIVF DVDKSAPKLKLSDELEDDNAPIGFETTKTETKTEIEIEREVEVEKEWTKGKKPERKTS KETKHEAKAGAHSLQHEVETKFKQEKDKSETETETEIEISNKKVEVEYVIY AFLA_007710 MKTHSVAWDLHQNNCSAGVGLDFIKVPSPTLALPLSAWASLLAT WNITTHSHTDYTGYPTSLTGEMQSFANRCSYSIHFSIRNECFKDFVRRTPQSPTKIQQ DWSVLWGNILPCGQKQASFDRAEAQPRWNCLGSLSSLSSHQLPSAPISSPQLPS AFLA_007720 MHSSLLPMLAAMLLSPVSAAGVSGTAFGFAQGTTGGGNASPQTP SSLDELKTWITDDVARVILIDREWDFTNTEGHTSGKCCSSDTTTKCPGGTSAGQTWIQ DKCDDGTWVSCTYDNAAKKPLDVGSNKSIVGVGNKGVLKGKGLRLTGGANNVIIQNIH ITDLNPQYVWGGDALTLDGTDNVWIDHNKFSLIGRQMIVSGWNKGGHVTISNNEFDGV TEWSAGCNGKHYWSLLLLGLEDWYTFSGNWLHDLSGRAPHMGTDHDDSKIYFHGVNNY FQDIDGHAFDVDTNTWVLLEGNYFDNVKTPMTDTSLKSGAQLYTTSTVNAASGCVSPL GYICEWNRNGGSTGTWPDRTDASVLTGFSNLKEHLISHTGVADVPTNVKANAGVGKL AFLA_007730 MAGISPAFITAFLSVGPAIALGTAVVNQGNASVVPECVQACSHL SNIFGPDAYSLGNANVTLWDAKQQETHSACWVQPSSTEDVATILGVIIDTSCRFAVKG GGHARNPDDSVSAGGVTIDMQKMRSVEVSPDQKTAKVGSGHVLLSLYEGLEKYNLTTL GGRVADVGLGGYLLGGGFSHLSPKYGLAMDNVFEYEIVLPNATIAIVNQETHPDLYFA LRGGMNNFGIVTHFTMRAVRQGQMLGGVRTYTADKRGAILEQVYELTTSWKNDTNMAF FYSYGYDQERDDFTLAVSQEYSLPILSPAPFEQLNQIPFEHSTVRLDRTSRFSIESAS ATPPGGRNLFATVTYFPSADLDKQIQDIMAREIQSLKKAPGFYPNLVIQPLYEAAIRS GKQRGGNAAVALLTVLWENADDDDRMNAFAQEWVKKSTATTKDAVKHHPWLYINYAST DQDPFVSYGEANLQKLRRIQRDIDPQGVFTSEGLCRGYFKLQ AFLA_007740 MVYRSNLNTVARRIPSLVAITSKPRVQPCVVATQGRLPKARAMT LMPQFHRGPSTIKRLLDDYDRYLSGHTLDPHPRAYAPTFDMRESKDTYQLEGELPGVK QSDVDIEYVDAHTIVIKGHTEHASEVEEGSWWISERSTGDFRRSFSFPSAVDQENTRA RLKDGVLLVTIPKVASTWDVKKVKVDE AFLA_007750 MYTNLLIRGLDVPFDSKPIANEEPSRVCDPVERPSMLGIGFRSG MLLHWINSHDYPWLEEWLISSKTLLARKGDCDIAPEALVDELAPIWSVVVLTLSKHVG DEVPIKWTSECQPRRWIFATLRQASDKCIMD AFLA_007760 MVQPGTSQSSWVDFIKHPFVGTFTFFYLLFQAVLNWFFAPAPPP PASLVNGLPKKRVAVIGAGLTGVSAAAHCVGHGFDVQIFEARSKAKGLGGIWSRVNST SSLQIHSIMYRFHPSVKYDNAYPTQGEIREQIVDVWKRYGLQKHTAFETPVTSVKQAK DGKWIINDDEEKYGRFDGVVASVGVCGDPKMPPLPDQEQFKGNIYHSSELDGKDVEGK KVLIIGGGASAIEALEFAVQSKAAEIDVLSRSDKWIIPRNVLVQSLLALNIFGMETSF SWIPEWFLHKFFYRDLQDIAPSGGLFTTTPMANSELFDKIREGKARWLRGDIVSVKEN GILFNRRARKVPKGGPGHESVITGDVIIMATGFKRPSLSFLPDDAFEEPYTPPSWYLQ VFPPKYPEICANNSTYVDAIGTVGNMHIGIYTRFLLMFLTDPLTQPTEGRMKTWIDFT RFVKQHSPTNAFDFFTYSELIYWFVFVILVNPFRWKWAPFVLFGVGRALPMGVVRQEE SFRKQLKQQR AFLA_007770 MAMQGAPVLDKFLSGISEIIGNRDGSKLQDFLQIEPPLSDIYRQ MVEELRQQYPNGPKEADLLRRCEGLVPRTRNGSSWTAFPTFMKLYFTFLRDVNVDNLL ETYNLLKGLVNQSVLALGDSQYGVIVLPTVLYLSKVLAKLAMGLDRRPELIAHLLRME GRSDQDESIEKVTLVEKSANVVREAFIKCLTDRSGTPGVHGKPEGKRVGIYLMANLCL KLLFQCGKLRNAEQMFSSISAQSPPLKYFPASQRVTYLYYLGRYLFSNNLFYPAQIAL QSAYDQCHRQALSQKRVILTYLIPCNIIMGRFPSLELLQRPESEGLADKFVPICRLIV RGDYIAFREHLALDSPATEWFAQKGILLALRNRCEIIVWRALARKVFIHGGFHGEPQG SAQRGPPPFLYLHKLETAVRWLQSQHAQSLHGSLGFDPSGQNRDAQAGQNEFGSQLVY KLPDHDFGGVDEVDGPQSKPDPELLSKYEDFLTPDGCFDDMGQWQSNPPGVLVDGQPD ADYSQYELDPYTHRVELDSETEQGKPTPMMRELESILASLLTQGLMRGYLTHKNPRFA IPGARLRGALPTGFPNVWQTISARESEDDRVPGWVQPPPPVAGGSLAAAGGGRVVNLS GARPVGVQ AFLA_007780 MEAHNIAVATIAAQVHQFHKNQQPFRIYHGSTNSTRQSQHSAAN TINTANLNHVLAVDTDRKTVLVEPNVPMDELVKATLPHGLIPLVVMEFPGITAGGGFS GTSGESSSFRHGFFDATVNRIELVLANGDIRTASQKAPDEKELFWAAASSFGTLGVVT MLEIQCREAKPYVELTYHSTSSMSQAMTVFREATASPKTEYLDGIIYAPDHIVVCAGR LVDLPSNQTPIQRFVRAQDPWFYIHAQRQTRKIHRPNAEPPASVTYYVPIQDYLFRYD RGAFWTGRYAFSYFVTPFNRITRYILDTFMHTRVMYHALHESGLSKQHIIQDVAVPYK ATGEFLTWLDNKETFGAYPIWLCPLHHSQGIMARGAEAGPHQSQKEEDPEDDGDCLMN FGLWAPSPHASDTGAFIAQNRRLEHKVRELGGKKWLYAHAYYTEEEFWSIYDRKRYDA LREKYHAAHLPDLYQKVRVNLSPKSDGATEGWIGWAKRMAWETWPVCGLYGVYKAWRG GDYYLIKSKKD AFLA_007790 MMESDMYDATPSPSASRSDVSRNELNGKLPDDPNDDPEALTRTD TTLSAVVPPKELWREILFVVVVCMAQFMTQAGLCISIAPVYIIGRSFQTSTPGELSWF AAAYSLTVGTFILVCGRLGDVLGHRLMFIIGFAWFGLWSLLAGFSVWSNQVFFDCCRA LQGMGPAMLLPNAIAILGRAYPPGLRKEMIFSFFGATAPSGFIIGGVFSSILAQMTWW PWAYWVQGMVCFAFAVLGVLVIPHTSRPHFQKDLPIWVRLDLLGAAAGIIALVLINFA WNQAALVGWATPYTYVLLIVGFIIFGGFLWIERIAQCPLLPSAVFTGDLAWVLGCIAA GWSSFGIIIYYFYQFMEEIKGNSPLLATAKWVAAAPSGAIAALLTGFLLGRLPPSVIM FCAMVFFTAGLSVFATVPVDQTYWAQAFVASLITSWGMDMSFPSGTLILSNSMPHHHQ GLAASLVTTTVNYSISLGLGFAGTVESNVNDGGRNVLRGYRGALYLGIGFAGLGLVVS ILFMFVSWRRSQASHKSG AFLA_007800 MGKAPAAIVIARHGARLDAADKNWHLTSPTPYDPPLSYGGWLQS RALGARIGNVLKSLDNDILPEQTDGETLPSFRPHPSKRKRRIVIHSSPYLRCLQTSIA VGSGISQHYPDSTGPGPGWSQPNGFLSAPVMSPAQEPTTGAITSAPAAPGDQRSLLRV DAFLGEWLCPDYFEEITPPPKSERLIAAAKAELLRRDSIVPEADTKPATGFFPGGWGS LGNKPLSPPIEEEDRKVYSVYTSNERREGQRNRAGSCDTLRSADTPRTRRLLSKINTN LPPIPDGAYMPPTPSYAISPSDPIPTGYVTHARDACVRIDYPWDSMRDPPNWGNGGEY GEEWSTMHTRFHTGLERMVRWYQEHDASLPSGRRRRHSQLSVSESAEESKIPDDEDEP TDTILVIVTHGAGCNALIGAITGEPALVDINTASLTLAVPKDRVAVTEKADTIGGPVA PYRPGNDQVELRDYKLQLVASTDHLRPVTNLSTSVLSSPSSLSSPSSAYRPRFSTRPS LPQGGFVIGPSAVSGPGTGSWTFSRPSTAPRGPSGLWGSNSISAGDTADDIIPNFGDP WSGSNGTSNNDHHSGKKSEESSDWTPQLPQRTLSQRGLWGSRPSKEPHVKRRWTVTER RV AFLA_007810 MDKTDIAHLEVLSFAKLASKDQTELNRLLEACRKQGFFYLDLAG SNVSHGLHQRLKALSLMKDWFDRPNEEKMKLHKDSVTNGYKPPGTLSGVVKHMKDGFE NIKLPRDNFLGTGDSLPEVFKNDKEVFRDDLEISHGVTLTILSCLSDLLQPPVRLEDY HQENMSSQSTMMYFRYAKQCADKSSGVGHNMHTDLGTLTLLYCEQWGLQVYAQATNSW KYVQPRPGLYVVNVGDALRFLSGNDLLSALHRVVPVPGHESEYRYSTAYFLRPGNETE FRTSENTVVSALNWHDQKYNVFKAAHVEQEKNTILTGGIGAF AFLA_007820 MVGISSSSKPIFLVTHPRAISTAFERAFLTRDNDIACVHEPFSD AYHWGPEKLSERYENVEKLRAENGFQDYTYRVALGLVNDSKQNVGDPDYKKREECQGD NNCA AFLA_007830 MAKCLMPLPGADPRIAPSLHYEQRAINRMDSLQNHTAIPNPTVF PPDILSGFHYTFLIRNPRQSIPSLYQCSIPPKSHITGWNGFKATDAGYAELRILFDYL VQVQIIGPGTGNDICIVDADDLLADPEGIVEEYCCSVGIPYDPRSLHWGAEKDQQRAR DIFQNWIPFHDAALKSTSLNPQPPRVTTLEDDIAEWTEKFGAEAAMLIHQNVEDNMED YLYLKQFAIKT AFLA_007840 MTALDDLDLLPEDFPQPSPFMSEWPLRRDVVHMDHGSSGACPTK VLEHQNALRWELDRGSPEFFLSRWSPRHRAAKEALARFVHADYDELLLTPGSTLGLNI VTQSQQFQPGDELLTTNHAYSSVTMLLRHVANRDGAKVVIAQVPFPVASEEEIVQSIL ACVTERTRFAIIDHIVSRSGLVFPIKRIVQELADRGVDTLVDGAHGPGQVPVDLHDIG AAYYTTSCHKWMCAPRGVGFLYARRDRIRRLKPLIIARSGHWRDSDGAAYSWLEHTFE WNGCHDPSGVHSMPKIIEFLETALPGGHAAMVKRNHELAVDARRKVLGILGIGLPCPD DMIANMVVFPLPESVLPETQGILPLCKTLWEDDRAEIQCYHWPAYPKRIFRFSVQLHN SMEQYVWLAGKIKAALDEETRIAQAHAADVNGLEREREKMSPHVKPRLATSSDKLTIE TLVNEAYTPYIERIGRRPGPMLDDYGALIDAGRVHVVEKDGVVSAILVLIPEEGTMLL DNVAVAPAAQGLGLGKYLMGFAEEKARESGFKRIRLYTNEMMVENVGIYERLGYVETH RGLENGLRRVYMVKVLG AFLA_007850 METKHPRPRPPMEDIVSPTLEDLIHLALRRMRYLVAPDLDNNHP PPLLFPLAESMRGNPFTVDDWDTYGDLESEYGRLTYLISVYPERGAPFACEEVLLDLL QRPSLLTEWPNFLPTLIAHAQATVHGVVQRMVSSSSNRTRSAKSDYVAIPVDYKATSS ARTIALQLWKRSLGEMASQLGRGHFDGALAFLQIHAFLKDPIYGLSRPFSLQQFFFSH LLGKCMAATRVHILHAKDLERLAAQAAQEASYLANPLLQRLTLVHFNAHHQLPYVNVP LGRLARAEFSVPMHVLEVVEEMLGAVAMSDGQACPLVPILVATYPRYAHDDQWLIPII DGNHRATATLLLRFLATLTLPVDRQAMLEGLRGYCTAHHLGRKWQIDLCDVVTELHSP TNRKMYDQITSQAALVRKFAWVRYIPALVVQEDDFYTICKQRSAGKHKPVLLHPYHQA LFNDDDIPIALPQKAGQTHGRPEPFRLMSLTPFGGEHSGGVDVDVALICQAKVRPSMN GLAQEPQPHEKVQQHRAWSCVVS AFLA_007860 MKEPTEEQIITPGDNEKAPSTNEQVGPTREELETLPRIADKIPY TIFMVVIAEAAERFTFRSITGPLQNYVQNPLHDDRLPGALGKGQATATAIGYFFQCWC FLMPILGAVIADCYLGRVKTILLGSITATIGTLILFLTSLPVSLENGAGWPGLLVALI IIGLGAGGIKSNVGPLVADQYTGKKPVVKITADNRRVILDPDVTVQTIYSRYYWLINI GSCAGLIAPWVERKVGFWATFLIPLCIYGCATVVLIFCRNKYIIRPPQGSIAIRAAHA LWIGFKKNRTMDHAKPSYLRQQGERIDLPWDDQFVDEIKVALMACRVISLFPIFWLCY GNTVGNLISLAGLMNTMGLPNDFLAGSINPLSILILLPLFERVIYPSLRRVNIPFRPI SRITFGFVIMSGAIAVAAGLQSLAYNSPPYSVNFLSILPIYVLTALSEITAFLSSMEY AYTKAPRSMKSLVASVNLLLCALGSLLGLAISPTSKKPQILVQFACLSGMMFLAAILV YVLFSKYNKVDEKMNQIEREADSDREE AFLA_007870 MTYKWTWSAILRTLLLLLCVRDAVGGSEIPRLHRAQRPGLWLPK DYRIQQEWTKKIARDTIANPKPLHPAVQDLKDLIEGDSRIYLLANGMYDDIPANFTDP SGQPVVRSYTDMLSIMNHLLTIAPPWSEHENQVGLVGLPMHALLDWPMGTFHGHGFFL DPAVNAILKRVLDVWKDYLQSPASAEVLNNSTTGWFSPAAIKQLTAVANVDGSSYAFD ELFVCDPSEKHYNFTSWDGFFTRAFRSERRPVAEPHNDSVIANVCESLPFAIAQNVKR RDRFWVKGQPYSVEDMLGKDELSEHFVGGTIYQAYLSSLSYHRWHAPVTGTIVKVAKF NGTYFSEPLFASMEIYGAWPKAPRYSQGYLSAVAARAVIYIQADNPAIGLMAVVEVGM SEVSSCEVTVKEGQRVVKGDEMGMFHFGGSTHCLLFGKGVKVSGFPEPGSRTDNVPVR SRLAVVEEL AFLA_007880 MKTSTPLLVLLSVAAPALSSLLSPAHALNEDVIVQLANDWTGAN ANATIAPDNLKHFIQDLWGKSDLVGEDGEVYATSASLVKFEQGTACRILQQPNVNVIM HSQTTWAFLDRGAWVKLDNAILQCVDVNQTPYRDDL AFLA_007890 MSAVETDGLTITHSSERTGTPDLRLIHYNDVYHVEPGSAEPVGG VSRFQSVINHYRSDPRFAGQPDVLTFFSGDAFNPSLESTVTKGRHMVPFLNKAGTDVA CVGNHDLDFGVAQLRHLRSQCQFPWLLANILDPALGEDVPIANCERTRMLTSSNGLKV GVIGLGEREWLGTINSLPPDLIYKSAAQTALDLVPRLREQGADIIVAVTHQREPNDYK LAERLPPGFIDIILGGHDHYYAHAIVNGIQVLRSGTDFKQLSYIEAWRKADGPGWDFN IIRRDIVRSIPEDPATVALVAKLTSSLKSKLEKPIGYTVRPLDARFSTVRQRESNLGN FVCDLMRFYYAADCAMMAGGTIRGDQIYPPGILRLKDILNCFPFEDPIVLLRAQGRAL MDALENGVSQLPALEGRFPQVSNITFSYNASAPPGSRINWAKIGGHPIEYDRTYSLAT RGYMGRGKDGFASLLVQSAGGEVEEVVDEESGILVSTLLRQYFLSLKVMGRWQRWSKS LARHWDTVHQNLHCNGWLKPASGQTSPVTEKAPVRPQRPTLQRSKQYYQYGRFTEIDT EESPEKEAQSHEDMDSDSDSDPEILTSPQPTTNYVTLPAQSAAEEERRLRLARRVVRK WMQKAGFQPTTLNGTDDAGFTPTWTPGISPRLEGRIVIEDTR AFLA_007900 MAGDGKITISIDRGGTFTDVHAIVPGKPDIILKLLSVDPAHYQD APTEGVRQILELVTGEPHPRGQPLKLDRIGSLRMGTTVATNALLERKGARSVLLTTKG FRDLLKIGDQSRPNIFDLSMARPGVLPEGVVEVNERIVPCHPSADKDCFSGARIVEGV TGEKFRVVQELNLEEVRSELQRYKEQGYQSLSVALVHSFAYPEHERQIGELAESMGFS VTLSSKLQPMIKIVPRGMSAAADAYLTPVIKTYIDSISSSFEGGLERQRECRFEFMQS DGGLVDFRRFSGLKAILSGPAAGVVGFAATSWDPTEKTPVIGFDMGGTSTDVSRFDGH LEHVFGSKVAGVLIQSPQLDINTVAAGGGSILSYRNGLFYVGPESASAHPGPACYRKG GPLTVTDANLFLGRLLPEYFPHIFGPNEDQPLDLEVTTKLFNELTQKINAERKEKGQP EYAPEEVALGFLKVADESMARPIRNLTQARGFETASHHLACFGGAGGQHACSVAASLG ISRIIIHKYSSVLSAYGLALAEVVKESQEPVSADFETSQSSLEKRFNDMTDSATAEMS TQGFSADQVRHELYLNMRYEGSDTSLMILKPEDDSGFLEQFKTRHRREFNFNSDRAVL VDDIRVRTIASSNVRTEKSPLVQLREASLQDVSGTPDNTTKAYFDGYSSRIDTPVYLL DKLQKNARVHGPAVIIDKTQTIVVAPNSIAKILDTCIVIDLKEEQTTVNGVPSELSSE IDPIRLSIFGHRFMSIAEQMGRTLQKTSVSTNIKERLDFSCALFSPDGGLVANAPHVP VHLGSMQFAVRYQHQKWLGNLRDGDVLVANHPSCGGTHLPDITVITPVFDKPGGSEIM FYVASRGHHADIGGILPGSMPPKSTELWQEGAAIEGEKIVSNGVFEEERIIDLLVNKP AEHPGCSGSRCISDNLSDLKAQIAANTRGIALIQSLFAEYGVETVQKYMYAIQATAET AVRNLLKDLYKRFNGEPLEAVDYMDDGTPIRLKVTINESDGSAVFDFSGTGPEVYGGW NAPIAITHSAIIYCLRAMIASDVPLNQGCLAPIDIQVPSHSILSPTKTAAVVGGNVVT SQRITDVVLKAFRACAASQGCCNNLTFGTNSKVDPDTGATIPGFGYYETIAGGSGAGP TWKGESGIHVHMTNTRITDPEILEKRYPTLLRQFTLRSGSGGKGLHPGGDGVIRDIEF LAPMECSILSERRVHRPYGLEGGEDAQSGLNLWISKDEETGEERIVNIGGKNTVSMKT HDRIVINTAGGGGWGAAQ AFLA_007910 MSKVDRRRSLESAMRYEGIIDQAANNLITSLRQRPLVRLTTLLQ GFATEFINRLVLEESATQVMPFSAQGASCHWYNRTGKWLKLPMIEYALLRSPAARLKR RRGISFVPRKVQGGINGSQSSRAMNDGGISYNISFPRSYSNADGAYACIAMAFVSTFS SLLKHENVMTRLRSEIDTAFSKGLLSDPPRWQELGKLRYLDAVIKESMRQLPSLGYNR EVVTPPEGAIVAGYYIPPGTMMELHSEALRDDPGIYGEDVHTYRPARWLTADPRQRWA MNQNLQQLSTSINDCPKVRVTWLELKKIVVLILLKFNVGLFSVTNSAFD AFLA_007920 MAQGKNRSGLQSRSEDRSNARRSIVACNRCRNRKTRCAGNPPYT CAACEDAGQVCVYSEAEKRVSIPESYYRQLQSQARTQLQAQAQTQNNVSRSQDSRQSS LFTTTSPTQSTPYTSTEVPFDRDDWWYQGTDHLFLNRSGEHQFVGASSATHLAKRLHP TSTNLAWDVRPLYDDPSSLRRSVARALPQLPPFEFAKRLFWVQYAYIGTIFSLIHPQD FEERLQVVYNQPPDFSNREACLVYCQVLLVIAFGLMYSVNQWSGDDGPPGFKYFKHGL RFLPDIHEEGSIFFVEVLCYVAYYMQNLNRRDAAFLYIGLALRMAISLGLHQEVSDPA ISEDDRNRRRRAWWSVYSLDRLLSVKSGNPITIQDEDIGIKWPIPAEASPAVPWPSVV LTYYTQLLTLTLEIYRKKPRSGSNLLASVQSITNDLSEWLRRIPDRLRIDFSALDTHI NRELVSIFLHFYSCVNMTARPLVFYVIQRRLDAEARGSATEDWKDGLSQNTVAVIDSC ITAARATTVIMDAAAKHNLVATYGYLDGEYIFSAALLLVMVNAAFPHSETNARAMETA LSLLRGMADRGNTYLGSRHSLLLELQSAIGPNRTRGEDAVLEAPVTPDSTQQPTPSTN VVEEQPPAVSPWPLEQDLPTMRDITFNFDINDDPGLWEEVLHQIDIDMDTDWIENTLR K AFLA_007930 MQATSVHQPVIIVGASLVGLSAALCLSKHRVPTIVLEKHAAISK HPRAIGFTSRTLEIYRWLGIADQIPEVPKDFNLMRARVESMTGKWFESTSWSDTGNSN KRSSQEVPAPKKQYSPSRGAALPQDQLEAILQVTAIDRGVDIRRQHWVKNVMQNQASV IVTVVDPQGKEIQIEGSYLIAADGSRSTIRELLQIPRNGRGHMQTMRSVLFRAPLEEY MQGVHQFNIDQPDLKAFMTTYNDGRWVLMFHDDVERDEPTLRSAINQAIGRSDLSVDI ITTGRWDLAALVADIFQSGRVFLAGDAAHTLPPNRGGYGANTGIHDVDNLAWKLAAVL SGKSSPELLDTYDVERRPVALLRHDQIFARADYKVHLDKATPAGKKLDDDAMEFGQLY LSNGFIGVDNNLPRALKPDEWAGQPGTHVPHFWVIQDGTPFSILDRVGEDSWTLLSES AEWGNVVAQVNLGSTVTLKHVCIGRDVQFADEGSFQETLGVSATGASLLRPDGYIAWR TKEMPANPAKCLDDVVTQVAFRVNSRQN AFLA_007940 MIQKIPREESDVLPVSVIDCHKREIVPVCGPCDYVALSYVWGQT AAQEAPKGDSLPHHLPRIVEDAMTVVRELGLQYLWVDRYCLDQSKKAEFQAQLNQMAD IYRHALITIIGAAGSDGDYGLPGVSSRHRIKQPRIKIGDYTLWSSMTDPRKLVRESAW MTRAWTYQEGVFSWNWIAFTDEQVFFQRSNTEQTNLERWWKTSCEMFPDGGLGADANC PLLNMYDKVWQNEGAIHQLLAQYTARKLTYQSDAINGTLGLLKRCGNGPYRMNHYFGI PILGPLVIHRKAMGRDPSRRWPLMEAFLVNLCWKTKGPGPRRAEFPSWSWAGWQAVYE GSAQPLAHIGLTGRSLTKVKLSVKMKQVLVDWEAMCNMIHWDLYGDLKSLPPELYIQA PTVPLTVCQGLKESEDNFAGHAAHLAPMSWCAVLNDNEYQILIEIDLVDEEVASTLQT KDSLLLKGIILRHLDPHAALEYNYYDNQVWAFALVVLEDKGGTRRVGSLELRPDNYLV RWKYSVDHSSAKSGKCWVRGLLKEYVDCDECRSKALKKLVSGQKDELIKLS AFLA_007950 MASPSVCARTVRISLFSYGHANGPVVQQHREAQYHKTLAYNIRH LPNPPRHLRLKATGLSRRLQKEFLQNDNVEAFLVKVQREILLVVKEGCDQLLYSTEQG ETKQGPEGADKHSDSNAPSSEEVALEGAGIDIAVTICCEEGRHRSVAFVEELARRLAM FKYEDGFSQHWQLIINVTHRDIGDLEDCEQSSGQNKGPNKTQAKTRQRERREKGNRYK PRLGDDYDEDQVTTH AFLA_007960 MVTEGISGSADDGKAAAIRVGGFGGSDVNPVADGWKDGNLKPLD SYFGTDTSGLHREQKRMVALSSPVRYSIEGDGFLFRSCPVLSCWWPEKLTEAQDRKVN NVWKVWR AFLA_007970 MIAPSEPVPSSNSAAALTQFFPNANFKEAFDEKVVLIVGGANGI GASLVELCCQNGAYVCIGDIDTARGEALSKKCRDKWPVYWDPALPPKPPRASFYTTDI TDYQAVASLFDRVFKTYKRIDHVVVTAGSMEAGNNWFDQKLNLESVQQPPSTKDIDVN LIGSLYVTRIASVYLRHNRGPGVDRSILLFSCAAGFKETPGVSIYQASKHGVQGLMRS LRPYFPSPYKHNLRINTICPWMTETRTTLTKTVQDRWTKEGLPVSTPQEVALVSAGVL ANDSLNGTSMYVEGGRAWEIEANIDRLEPEWLGEEPSKTLALGQKVLNDAWAA AFLA_007980 MASETVLITGASGFIATHIVESFLRAGYNVRGTVRSERTANRVR YAFQEYKDKLSFVIVSDVVAAKAFDEAVEGVTGVIHTAAPFQTEVEDKERDLLQPAIE GTINLLDSIKRNGHQVRRVVHTSSFGDILDASKGDRPGHIYTEADWNPMTYAEALSES TPDVVSYCAGKTMAEHAAWDFMATENPSFDLVTICPPYVFGPVKNATTSLVNLNTSSM DVYRLMSPMSKPSHAVPPTLVWAWADVRDVADAHLKAFEVPEAGGQRFLVAQGRYSYQ RIADILRDKVAEVRDRVPLGKPRSGMGDVYGIDASKSENVLGLRYRPLEDSIVDAARS YLKLEQAN AFLA_007990 MSIEILTTASDDGDVLADIFFAAFNTDFDRRILPPTPDVRDWLA AKFNRVSKAQYVSPAGSILIKAVDTTTGDIVGFAQWKLPPIELSTPGENVDKEKQVTW PKSGDTALCEKHFKAIDEKEEEFMGPTPHFSLEMLATHPKFGGTGIGSQLLGWGLEQA DDERTPTFLTATVAGKPLYEKKGFQVVGSNEITEGFVQIYMVRPAKA AFLA_008000 MGGVGTSPITSGVYNLPLLIGGIFSMIAGTLLTATQQFVPFMVV SAALSAVGGGLIYTLDQNTSTGKWVGYQIIAGSSTGFISQIPIMANTACVEMQDMSTV SAMTLFFQLIGGSFSVSAAQSVFGNVLFNRIQNTVPGLSPEAVIGAVAANLRTSFSAE QLPGVLTAYMDGLKGTFAVATVLLAMSAPLVLLPKWERLRPEAPQSIDLEVAVEKSPI ESESK AFLA_008010 MKARSADEGWSCTLGKWGIIVADGAFCWFYPDGGEADSKLPPPN LATRLVARAAEPVKIQERLTPVSVGEPAPGTWVFDFDQNIVGFPLVNLPELPAGTTVK VAPAESLAANGTVDQASLGPGDPGTDLFNTYTTAGRPGGETWHPKFNYFGMQWVQVTG LPRGYKPSRNLITGLRVQADVPAASSFTSSSARLNRIHKMAWHSMASNIMSVFTDCPG REKLSYPADYTQPFGALARNFQFPAFLRTTAHHLVEGQSIANTSMAGNVALKTPREKA RGHIVDAALADWVEDSSQTSGRITGTWGYYLTIKAMARIANLTGHQADAHRYADLSHD IRNAFNTAFWNDSNHRYTDAGNNDTSNATQTAQELALDSGLVPEKHRQQVLNALVELT YDYPSRDGVGPHLSGGQIGLGPIVRALSAGGRDDVLWDALQQNDRPSYGYFVAPTVAN PNGFTTIGEQWDHSDSKNHMILAQIDEWFHAGVVGIQPVALTTLSSTWENRLIFQPKL VGDLTSASGTYQMLQGEARCEWQRTAEGNFHLTVNVPANAEAEVRLPSVGKVNASRRA RFVRVDSDYTIYAVPAGTHVFNNETAHAVGG AFLA_008020 MSEHTLDTEALLRDSYKYGTSFFSPVSQKDTQTLKTYLLGSPLR NSLAPLLHNTLFSLAGAACTYEAIETDNSEKLLELLVKPDSLGTAVTMPLKVRSMDMV DAVTDEARSIGAINTVFIRRGDNGRSRLIGTNTDCIGVKESFLQNVDAKDLAFGSSQP GLIIGGGGACRSAVYALHFFLGVKTIYLVNRLKEEIDDIIESFRRVPDFKAKLQFVDT VESAAELSRPYLVVGTVPDRDPETEAEILAKRIVDTLMGCDAGGMQKSQGVVLEMCYH PRVQTSFYQFAERNGWRVISGTEAMIWQGVAQHILWTEKGEVFEKESTLSTIRAKIRD ALMRRN AFLA_008030 MPSILLLNGPNLNLLGTREPHLYGTTTLNDVETVAKELAASYGA EVECLQSNHEGVLIDRIHEARGKSQAVVINPGAFTHTSVALRDALLGVGLPFIEVHIT NVHARESFRHHSYLSDKAAAVIIGLGTFGYQVAVKHALENLVGLEERK AFLA_008040 MSFQVPSSHVQHRGLPSSSRRYSSDASLVLVGFPGAGKKTLGIM AAVALRRRLVDFVAVFQQRHGMHPQAYIVSHGSERYRKLELEVTEEILTEHRKGCVIV GLGWLASRQQQVLLEEFASCHPVLYIRRDRRDLQQLIATSQDKFERMWDAGNSFFESC SNLEFYNVTEGSTDEARSALPAYLKLKETERTFVRFLHRIWGREHLTRYSADPLSASY TYALQVPMACLEGPLESYEELENGADAINLKVELSEFHGRRPSESMARAVAMLRRHSR ALVIVDIIPSSVPDILSYRKLLGMALRTIPDAITCSMAHGDDLAQEVAAAKGYIKAIA TYHQEYSLARNETRPELFTFRQKAQSIGFDAIHITGESTAPGDSLHGISFPHTLAKDS LIPTIAYDTGLFGRTSVCLNPILSPVVLESMKPTGVTLREAEMAVSACFLRRRKRFGI FGQQLSHTLSPAMHNAAYAACGLPYTYGIAERERLSDIREILDDESYGGVAVSLPYKT EVLPYLDEISPEALDINAVNTVVLHQECQPNGVRKTIRKGYNTDYLGIKDCIYKHLSP ANAIRDGSTALIIGAGGMAHAAIYACYQLGVRRICVYNRTLSNARRLVDYYREWVKSK DKAPLELTILSSADDPWPAHLRQPTVIVSCIPGQKCDIESSSALNIPDHWLQSRTGGV FVEVAYDPLETRLIRSMRQHVSRGWIIVDGLSVLVEQGIAQYELFTTRPAPVHVMRRA IQQSILERTV AFLA_008050 MPRSHPNKRQRISRACDQCRRRKSKCDGEQPECAICRQAGRRCT YQDNGRRRGLQTGYVKALETILGIVFQQIPDSESLVQGFLRNPRHHSGFFATEWSEKY TSIWRNSKVAKGVSRLLAPVSQEEIYEALGDGDDDHQWESCDTHSPERTTQTPVNVSN TDMESIPAPPPPLHITSSNDILSVSFPDDVAELVDFYFVYIQCWFPILDRRDILRTMH SDPSTKDRDTGCPLVLWAIIAYVQTMRENASGEACLEPKHLETSIRLRLMLDFNNLHL SHVQALLIVALLNIGRGDLTQAWVLVGQAMRIVATLPETARKPRYKHVFQGCVFLDNM VSALLEKTPCLSLEEQSEHKPIAEDDLEEWETWTASSDQVPSQRPAPKGPLRALSMFN LVHQLMQLLTQVLHCPPGQEGIQQIVSKLRDWQSVLSTRYPYPPRHSLNPPLLNLHLT SAFVTLCFVSKCNVGDAAITTMALHALRSCLDLLDQYIEITGKTKSSPLLYWFFFQAQ RCLRTSILISGCGEEDMLQKRLGQLTGKLKLASPTRLDRSNTSNLRPGWNVDDLDNLL PAIQALNNTYTPGIPVNMAHIAATAPIINPTSMRDAPTYLASGLSNTPELTVAPTIPT PISSSHQQTPHLLDETANFDALFEEMVASITPMSHEPTFAHNLGFHAGDLVTDFVAEL QQPAHG AFLA_008060 MPCAPAIASMSLGRAWVHSLPEKLSQAAKAGFKGVEIFYEDLEY LAKEKGPVNDSTLFAAAQEARAICDHYGLEVIGMQPFLFYEGLTDRAQHREKIERLKL WFAIVKILGTDIIQIPSNFQSEGISGDLDLIVSDMIEVADMGLKEEPVVRFAYENLAW GTFISTWEDLWEVVRRVDRPNFGCCLDTFTIAGRVWADPASASGKTPGADAALAASLQ SLVRTVDVNKVFYVQVVDAERMQQPLIEGHPFYVEGQPARMSWSRNARLFLYEQERGG YLPVVQVAEAFLKRLGFEGWVSMELFSRSMADPDPTVPETHAQRGINAWRKLAEELDL AFLA_008070 MHNYIAKALGHDWTFIAKECPTVEDAVELFRRSDFAGGVVTMPY KRTIMDHLDGLDEYAIRLGACNNVYRTSDGKLRGTNTDWRGIKGCLLGASAEGRGKPA VLIGAGGAARAAIFTLHDQLECRQIHLVNRDRDEVKVLLEEVKQVYGDGLEIIYVERV EQVAGLPSPYYIVGTVPDAEPSTPDEVEVHQIIGSFLSTPQEKGVLLDMCFKPRKTRI LQAGQANGWKTVEGTEIIGHQIHEQYRLWCGEEEISPNSCD AFLA_008080 MGRTSPHSSPLHIPHFSTTSAPCREKGPKMSTTRYKDPIPDGVC VFTTLDKAAQIQKANPHAIFYPENNGHYAKDPDGTVVAVASDEMCEEKKRRVGSEDCR GREVDG AFLA_008090 MWRDVLTAHPAMSQNETTSNGWDLIAAASQNALNTQLAKIPPVC VQADVPFKLLGNTNVAHVDITIHQPELRVKDGSGRQVDVLLPMHGVQRYPEHHESDHP QRRKAHCDDTTHSDREQIGTTQGPKANQL AFLA_008100 MKLDIPAGDLAFLVTVLNETIKQHLKGGKEYKVASFALSNQDAE KYHALIPRLADFSFAKDPEKPGRSNLLVLMQTVSPSKGEIYFNKPLLASGQEFMVLIS NQVFLQNFVMPAMIENVKKQAKHKDKVASQIAVKSLSEPYLYQVYNTQDINLQKDHDP WISSLTASVDTTEKALCFYLDVKADVTFADFRVETWDKSWQKFQIDEKQKITLKQTKE DKGKSTKAEPWEWLVAAVSWITLVILGVMYAVVENKNQDLGGTFVETAPLVVQWPNQK YVTLKSITTPNHVVLDLSVQF AFLA_008110 MVHLKALASGTLFASLASSAVISRQAAASINDAFVAHGKKYFGT CSDQALLQNSQNEAIVRADFGQLTPENSMKWDALEPSQGSFSFAGADFLADYAKTNNK LVRGHTLVWHSQLPSWVQGITDKDTLTEVIKNHITTIMQRYKGQIYAWDVVNEIFDED GTLRDSVFSQVLGEDFVRIAFETAREADPNAKLYINDYNLDSADYAKTKGMVSYVKKW LDAGVPIDGIVSLLPPRDEGLTSCTALTALASTGVSEVAVTELDIEGASSESYLEVVN ACLDVSSCVGITVWGVSDKDSWRSSTSPLLFDSNYQAKDAYNAIIDAL AFLA_008120 MCACHNLEKRRFMEKTRQRNFANKRSRTGCRTCRVRHVKCDEAP GACGNCTSSGRSCDGYDMQRLPPPARSSRKKTPWTRLLPEVGDGIRWITNTDERRCFS YFQYYTIPTLSGFFNSTLWEKLVLQMSYAEPAVYHAAVALSAIHQDVEMHGMPLPGQE LELYNTWHRFTLEQAGRSFAILNERHFSQDPRLREVMLLCCLLFVLMELLRGKYDDAF QHLEGGLRILNELKAQRQLVTWVPHESPVEEALVAAFAQLDIQAASFKVGGPILCIEN ELEASVTKDCLLFSNVREARRAIEPFLSRAFGFLLDCWSKSDKEIICHYKWLQQEQQK LISELNRFSAQFDNFYNYSYTRVSRKEQRGADMINILRHTTSLAIRTSLIRDKVLLSQ YQPEYKVNLALIEGVMYKFRERPNYTLDMGVVPPLYIISIGCPDYTLRWRAIELLRSW PHREGVFDSNWAAFIAEEHIKVQLQLQGFHGIDGLSSYVGDSGSPTSSVESKEDSGTD EKLEKGRWSTLGQEEYTIEQGLEKTKCMEDWPCVQSLMAARRRCML AFLA_008130 MDNTKSLEDPVVKPPVDLGKDEPETRVVAGDSIDACAERALVRK FDFRILPVLAIMYLFNSLDKSNLGNAKTAGLEETLNLKGDQYNIILSIFFIPYVLTAP FLGILGKMYGPNLVLPCMMLTFGLCTVLVVVVYNFGGLMAIRWFLGMSESAFFPLVIY YLTTFYRRGELARRLAIFYAAQSIASAFSGLLAFGVFQIHSGPLTPWRYLFLIEGLAT VLFALFVFWYLPRSASEARFLSEAEKALAFTRMQLDSSAVVNEKLNLRDAFRIFKHPT SWAIVGIQICLGVPLQSVQLFLPQIISKLGYGTVKTNLYTVAPNVSGAVVLLILAFCS DWTRWRFPFIALGFLFTFLGMIIYVAIDPEKNINVAYFASFMMTWGTSAPSVLLDVWY NNNIASEGKRIVLTSIAVPLANLMGVVSSNIFRNQDAPKYLPALITTASFGGTGIILT LLLGFWMTLDNKRRDRAQGIKLRAMDVPTERLAEGPSSPDFRWFL AFLA_008140 MRFTSAILLLTTAIGATAESAITIKVCNGANLEGDCVDLNVYLQ HQCSDALYADNLNGTPVSKDVRSVSIPDGYRCRFWSYVFSASGEWGDPG AFLA_008150 MIRNGIRIAIDRGGTFTDAWADIPGRGDHVVFKVLSVSPDEYDD APTECIRRILELASGEEIPKGSLLDLSSVESIRMGTTVATNALLERKGDRVALMVTKG FRDSLLIGNQARPNIFDLSVQRLKQLYETVIEVDERITIEGYSEDPEPKPIDTASDPN LVVGLTGEVVRILRTPDLDAVRSQLDTLQSQGYQNLAIGLMHAYTYPEHELQIAKLAE QMGFKVSASSVLQSMAKFVPRTQSAVADAYLTPMTASYLEGFRKGFRGQLDDENTNKV LLSQSDGGLATWNSFSGLRGVLSGPAGGVVGLSRTCYDAEEGRPVLGFDMGGTSTDVA RYSGALEHIFENTIAEVTIQTPQLDINTVAAGGGSILTWETGLLKVGPRSAGANPGPA CYGKGGPLTVTDANLLLGRIIPEYFPKPLDLDIVKQKFADLTDVVNRDKGGGDAFTSE TLALGFLAIANATMTRPIRKLSEGRGYSAASHNLGCFGGAGGQHAVFIARDLGIQKAI IPRYSSVLSAYGMALADVVVENQEPVAWKFEDDTLSELQARLDLISKKGIEALKLQGF DEESIVHECFLNMKYQGSDTTLMIRKPDQLSDFGAAFNTRHAQEFGFSQSRDILIDDI RVRSIGRSRVVDTSSPFIELNKLDDRSTVQPPAPAFTRRVFFDILGWADTNVYTLPDL SPGTRINGPAMIIDETQTIVVDHASRATILPEHVVLEVGSVNQEQLSTNAVDPVQLSV FSHRFMTVAEQMGHTMEKTSISVNIKERLDYSCAIFSADGGLVANAPHIPGHLGSMST AITAQIERHPPGDLKPGDVIISNHPAAGGTHLPDITTITPVFDDDENPSAILFYVANR GHHADVGGIAPGSMPPNSTELWQEGAAIESFRLVNQGVFDEAGLIRHLYEIPGTFPGC SGTRTLSDNIADLKAAVASNQKGIQLIQALIKEFTWPVVEFYMKAIQENAAQAVRDLL KGFAQRYEGGVLEAEERNDDGIPFHLRVTIDKETGNTIFDFTGTGPEHSGNLNSPQAC LHSAIMYCLRSMISADIPLNQGCLAPIHVVCPKGTILSPSITAATVGCTTETSSKIAD LVLKAFQAAAASQGTMNNLTFGYGGTDPITGKVIKGFGYYETIAGGAGAGPDWEGTSG VHTHITNTRITDPEILEKRYPVILHEFSIRRGSGGAGLHRGGDGCVRDMEFRIPLQVS ILSDRRVTAPYGMAGGKEGKRGENVWIRNDPVTGSTRKVALGPRQTAHFRAGDRIVIL TPGGGGWGEEISRDQKESVGLRQKIDSAGQSLLKTQGSLYERNAIAVGN AFLA_008160 MLHDTYEEATLLHVEVLLLIVSASQSLNQRHIDQRQAWYSNSLG RIRSAYSYSGVAMRLALSLGLHRSGSAPATATAVELESRRRTLWMLYYFERMSASKLG LPITVRDEDIDVELPSMNGLTEEEQQEFADPAHICANVKLARITGNILS AFLA_008170 MRDHGNIPAVDYSKRLSLIQAGASTGSGSEHANPNLGRSQQTDR VQQVGRTRNHGRPSDIAELAVQHSISHSLVADSGMNCADTLAHPLMDTFLDENLSAWP SSMFMDDNAWRSLATEMEEHFLF AFLA_008180 MSEQQQQRKRERDINEGTSWSGRSLTTIYAGISVLHRDYEDSRA AIAFRNSAYLFDYIVFDLPAESSNTPVHTICNRIVSELRTYSEKHKEKLIGLAMPLLL ADKYPPLCPQLWRELDILPLVLEHKERARADADQGELATFASWNTKELDEQADSMVRK CLRSFGAGHVLQGEIGLGSLVGVDRNFRVRLADLQDYQETVNARTWSMAQDYAEELKR RNVKIAFFSLSSHGRPDIHTRHSLVRFLHYLGVNVQCGLNMWSRYVPKPRPGINSIIR KMQDSLEGLGERDDSITVDEEMCILQWVYENARRYWLCEDDPLQARSKGGADIVVIDD VPLTPAALLSKQTDPGRPVIFENRLQVQKGALQDASNFSTRPWNFLRERLKHVDLVVS QAPKELLPNIMPQKKVVYIPVSVDQLDGLNKCMSDFDLTFYGREFNTLCRSFETPTLN YPNEEYILHLSQFRNLDETITVLNAYQKFRSHCMDAGMNTLFPKLLIYHYGPSRSSRS TLIYDAILSYIETDMPRLKSCIYVMQIGPPDQLWNTLLTRAKVVIELSMCEGIPAMLL AAVQKGKPIITAKEIGQFSFTKGVNNILFCEKGDADIIARLLRDLWTDPRLSELLLPG SRRLRDEYTTVGNAVNWLFLAAEMSREGNVVEPDGRSIYELAGQGAGLCA AFLA_008190 MEDPVSEIPTVIQHLTQSPPAQQAQTIDKYFTPDASFVHPFCRI QHFRGSRWVVKKIYQWYKVMSPRIELKIHSVAYDSDNQKLYVTMSQIFTIWIVPFHVA PVTLTTVLSLTTNPHGYLSSSDSSTAASEKAHEPKEEEEASYADVVANGNPDHKTPLT AHTNGHRKFYYITKQEDLYQTSEFIKFVLPHIGHWIVLAIHAFATVFCVLGAFLWWPF VWMEEQGWIPGLVLRGGNIAYDIRWKKRAIGAGSGPSS AFLA_008200 MCAGIPPQRFCDRGVQARYNIELSFPSIPWVLFIHTCVQGKAIC RDFARTGSCRFKNCRYSHGAGPSKPPGKGSNSRPSARSQQPSHGTNDVNGLAAWKRKA PKSRLAIRPLGAELGQFFATARRLIEVDDDSTAQEVIQCLSHEGGLQRIQELIQRDYS LMPNATKQDIFQKQFVPFLESITHHKVLGSLVMEEFVVRIYNCIFGPAGRRAEPFLNF LADVVQLDVELHSDATSTYLELSLQVFFCDAASVYSVVIRASRNATQRYSTRRSIAQS PARVHRRVVPTPAPNCVVMLVQRDAASWYLMQAGSSLVVTQWPIFLVGKHKISA AFLA_008210 MPCAAPCDHIPCSRRCEKPLPCGHQCPSLCGEPCPAAKFCQTCA TDDIKDISVDFILGQTYKEIDLDENPCIFPPCGHFLTVENMDAQMDLGKHYVIDEMGK PSSISKSSEPFSISDVRNCATCRGSLRSISRYGRLVRRALLDEATKKFILYVNQKYVP MARELTQLVAQLPDNDGTATAKAFQTELTLKVQGPPDHQIRLMHQHLKKHDSARWKDL IALRQQVTEYYKKVKVEEQPFNQVRNMVEDARRRKRKTGQFEFDENVLQTKGCVQAAS LLLRLDTALIGDFLSLYKQTPSGSNKCVLHLDLQANRKEGENLTAMAVNSQRVLHQVE GYLFRAQLCALERQSSDQPTRAEDLLNEGNECIERAQKLCTAHPGQVRGLADEIEGTL KMLRGGTFYTPVTSEERMAVVAAMAGEFRGTGHWYRCENNHPFTIGECGGAMEISTCP ECGARVGGQGHRTVAGVTRADDLEVNMARLMI AFLA_008220 MAFSCTNLSHASENELFEVLGTPEIELLARVDGAPLHNGLPTQL VKAAEWVEWVDEDDEEIRLLDVGESFLQGEEPNVLAQPGTLRVPETFSQTVLIIASIC GAQGACLNTPCLRQIRAIEFRDQGPALRQKYRPTSQPSSRLSSVLDREGDPPLRSTRS NVQYLPVAFPINEKVSHSLSVTAVSSLQTQVFTNFVLSAFPCYFKCTETQVPVNWVEY VENRRGTNSCFDWALRACTTSFAGAVHSDQRLLKEARVMYIQSLRSLGGLLSDVSTAK SDEALATAITLAVYEKHHCTDADSWLKHAAGIRTLMKLRGPSAHLHGFGCAMYVAYRG FLVTAALISGEECFLQEPEWQALNEEIAANNAKLPDSSLYTDVVERGFLEVLKIPGHV KQVRTLLSLASEERARRQPGVLRSVQAARAALRGISTEFGISVSMRRAGQDARQSFIG PSPHFFLDGYSTLFANGVRSGLLILNQLVLAIDRTQRASLELECQLLSGDSCSSPASS EGSVICPSTPPDSPFAPQIVVESLITPSYRKPPTTDWMDHIAGTMGMDGVRVSLLE AFLA_008230 MDMSLFCQHNLLYTQESLSRYRSGGYHPVTLGDTFKNGRYRVYH KLGWGGFSTVWLAGDRDRNRWVSLKIMTADLRDSRDLEKLKVLGSHSRRGLSSNYIVQ LLDSFSHEGPNGVHQCLVFELLGPSVDRILADYREGHDKLCPETVLQMSTQLLKALKF IHSAGMSHGGKKSAPFQLYFYELGKVFLT AFLA_008240 MGKSQSKLSPSQLDELQKATHFDKKELQQWYKGFLKDCPSGTLT KEEFQKIYRQFFPFGDPSSFANYVFRVFDSDNSGMIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKITYDEMLAIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDE NGSLDMEEFKEGSKRDETIVSALSLYDGLV AFLA_008250 MGKNETCKAVCKEEAKFDSRSAKFTNRRIIQGYNFNWLVDGLPG AQINVESVTEAKFYNPGFALGSLNDNGQAVLNNHFDIFIEYHAVGYGAKDKYRVVGVL VQPDSRGNSKVQGDGTVDCGSSDVPVILDEDSETGVTWTYSVYWRESSTPWATRWDKY LHVYDPKIHWFSLINSAVFVVFLVGMVSMILVRALRKDIARYNRLDMINLEDLDGTSA AVEDGIQEDSGWKLVHGDVFRCPKSPLLLSVLVGNGAQLFMMTGATVLVALFGLLSPA NRGFLATATLLIYTLFGFIGGYVSARVYKSFGGEAWKRNIIMTPVLVPGFIFSAFFLL NLFVWAKGSSGAVPFGTMLALVAIWFVISVPLSVAGSWLGFKQRAVEGPTKTNQIPRQ VPPMTGSLRTIPSLLLTGILPFGAIFVELYFIMTSLWTNKIYYMFGFLFLCYGLMIIT TAATTVLLVYFLLCAENYRWQWRAFAGAGMTGGYVFLNALLFWATRVSFGGFTGAVLY VGYSALIGFVVFILTGSIGFFASWAFVQRIYGSIKVD AFLA_008260 MHSSDVQVYNCHSRGNLSVVHWAGTVMVGFKEKPLPPETFRAEM MEQNGFDGCDEASY AFLA_008270 MATATQQPETSGLQNISALLETITGCLTAAGSSLPKDKRDAPSE VSIEPPQDGISLLDTKSDLLLSYTHNLVFLMLFQLRGLSKDRDDEAEADQSLREETVK KLAELRVYLDRGVRPLEGRLKYQIDKVIKAAEDAERTERTAAKTKATEAEYSGSDDES ASDGESDGADESDEDQEDIDEMAYRPNVSAFAKKVEPEARAEKSNKMAPSDGIYRPPK IMPTALPTTETRERRERGPRRSTVIDEFVNAEMSSAPMAEPSIGSTIVHGGRHTKSKK EREHEMERTTYEETNFIRLAKESKKDRAKRGGQAARSTYGGEEWRGLTEGADRISRLT RRAKGSGGALDRSRKRKHGEDGQRGDGAAVGQIFEKRRKKIEGWKR AFLA_008280 MHTPLQIGWDRDVCYLTESISCIAKSLQGVDSGLASVLHNYSAV MHSIIMYKGIGETQRPLVTQAGCSF AFLA_008290 MSDQCAWSRLITSLLKSFLWISILKFLTHVQSKEGTGSFTFDRV FPMNSKQTDIFDFSIRPTVDDILNGYNGTVFAYGQTGAGKSYTMMGSDIDDDIGKGII PRIVEQIFASILTSPSNIEYTVRVSYMEIYMERIRDLLVPQNDNLPVHEEKSRGVYVK GLLEVYVSSVQEVYEVMRRGGTARAVAATNMNQESSRSHSIFVITVTQKNLETGSAKS GQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKSTHIPYRDSK LTRILQESLGGNSRTTLIINCSPSSYNDAETISTLRFGVRAKAIKNKAKVNAELSPAE LKQLLRKAQSQVTNFESYISALETEVHVWRSGESVPKDRWTPGRNDVVSATKAEARPR PSTPSRLQEARSETPRPDSRVGDRSSTPSLVLEKDEREEFLRRENELQDQIAEKESHI VNVERGLREAREELRALKENSARSGKDNEKLNTEVNELRMQLEKVSYESKEAAITMDG LREANSELTTELDDVKQQLLDVRMKAKETSAALDEKEKKKAEKMAKMMAGFDLGGNVF SDNERKLQDLINRVDTLHQISEAGETIAPDDLLELRTSLSETQGLIRQAELTMNDRGE LSELQDSRRIEVEQKLADLERDYEKLLERNLGEEDVEEIRERLEKVYVTRKDAEMQAA SELRKEIAHKDEELSKLRQSLADSQTRVSTNGAVGKNLQQQIAEFDAMKKSLMRDLQN RCERVVELEISLDDAREQYNNVLRSSNNRAQQKKMAFLERNLEQLTHVQRQLVEQNSS LKKEVAIAERKLIARNERIASLESLLQESQEKLTQANHRFEAQLTAVKERLEAAKQGS TRGLPSMDSGGNFSFGGSRIAKPLRGGGGGSESSAPVAGVQSQETGKRTSWFFDRR AFLA_008300 MSTSARRRLMRDFKRMQTDPPAGVSASPVADNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPGVKFISQMFHPNVYGTGELCLDILQNRWSPTYDV AAILTSIQSLLNDPNTSSPANVEASNLYKDNRKEYIKRVRETVEKSWED AFLA_008310 MAIQASATRLFKRAAIPASRQWQRQFSAAAPALKEIQDAYILSA SRTPTAKFNGSFASVSAPELGAVAIKSAVTKSGLPVEKITDVYMGNVLQGSVGQAPAR QASIFAGLSPTVESMTVNKVCASGLKAVALAAQNIQLGLADAQVAGGMENMSRVPYYL PRSSQLPPFGEIKLEDGLIKDGLWDVYNKFHMGICAETTAKKYEISREDQDEYAIQSY QRAQKAWNENKFADEIAPVTVKGKKGETVVERDEGYENLRVDKMKTLKPAFLRDGTGT VTAGNASTMNDGASALVLASKDLAREFGQGNRALARIVSSADAAIDPVDFPVAPAKAV PIALERAGITKDQVAVWEFNEAFAAVIKANEKILGLQNARVNPLGGAISLGHALGSSG SRILVTLLHQLQPGEYGVAAICNGGGAASAMVVQKLDRVD AFLA_008320 MVRHVVTLILDNDQTDSAVKYKARCVSEENTSYGGLLNNYHLTG ALHWIHTEGSTEIGLAVASFAGMIPLRFTRAAYQGEKTAKKGIQVKELLFYEPTGSDM GTDSPRHWEQTSAMTVALDKVSQTPILHLGTVGGYTATMTLSGIQSSDELPETPWKKQ LDNAREQFDIARDLGGYTISRIWGLASHDSLVVAAFTLHPGDTVEYRTSAEERTMLVF SHANAELTEHDDLAFPYPLPDRSPDTLRRKREAALGYILFTEVGDYSRLALSRKMLYA AACCAIVDSQNDKILSQARKALEWLASGIDVDLSNEIGKCSAPGSTIDAKTAEQLEGS GQQIFEQCTICDAGLSWYSAVEAQCAAGHLFVRCGVTFLAIQEPGLSKFCSRCGTEYL SEDLVHDELKHTCRILSDVFDTCIYCSGKFQA AFLA_008330 MIESVVSVAFYISTAVTLFILLLPSQYAPKRSTAQDASTDPKTT VQILVLGDIGRSPRMQYHALSIAKGGGQVEIIGYHESEVHPDISSDPRISIVALPPHP AYLQTSNKLLFLVFGPLKVLFQVACLWWSLAYRTRPVKWLLVQNPPSIPTLAVASLTC FLRQTSLIIDWHNFGYSILALKLGHGHPLVKLSKWYEKTFGRYATAHLCVTTVMASVL KKEFLLEAPILPLHDRPANHFRPILDDNVRQEFLLSLPVAASVQSLINSGALRVLVSS TSWTADEDFSLLIDALCRYSQLAATTMPELPQVLAIITGKGPQKEMYIKQIADLEKAG KLQKVTVRTAWLTTTDYAKLLASASLGVSLHTSSSGVDLPMKVVDMFGAGLPVVGWNR FEAWPELVTEGVNGRGFGSSNELVEELVDLFGDTSKLDRLRVGAQKESTRRWDDEWNP VAGKLLGLI AFLA_008340 MSKWPPSARFPYGYGKVDTLSGFANGVFLMIISIEIIYEAVERL SSGSQMHRIGELLVVSAAGLAVNLVGIMAFDHAHHGHDHGHDHSHDNENMHGIFLHIL ADTLGSVAVVISTILVHYSGWSGYDPIASCMIAILIFASAVPLVSSTAKKLLLTLPAD VEYNVRETLAGVSTLRGVVGYTVPKFWLDDTAKSDHDHGCSHGHSHGHSHSHSHHDHD HGHEHSHSHSHGHGHDHSHNHNHAHSHSHSHSHSHSHSHDHDHAHEKSNPNVLGVIHV IASRSSDLEDVRQRTVDFLREKGMDILVQVEREGDGRCWCGGGGNKSS AFLA_008350 MKSVEQACLADARIQEEIKKLKLPTGATVIVEPWAYATDGMNDM SERLSMCWFYMRLLDNPDANYYAYPLDLCAEVSEQLQVTKIYQLPSSSEERIHNHPQP YDQRKVHSTEASEYHPNLRPPPRNTTKPYQVVQPEGPSFNVEGNVVTWEKWRMHVGFN YREGLTLHDIRYDGRSLFYRLSLAEMFVPYGDPRAPYPRKAAFDLGNDGAGINANNLR LGCDCLGLIKYFDGWHNTNSGEPLKLPNVICCHEQDDGILWKHTNFRTQNAVVTRARV LVLQTIITVSNYEYIFAFYFGQDASIHYEVRATGILSTCPINIGHKVPYGTIVAPGVL APYHQHLFCLRIDPALDGHSNSLQIEESIPIPLSDPTNPNPFRVGYTTTSRIIEHEAG LDLDFTKNRTFKIINEHSTNPITGTPIAFKLLPYYSQMLLADPSSYHAKRSEFASHAV WVTRYHDDELFPAGRHTMQSAGGEGIASAIESRRRQSSQDSSVRNQDIVVWHTFGSTH NPRIEDWPVMPVDKMVVGLKPVNFFTGNPALDVAVSTQERNKSVLFEGVGKASSGGGA ACCKL AFLA_008360 MICIALPRLPGSRGESSSMTENLIFIFELLKGVTKIFTITRSWL ENNLFASKNGFYEKSVTPLDAGTEAALTRLADLNDTLLSSVEPGQHSIIKDAISHLRR CFARYAHEQDAASVLSWLAGVDKEFVHVVYRRQPLALLVLMHWGVLLAELDGKTWWAR KSGSALVSEILIALQGGDTRWEEAVLWPKQKLGL AFLA_008370 MASYQTCTEVTPLCPVEATTYGYYPNYGGNIFFSVFYGLCGVFQ LGFGIYFRSWTLMVALVVGTLLEMAGYIGRVLMNKNPWDGGAFKLQIVAIILGPTFIA AGIYLTLKHIILSLGPEHSRLKPKLFTWIFIGCDIGSLILQAAGGGVAAAAGSEQVDL LNAGNDIIITGIAFQVATMSVCGLLALDFFIRYLRSSSGEKARDGGNGRIKLVVFADI FAYLTVLIRCIYRIPEMAGGWGNPLMQKEDEFLVLDGMMVALAVLALTVFHPGLFLPS LRKGNKNIEAA AFLA_008380 MATSPAGRMLVRQLQQMQSAKDLPGISCGLVDENNVFEWEVMLM ISDDVKLYGGGFFRARLSFPPEYPHMPPKMKFETPLFHPNIYPNGDVCISILHPPEED KYGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAARLWREDPKEFKKKVRK CVRDSLGEE AFLA_008390 MVVSSLVRRGMELASDMPMNSKNPEVPSIHLSGWLAGLFVFSVL AFFFVVFSIEYTYGMVVAALAAVEETNPDIYIRVKTDSNPDKDVDAAEPEADILRPQP ITSKLRTTIKHLRARAGFWSRFRGFGLFFAYSLAEGFLFSILPLSMTNFAGQLVARMI IGMALANLEMTWVHIVISEPSPKRFYQRIPGFKSWLRIAPVVAFEQGAVCAAFYIPLL ISGAAGALSDLVVDPNANLPPAELVSRAATVIAIPSLLAGLVSIPVRAVTIRVAASML PKEDEAIIPFDRSFGGKVVPATLGGSGKLSIKDAWTTFDGPARIRYLKVIGKAFAMEF AATILFSFVLGCQVHAGALAYGVRRSEVSA AFLA_008400 MDPFKAIITLSARLDTFATINTLFKKDPKDDDVWYDPKEATTNS LNQYDIDNLGTLNLKSLSCAAFGHDADDQHNEGELENVEGKEYSSDDGDLKGDD AFLA_008410 MADQPANNAPHSQSSNSFLDRLKGFFGFDNDVDPDELRNSKDIE IHSWNGPNDPENPFNWSLKYKWLLTVTVCFISILTGLPAGTYGSGNDWMEEKFHVQNS PFPNLYWATTSWNMGAAFWPLIFVPLTESSGRMPGYFVAYVILVISLFPSAFANNFAT LVVTRFFGGGASSVSINIVGGSISDVWLGEKARSLPMSLFGFTSVVGIALGPFIGSAI VQIHKSDPWRWIFYIQIIYNAALIPVFYLILSETRPDVILKKRARKIRKETGRPVYAQ AELNKVNTLKLLQISFQRPTRMLLTEPVVIFFTLWISFAWGILYLFFSSVVQTYSTNY GWGVMATGLVQLAISVGAVIGTAINPIQDWIYLSSARRNSEKPGKPIPEARLYTAIPG SLLFAAGLFWYGWASMPDVHWIVPTIGITAAGIGIYSIYMAVVNYLTDAYEKYAASAL SAASLGRNSFGAFLPLASPQLFSNLGFGWAGSLLGFIGIALSVVPVVLVLKGPQIRNS SPFMRESMWTSDEVDEVDEPSAEKVPTAEIP AFLA_008420 MFHHKIIDLLRPDFTNLFHLVVFALNVLQRAFDGDGIRILDDDQ RRVRTVVRINVF AFLA_008430 MSLFGYDQGLLSGVVVTEDFLVVHDLVGTSKTKTLSTVTAIYDV GCSFGALVAFDIGERLGRKKSILLGTTLMAICTLLKCTSYSLLQIFVGRVTLGFGNGI DTSTAPIWKTETSSPKWRGKLVFLEMVMNLLGFSVVNWINYGLSFAGGAVAWRFPIAF QFMFIFVLFATVPWLPESPRWLMQKGYEKEAIEILSCIEDKRIDDPYVVAQKNEFEYT IYYERENAIRWRDILLRRKTDSTDSKSLRRLILGAGTQFMQQFEGINIMPYYLPTVLM RFVGLSDSMARLLTAVNSVTYLIYTCCAVGLIERMGRRGLMMLFTAASSLLS AFLA_008440 MSVEPRITSANPVRQQGHLDYERCAALNNEIYRLSWSGYYSGSH ITWWEYFSPSLKTAETLDPSLIKFLKLALFDPKDGPSDWTDRPALFYWISSLNDPDAF FETWVKELYPGRFVWLYCATGYLMGDERGILYDQEESLAAFVGYKFEERPMCIHGWGF KPLEVILDSYLDMIDEGKVTLMGPDPPNWPRPIKPWVLHSYTNIDVEKALSAMQRLLE AIEARQPSREAADSYNPWSDPSLLASINLPPNTFAHDFLMGLSTQKIHFRYIAPGIRL PTVAEFANQPYLGSYPTNDPTSLPLLLFYTDEQPVPFQMRVIFFYLSRLGKTGGLALA MELKLE AFLA_008450 MRFHAVRHFQSGRETVDLAYELDVRPMPSRPDEALGGVFLLKLR LFDLQGRPASDHLVTITLNQDSEGNLQITQLETNPVLGHHHHDGPPSWMAQLTASLQA MKDAVKDCMHGPGPRHPTARPQHGHMQPPVDHHRTIAPEHHRYHHRPGYWAGREKNFG RLMRPVVMPALLGVMAGVIACMVGFVLGKIFISVFYCVRGYRKQHQKQNETAIPRIVV VESAPSEKERLMAMCDDQC AFLA_008460 MTTPNPIPLPTLSTFTQTTLNIPSATLTRHPKIEGDDHMIFTIA ESPHHLLRVTKPRKDRPLTGTQMQKFDIAVRELVASEYVSRGLDGDIIPRAVAHEVLS GDGVYAVSLETMLDGTGLCRGGLGEFLSERTVGGLVELMSVMKGVDISGLEGRLRDLG VRFEVPFIPWPEIRGMRERAVIAWRRLVSRGQILAGDFGVTDGDEFEGLGERKTAFID RVKRPDEGVSRKVLIHNDIKGEHILVDSDGGITGILDWADAGVGYPATDIAGLVLTVG MRLAVRIAREVGYNEDEVLQGLMQARCECVLRLDDRLNGDDEMTPVDLLKGQLVLSME DCELVDCM AFLA_008470 MTKNRIEPQAFESCSPRMSHTRPETQPEEIDFPTRFKPHELSSA EIQYYSNAGQGSIQKTDSQLFLVACKSSRPTYRDNGYN AFLA_008480 MKTTALSFALLAAATTMVSADVSVGECAQMCISNMNAKASELGC SSGDLDCLCKSGNYSYGVRDCTTEACPSEDAAAVVAAALASCPNGSSDSSATGTNGGG ASKTGDSASATGTDASKTGDSTATATGSDASKTGDSTATGTATGTDASKTGDSTATGT DASKTGDSTATATGTDASKTGDSTATGTDASKTGDSTATGTDASKTGDASTTVTGSTL STSASTTTDGSSSSETGSNGSSSTDGSNGGSNGGSATETAPSSTSTNSGASKTVLGSG ALGALALAAFFVL AFLA_008490 MSSASASTPETIRDDVKVAETKAVNQTIAQIRSLVAGAAGGVCA VVVGHPFDLVKVRLQTAEKGVYSGAIDVVKKTVAREGLVRGLYAGVSAPLVGVTPMCK FLSRRHILRFRLLTVYQSLSGYDVGKTLVSKFSEVRVENNTPQYTIGQISAAGFFSAI PMTLITAPFERVKVLLQIQGQNPPPPGQKPKYSGGLDVVRQLYKEGGIRSVFRGSAMT LARDGPGSAAYFAAYEYIKRSLTPKDANGNATGQLSMPAVLAAGGAAGIAMWIPVFPV DTIKSRLQSAPGKPTIGGTIRSVYASGGFKAFFPGFGPALARAVPANAATFAGVELAH NFMKKFFDDE AFLA_008500 MNTEFQTTLTNLENKLNTLITSLTTSPTATGAPAAAVNLLDADD ALTTSISTLRHHQDNYARILRLRNEAASLEEKVKEIVKTAVNYEKEIRTVCNSDEIDS DSDFDSDSSGYDSDAEMQDAGGRPRKLRGIREVDYRLLLDFARRISKYNHQAAADAEA GAKARVQIGEDRDTEMTGTGAIGTNGVEDGEAEPVSSVTKDATSWLDESANMTRQVYM LPYPMEDRIRLGLMGQIQLAAGEGRPGFDPDQEVERLIREAEGLGAADAPPVANVSDE ESRVGEAAKAAVKAGSGAVGSGAGTGGVSAAAPKPKPKATLDLDLDDDDDEDDF AFLA_008510 MNEQFTWTSPNLNQHHFITFANLLALRNGGQVEPASSPHDSEEK EEEEDNPNNTNDADSINTTQVHQISDSGNTKLKRKFLDCLAELAANDKGASRNEGFRE VDYTVFERLGRLLRGLGSGDSEGRYGEELWSEMLVYYRARLESTYIPALRSSLKDCVH LFRDDQGIRVTDDEARPRPPPNLPSQHTTNQETMGKHLLPR AFLA_008520 MSPFNSGTRSESTSPVQKNVKKWWKESTVYQVYPASFKDSDGDG IGDIQGILSKLDYIKSLGANILWLNPIFCSPQVDMGYDISDYYNIYRPYGTVEDLDQL IAAIHERGMKLVLDLVVNHTSDQHRWFQEARSSKANPYRDWYIWRKPIYGEDGKPQPP NNWKSYFGGSVWEYDEPSGEYYLHLFAKEQPDLNWENPYVRAAVHDIIRYWLNKGADG FRMDAINLISKDQNFPNAEITNPDSPWQDGTKHFACGPRLHEYLQGIGKILKQYDAFS VGEMPEVYDLNEMLRSVGSDRNELSMVFHFETVSLDHGPGGKFTSRKWELHELKTIVS RWQTFMHENNGWNTLYLENHDQPRIVSRFGSDEPEYRVPSAKMLATFLGFQSGTLFIY QGQELGMPNVPKHWGINQYRDIETLNHWNEITSNAPQNTPLHEACLAEYRLKSRDNAR TPMQWDDSANAGFSSVRPWLPVHDDYQSLNALDQVNDKESVYHYWASVLRLRKAYPDV LVYGSFELLSPEHPDLFVYARMASSGRAVIVTNFRPHEVTWSVPEKAFNSSGDVALSS YPGRTSHSLLQPTVTIKPFEAFVWLSGTETSRL AFLA_008530 MNSAISEAYTSVSSSAILEESAIQIASRWLSSQPQQSSSAAEEA AASTFSRKAENILAASTIMTMYKILDEPAENWQSHLSGIKSLFDSLIEVHSGTSPIPC LLPQGARAAFWNLARLDYLSAYINRVPTQFDQDNVSLWRAAGISIDESGNISLDAKDM TDKALSHEDLAANSLTWLVAKVINFLAESKKSQWEQLTGLSSSDPPTPSSASYPSTST WLKLCFDFQTWFEGLPETFRPCLRLDYPKDLTKLPEIIYLPFPEIFYGLTSCAATMQQ YHFGRLALSLNRPTDAVSGPSTAFDRLQGYRELMKETEYRGREICGIALGRPRSAARV YTIPLLFAVGQCFENPEERQIVVDLLRGIEADLGWETSVRIQKLEASWAQR AFLA_008550 MPGVPSNKACERCKKRHLKCDEARPKCQRCTNAGVDCPGYVQTR KFIDQGASVRRRYAPYQESHTKPHTSKGTETVNACSARTNMVTSKVLVPVKPILHFLR AGDLASRVNQALTHQPRA AFLA_008560 MGNFPIFAQPNCPDDDLNQCKWQQNDRAVAWVRDSPKARPGYFS IAMENGVQAEMTVTNHSALYRFTFNNVPTESLSPVILVDLMDLPQSRKGGIASVDSSG RLTGNATFNPSFGIGSYELHFCVDFKGGDIRDTGTWVKNRANSSQKTVSLVEDGSNTP ATLSAGTFARFHTLRDNTITARVGVSFMSVEQACSNAETELPNFDFANTVSAAESAWR DKLNVISVNAEGISSDLQKVFWSGAYRAMISPQDYTGENPLWKSEEPYYDSFYCIWDS YRGVHQLLTLMDPLSQSRMIRSLVDIYRHEGYLPDCRMSLCKGYTQGGSNADVLIADA FLKNVSDVDWDTAYEAIVKDAEVEPANWGVEGRGGLRSWKGLGYIPTDDYDPDGSGLH TRSISRTVEYAYNDFCIAEVAKRMGHDSDYEKYLMRASNWQNMFKDDQRSTINGVDTG YVGFMQPRYLNGTWGYQDPIFCSPLMNFTSCYLNPDGHETYEGSSWLYTFYAPQDMSL LIKRLGGAQLFTSRLNYLHDSGILYVGDEQAFLTVFQFHYAGRPALSAARSHFYIPSQ FNTSVSGIPGNDDGGAMGSFAVLSMIGLFPVHGQDVYLITPPFFKEISIRNSVTGAVA TIRNLNFDPTYKAIYIQSATRDGKPWTKNWIGHDFFNQGGVLELELGLTESAWGTQNE DLPPSMSHY AFLA_008570 MPLKRKRTSHTTPPAFTYPTLPQEPYTTRMIRLLPHNDKSAPIQ CELLNYDLSNTYAGAHLYQALSYVWGSEAKPESIILNGCTFDITANLHAALSHLRNRQ FERILWVDAICINQDEEDQGYEKSKQIPLMRMIYAQAECVIVWLGDAAEEGDKALEEI RCRGEEQYTNSTLNTSENYDACLRLLQRDWFSRIWVLQEVGVARCVYVMCGFISINGH VFCEGLSRLRLPSALLSAIGPVAHLIRGALYRPEYKLNSRGFISIGELIGMYHRHNAA KQHDKVYALLGLSADPITAALEPNYSLPWKEVFKRTINYIFPECSVEAFIETETVLMR SEGWILGVINSVEENASRVGKQKIEVLFSYTALSLGYQYRWETSWESQAFAALIQEGD IICLLKGASNPSIIRLCKDHFAVITPAVTPQQRQHEKSPTVRPEGMTSMRGLFDIPLI WKTPLAKTESKDEPEVLVLLMDTPLVLQGEEQLEAEKRLKHIMLAVVDIALTVLNQEK FKTKMIEKILRQSGTKDSIAKELAEASAAENRWWIRRWRDEDESPTKTLSQNILQHQG NDLPISEETIIAATSGDISHNCIITELFLLYQRVSLPVSEKVVKEVAGSGRYVL AFLA_008580 MIYSLLTGLEELELQPSTSGTGRPRIVRAFLGKVLGNLHLASLP IDRGATSRSS AFLA_008590 MEKAKGTALGDVWYQLPTPSKHKFIRQVVELEAKLATVPFTAHG CIYYAEDVPRECSKNQLPLYGDDLKKFCVGPVVDPILWSDERVEMGLNRGPWHHLSDY ATSIGTNERTWAMQYAKPRMNYYRSNTDFEMPTEYIDLIEKYLRIVPHITHCEPDTAD LLQPTLWHSDLHLNNIYVDLDTETITDIIHWQNITVAPLLLQAKIPRMARHINPLPLG WVMPEKPEGYETFFQKDKLKADKLYESALCQKYYEVCTAKKNQRHYAAMCHNDTWKSP LILPLKSISGAWSSREVFMLRSSLMEVVDHWAEIQPAADCPISFTDEERNLHNEEMEN RDYIEGLMEEFQGAGILPSDGIVDPEDYEVVQKTNYAQKERFMSLAEDEEQREWMDKI WPYQDRASEA AFLA_008600 MYALQRLLYGFPAPAPHKRTKPVEVLCLGMPRTGTESLSVALRT LGLQTYHGWDLVFEPDGSKLQLCAELVRRKYKGARDGDVHISRAEFDILVGDSQAVVD SLCILFAPELLAAYPEAKVVLNVRPDSNAWYRSINKTIVEEVDQSWVIWGMQWFSAEF HWLYSLYLRDGYPGIFHSGTTQDGIQRNAKWVYRDHCNMVRGMVPKENLLEWSVEDGW EPLCKFLDKPVPNEPFPRTNNPGDYAERADKLIKQRLAQCLRNLTLTAVTLGGITTAV VIWWQGRIPKVTRLGDLLVRFTKMT AFLA_008610 MPFSRSLTVVGCHSEGEVGDVITGGVLDVPGKTMYEKLAHISTK YDHLRQFLHNEPRGRSSMNTNLLLPPVIRVQTLAS AFLA_008620 MESEEYAPMSGSNLICTTTVLLETGMIPMKEPVTELALDTAAGL VTVTAECEAGKCKTVEFNNVPAFVFELDFKVQVPGIGEVSVDIAYGGMMYVLVDAASV GLKVDNSHARQLIEIGERIKRAVEASYTAIHPENPGIKGFSVLEYTEPIKIEDGVKVA VNTVVVSPGRFDRSPCGTGTCARLAVMHARGEIAEGEVFKHRSIIGTEFICHIRGTSM VGDYKAVLPTVKGRGWITKDDPGSSEEQNSPAEEVPPTENIQLISKIVQWLAYSTRTL YIEELAELVTISETGIDVKKRLSNPRSILTICPDTLIATTPPIPTNPPPENEFKPNQH QIHFTDPTIKQYLQSPEILQSNAQNHLAITEATSHTTIAKDSLTYLLQFTEPYTTTPS KIKTSYLLSYATNYWAVHARLAHPETDPELTALILRFLGSETAYLNWTAFLDGYTPFS GTDGSSLIRHQHQIYYAASFGLTNIVTALLDTGAPVNSRGPSGSALAAATLAGHLDTV KVLVYSGADVNLAGPFGTPLVLASGRGFVDIVRFLVERGADVEARGEWSETALVEARK NGFEDVVGVLLGR AFLA_008630 MSSHSDQSNQSTNKSSHRRDSSLPEDLQNLLDKEELYWDLYGDY ENSWTTTRTNNDPPMVVGDMRREQQDQKGRVRNMEMEGEENQH AFLA_008640 MRRSLGSIYHRYFVQESLTLIFGRTTRFNILILAILAGYLVIFS FVGIVYKTWYIPVKGTNLTSTPNGIGPWADRIGVLAYALTPLAVLLCTRESLLSLCTG IPYHHFNFLHRWLGWIIYLQSALHTFGWTLMEGRMYKPQPSTWNAFIAQEYIIWGVVA MIFLSFLVFFSTKWAIRLTGYEFFRKAHYVVAMLYVGACWGHWKQLSCWMIASLVVWL LDRGIRLLRTFLTHFGPHASETYSFWGLHIPKARMTSFPNDEDGDVVRLDFEHDHSPW EIGQHFYLCFPGLSIWQSHPMTPSSVPGGSKQSHTYIIRAKNGLTKDLAHMARQPQGS NSEEPPSTSIVLSGPYGQTIVDNDLHCSDDINLFCVAGGTGVTFVLPILQAIVLNRYF STRRSLVELIWIVRRKSDMRWLSDELEIFRTAAQACTHFRIRVYVTREDDRANVRNSF TPQYITDSEIKRPVSTVSHETHLSDSPFSVHCLRPGNSDTSVHPDVPADLTDFVQRTV HGPTRVIASGPTGLISSLRTTVASLNDPGQVWKGNERYDVELVHDDRLEY AFLA_008650 MPQYNAQTTAEEVANDCRASIANKTILVTGVTLGSLGAGFATAI ANYAPSLFILAARDVTKAQQTATEISIINPSVKTRILHLDLGSQVQIREAAKEVLEYE EHIDVLVNNAGVMAPPFSLTQDGVESQFGINHIGHFLFTNLIMSKLVTPGKSSRVVNI SSDGHRLGPIRFDDWNFDVSWMHWDGKRENKD AFLA_008660 MDNGPSEVVFTTTSSPIESGVVFRYGQGSVKVPAPRKRGRPIGS TKRRKTGNDVDEPERKDHERFQFINLGSNSANIDRDTRKYIRKRVMLNHTHTNQKRKQ LSTERTKEKTSTATGLSPEVMPSQFGPIVNQRMADSTISVSDETLVVVASIAMIKVCC RGSTGPILDYFNAIPPPID AFLA_008670 MPRYISHASPLEDGSGDALEGLLTDLSLTDARETGTVEKGSSAF NPFLALLSPAPVTGPIEKNTGGFNPFLPYLVASAGEPNPEPTIEKLTRDVIPQQLAEL QKPRRFILNETDDLYLQGRRFQKKWGISEEGFNNWKSLLLGWVEFPAILLLNPSPWDH LPFDEMVDESPTLSWLQKTLKELQLQLEDVIILDTFPMLRDKLRDDTLRQMGPARRDE LARESFALTRASLALIQPRVLVSCQCCTRPGNDRWGFFNNDELAEQLCSSGVRARSRQ VRELDLSGHKMHVVQGMHPQYVMEREPTQKEVLVELFTQVFRPFGMWQSRRAAMQQQL RDAGAVLLGLVMLLQQQMKLYGQLCAQSGSGVEGPLAAEHVEELRKQLAEWEDGNKLK RKEG AFLA_008680 MGTTTDGTKSGHFMPITYVYHPILDVGTSFDANLFKFLQHPDEG VGGPGCYSNFIVLYPSELSIYWPSYLPCCRQSKHWKIAERMTRELLDAIYEDSCRETR DDGVMPPELQDMSSEVRMRKETELIATSVKSAAYMYPNANPVRAGISSQSMLLVFLHD DVVETSPLDAVNLKGSTITDAIFATYEPKTGTAQSRREALGGFLTAMIEEDPSLGKRL LSSIFTWLNHTKGYGSISPAIFESLRNYLEFRSDDIACEFIIAQALFACNIHLSEMEI QVFNNVVRIYVTHISLTNDLYSFEREREEYERTDGLLINAIGVIRKVYQVSPVVAKQL PWGFILDTECAFSGEFKKLISSGLLNSAQIRFVKALAECLAGQIFYSITSGRYGGDKA ARVIST AFLA_008690 MVKDKNTVIEEFNDLVNMTPNELRNWLKEEQSQSSGWSGESGET IGHERSHLLTPLLQSLYEKLTKHSGRKIVDILEHNPSKDPEGYSDQDIDHMRRVVSYC KRHLAQEEKAKQDPNSKSHRSLKNWGHDPFKS AFLA_008700 MKPIPLAPDVILDPPLPISHHLLIQNALKRDLHLQWRSPERLKS QSLPLCLHTQKAARDFLDLTIYEKNPEVGGTWFENIYPGVACYQFPFAPNPAWSSYYA SGKEIQEYIVSTAEKYDLKEKIKFNTKLVKAIWCETQGKWKLQLQQGGLIIEDEADIV LDGSGVLNQWKWQEIEGLDTFKGKLRHTARWDPEYNYEGKKIAVLGNGSSGIQVIPSL QPNAAKLVNCIRHAIWTTPNLGG AFLA_008710 MISGSEESKALYEWVQGVMRSRLSKNAELVKTLIPTIAFSKINR ITPNGIETDEGEEEFDLIVCATGFNYSFIPPWELIGRDSRRLDEGWKDTAEAYFATCA AKVPNYFIFGGPNYPVGHGSLPAAIYFSAS AFLA_008720 MSGRNINLLSLDGGGVRGLSSLIVLKEIMESIDRENPPKPCDYF DLIGGSGSGGLIAIMLGRLEMDIDQCIHAYKLLSMNVFSQKRLLPIGSNLRSRAKYDI KKVELALRKILRELSYEKDTLLREEAGCKVFVCATDDTNRRLVHLTSYPSKYCSNELF KSAKVYEAGAASFAHSPLFDSVKIGPSGRRFHDSSLEANNPMREVWIEARGVWPAGTL ENQLKCMVSIGTGEPSIKRSRRRLFGLVKGADVDAVDPEIDTNRFIQEHTELDDENRL FRFDVPNGLGEIDLDSIEEMETIVDATQDYLEKELVYKQIRRCGRALA AFLA_008730 MRHPTDDVAMLRPLQTRLQHCANEVGQFHPISRVSADYVAAMRS TQHQSLETFSDVSPSKPEEFTRLSEERSETGTPESSNPICPKCGAIFSADNAAPTFSL LAVNALVSSTRAMEVTGALILPV AFLA_008740 MVLAQPENKHVLKAFDLSGKVAAVTGGARGIGLEVSIALAEAGA DVALIYNSSKTAETLATEVATKHNVKAAAYQADVANQEDIEKAIKQIAADFGKLDIIV ANSGICSNVPAEDYTTEQWHNITKVNLDGAFYTAQAAARIFKEQGHGNVIFTASVSAT LVNVPQKQAAYNASKAAVVQMAKCLSVEWVDFCRVNCISPGFIATDILDIHPKEWREK WFDMIPAKRMAEAYELKGAYVFCASDASSYMTGANIVIDGGYTLP AFLA_008750 MKAAVVPFLAFIVACAANPVLSQRQDQCSAIAEQCNAKETKCRA QHVDTLCPVDVARFCPCYHVAKDCIKDAGC AFLA_008760 MTVVSAAAMIPLSFLEHTRSPRPSDLLTIFLVLTILCDIVLTRT SWLAGSQSWQIGDACIQTAAVVVKCIILAVESKSKDNCIMNHSGVEHSPEETSGLLSL AFFSWLNPLFLQGYRENLRVDSLYALDEKLKAKTMFSNLATTLNGMPFPRGFARTLAK ELIGPFLLPVTPRLAMLGFTFSQPFFINALVANIAESNSVSAVNDGYGLIGACVLIYG GIALSTSLYSYYSLRALHMLRACLVSVIYQKMLESDTNTLDNGTTVTLMSTDIERIMT GWMDIHSVWASVIEIALGCYLLHSQLGMAFLSPIIVILVCFSGMACVSAKAGNSQSAW MEKIENRVSMITTLLANIKPCKISRMASEFARILQTARDEEIQVGSHFRWLQVIAATL AYTPMCLSPVVAFAFAGQHLNVAGFFTSLSFLTLVTSPLTAIFQQIPGVIAGFTCLSR ILLFLEGDIRSDRRTVFRLQDNQKPAASSAPSAALVSVTNGHFGWTQTHSVLRNINFN VPRGQLTVVVGPVACGKSTLCKAILGEVPFVEGEVLLTSRIPSVGYCAQTPFLSNLSI RDNIIGFSPFHPGKYQEIITATALGPDIAMLPAGHDTIVGSCGAMLSGGQKQRVSLAR ALYQETPLLLLDDTLTGLDQATEAEVFARTLGVEGFIRRRGTTVILFTSSRRYLSSAD HIITVGKDGTIREPGHETELLEQKDELFNPEKNTETAFPASTDSNASAKQQSHNMVVK GKASQNLHVQASQVRDLDVYTHYFGTIRTAVLVTFALSCTLFGFGGSFPTVWISFWSS NSYNAPNAFYIGIYSLLSFLQLLGFFLAALMALGPMVTDAGSKLHSNALTTVIRAPLR FFTDTDTGAITSLFSQDITIIDGELPERLFNITAGLCGIIGAACVIAVASPWLALVYP VLVIIFWIVQRLYLRTSKQLRFLDLEAKGPLYANFMETTQGITTIRAFGWTTQKLEHN HYLLDQSQRPSYLLGVIQFWLLLTANLITTGIATSLTVLATQLRTDPGFTGASAVTLM TFSGLVTIFIRDYTAFETSLGAVSRLKSLSDNVKAEAREGEDLHPDEQWPKKGSIEIE RLSASYDGKSSLVLLLLRLLDPIYSPSAKLTIDDIPIQHVDRSTLRERIIGVPQDAVF LPNGNTVKDNLDPSGLATEDECLSVLSTVNLDGLANGSHGLHTPLTTNHLSGGQKKLF GLGRAILRRIIRDRATTDTESHGGILILDEISSGVDAATERTMHEIISHQFADYTVLE VVHGLDIVPHFFDRVIVIENGSIVESGSPAELLQRPSSWFKQLLLMNSACDQP AFLA_008770 MGSIGETVKAWNHNDGVENQWMWNAKVPTCAESTVHELIAQRVQ DQPNAAAVCAWDGSLTYQELDRLSRRLACHLVQKLGIGEGSIIPLCFEKSMWTPVAML AVMKTGAASVALDVTLPKPRLQSITQQIQPNVILSSKAQCSLAGNLAASPVLTVDCPA LDAMDTAGVCLPNVDPSSTLYVVFTSGSTGTPKGVMISHRNICSGLRHQRALGYANAR RVFDFASYAFDAAWLNFLHATVSGACLCIPSETDRRENITKCMQDMRVDFALLTPSIA RVINPAAVPALRTLVLGGEAMAEVDIMTWASQVDLRNAYGPAECTIVATAARIGDSTG QSGNIGYGLGLNTWVVSLQGDCLASIGSVGELWLEGPLVGKGYLNDPGRTDASFVHNP PWLTQGCGLVTGRRGRLYRTGDLVRYEKDGSLVFVGRKDSQVKIRGQRVELGDIEYHV QSGLSQQLQGPAVAILATPCGSDKTFLVVFLSVSRAFMESYHERRRMVQDLTSALHDK LVEDLPMYMVPSAYVPVAEMPMTATGKTDRRQLREMANAMKVEELVQLHPKWEGKRAP VTEMEKRLQELWAQVLNINSSSIGLDDTFFSHGGDSISAIQLSAKCRAAGFSITIPQI FRHKSLQDLATAASPLPSLVTKPIVETNNARFALSPAQQYFFEHHPDGNNHFNQSFFL RVTQTIDPARLGKSLQQLVSWHPILRARFNRAGDGSWMQSIQTEAAQCYHYHVTRIEE PNQVKDVLNSAQKRLDIEKGPLISFDLIQTVDSQFLFLVAHHLVVDLVSWRILIEELE ELLTTENTRREHSSLSFQAWCKMQETYSMQHLLPEKSLPFPVTIPSYDWWGLERKENT HAHAVRKSFLTCKSVTHLLLGEANHAFQTRPVEILHAVLLHSFAMTFRDRPPPTVFSE GHGREAFDDTIDLSRTVGWFTTIWPAHVEWNNRHSITEVVRRTKDARRRVPMNGWAYF ASRYLNESGKDMFRGHGLPEILFNFEGLYQQLERPNSLFRNTDKPHDTISDFSGTTHM SAIVDVTALVVDGCLTFQFLYNGNMKQRDGVERWIAQCKESLELAGHELVRLKPSYTM CDFPLLSLTDETLKKLNEDVLPELGISYGEVEDIYPCSPVQQGILLSQAKNSGWYNTQ VVWRIRSSSPISVTRLQLAWKEVVKRHPILRTVFTDGVSPESYRDQIVLRKLEPEVNC DCQENGTHIAASKTPQPNWKVRPLHSLSLVSRSSGDVTAELNINHAIIDAASMEILRR DLCLTYSGLSLPTNDVSYRGYIEVVQGFPVDPARAFWKKYLEGVKPSIFPSLRSDTPT DRTHVELPVTRVAQELQANIHTFCERHGVTPSNIFQLAWALVLRYYLNDEAVCFGYIV SGRDAPIAHVEDIVGPFINMLVSRFDLNDSVTVLEILKDTQDNYLEGLDHQHYPLASV LNSLGMTGKQLFNTVLQVQRNVTSNEIEGSAISVDIIEYDDPMEFDISITVTENTRDM AISLQYDPAIMTETQAISLIGSFQKFVEEIIQLPKSKPDNLIAIGNQDLSRVWGWNKE ILSPNSICVHDLFSECVRQQPDAPAVCAWDGNLTYSQLDELSTSLAYRLLAHGIGPGS IVPLCFEKSLWTPVAVLGVMKTGAASVMLDVTLPRQRLLSVINQLEKGRVGASVILSS TSQYKVASDLVAGLTICVDALDQINQPPGPKLPNVDPSNTLYVVFTSGSTGTPKGAMI SHTNFSSGIWYQLQVLGLSSTSRVFDFASYAFDVCWSSILHTLVAGGCICTPSTAQTR NDIHGALAMMRANWALLTPTVARLLDPATVGHGFVLVLIGEKMTDEDVTKWQPHVDLV NAYGPAECTVINTVNRVNDIPQGSGNIGRGFGCHTWVVSPSNHHHLLPVGCTGELLIE GPLVGQGYLNDPAKTVSHFVEAPSWLKQGSRTVPGRKGLLYRTGDLVQYQEDGSLKFM GRRDDQVKIHGQRVELGDVEHHVRQILHDQFQEPDGVVAEILQTGIGDAPILVVFLTA GKEDGVSGHGTYPALHRHSGVLREGLLTQLPLHMVPASFIVVEAIPTTATGKTDRRKL RELGGLLTREELAWAVPTHTEGVDTEGNPTLNSMELQLRELWAQVLRISPSRINGQDS FLQIGGDSVGAMRLVAAARNQGLSLNVEKVFQSPRLCEMALATGISHETRQPIPCFSL LSSDVHVSKLCEDVAQRCNVPVDKIQDVFPCTPLQEGLLALSAKQPGNYVNQVTMKLS QRTNLAQLIGAWNKVIQETPILRTRIVDTPGLGLVQAIISEEVTWRTAYDLSVYLKQD TQTHIGLGTPLVRFAVVKDEQGGEGSIVWTIHHALYDGWSMQLILDQVHKVYHTKDTL PLGQFQRFLQYTLQTEDSQDEFWQRYLSGADIASFPSLPSPSYRPQANAIVSHNLTNI KWPESDNITSATVIRAAWAILQSQYTNTSGVCFGAIVSGRQAPVVDIERIAGPTIATV PIHVNIDRMKDIRGLLLQIQADAAAMIPFEQTGLLKIRRTKSDVAKVSEFQTLLVIQP VARDEQPPHVDDLWSIEKSAHDTSAPGLNAFNNYSILVDCELKSNGAELTVSFDTHVI DECFVQRMVTQLEHIIELICNHPLDSTLVKDMTTISTTDLDDIRRWNRTAPEPHTVCV HDWIMDQARKHPAKMAVLSWDGSLTYEELDKLSTSLAQSIIPMINPGSIIPLCFEKSM WTPVAMLAVMKAGAASVALDTTLPKQRLQAIADQVKPRLILSSKASATLALTLLGDVK GSQVITVDYDGIKALEVKSPKLDLPIVDPSSTLYLVFTSGSTGSPKGAMVSHANFSSG LFHQLSALQYNTDTRAFDFASYAFDVSRTVCLRTLAAGGCLCVPHETDRRNNTAQSMC QLGVNHAHLTPTMARLLLPSEVPALRTLVLGGEPIGKDDVDKWYGHVSLINTYGPAEA TSTNTIQRINNTEAPCIGRGIGCTTWIADPLNPNHLLPIGCAGELLIEGPLVGQGYLG DGESTMTNYIENPPWLLKMGRRGILYRTGDLVRYNSDGSLMFIGRKDAQVKIRGQRVE LGDIEHHVRSILVASDFQGSIAAGVTKPQGNSAAFVVAFLTGDKTHSEPNTYIQSLTN RLNDGLANELPSYMIPRVYIPLNAMPLTATGKIDRRRIQEMSERLTLDDIRKFQPQHQ DRHAPTTDMELRLAQLWGRVLNISTREIASEDSFLQVGGDSVGAMRLVGAARDQSLSL TVEQVFKSPRLRDMALLVKAVESSEKPLAPFSLLHLGVPEEGCPDIGTWRARAALLCN VKPSQVEDVFPCTPLQQGILALSQKRPGHYINHAILQIDRTKVSLDRLKQAWQQTIQM TPILRTRIIDVEGHDILQVVISEENALKSLVGCTLESYIENDRRNTMTFGTPLARVAF IEESNQSGDNAIENKSTRTVYIVWTMHHAVYDGWTMELILNQVHNIYREKDDRPCLEP FQKFLQYSIKRNDAEERFWNDYLSKSDMFSFPALPSTSYEPQANTSLQHEINDLTWRR KDDITASTMMRTAWGILLSCYTTTPDVLFGATVTGRQAPVAGIENVAGPTIATVPVRI GVDPHITVHELLHQVQRQALDMIPFEQTGLQRIRRISANTNRGCQFQTLIVVQPPPSN QKVREESIWQVVGREGEGISSFNSYAVLVECQMNSEGAHIEVSFDSQVIDTNTMSRML KQLHHILRLLCADQSNELQVSALGITGPEDLHTIWSWNSLQPAAYETPVHEMIVARAR KSPDALAICAWDGELTFKELDDLSTCLADYLLAHHSCVGSIIALCFEKSMWTPVAMLA VMKAGAGAVALDVDLPKQRLQSIVSQVKSVVILSSVASYELANGFNGGAVIVVDHQSI YSMKCSLNSNMHKLTSSSTNPSLPPVDPSSILYIVFTSGSTGTPKGVMVSHGNFSTAL RYQQDVLGYASATRVLDFSSYAFDAAWLNFIHSTVAGACLCIPAEHERKTNVGACIDR MRVDFALLTPSVARLIDPESVPTLRTLVLGGEAHNPTDVARWKSDRVDLRNAYGPAEC TVVATVTRLANGTTKPGNIGRGWGLNTWVIDVSGNNRLAPIGAVGELWLEGPLVAQGY LGDSKKTLESFVKTPPWLARGIPTVFPGRQGRLYRTGDLVRYNHDGSLTFVGRKDSQV KIRGQRVELDDIEYHVRQSLPKQFRGAVIADVFAPRESDHAILAVFLSLAEDVTLSNL LEGLGDKIMDLLPEYMIPTAYIPVHEIPMTATGKTDRRKLREIGSSLTIAELAGLRPS QNSEPPVSEREWQLNAMWAEVLNVEPSLIGRNDSFFGFGGDSITAMQLCAKCRMAGIS MTVPQVFHCRTIARLASVVTADVAVQHHNEVPETDFSLSPIQLRFFEYEPNGHDHFNQ SMLLQLTRQVSSLDVAQALESLLVHHSMLRAQFSKGPQGAWTQRINATAGGSGYRYRS HQMLTMKDSIHAINESQKSLNIREGPLMAADLFTTEEDGQYLFVVVHHLAIDLVSWRV LLEDLEEHLTTGGISSATPLPFQAWCEGQVRYARKHLSSHILPPLDISSHAHDYWGVD MTNNIYGTVLEESFTISPDITQVLLGPANTTYQTQPVEIFHAALVYSFAQVFQDRQPP PIFTEGHGREPWNLALDPSRTVGWFTTLWSVLVTPNIADGFEDTIRRVKDSRRRVPMN GWASFTAMYLSSEDNRRRYDVPEIMFNYLGLYQQLERPKGLFRLADAPAGALSNIADN VHRFSLIDVSALVMQGDLHFKFSYNRFIRNQEAIADWIIACKSVLEEAASTLVRSTQA RTLSDFPLLPLSSYDELDTLINHTLPSHGIMLDQVEDIYPCSPIQQGILLSQAKNESR YWTRKRWQVHSSSPICLQRFKDAWNKLVDRHPILRTVFIESALPDAYLDQVVLKSVTP EIHIVTLADVDLESSLMKHKRLRKPQDRLPYVLVLYATPSGRVICELLINHAITDGMS MRLIQDELQLAYDDALPLCSAPPYSDYIAHLRSLAPDTSRTHWQQYLVGVSPCMLPHM NVNHQESDSVKRLPAMHLGNELNSCLRDLCKRHVLTASNIFQVAWALVLHFYTGHETP CFGYLTSGRDAPIDNVAAIAGPFINMLISRICLSHDRSILSIMQETQADYLRNLEHQH LPLAEILHSLPASTSPLFNTAISVQTSRSGPADSVTSTIRLQDTATEDPSEVCNPVAP KGV AFLA_008780 MCGIGAFLDFHNHSQPVSHQQLESEIDNGLDFIKHRGPDARGKW VNADGRVGLGHIRLSIVDPSPSGNQPFHDSQGDIHAVVNGELYDHERYREQLASEFDF KGNSDCEIVIALYKHYGASFLSHLRGEFALVLWDAKRELFFAARDRYGIKSLYYTLVN NRLLVATEMKSFLAFGWKPEWCIRSLRSLSWQHGSNTFFKGVYNIRPGHYLLSQSFGP LEQTEYWDCEFPDKWHSTHTGQRALEPRSEEEIILGLRKHLLEAVKLRLRADVPAGVY LSGGLDSTAVAGMVSHLMKNGERLGNNPDGLKSQLHCFTVQFDRDSGYDESVSRILIL QTDVAERTATWLGANCHLVPVDEAVLAAGFEDTIWFSESPLPDLCGVGKLALAEAVHK EGIKVVLTGEGADEHFGGYSYLRLDALAEPDPSWPAAQFPESERLQMHEKLYRQVDSS GSVGRVESAERMLNGSFYGQEMAYFNFLPFASWTDLYASVPPHTALAESFSGSTRDRM MNKWHLLHTSEYISIKTFLSTFILRNAGDNVDMVHQVESRPPFLDHRVTEYANQIPPS LKMSVDPVSKTFRDKHILREAVKPFIPDEIYSRPKRSYAAPVRYPKDGPLCQLFNQLV TEENVKKLGFVDWGKAKGNLHRAFEGSDDMAFRFTLTLAQFVVLMQRFGVATCCPSPN ALS AFLA_008790 MNKSLPRIACFHGGGSSAAIYEIQCSFLTALLAHEFQFEFFEGP FDSIAGPGILPAFGGFEPYKSWFSKGESNGHNWTEQDSLEWVWTMMEERRAGQGGEWV GVMGFSEGTRIASGLLLDQQSREKLGLRPAVPSIQLRFGVLCMGGGPPMAAHFDYGTT TNDQRVIRIPTLHMHGLRDKFLALGRDQYNTYFDPSRAFLFEVDYHHAMPWLEKESLA LAQRIQSLHKKTQASR AFLA_008800 MARIRVPESGSRNCNDGQKLLLIRAQKARWPRPLLPTSEPFSVK GFKRQLKPHQVYGAYQLLKWEPTTEIGGFLVDGICLGKTTPPLAVMVLLRLIGVACLG EGFSGRVGMGQKSITITPIKGKSVASPMESFPFHSAAPVSR AFLA_008810 MPSGFNFWADNAKMNLRRTCGMTGSDDDDDKSDRSQMNPYAAVA ANPFMDFLKREGNDSVTGQSTLCIPNNSNNRNGTQDGVLLVTRTQEK AFLA_008820 MPTALQSTAAGWLLISLGHTLSAKDWQTLPQVRTLPNLAYTCAR AGWYQGSGFFLMNALINYNWSQNPALLNDPINRAVAALMTAIVGISSGWYLKRGVKSN GIVVALMGALQAWAAFGN AFLA_008830 MDNSETITPQIHSPGVPKNIPAIHQHSDSMVTVPLSDVQSSSEH TQPDWRTLDIPQTPIEVTSPTGESVDDDEPTSTTPTRDSEPQILQPRTYRASVVSRSS EEIFRGDIDGVDWRELDKSEEQEPRGEGSDESTSLLLARLEQENNALATDPKSGLQAQ QFRGVRKSRSQSLRQVKQLINDDPRSLRYSQLPPPPLTELEFWAALVSDYPQTAQRLP TLTSNKIRCGIPPPLRGVVWPSLAGARDTTLLAEYQRLCGETSPYEGLIGKDIGRSFP NVEMFRDPNGEGQQMLARVLKCFSLYDTKIGYCQGLGFVVGPLLMHMTDAEAFCVLVR LMDHYDMRTCYLPDLSGLHLRVYQFQNLLARLRPALYAHLESLHVEPIYVSQWFLSFF AVACPMPMLLRIYDIIFLEGACETLMRVALSLMQRNEKKIMACAEFEDVMQLLLSRSL WDTDRYACHADDFVNDFVSLTSLVTKESLQTLEASYNQSQGVPTGISFPQMQAAASRF LGRFWAGSGSHTSAKPFTLNPNHASSSASIRRTTSKQSMTSTLNSIESTSDASTAPTE LSTDAPKPRVKSVMSQHKDRDLHSQIEDLLMALSDLQRQHADLTRELQQEREEREADQ ELSKSMLSYIKELETPPTDLITKVEERFGATDAPARESIGQTKQQLLEDLNRWKEMHS VESGRCHDLTRRIDEHEKENASLREQVREARGRIQDGYRERQRLERMLRELRTARNPR SSAETSTDYGSPVSEPGEGIPGGLRELRLVRTNSQKSTFTRRTSSLGLQNVLSTENNK PAAEEALLLELVNAKTAEAVAKQELEEMKVKMEGMRKLIAASQRSPSQNGDRNSIVRS PSSAGSLHKASTEPATGGGGFFGWGRRAASTSNEQYAEK AFLA_008840 MDDSIMDDSVFEDDGSDFEPEPKPKAKAAPKKAAAPKKMTQTTL TGKATGKAAASKKRAKPDSDDDDMSDGDPLDDEDSVLSHTPPKKAKKAPASKKGGSKP LADVENESFTNEADAGPKDSNLTQLEHIIKRPDTYIGSIERTSQQMWVYSTESDGMEF REVSYVPGLYKIFDEIVVNAADNKQNDANMDEIRVTISRETGEISVWNNGRGIPIEMH AKEKIYVPELIFGHLLTSSNYDDTQQKVTGGRNGFGAKLCNVFSTEFSIETQDSRQKK KYKQTWTSNMTKMGKAKITDAKGDDYTKVTFKPDYAKFGMDGMDNDFEALVKRRVYDL AGTAKVAVKLNGSRVPVRNFKKYMEMYTKAIRRERGDDGPAAKDEIITCSPDPRWEVG FAVSDGSFQQVSFVNSIATTSGGTHVNYIADQICSKLADQVKKKNKNGATLKPAQIRN HIFIFVNAQIVNPAFTSQTKEQLTTKSSQFGSKCVLEEDFYKKILKTDVMSNILHFAQ QKADQMLKKTDGGRRARMNNPKLTDANKAGTKDGHHCTLILTEGDSAKGLAMAGRAVV GPDLFGVFPLRGKLLNVRDATFEQISKNAEIQNIKNFLGLQHKKEYTDTRGLRYGHLM IMTDQDHDGSHIKGLLINFLQAQFPSLLRIPEFLIEFITPIIKVWKGDPKNPTKQRSF FTMPEYEAWKEEHKHERGWEHKYYKGLGTSTTEDAQVYFRDLDRHLKEFHTMQDNEVG LIELAFSKKKADERKEWLRQFKPGTFLDHSVSKISYTDFINKELILFSMADNVRSIPS VVDGLKPGQRKVLYTCFRRNLKKDMKVVELAGHVSGMTAYQHGDISLQQTIVGLAQSF VGSNNVNCLEPSGNFGSRLQGGSDCASARYIYTRLSPFARRVFHAHDDPLLTYNEDDG EKIEPEIYVPVVPMILVNGADGIGTGWSSSIPNYNPEDIVDNLKRLMDGEETRPMQPW FRGFTGEVTDVGGDRFKFSGIIKETGEKEVEITELPIRTWTQDFKDKLEDIIKAEKTP SFIKDYKDYNTHTKLDEKNMKSALSEGLEEKFKLSKTVATTNLVAFDPEGRITKYATV DDILKEFYTYRLKFYEKRKQYQLSQLQKELDKLSNQARFVQEIIDGKLVVSKKKKNVL VQELKDKGYKAFPKVAEAVKAGEEERVVEEDDDESDSQDTEVLSSAYDYLLGMAIWSL TQERVEKLRRQIGEKEVEVDALIKMSKEDIWKRDLDDFIAEWRFQLEDEHRRQRKVAM MGRRTSAKLMTAAGRGAGAKKRKAALGDDPDDEDFAAPKTKKSAAAKKAEPKGGLLNY LNKPATKSKSPPKDEDNSDEDFAMEVMPKKNRGASKPPKEEDEDDEMGLSEVEEVMPK KSRSAPKAAAKAAPKAKEVDEDVMEVEAPPKRGRPAAKAKPKPKPKDEADEDDLDDDD FAEITKAEAAKSSAPPSRSRKPVKYAIESDSDDNGDDLLGDVSQMVKGIGAKDSATSD SRQLFSEHQPGSSSGLQTSPPKTSRISSDFDDETDYGKLLPSKSPRRSLQVKPKEVKV SDDNFAEEDDEEEPVKPAAKAKPAARGKAAATAAKPTTAKARGRPKKDATAAVKPAAA TKQTTLSPAAKAYASKQAKTTTRKKQIVDDLSDDDIDAMANDILDSPAGGKKAADSEE EDEEVRPARKPAGRPARRTAAAKKTYVIEDDDSEDGGDASEDDFEEDSD AFLA_008850 MQSYLQYRRIGQAVRKQFADHPEWGQRVQGESTDPSGNTSENDE TVWEKRSESRPLALPPGVQRRDITDSSGTPSSVFLVSWEQDQDPMNPRNYSMTARITA TLIVSALAFAVGAASSIESAVIPQNAAAFNVSEVVASLATGLYLLGFAAGSLVSGPLS EILGRNAVYIGSLTLFMIFIMASGLAPNIGAQLAFRFLAGVFGCPPLTCAGGTIADLW NPLEKTLTFPLYAILSFGGPVFGPVIASYMGQGTLSWRWTNWIMLIMSGLVMGLILLL QPETYGPLLLKWKAAHLRQVTGDKRYRSAMDVQKIALVERILGACKRQFSLTVHEPII LLISLYMTVIYIVLFTFFDGYPFIFQDVYGLSQGLTNIVWVAMYVGIAAAGLWVPVVY GWTKREFEAASSSSTTTTSGTGVVPCVTGIDPNVNAEGEHGQQEQEPEGGRDEQNTKN PHPARPENRLWFAMLGAPFIPIGLFWMGWTDYEKISIWSPILASTIFGFGTITVFISS YMYVIDSYDIYAASALGFMTVSRYCAAGGMTVVGIPFYRNLGVQYTLTILACISVLMT PVPYVFWFWGSRIRGMSRFAVSGV AFLA_008860 MASIESLLNPLPDASQFSLPSPAPTSSDPVPCSEPRQKRPKIAK DAPIFNRGKIRGELRYPPCEERSEALIQAHRQFQLHPMGDIAEYPRHIPYNSDKKSFS EKTGRESFEVFQYTFKIPGQEKQWTVTWDYNIGLVRTTHLFKCQQYSKTTSAKVLNAN PGLRDICHSITGGAIAAQGYWMPFEAAKAVAATFCWNIRHALTPLFGLDFPSLCVPPT NTSQYGHMLIDREIVQKATETAHYYRMLELRSPVDTSLRPPKLHNSELDRPASSPERS TPDRYYGTPTSPFHNTFTPVNTPRSTEAASSSPRQVLASLSSVRGIPNDDSSSEVTHS STPYSESMDTPTESDDEYHASDSDNGNAIGNIIGPQNPTNDDDEYNVETRARRKYRSA LFAREVKAAHALLSLCMQDATGSDEEDVWVDRGRKRRRASA AFLA_008870 MFKPLFSNPTHFTLGLVRLTCSLFQFRTPNELRVSGLTPNQEPK ANTAKRRHHAWGLQRSLRGRFFDAHSQSP AFLA_008880 MRAVVYTGKDTISVEERPKPSLLEPTDAIVKVQHTTICGTDLHI LQGHVQTCTPGRILGHEGVGIIESLGTGVTRFSVGQPVLISCITSCGSCNFCRKRMPS QCTSGGWILGNTIDGTQAEFVRIPHASFSLHALPNGLDPKVAVTLSDVLPTAYECGIL NGQIQPGSTVAIIGTGPIGLMALQMARNLFGPSMTAVVGRGQPRLDTAKEMGADHAIS VLGGQADAVASALAVTSNQGFDVVIEAVGTTDSFELAQALVGPGGTIASLGVFGTPCA LHLEDLWHRNICIRTRLVDACTTPDLLKIVESGKISPHFLVSHSRLCIIFIQGGDTLT CAIDFSFDQIYKAYETFQTPSKSGSLKVVISMAELQPANPRL AFLA_008890 MVHLAQVHRNADLDSTIKRVDSIQLENTDEDGFYSSVYGTRFAT EQLPQTEMPEREMPREVAYRMIKDELSLDGNPMLNLASFVTTYMEDEAEKLMTESFSK NFIDYEEYPQSAEIQNRCVNMIARLFNAPVHSEDEHPMGTSTIGSSEAIMLGTLAMKR RWQNKRKAEGKDYSRPNIVMNSAVQVCWEKAARYFDVEERYVYCTEDRYVIDPQQAVD LVDENTIGICAILGTTYTGEYEDVKAINDLLIERNIDVPIHVDAASGGFVAPFINPKL EWDFRLPKVVSINVSGHKYGLVYPGVGWVVWRSPEYLPKDLIFNINYLGAEQASFTLN FSKGASQVIGQYYQMIRLGKRGYRSIMTNITVTADFLAQELEKMGFIIMSQRRGHGLP LVAFRLPAEREGQFDEFALAHQLRERGWIVPAYTMAPNSNNLKLMRVVVREDFTKSRC DALLSDIKLGLKTLGDMDKAMLDKYTQHVRTHATHSHKSKHNHPHYKGETHSLQGKHG KTHGVC AFLA_008900 MEVTMEVPMGCFTKIPMEILLEIASLLDIKCLSNLLAANEDIAA HCLHLVTLPRLVHVIQQIDIDSLMNLLIVGIEPNTTDSEGNTLLAIAMKRSETVEENV PQNGEERAKEKPRQSDKLPELIALLLLCDADPKLPNRYNRLPLDFASLEILKNRELCA ALYEEGARVTDLATVGRIYECQSVLEIQKLLANGTDIASLRGGFYKDMTILHHLANDN NNKVGLPEKQPVLDLLLKHAPGLLDMPMKDGRTPLHLAVQSSNKTLFSYLLDKGADPE AFSSRHMTPLNCAVPIGAVEMVIEMLTKGQATELSHRV AFLA_008910 MPKKGGKGKNKNKGGKAGGAGAAATKKVTDIQNPVTPGHEVEET VAKGEGEVSDVVTEPVEAVTASPTTTVPDAITNIPSAAPPASTEEAREILQDAVNKAE TGPTDKPELVEGEAIGAVEPSVTDEPLKLTPETLPATTGTETSLPERPKETVTDTSDV HAKRPYESSLTAKDDELPHKIAKVDDTVAAAGATSGHVAETGSLARKPEVPAETGSVQ PQIVPGLGADPNDNVSTVLNVPGLSPIEEKSTVPSAAEASAVPAPNTSTEPKGAEDIA PTGAPTSDANADATEKSKDSEISKAEEEMVATEPKGAEDIAPTGAPTSAGNVDVAEQP KDTELSKAEETVASEPPTTAAPAVASEPEAPSTAELTTAAAPSEVTGTETVSPSGATA PSATNSTTKAASASTDTGTGTATSAPAPPAEPVLKVPSSIHDGPDSKVTSANKSAADQ AVSNVEQEAEGASANTQAPVTETIPEKTKPAAEVQEGTGAVKNQVPENQPTAKEAQEA GAGAAKQPEEIQKPEEAKTKEPRAVQDAQEEGAATVKKPEELKPEDIKKPEEAKTKEP QAAQAAPADATSKPEGKETVKTEAGKAQDQAQDQAQESAKAEAAKLEKRKSGFFGWFK RKLKGEKA AFLA_008920 MSLREKVRRVFRRSPSGSKSKDNSNSIKIEYYKRHEIPPSKFKG PFDREHQKRLAAWSFDDAQAERPRSPDLSLSPCASLPDYLRPRVQEDSVAPDEVPSLA PEGLSDASATISDNERAERQDDSGSTSSTAYDPDSYSSSMMTLFDEAIRQDSIAQLKE TIRYTSPVVRAISPPPLSPKGSYMPFAPEDLTRALNAVQICG AFLA_008930 MKATTASVLLGLSSAVNGRPTVDNRFPYKGPAVPVGDWLDPTIN GNGKGFTRLVEPPAVKPASSHPTNNVNVISLSYIPDGIHIHYQTPFGLGQSPAVKWGT SPNHLVNVARGFSHTYDRTPSCSQMKAVTQCSQFFHEVSLPHLESGKTYYYQIPAANG TTESEVLSFTTARKAGDPTEFSVAVLNDMGYTNAQGTHKYLTKAASEAAFAWHGGDIS YADDWSSGIMACEDSWPVCYNGSSTSLPGGVITSEYKKPLPQGEIPNQGGPQGGDMSV IYESNWDLWQQWMGNITKKIPYMVLPGNHEAACAEFDGPHNVLSAYLDHNEPNSTWTK NDLNYYSCPPSQRNFTAFQHRFRMPGSESGGVTNFWYSFDYGLAHFVSMDGETDYANS PEWSFAEDLTGDETFPTESETFVTDSGPFGAIDGSVKNTKAYEQYKWLKKDLSSVDRT KTPWVIVMSHRPMYSSAYSSYQKNIREAFEALLLQYGVDAYLSGHIHWYERLWPLANH GGAYPMGIQNCHC AFLA_008940 MESSPVELKSHERPVPDKPRENMGIYMENATDKAQGEPVDHGAD TQLHRTLGTRHLTMVALGSAIGMGMWLGSGTSLANGGPASLFIGFLISSSIIWSVCQS IGEMAVIYPLPSAFVQWATIFISPAAGFALGWGYWFSYWITIANELQGVVTVLNYWTD EVPKAAWISIFWAVIILINIWAVRFFAEVEVVASTIKFGWMFICVIALIVVTAGGSPQ GGPIGFRYWNAQPVNNGFKGFISVIPTCIFAMAGSENAALVATEVANPRDSVPKAIKS VWFRLGLFYILGSLMITLTVDPNDPSLFGGSGSNASPFVIAFKNAGIPILAHITNAVI FISVISTGSISGYGGSRILMGLAHVKMNHKDVLQVFGKADSVGRPWAGYIATIGIGGA LAYLNVTHTGAQVFTWLSNLVSLLTLFGWSMICLSHLRFRYTWMLQGRNEAHLPWRSW AYPYAAWWGLIWCLVVFGIQFYLSIWPLHEKTSAKNFFANYISVIAVAIIWVCAQVWY SCPLWADARNIDLDMCRRFYADTVDEESTPVAKSLARKLRVVCK AFLA_008950 MGSIGYPDVQPLEIFSKRGQNAVELGSKRIIWDVISDMWNPDTN PSGILSIGMAENTLLHNTLLQYIEANFRLSAEHLTYNNGSMGSNALRKAVSHFLNRHF NAFRPVEPSHILMTNGCSSAIEHLSWAFVNPGEGVLLGMPYYSTFIADISLRPEAVVI PVKMGNVDPLSIESVDLYEKAAVEFEASTGRRVRAVILCNPHNPLGRCYPRETIDKFM EFCQSKQMHLISDEIYALSVWENRVDKDIPFTPFESILSRDITRLIDPSLVHVLWGMS KDFGANGLRVGAIISQSNPELHIAQKCLSLYSFVSGMSDQITASILSDDHFTDKYIEM NRERLSLSHQFLVHALNKHKIEYLRGCNAGFFLWVNLGAKYLAAHPEEEGRATDLTDR IFQKLLDNKVYVAHGTAYGSENPGWFRLVFAHPIPWLMEAMARIVCAIQ AFLA_008960 MTRQIVSPVPADDEYYDLGSFGHSITTNSGDAQIWFNRGLTWVY SFNHVEGAYCFEQAIAHDPTCAMAYWGLAYAVGPNYNKPWEKFDLGDLHRSVQRGYEA SREAAKHAVNATHLERALIEAIQSRFPTDQPATDYAALNKGYAAAMKLVYDIFGRDLN IATLYADALMNMTPWSLWDLFTAKPNPNAPTMEVKAVLERALAQEEDGPYLNPGLLHL YIHFIEMSPTPELGINVADHLRDLVPDAGHIHHMPTHLDILIGDWRRSIASNHNSTLA DDKYFRKSGAKNFYTFYRLHDYHSLIYAAMFAGRRKTALDAVTRMEATVPEDVLRIQS PPMADWLEQFMSIRLHVMVRFGMWEELKRKELPHDQELYAGVTATTHYAKGIAFAATG DVATAREEQERFHQAWARVPATRRAYNGKIVDVLGVASAMLEGEIEYRCANYDKAFAS LREAIDLEDKLPYSEPWSWMQPVRHAYAALRMEQGYLEEAAQTYRADLGLDHSIIRQR RHPNNVWSLQGYYECLVRLGRMDEAAMIEQPAKLALAAADVPIKASCFCRLDTAEIPQ VLNGCSKGKCC AFLA_008970 MGAARRQKSPRSDSESPPRDENAEDNYDEHAMSPALIIDLPSAS DTTSINSMITAYRYENGRRYHAYKDGAYWGPNDETQNEQLDIAHHMFTMLLGNKLCLA PISDDVQRVLDIGTGTGIWAIDFADEYPSAEVIGTDLSPTQPSFVPPNLQFEIDDAED SWAYPENHFDLIHVRALYGAISDWPAFYRNVLIGLRPGAWFDQLEMSIQFRSDDGTVT SDHILAEWSRIFIEAGERFGKTFRIADLARQHMIDAGFENVTERRFKLPVGPWSNDEH YRQLGRWNLLHCEQGIEGWSMALLTRVMGWSYEEVQVFLAKMRKGLRDYSKIHAYFYV SSVYGQKPLKGPVP AFLA_008980 MPIMQPSNQIKFTNVSVVRLKKGKKRFELACYKNKLLEYRSGAE KDLDNVLQVPTIFLSVSKAQTAPSAEIAKAFGANTPADEIRQEILRKGEVQVGERERK EIIERVEKEVLDIVSGRLVDPTTKRVYTPGMISKALDQLSSASGQMQQAQSQNNNGEA SGAGDESRPAQPRKPLWTGVTPNKSAKIQALEAMKALIAWQPIPVMRARMRLRVTCPV PLLKQTVKSAAPAGLNKEKEAPSGGNSKSNKKGGKGSKKSARQQDSDAEGGSDAEPSQ PKAPTNVKDKILSFIESVESQEIAGDEWEVVGFAEPGAFKGLNEFVGNDTRGRGRVEV LDMAVTHED AFLA_008990 MRVLPATLLVGAASAAVPPLQQVLGRPEEGMSFSKPLHAFQEQL KTLSEDARKLWDEVANYFPDSMDHSPIFSLPKKHTRRPDSHWDHIVRGSDVQKIWVNN ADGEKEREIDGKLEAYDLRVKKADPSALGIDPNVKQYTGYLDDNGNDKHLFYWFFESR NDPKNDPVVLWLNGGPGCSSLTGLFMELGPSSIDENIKPVYNDFSWNSNASVIFLDQP VNVGYSYSGSAVSDTVAAGKDVYALLSLFFKQFPEYAEQDFHIAGESYAGHYIPVFAS EILAHKNRNINLKSVLIGNGLTDGLTQYGYYRPMGCGEGGYKAVLDEATCESMDNALP RCRSMIESCYNSESAWVCVPASIYCNNALIGPYQRTGQNVYDVRSKCEDESNLCYKGM GYVSEYLNKAEVREAVGAEVGGYDSCNFDINRNFLFHGDWMKPYHRLVPGLLEQIPVL IYAGDADYICNWLGNKAWTEALEWPGQKEYASAELEDLKIEQNEHTGKKIGQVKSHGN FTFMRLYGGGHMVPMDQPEASLEFFNRWLGGEWF AFLA_009000 MSLVSGEKTNFQYILRLLNTNVDGKQKIMYALTQIKGVGRRYSN LVCKKADVDLNKRAGELTTEELERVVTILQNPTQYKIPTWFLNRQRDITDGKDSQVVS NNLDSKMREDLERLKKIRSHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG AFLA_009010 MSSENSDARSLKEEDDFKYNPELNYPMVKLADLPEPPKNWRVCG RTAEEASKARKATSEEAKKWVRYHSWGIDMGVKGDLTNLRCFKVLWKLFYYQQDKHRP QDFDQIKDFKTRDAGPRLLQCSLDVPGLLPISRYYPHPPPATRLPAEGFHRPLDALAS RPDSHIEQMLYPVFGVPKEVDNFPEEIETPTAQATGNSTGNRNQHKIRLPKVMARSVM EAIERTVMQFAYEDLARDCSAVAQDGQVHLTAKTEEDLRQANIGSFPATSHVKLAVDP DNSDRLVFSADGDKYPYRGRGPVYRNNSSGIDSIIVLGKLLDAGSTVLDRKDPEWRGR FTNVEKAFIEATDVNWDLCSRGDSRDRFWAVMAAEAENVGVGVQSPLLDMWNVSTEHF DQFLFTYDEQTTFCSPCTNRTTNAAYKSATVAPPTCPEDMKGVSMQQLISRSFASEYI SRCGKCQDKVVKCRRMLHGLPMRLTVTLDGSVPVKKHTRDISFDYITNGGERGTAAYR WLGGIYCKADHYRVYWNDTKRGEVDTGQIQMYDSAMLSGVIVGGIAQAHRDDKVPETW WKNKPVPLLVYERIMNPDDEVMNVALHALGDMVKVRNQQKLLLQGHISWTPSEPPRAR AGFPWRRLIDRKEDRFHLASGAYDPRNQGQISTEPSGVDRHANIPGPSSAGPSKIDVG SSTSSAPAPEPQPEIGRISPSLWEAPTASMFAEISPLFALAPTPSLSGLESIIEDTAL INEQLNGPHQEQYRAQSNVQNNAQYATQSSSQLHAGHNVQQTTQPSGQQHGQADRQYN ATQTNTQYQRPYNPHHRTQTTAQQPNGYNAQQHQVQHNAQYRVQPSTHPHEPHGTFND TSFGLQDIMHDGLFQDWTTGGIDDFGFSFPELSSSIFFSPKPSHASSTNSAPAPVENS IKHSHQNTNNGGSMPQYIDPSVLTAAPQNPSSLPQQVRPKPVDGQRPASHVAPAAGIR WHSHGNVASSHMSANQTPQGSKRKRVDEAEPRRHPPNLR AFLA_009020 MSTPGDYDAVRRDIVAQLKKPDYDDGSAGPVFVRLAWHSAGTYD AESDTGGSNGAGMRYEAEGGDPANAGLQHGRAFLEPVKERHPWITYSDLWTLAGVVAI KELGGPEVEWKPGRTDLVDDSKVPPRGRLPDAAQGAEHLRFIFNRMGFNDQEIVALAG GHNMGRCHMDRSGFHGPWVNNPTRFSNQFYNLLLKLEWTPKTLENGIQQFVYVDPDAE EGDEQLMMLPTDVALITDPKFRVWVERYAQDKELFFDHFAKVFAKLIELGIKRDAKGV IINSDNVKGGYVSAPKKSNVPTGLSQRGGGCPMARL AFLA_009030 MSAEPYFTPGSCAMRLQNVEGLSSVSKSALLRSIADDISAVFIC ISKQLSCGTLNARHTRPIHDFITSIRCTERLEQQRLQQDLERYRQRERRWRAERKWMC RKVEGLVKHSEVIHNQWKERLNKAKSNFEGATRELAALRWRYELSRSQAVKEKLLGRG DATLAETNR AFLA_009040 MTIAKTYNILVLPGDGIGPEIMAEATKVLSAFNTSTVQFNTRSE LIGGCSIDLHGKPITDAVKEAALASDAVLFAAVGGPKWDNMRRGLDGPEGGLLQLRKA MDIYANLRPCSADSPSRSVAREFSPFRQEIIEGVDFVVVRENCGGAYFGRKVEEDEYA MDEWAYSTSEIQRITRLSAELALRHDPPWPVISLDKANVLASSRLWRRVVEKTMAEEY PQVKLVHQLADSASLILATNPRVLNGVILADNTFGDMLSDQAGSIVGTLGVLPSASLD GLPSETRRRTNGLYEPTHGSAPTIAGKNIANPVAMILCVALMFRYSLDMEREAQQIEE AVRTVLDSGIRTPDLGGKAGTTEVGDAIVAVLRGQKL AFLA_009050 MGQIEELPDDFDESLDLNKQTPETQDAPPAKEVETSFPVDKERA KEFEKENPGAPKMPPAMEAVRSHTTDEIADMMNKTPLFMTDIDKAKDENGENVFLDAL QALQNEGTRAEVAQNFREQGNEAAKELRWIDAKEFYTKALAVIFAKVDKWEKPEDLDA EQKLLRQVEEASYINRALCNLELGNYRQCTLDCASTLKMNPKNIKAFYRSAMACLKLD KVDDAEDAAKRGLAIDPNNKSLQIAAEKAAERKAAIERVSAKRKAEEERKKQEKKLLD VALKAREIRTRTTDNPADLQDAVMHLVPDPLSPESTLEFPAVFLYPMDAQSDFIKAFS EMHSIEDHLEYIFPLPWDANNEYTIKSVDCFMETATGGLIKAGKKLPLLQILSGSKVE VVDQLVKFFIVPTAKSGQFIAEMKKRKQV AFLA_009060 MRFQHLTTALAVGVSAHLAQAAGLHDAAVAKGLLYFGTATDNPE LTNTSYVTQLNNTGDFGQITPGNSQKWDSTEPSQNEFSFTNGDVIADLADANDQKLRC HNLVWHQQLPNWVSSGSWTNETLTAVLQNHITNVVKHYKGRCYAWDVVNEALADDGSY RDSIWYKTIGEAYIPIAFAAAAAADKDVKLYYNDYSIEWGGSKSTAAQNIVKLIQSYG GKIDGVGLQAHFTVGQTPARKDLASNLKAFTDLGVEVAYTEVDVRMETPATDANLQQQ STEFANIPSSTATGRVKVYYQCGGINYQGSTECEEGLTCKKWNPYYSQCIQA AFLA_009070 MSSARDPQSPTFFEQRSMQPQTMAQTPISMDAPLDGLSSLSSVH FALIYIDENGKLRFEASPSIASNCQSILSPNVTDSFLRAVALSNKGDPGVIGSPRLNQ EHMAGKSPMSPQSPGTSNLSRKNSMFQTHQDGHQAKRKRVSHECVVPMSINCHQKTML PIRNHGLLRKYYEKAFESLQQINCRILAKAYIKLVEPRKQVNYPYNGRKIISGSSQQF DPELTKPAWWPSGVTHREPDHLLKAERIRLLVHILCELRSSHAICVEKLREADQSIRR QILPSERLQVLDEIYSVREEEEAFLDGKTDGQAVVCVSRVNLPDMAEAQAMGSPGSTM KTDLNPVYRKEVPDMESHTSVFPSTGSSPTSDTTKHSMASTKSTSSVSTTWDPCLPPS LPSLSTLKRTRPGESSYPLEFNTNIFQHEPPTTVSIDSHYPLKYYSEPHLGHNQHSQP LPVMGMTGTADMGCANPYYFTSY AFLA_009080 MDPNATGFSMLQQQQQHFPVTSQSPQQFPYYPNAIPSYPQQKAP SHLPQQHSFGAMPMQAGAPGGAMMPAGFPQHSGWLSILALFLYSGLPSTLCAPLDNAN FSAPFAQPPVPATINQFMPPQTVATSTPASNVPATTAPSFPQNMASISANNLVPAQPQ RPAPQQNPQQASAPPVTPSPATAREKARVTVLLDINSMLLQEVVNLQAAGKAGGPPAQ PQDSNPPSDQNPDTAKGPTQKPSQEYIDCMRRLQANLAYLATIADRAKKSGGVPPTAP SIMTPPPHMPSMNEMYKKLAELFPRTAPGTAGTPQPSPQGNGKPSPSPATETVV AFLA_009090 MSLASLLVCRRSLSVATTTSTTAAVTVSSSSPAAPPPYYYHFYS TFSTAEHLSTESGGPLTAFQWSPVPSSSAAWSISGRTRRPLADQLPGPQLCSYAIAPA QSIHRHEFVRSIASLAPPLGSHPRFKNFSIKAMDLNGDAGAKRKRSSIVPAERPAKHL KPESSALTPGDSTPANGTVYDIEDEDDASRLLPIGPAQADSPEWQATIEEVVKSVVSI HFCQTCSFDTELSMSSQATGFVVDAERGYILTNRHVVCPGPFWGYCIFDNHEECDVRP VYRDPVHDFGILKFDPKAIRYMNLTELKLQPDAARVGSEIRVVGNDAGEKLSILSGVI SRLDRNAPEYGEGYSDFNTNYIQAAAAASGGSSGSPVVNIDGHAIALQAGGRADGAAT DYFLPLDRPLRALECIRRGEPVTRGTIQTQWILKPFDECRRLGLTPEWEATVRKAAPT ETSMLVAEIILPEGPADGKLEEGDVLLQVNGELLTQFIRLDDILDSSVGQTVRLLVQR GGQDMEIECQVGDLHAITPDRFVTVAGGTFHDLSYQQSRLYAIATRGVYVCEAAGSFK LENTLSGWLIDSVDKRPTRNLDEFVEVMKTIPDRSRVVISYRHIRDLHTRGTSIVYID RHWHPKMRLAVRNDETGLWDFSDLADALPALPPVPRKADFIQLDGVSQPAASEIVRSF VRVSCTMPLKLDGYPQAKKTGFGLVVDAEKGLVVVSRAIVPYDLCDINITVADSIIVN AKVVFLHPLQNYTIIQYDPSLVQAPVQSAKLSTEYIKQGQDTIFVGFNQNFRIVVAKT AVTDITTVSIPANASAPRYRAINLDAVTVDTGLSGQCSNGVLIGEDGVVQALWLNYLG ERTPSSHKDVEYHLGFATPSLLPVVSKIQQGVMPELRILNMESYVVQMSQARIMGVSE EWIEKVTQANPSRHQLFMVRKVDCPPAGFDNTADTFQEGDILLTLDGQLITRVSELDV MYDKEFLEALIVRNGQEMRIQVPTVPTADLETDRAVVFCGAVLQKPHHAVRQQISKLH SEIYVSARSRGSPSYQYGLSPTNFITAVNGVPTPDLDRFVKEVSKIPDNTYFRLRAVT FDNVPWVVTMKKNDHYFPMSEYLKDPSQPCGWRTVSHNKSKHKDGIAPDAANLNPDAM EQGYDGASDIEPEAE AFLA_009100 MAASGARAQKPVGSAAWISTEKENFTNLLNQEMEEVEYPVRHEM DWLNEHMAEIFSNNQFNFTEAFKTPGKLRGKTPRTARKRDPAENRVPLSEIFSTSHNR FEDKVASPTPHRSPAKQKAPVSVPTPAPAAATPTKPKHATENAPRLQYPDLSKDLNSL TTYNTDSGYHEMPDEGEEEEDDDVVLTQVQPESQTSTQPFDSQPTPKDVQEHRSSISR RSTAASFHSAHEDVQQRGNTVEPMQIDSTPKEATKEHTPQPLPKAKAPEPKPETEVQP EPEPEPEVEPEVQSDVEPDGEDDAEPEAKEPTPALQQEAEQVEEPEAASETQLPKTIS SPAKSSPMKTSAPQPSEPREEVKDDAKDDMALDDENLDDIGSPSDGSTPDRPLIRKSS LSFASLPAREPLMKKSLGGSRISRTSHIDIAKINNTGGSGFLGRQTGGHRSTQAALDE NATNGEKMDLDDDKQGSQEDTDADTKASKLHNKSSTQRLHEKISMLGKLQPSRPTKSI PAVQGLSSTQVTYPELPSSKADTKPETASQKSHGTPAPEPMETEDDDWIRPFGSPSKA NFPRGQTADAPEKRSGVGASHATDHDDAPAARKESAASEEPARSSAKQGLEAVLGKYS TPTYSSPQRPSHQAKVSTSHLDSQVSTTPAGSPLTQDGLVSASKSRLQSIVRSAKGLF TNSGGVAAAARMEASSPDEHRVQRSERVEPDRTSRKVPQPTRDHSPPRQEGRRTRSST EREERRKQKELEDRQREEEEEQAERARQQEKERQRAAQLKAAQEKLSAENESRKAPVA PTPQKMSQLQKHSSREPEPSYEAASKPTARPSVSQQQSTRQRPPRPTRETLQKPKPQP QPVSIRVGSALSRHIPLASSSVSGAAESSVPAPTPASASKQPTLKKKASNSSLHTASS NSSFKSSVSSQTQRKAQLASERKREQEEREARRREEQRRELERKRNRTPFPFMHRTMK AFLA_009110 MAESTRPGEVSYPDTNGSSVKNAVTNGPVVDHIKSEAARTEQEL RELKNARVTPSTTTATGQHLTYYHSLLYSLLSWEQPRATAVSFATVVTFIFAARYLPL LRWFFKFIYVALGFTAAAELGGRLVLSQGLASSFRPRKYYTIPKETIEGVYEDLEQLL NFVLLEFQRILFAENIVHTVAAFAAAFSAYWLIKWLPFWGLSLIAVTIAYIGPLVYMN NREVIDAQIENIQEVVNSQAHQLKDLAEERTSHATGLMKQYVDDYSAKAQEYIGQRRS VSPQMTKVSTPEPVIKKEIDTEPVFKTTDFPEAPKEEPVAVKEEPVAVAESIEHPALN AEKEPLLAA AFLA_009120 MEERITYTMALPSEYSSMIHHGAGWLRRSSEWRYAFSGGEKVTD RLRDEFKSLALPACPILFLGRLEGDSQIKLRGQRVELDEIAHALLLVSKEKLANAHVC VRGTGTDTFLVAFVVFSNDPLDEEVDRITYLKQLRQRIPLPRYMCPSIMIPLQELPLS VNGKVDRKALDAIVLPDSSVDDQVLVDLDADEVTLLGMWQEVLPDTAAKGLKVAKDTD FFEAGGNSLSLVRLQSFIKERLGIQLPLVELVETCSLEAMARRLWSNHSVSSSHFAWE DEACVLISDQDLEHANLEAESASLTGDAGKIVILTGASGFLGKQILQGLVSSPTKLNA NGSSKIIVHTGDLALPRLGLDITTARSLASRANIIVHNGADVSFLKSYSSLKKANVDS TAELVRLAKPCHIPIHFVSSAGVAGFVPRNELPLREVSVAAYPPPRDSSAHGYQIAKW VSERLLEMVNQQYGLDIVLHRPTGIVGEDAPDANILGNLLHYSRQLELAPDMDGWDGY LDLVDVEAVAQQIVAVLESPNVSETGVRAVRVVHTCNPGAFPVHELAEYLGRTRGKPL GTLPMTEWIGRAMQAGMNEMVGLYLQEVTSKRLSWYPPVVSDSVN AFLA_009130 MLNELVGRAARALKDLDVGIATRVAILCEPSADMIVFILAILRV SAAYVPLDSRNSHERLSSIIGDSSPRLLLSDSRLGECASLLGEEHIMPVRLMETLLIA DSPDGPYEGNVSHPDHPAFVLYTSGSTGAPKGIILDHLNWVNQFAAVTQEYGLAQEKV LQQSSPGFDMAIEQVFIALW AFLA_009140 MDTKVPEPIAIIGSSSGFYHPNDEHHGASNAQHPYLLEEDPCVF DAPFFSWNAREAEAMDPQHRVLLETVYECLENAGTSIQELQNTQTGVYVGLMTNDYHD IHLRDMETIPKYSGTGTTRSILSNRVSYFFNWKGPSMTIDTAFSSSSLVAVHPGGAKP AVRRDACGDCSGRESDIRARDEAVISGRPGSGCQPVLTSGMQKRVGLAEEDSAPPLWL SNPRFSHMKWEDKKVLPGEATTAASARIPVMDQLKAATQVAQAESILCTSFATRLAAI LQMSADSIAQDTPLVEVDMLTSLANLGIDSLIAVEVRSWFLKELNVDVPVLKVIGGAS IRDICRDVLDKLSLTFDASESPSEAPNDKPVSVTTVDQGKSPFVGVVEIIDLERGTIS QGEE AFLA_009150 MYTFFDSDFFHFEFLRVLGTAPFEGCEIGKCLETIACIKTPDAE SWFDAWVAAGRKAEAAARWA AFLA_009160 MLHCTPKDTRLLETLEHSRGFALLADPAGYGGEVLSLEVPYEHG LMLPAYLFMPLREAGLTEPIPVVVNSGGFDSTQEELYFYIAAGARRRGYAVLTFDGPG QGIFHRRLDQRAGFPAQAPEGAYMRHDWEAVIRPVLDRLWTYSKANQHLHLGLNRVSI FGESMGAYFALRGAGDPWIKACIAMDGFYDMWDTADSRIPPVFLKAWDRLGDRFFDRV IRSLGRCPVFVTGAADWAYFPAQGNATKIFEVFNQLHPEMAKLWVTKGVGSGGLQAKV AAISVVHDKTFEWLDEVLIIDRKKEKSLPYLYLFVFLV AFLA_009170 MPKSDWVQHQVTKGLRAVIGQVCRHPIHTFLATALIATTTYLQV LEVTFRAANRGLTSKTDAAPLNVESFLWGSRSLRVGETSSWRWQVDDLSEATAGNGRV DHHWALVTLTFPVASADSSPALMNTLPDSVGAEPITPTSNFFTSISNEFSLAYRVPYT QLSVFLEEVEFVASDKKDHSWATRSPHGEGRLSLGRWLGSSWLSFLHRAKHAETVDLV IIGLGYLALNMTLVSLFRAMRHLGSRFWLAASVLLSGAFAFVLGLGVTTACGVPVDMF LLSEGIPFLVLIVGFEKPIRFTRAALHASKERRRVSPPREAADSKDNRQRHMIPNTML VAINREGWSIIQSYLLEIGALALGAVLQPRERFGQFCFLAAWMVLFDAILLFTFYATI LCVKLEVTRIRKPNTLDLADEQHGPRIFGYKVNATSVARWKLIMVGGFVLVNVLQLSS FFYRVMGGFMTKAAFTPTTVSPLKVAANGLNDIYLDARAGGVETRVTVLPPIRYVIEA SGLDVSTGRRPGFDGVLAGLETPLGRLCLMGALVLSLYLNNHLIHAARWHVSPDAPKE PAAPAPSSSPAQVPSAASPPAPPSRSFEEIEALFRANQTESLTDDELAELCLRGKIPG YSLEKTLENIVSAGSSSTATTRLEAFTRAVRIRRIIVSRTPSTQDLSGGIQNSLLPYQ NYNYELVHGACCENVIGYLPLPLGLAGPMVIDGQAYFIPMATTEGVLVASASRGCKAI NAGGGAVTTLKGDGMTRGPCLGFPSAKRAAEAQRWAESPIGRRVLTDTFNATSRFARL QSLTFAQAGTYLYIRFRTTTGDAMGMNMISKGIEQALQAMTAHGFPDMNTITLSGNFC ADKKSAAINWIGGRGKSVIAEATIPAETVRKVLKTEVDALVELNTAKNLVGSAMAGSM GGFNAHASNLVQAVFLATGQDPAQNVESSSCITTMKNIDGNLHIAVSMPSMEVGTIGG GTILEAQGAMLDLLGVRGAHPTDPGANARRLARIIAAAVLAGELSTCSALAAGHLVNA HMQHNRSAASSRVN AFLA_009180 MVPGAIVGGVLITVTGKYKPLRVAGFILMAIGMGLFTMLDDRTN TVRWVIYQVILALGSGIFLIATLPAVLASLPESDVATATATWAFLRSFGSIWCVSIPS AVFNSRFTDLVGRIASPQLRGVLVGGGAYQLATKAFMESLDDTPVVKAQVVSVYVDSL KLVWQVGIAFAALGVPLSLLIRSLALRDELNAEFGLEETRTENVEEVRVQ AFLA_009190 MAVENAIPTTSSTDAQALPDEPKPAKKGYGWRFWAIFPGLCVGG LLSALDTTILSTELYVWAINGYFVSQTAVQPLYGQVANIFGRRWPTILSVALFALVSG LGGGASSTEMLIAARVIQGLGGGGINVVTEIIVADLVPPRLLPIGPRSHAQEIRH AFLA_009200 MSLVDSEVPKIKGQALWSDKANTTLFTYGGNYLDVASVDQGLWT YTIADGSWKLQQTSIKPVRLQGGAYVDAPQIQAAYWVDGFQNSDTTPAITDSTVDYAT GMIQFNTTTGTFTQLDAPFAPVQQGALVYLPIGEKGVLVFVGGEVPSIQNGINATLTP NQWNYAWVYDIAGNKWYNQTTTGSVASRTQFCAVVEKDLSTSSYQVYVIGGADYKSKD SLTDVSYLSIPSFKWFQAASLNKPRMTHVCQAYGRQIFGVGGRLAWSDDAGAGCYDMP AFVYDAQSEVIRTQFDPGLSTYSLPSATANDIKSSPYPSTWADPALKSLFVQKPNDTT NSPDAQPDPSTSTSGSSTKVGPIVGGVVGGVAGAAIILAIIFFALRKRRRDYQKEPRG EKWPDNAPVTMGRVGGELPAEAPRRELDARSNARSELRGTTRSVYELDRGRGTGV AFLA_009210 MTDSFKEVSQIQGIEVVQAGLEPIPNQNNPHHVVVPVLANEVAF CVDASYGDHQLKKYINPFIIALIHIVRHSLITQHINISSITNIHHPL AFLA_009220 MQRVYTFIIGGAIGGGIGGSLAAKKSTNPQPSIPASITSSAPVT STSATSSSESGRSITASTTSSAPVTSGTSGIAANSCPGINQTIVTGSTGSAFTVLCGV DWPKGVQAINGKGKNVSTAVLVLTRKIAKASLIRPI AFLA_009230 MVTLKRKETELAAGSKLRAVSTVANLAEKSPDSDNALKVLPQGS KPWYRTWHLVKLNLILMVSLVSSASVGYDVSWI AFLA_009240 MYRRGPAPLWDHLSGFSRTFRLPPSQSFISCKILQSATLRQRIF IAAMSTSPPPTPPSRAVIDDEWRFEPITLPCEWVEDYRPGGYHPVVLGDIFNNGQYKV IRKLGEGSYSTVWLARDLKNRGYVALKILVSEISGSTTELRILRHITEVAPAEGGRHI TRLLGEFEHHGPNGVHRCLVFEPMGPSVNTMVEELPQFKPRMRGMKIRYPLRMAKSIL KQSLQALAFLHENGIAHGDFQPGNILFTLDDIGSTPEDVLRQEEDVQAESISPPVQRL DGKEDKWAPRYLCVAQPLVPFTYYAEGFKVKLSDMGGAYFFTDPPTKPVTPLGLRAPE LILTGAVDNTLDIWSFGCLVFELITGQPLFCIPGSDFEDDDHLLSLSDRLGALPDELF KHWKTSSLYFTSERKLFNCQLGGVAPGEEPLMVEQTSMEELFDQAGPDLDEEEARKVK ALIRWILQYDPAKRPSPAEILSDPWFCEIDVESESARV AFLA_009250 MAPILPDNNYAYHSNAKVTIILSTGKYTIDDKFKFSTNACLIYA ETIHIASSIKAPGQSIGLFCHTLTTPSRVTINVSGDEGRAGANGVDKDGGKGGDGQNA GNVWICVQSLPRENTFLNLEIKAYGGSGGRGGDSTSSQYDANKTKGGDGGNGGNGGDI ELLFGTAVMDAARALVEIQKRPWPEQALCLTEPILSDSLPGYLSQEDTQLLGPLKSLH SVLRAIVRQLKILSGAGDSKEIQDTASSLIREVDTDLAANDKAPKNVTTDTFKSLQGI LESIRSLNQKTPNLNATDILANAKKAIGTVVPQNDSKMISITENLQNTLLRTILDIET SVYDIAKFNSRGAAGFGGIGGIGSQNGASGKAGQKDGRSRARNLHFQGTKRDADVWQA YIFPEQCQMLLNKADDLFFSSNTDDWKSANTIYNTLLARLQVLHDQGNSSSVYEQAIS RRNRLLLGQDMFGHVDSWVPRLSFGFYAQSVEQRFEVLKSAEYLTAEYEEAFQKNNDL RTTVEKGISKMLDAQKEAEAKIDLLTSSNGPLVTGIYKISSLTKEVKTKRQLLTKKLT NIQFAAKQFDWTILLDAASTLVSLRADPKSIVDTVKQGYEIYKKVTDESTAKNLHGDA VKKEYIIDQLAQCSDTLESLEKAFTTRKDNQIEIDDPGALKIMATKGNIQKILREFKN AIVEKDKKDIESALDDYIAVTLNRNNAVLDYNSSLQLLFEASNAREYSKSQAESLGQR RHTLDPNTPAILFWLRKTRDNMRLQLMQRLNYESRAIRFWGLKKHLDYSSPGPLRSFI ELRDGQSKLNAAYEDSLNSYANNIRVTWPREEKEKGLFYILSNAELKAFKQRQRLTTS KGDDGVYSASIRLEPGAPPFGPGRADVRINQVRLWLLGVEVKADNAGRKQLMVKIAHS GNETLENTDRQALGFSHDAVNIQFEYNTAKVQTSDDFKTDVVFGKQGLENDWSGGDSK PTASTFAAIGPFTEWRFSIRESENVGLDMRSVTAAYVEFRGANRPFSVDYRKA AFLA_009260 MATQLDFPALSKQMMGKWTTEGFDILVSYSEEKVNQLLRARSEQ LKSILKMGPLETSYVDPLTDETIHLNVFMDLEHPLLQFEDEHGNITLTFDIQKGHYVI IAKNITKDLPSGMAISFKTTLNNVKGTVESSQSEDEPTGKGVKTASANELVIFNPGEK DISQHVCITFEKASADFIGTTEESKKRVAGMAFLLGAVKEYFQQHAELKYFVAGVSNK YNPESGSDSLQPRSFRFNTLKGKTKNDESALCMWISVKEGTNRPESYTQAFEGTFYAN GLIPIPRGRTCSLIMHNDLLIKQFIMPNLSKGFKSFKDKTSGKGGLNLSATMIADDID IKEMKKKESWGPGGIKTTTVDPMKFSLSDPETTISFGDGIISKSNKINYTNKQQVKWK TDTVTGRVPGHEHGTTNLEFKWTATGSWKDKKTPGHPNLLGFDWAGDKNWTITKSAED VHWWEAFGGASNKIPEPLQNLQVPSPNTKLEMNTLDYFLTTNLLYPGKHIFDADDPSS GSTDKGLAFPHDLILTGETKIK AFLA_009270 MPNRPDKRDYITLASSILQFHPEPVNGVFVDDIDKKAYPSNWDH GKLPAEMGAWRAHMNVMQRDRISTAFVLEDDADWDVNLKKQLQRFASASQLVQGDTGP SHSPYGDLWDLLWIGHCGIQYKTGPIHVTTDDITTVPLPELPRYWHGFPAGADNGTRL VARMHDGLLSALSLTPKGDGAPFDVAIGRSCQNGWLRCIAPFPSLIGLWKAAGPKARE SDIHNDDGWIEKETPVGTVYSAMDNAHRLLNGERTVHAVLNDAPAPEIDPTKLELPEG TLKMLDDTGINEIIKGNV AFLA_009280 MATITRNPLDSMKSTWRSWDRTQWTAAHWLIETLNIHHIDLDKE VPIHQKTDKVPYAPELQFHRWVLIHASIPLIIHQLYINYIGQPSALLVFIFYSLSLEL IAIHEVHVLRRVGHKIGFFDGDKHPRDGVPDVGVRKTVQTLLSVIFLRPMATVIISYR ADEPPSSIRWFWLIFETGVYAVVLDFWYYLFHRSAHETEFLWQFHRRHHLTKHPNPLL TAYADLVQEFFDLVGTPLITYGTMKLMGFPMGFYEWWFCQQYIIFTEILGHSGLRMIA TAVNPWTSFLRLFDMELLLEDHDLHHRKGWKSSYNYGKQTRVWDRLFNTCTTRIEGHR DNIDYINTAESRCIDLVCTAFPGSTKEERLYYLGFSVTKHAYGLATAFVAEYGSGGRV VAFNAEYDALPGIGHACGHNLIATSSIGAFLGVVAALKASTLPGRVRLIGTPAEEDGG GKIKLIEAGAYEDVDACLMVHPAAHKRFPDGVTEPASLANQLTRREHRGAAGAPWQGV NALDAVCLSYNGVSMLRQQIQPHERIHGVIVEGGTKPNVITASGTVDYFCRSTSLEEA EALKDRVIKCFDGAAIATGCLVEYETREAYADLRPNKSLCANYDSAMATLGFPVASSG ATQPGSTDMGNVTYVCPGFHGGFAVPADPGAFNHTPSFTKAAGTSKAYELALNTAKGM AVVGWNVLSDDSLAESVRNDFEEDKKIRQASRR AFLA_009290 MTWTELRPWSQGLAAGLQAAGLEEGDRVLLLSGNNVMFPVINLG VIMASGIYQSANPHSNARELAYQFKLTTPHFILASQETLVCALEAAEIVGIGWERVYV FNHAPLAKDGSGNDDFKTGVKHWKNLLASTEIGRSFYWKRLTPSESKLTTVYMIMTSG LVILSLPMISSHPNLTIGSTTGLPKAAEVSHYGILANCVQTDFVMSLDPNLRTKELAA KNSRWLCTIPLYHGLALCYFCTISIARQVPSYIMRQYEIHCMLESIEKYRITELHLVP PIIVAMTKDPAVKSGKYDLNSVTKTFSCAAPLGPEPTFQ AFLA_009300 MPNCEIRLMDDDENEVEPGQSGEIWFRGPNLMKGYWRNNKATHE TITSDGWLRTGDVVRQDENGWYYVVDRKKEMINFKGVQVWPAELEALLLDHPAVRDAA VIGVRKDRDHEEHPRAYIVAAPETSVTSDDILQFVNNRVSTIKRLTGGVVFTNNIPRS PSGKILRRIIRDTIKNESKL AFLA_009310 MVMLYRCRYKIGRSTGGAAARGHIYYSSGFLLNVQLAYLTSPFR YMFFKPSRLTSTSTAEWKMDQFFKFLAVNPRIKYARPTWPDFGGWRAGFVVDEDTIPA VIVRPRFAEDVAALVSVLATNNVPFSVRVGGHDMFGRSQIHDAVTIDLREIAYVDIDH TGHTARLGGGILVAGLIKELEKDNLVTPHGVIPGVGYVGWATHGGYGLLSTQYGLGVD QILDAQVVDCEGRIRHADKDMLKAIRGAGGLLGIIVEVTIKVYPLGQVSEASPSPNIH ELTPEVVDVIGFHSSLQPNDSAIVFGIHELRVCTPVPSLDSVIYTRSPHFVLEIGAIV ETPHLLPDAIKWGQSFYNAVHKTDLANIMPSTYLPLTGPEKVDMGAIYGPNLEVLRDI KQRYDPRDVFRHALVKV AFLA_009320 MRLLLIAPLFSAVSYGAQATNSSISICPPATKPANASDAIPATF PGYAFEESSFVYYAGNKTNPNRVSQNLIRAVSQKTKTRPVIRVGGTSLDRATYDPNLS DPIYNPDPNSGIPTHLKIGPSFFDLFANFPEAKYVIDIPMYHDNLSNSILFAEAANKR IGASNIYAWEIGNEPDNYGNTGADWNEKQFSEKWGNWSKAISKALNASLDSQTYQAVA LSSQTGVTGTPGGDADSWKIYQIKANETSDLQADLMNHDEVIKGTAFIKTALQNLATI GVDVPIVLGEVGNTLGNGSSGVNLEGVLGSALWQVDLSLYTMFLGVHGISMQSGTKFP FALWHPQYNNTAGAVLPAFYAQIFAAEFIGSHGNVTVANIDLGQPHLSAYAAYEGANL ARLAIINLELWDGRHESQEKRHSKQMLLHVPAGTDSVTVKRLTSPAGGMARNSNQITW GGMQWTYENEGRGENVSTGAERLSVKDGTVHIAVNASEAAMVFF AFLA_009330 MTKVFRACVVLTLFLLANAADDRTCYYLDGSTANNHVPCTTNSV TNCCGSNDICLSNGLCYLQGSQGLSLSRGTCSDKNWGSECYKPCFNETITNSHLMLAS YRRNTGMPIMNIGYNYSSTQYCCGTVIVDNNAVGCKYEDPFELTEATVIPGVAYLANN GVNGNSTSSPSSSSCIAVEAGVSIPLGVIAIAAGIWALWERRRGRRQLRNYSPMSDAE GTVSVAPAPGHQHQTAELGGQGVAMPLPELMDTRTEYREAPKRCIPRVQKGNVEGK AFLA_009340 MFNDLSNRRMQTFSSSSHAGTDRDSETSRLYDQLSDSDQIDDRE LEDGSGYVLSAKADHSVEPNRHFGICVKFEPCTWDDLPGTANILTGTVSVRDLAVFGR LLGLQWNCGPFMGTPGRLEQQGASAGVDSLPPRGGFQAIGNSLALTEKELPQLRYEQR EQSPPGFRFPRMETFIIHEATVAMFYGHIVPDDDLLPGYLPIRVGDAAAWETRLQQMW IHQRPAASSTFVHCLVPLLSTVISDRFTYAVRVPKPHHFTIGPFARPKITDSFRETVD RVIQSCSHLDNMVQERRPGTLVRKIAAAIDDLRQYPRRANTGVQDLNYWTVCQGGASA WAHFENDNNKEAEIFFHKVHDYIDMVQEELSSLIRRKDAAIGIYTNLYFDLVCAFFEF AIEQNNNGQSGKANKTRKGPIMQWRATMEALFDNLNVIEASFRERGKRRAIMHANGMS AGTLGDQFDVVVRCEGIRGYDSVDSDEIREATIAMVFRAMCWLVT AFLA_009350 MQHLIKEIEDCKEGLQRHLQFFPLKDLVISVGATTQALSSQFLL QDGYPDPKLNTLRNLLANPFGNDLDAKVKMEVLAGVYPLLNMQQFSTNASIEMGRPGD DIAILVLAEVCSVCNDGERPRPEALLVAGTLALGRPVSFTRLIVERISQEHSIVSCEE KQADSSGIPRPRTVLNLKAVLESAGSSLEKTVEVKVFLSDMEDFEKMNEVYLQWFGDI KPARTAQQYFQSNSCVAVKSIPEYTDVEMKCVALM AFLA_009360 MADLDTCLPLTVDPFHTADRSISLYIHHTPVLTSKTLDRIASTP QERSALEGTLFEDQEPAKPVFRLYFKCEKFQKIGALKARGAFHAVLRLFSGLGLEEVR KRGVTTHSSGMKLNAHQNGDCYLLLSKGNHAQALALAAATLNIPAHIVMPSISTSSKI AGTKAYNGGDDGRHGLAAGSRIPAVSTLTIADGLRTPVGEINWTVIPDQKKVRGVFAV TEDQIKDAMKLVLERMKLLIEPSAAVPLAVVLFDEQFRTIAEKEGGEKGWDVGVIFSG GNTTVEAIWNLHGNR AFLA_009370 MDVPGGMSFHESDTLSSGKKTTTVDLEGYGQIGLGVCYDTRFAE LSTIAARQGAFALVYPSAFNTTTGPLHWELLGRARAVDNQGYGMVTDPMGQVVAGTNQ SEDIAYALLDPETIKRSRMAIPITFQRRHDVYPDIGKLVQT AFLA_009380 MAGIQTQLDQGAVPNAISNVCPPGTKFHVLEVGWLECDKGFVIR GGNTSTKSTETGSFVNERCEMPMYCILIDHPHEGLILWETGSGKDYPTVWGPAIADIF ARVKYEPRHELRAAVEATGHKLDDIKKIIIGHLHLDHAGGLDEFLHRTDVEVWVHEKE LTNAFWSVATGADVGVYLEHYLKLSLNWKTFNDQTLDFCQGITLHHLPGHTDGLIGMQ INMLNTGTFFFISDHCHVIENWRDGIPQGWLARDHPSWFRSTQRLKHLQRITKGQVIP GHDKETFLALQSQANVFT AFLA_009390 MLQSPLTRRHKDVLRELGFTGLHRLGGNGFQAMYDWTHGDYPGV LERHNFLKHAGYADPGLNVSPSLLGDNASTDRVTVDVTLQRSTNQSLETVTESIRELT GQHEAAVTTNEDTSTLHVAVRKEQVIEIARLRGVNTVHEAYEQVPYSNVARRIFKVDE AETSD AFLA_009400 MLWGVICRNKDHFLVLMALHKYSRTNIFAFPLLSRNSCVQKKST IHFIFLNKFKNGRMPFNTALTKTLGIKIPVVQGGMHWVGYAELAAAVSNAGGLGLEIR KCRSLTNRPFGVNITLLPSLMPPDYGAYVQAIIDEGVKVVETAGNNPGSVIRPLKEAN IIVIHKCTTIRHAKSAVKLGADFLSIDGFECGGHVGEDDLTNLILLNRARQVLSVPFI ASGGFADGHGLAAALALGAEGINMGTRFMCTVEAPIHIKVKEAIVAAQETDTALVMRR WKNTTRLYANKVAKDALKVETQSESGKFEEIAPYVNGKRGQQVFLEGDVDSGVWTAGQ VIGLIHDIPTCADLLARIEQEALTSMKRTESLWTGEASQSRL AFLA_009410 MSDKEYKYFKVTVPEPWIAHVEINRPGHVNAFLEDAWREMRTVF DRLSTDPSVRAIVFSGSGEKGFSVGIDLKWVSNKDSPFMARPDEIVDPGRRAVTTLRR FGVEFQECISSIERCEKPVICAMHGYALGMAMDVCSAADMRICSKDTVFCVKEVDIGI ASDIGILARLPKVVGSYTWVKDVAMSGRNFNADEALRVGFVSTVLPTKNDVIGEAFRV ARNLSEKSPVAVQTIKHFLDYSRDRTVAEGLQYQLAYNVAAVQTKDVPVAISSILSKE KPIFGKL AFLA_009420 MQADTSSTLATIRRETSSSIVSALLDLQEHRKYDDRTYDWIKNL EVIYLNASGGGYKRRWDDEVVASNYGKRLLWRRRVDANDLSQKYIAVSYTWKPPPKQP SSHDAYLVQSREGTYADSNRVRDQVLDRVIAYANYRQARGTSVRGFWIDQECIDQENE AEKQRAVQSIEYVYSHSALPVALLSVRIESEDQLENLVYILRRKDPLRNEKRDLVRGA LNLLDYIISDPWWERGWTFQEDYCASTKMCLLIPHSSSLKVLKETNHQMFGKLEGELC ITSTDFRSQATKLCMEYRKSPEFKHTCERILDRASKYNVQLLELDNEGKRTIRQSMSP IIFSNVGKRGITFESDRLAVIANCLGYLVRFDTYEIERKGYSLSIAMLALFLLNGEIL MNGPDNSQSALRSNIFDYLRSQSLRTFQTPDINQKLTFIKSCRFADVKLSEEGILTSG HLWRLGKIVEDPRSTRPPPRGDDYQLNAYQRMRLGQLARHLGSGECGSCYDYIARAID EYLDQDERWGNRKSTFSKFYKDLMAEEIVKAMDDRRSPRLRLGSLIPQDYGGTDSYSG VFIREAGHQWTDETAYVLTAVCPTENRKDDIEKHVSLEVELLGSPKSRGPKRLVIKRW INGLFFFDRNSPITEVVFPWPESLLV AFLA_009430 MPLITDFKLPTSPKQLELPEGADAKAFIVFVTSDDPTTGQSWCP DVRAAWPVLEATFSGVNGPALRVVEVGQKPEWKDLNNVYRTNWKVPCIPALVRYERVN GETAETGKLVEGEILDKKRLGEFIGMTL AFLA_009440 MSFQRTITTAWSGTSAMSPKWCIWVWKQLGLAYGLKQAPDNVVG KGTYQQARKKLERKANKLDWRLPLSQLPGITWDGFQEAVKDGRQLIILSGMVYDIATF IPLHPGGVKILVSHIGKDEAFNGEIYSHSTAARNWLDEFRIGFLCGNGQKQSHVPIPA VVQDSKGKRL AFLA_009450 MNMTRIPQAITSKFQTSRASDEETSSVKIENCVGFTRVPLGVAG PLQVQGSDGTTGSFYGPLATCEATLIASCSRGCKALNMCQGVRFKILHDSMSRAPAFW FANTEDAVAFFDLVPSLQPKFKKDAESTSKHVRLRTVIPHIVGSSVHVRFEYLCGDAA GQNMVTIATQRVCDRFSASAEAHALRLQRITTENQMSSDKKLAWGNIIQTRGVRVLVW GSVSDDVSKRVLGCSTELLYQSILNSKEGAAMNGQLGYSVNPSNVIAAMFIACGQDAA SVAEAAWSQLTAEYDADTKLLRLISYIPSLPVGVVGGGTAYPTQRESLEILGCNVPGT KHRLAGLIASFSLALDISTLAAIATQTFSRSHEKLARGRWSPESKL AFLA_009460 MDILGRRQETQQWLRCDSRNELGMESRDMLVDIIDMVSKSHQCS STYAFASIPYDIKKALKCGTSAMNGYLHPPPQHLRCALSEIKSDPTLSRTPPLQAYLQ QIQKSTKHYHHPGHENDKLYASDYIHQDDNKACDSCDSEQQLPRTPRKSTDPVIQYGT IASGNQVIKDAEQRDKLARQYDILCFEIEAAGIVNTIPSLVIRGICDYADSLKNKIWQ RYAAATAAALAKFLLSRSAGTAGIASGLCEKACDLGPLKRKAAPEPEPSFGQKACDVA CDVACNSTVLALEQRKCLEKCKAKCDN AFLA_009470 MHTGRAYIVTGGSSGMGQAVVKKLLSLSATVHAIDEAGQMPIVS LPDSDRLHFHPNIDISSHEKVTQTFESIIQQSPVISGLVSCAGIILPSNILEPVENFN KVMAVNVGGTWNMGTTYLRYVLERHADTMRDKKEGSVPEGIGSMVNIGSTASLFGSPG IASYCASKHAVLGLTRTWAKDFGDKGVRVNCVAPGATDTPLLGGVPPAFVDYYVKNVP LRRLARPEELANTVAFLLSDDASYINGQVIPVEGGFH AFLA_009480 MPQLKVLICGAGIAGNALAFWLSKLGHETTVIERFPKIRASGLQ VDLRGPGIEVMRRMGLEEAFRARSVPEQGLQLVDDKGKSWGYFPANRSGRGLQSFTTD FEIMRGDLCQLLYDVTKDRVEYRFGVCVKKLAQTEDYVDVLFSDEGRERFDLVVGADG SGSHTRKMILDAGAKDPVHPLGVYAGYFTIQKSLQPGEGYNATAFIAPGNKGIMTRRA DPHKYQAYLFCNPNSSHRLNSATKGDIEDEKKGLAEAFCGAGWKTSEILKGLVDADDF YCERMGVVTMDYWSQDRIVLVGDAAYCPTAMTGMGTTCGMAGAYVLAGEIGKHCGKGF PGGIPVPKNSITVALAEYEGRLRPFINTVQKGLTDNENYMAKFPSSPLGVQMVYVLFW VASLLRLDFLAKWVLREDTKGWKLPEYKLMTDCACN AFLA_009490 MFQAESHSHDKIAEKVLECKQLARDVKKKRPSRGCLPANLYRSF PDRRVMDELIEIYFATFESCYRILYAPSFREDYRSYINHPESARGPLLLQLALLMALT GTLHRDVNIRSEMMSKASTWIHIAQTWLSAPLEKDRLTLEGIQLHCLLLLARQVSRIG ADLVWISAGSLVRIAMQMGLHQDPDCLEEMSITQKEIRRRLWYTILEINVQSALDSGM VPMITDIDYNTQPPSIAINGEAQDNTQVNTSNGMSFQHLLAKSLPLRLRATRVINNLQ DEPSYDEVLALGDELALECGKAAMAIEHDVSDTDTRSVSFASSFCNHLLRRFPLCLHY RYAVKAKKNPIYCNSQKACLSGAQSLLLLLEDDMYHRLLLTGGGMFRDLITWGAMFIF LELCPEPDADMSMFARKTNRARNQPLLQDARRVVQYARDRMWNGETNVKVYVCLSMMM AQAEARLGGLPVKDAITKALHESLGECHSLLKAMAADSSVSTTDPVLDSWASSGLMMP LFADLDADFDFLGDGNVDMSFLDTCFDLQ AFLA_009500 MSRGSSADSSEISIISRQRDARRFKSFAISSRVTGILEEMDSPS QPIRKRRRPAYSCTECRRRKVRCDRSQPCNQCTKQDVALSCTYEEHPRIRGTISKTRV FGHGHWMNTVSMVEASRVCHRCLIFC AFLA_009510 MVLVPYLKKIARWLAPPPPKSEDGRDQWPSRAAFLLAAMGGCAG QGNLLRYPSVVYNNYGLQWFIPYLLAVFLIAIPALILEISIGQAYRGGSVIAFNNINR RLKGVGLGPILVSFIVTQYFTVNLAWIMNYFRNSFYSPLPWEGRIEEFYMGDVIHNVD PVQGSLSEGNKDVVAFTKYPGLAVIGETVGWSAFIWFLIWVSIFRGVGLTGRVVYWTM GLPIVTTIIFVGRSLSLENASEGVRLLWATWRSSELASGTVWQTAVGQVFFSTGIGFG YFTSYASYNAKHSNAVMDAILICGSNVLFENFAAFAVFGVVGYLRRWPQEGERLGAFV VGFLTLPEAVLHMPGSNFWAILLFFTLVVLGFSSAFVMLDAVTTLAVDSGLKVSRPII VTTLTLISFLLCLPYCTEFGFYLLDGIDRWINNIALIFVVWSELVGATTVYRWTDVVG QTGLPAFVVYNFGYFGGQIVGISVAHGTENPSAGAGAGFGLYIVCLAVAVCIATTPEA EAARFWNRNAVLRRFWFLMFYSGNQLRRDLNQIIGGGRNWKIPVFWPVLLRYISAPVL AIIFSFAYPEFHTLRYDPMMIAGFILAHLCLLAMLLGLVLPRYYDCFIPPSRYGESTQ GTIPNEPRPQDLGQPAMTSFHGTEIEAVPAGSALGDIQSLEFKSHSGHVGMKL AFLA_009520 MSLQTPSPLLRLPSELRLEIYRHLFGIAPHTRLRVGEACLDSDL PHSTERSWRLSKAFEVYMHRPSPPNASEYAEMDYKHCSATEQSRRNIYREHRHLFLAI LATCKTIYEEAMPFFYSSTFFAVSGNIAKASNWLYAMSHERRKYIRRLSFHFWSKALS ECFSNQGNMEHLAKQLTYMDQIDVVELLITDTRTEDELLDFADAESQGIPQYAVSRRP CEPLVLFGVEQLEAVPNLGCLRIVGRIDRLLVYTEDKEYLKAFAEGRKRAGLGKENGH RPVVELVQL AFLA_009530 MTASKTGVDLSNPGDMTLKKQLDLLEASGAVKRFDSHPFVKNII DSRSLLTRKDIEVGPDHPTTTSQLRRAAAKNNTLVVGGAVAKNLSLLLAKDQDTVAEV PLRALPAVTKPTPKANSLLIAPVNADHLTLLLSPPSPTSYFQFTSHVVPDPVRASSFA DEATDADTSMPADIINGYSLSGDLENFWGIKGLTGKLYVYNGDTVREKVRFSDFAFDA EKNKPLSKLEHSPLAKFFPHIDLEPIKQLPINNVEFTYTEADNDFLYKKGLRLEGDVL CTGHLQPVADMLRRIYGDKDAPSKLHVSAHLSDERDWTKAPKITKLILSATLVDGKLK VWDFLAFDSVDVQILALQKDKQEKVKNDKDKDESNDAATEAKDEKQATEPESKAGKVV DKATEKVTDKATEKVSKATGIASKASDYKAQKKAEATSSQEVSKKATKESTEKGKEDA KDDKQGEGEAKEDDTSAIDEKADQKAPAKPKKTWEFGLCILGTGSLINLPKATKPLWV KYRMARDPQETTKALYKVHITAEDWKNAFGVKNLNLTELDFTASFEAGSFASTAQLNT TANVSFADIKVDLQGKLSRDDSYLVGQVGTFTYSQLLQAYAQIRGDQTPELSPLDAYG NDLKFDDVHLRLSRDMIEKALELSGKVTFNKHYSTNAAIKIGSAGLAIHGDVTDFKIE GTEITVKKAALDIEIGAKPPKIDKTNQGEKEEDEKEKEKESKAIEDAPKEKAANSKAK TPDSIDPSAENDKIEKKEEAINKNLRNRKSKFSVTGDIDYSGRNFRVGLFYGRQAQTK KREWLVYGIVDRFNLSELFHNVKDTDFDFTLKNVALVAASKGYVDNGEINIKGYTIKD GISLWAIVEPPMPIAKLNVNHERSLLALKLAVNYSQGIYTVGLEMPETFSVYSPKEHP KYGLEKFTAGVEVATDPKLTLGATLKIFMPDQEPLDVEIMVKGGMVNASASLRTTTPW INPFNVSKHIILEEIGGESGFDYATVAEQGPSDVAIIAKLQIEETKAGVAMKVSETDG EMLSVSVDKFNVCKIIRYAGQLTEIKELQKIGNGEDVFIINQGSLYISSGVRIGGKSY PRGISASASVTIFDQTGQFDARVDDSGFTGKGSIDRFKLGALEVSAASDVTKPATFDI AMTQDEQKIKVDGMIHYHDIKLLALVDADLQKLPPMFNAHLLLEFTGQYKIDFFFNAS LGSVKSLSEVNLDFSALIEGDLFDLICDGVNSFLDRTQTLVDQGFDSAKQKLENELSE KNKELKSLQEDLERRDQGMKEHEKKRQHDLEEAKRKVKENNDKLAALEKDVKDAKKNK EDAEKRYRNELKKQQEERNEIVARKRREYEEKLRKLENDERDYRTKKENLEATHRTNY GEKETALAWFKQHKEDTWNDFQKAQKTMHDWDDRWNNASWLDKSFDVNLKAGVNKAKW DLNVAAAAWVGVTKAEEGFVEFTHWPAYNALMQEINSAIKDLTKAVTAVQEFRQGGVD AFIFAVVNDEDRKVKEKEDQLNLLLDSNSKEQKAIRDAEGKLDANKSNILEIIQENDN KIRELEENNERKVLQQEYDNKRAECTKLENAVHTVEHVLNSLQADFDNGIIAIKGEVT AWRDFLPRITRIEAKASSNALKSNEPIFVIVTAVYKGITKTFGVQWTPNSKSKPYDLY KAIGDSAKGSFPVPAGSS AFLA_009540 MSIIPIPSSVGSERTDVNDEEILSTADSYSWVHYVQRSRRDRSL ILQSDTILSFFRSGTPTLPLSNTDPITARSGEQIVPLRPQPIHVRNRGPRRGRRSRLL TTDGTSDQVTEGSRRQRSIFPSRRVVTDKGRISHINRKKEIEQILGPLLAESTNNVLL LCWGDKDRCHIIPTSIPHEANEVNIWESIRAAWYARRGHWRTYIPLYGVQQVDIVEVT MAGYESVSLGGEISEVQYLGLYREAEPANKRSELEDNIANYKPQDFPCPYNPSTVDDM ECPENRLYESQRQLLDLIRRPLLTQAFTNENVANGSNLVKNEKLL AFLA_009550 MSDTVNPIIPGFAPDPSVVLVDGWFYLVNSSFHAFPGLPIYASQ DLVSWKQIGNAIHRQSQLSLAKSQANLYPVDDGKFLVGSGGLYAPTIRHHQGTFYVVC TNVIRAEGKNRDVTENFIVSTNDIWSGTWSNPVYFGFEGIDPSLLFDDDQKVYMQGSG GIGPGTTINLFEINLKTGARLSEEKIIWRGTGDIYPEGPHLYKHKGWYYLLIAEGGTH GGHMVTMARSQNVFGPYDSCPNNPVLTARDTQEYIQYTGHCELFKDEKAQWWGVCLGG RLDTQGRCPMGRETFLTRVDWDGEWPVFDQVELNPRGLLATRSSPRLTAEGGLDYLYI RDAIMSNYRLENDHSSLTLTASSVDLSHPADSPTFIGKRQRKLAGTSTVVLKGIEDSW SSAKIKAGLACYKEEHRFSRIYYDADQQAVVLELVNAAKKIVRTEKHTLGEAPCSLLF RIEYTEKQYSLLYSFEPHVGNDWTCLGTVDTLDITDPDFTGPIIGVYAVGQMEGVQVQ FDGLSVE AFLA_009560 MALRFRPPKPSQKILPVKPTKGHFLFAHQEFKRVPWWKRKNMRT LYIYIVILIMTNTANGFDGSMMNGLQTLSYWQEYFNYPHGSLLGLFNASMSLGSLLGL FVVPYMIDAWGRRLGCFVGCLIMLLAVGLQSGATGFGMFIAARLLIGFGDCLVLGSAP LLIAEIAHPQDRAVLVTLSGASYHSGAFIASWVTLGTLKIQSDWSWRLPSLLQAICTI VIVCGIWLMPESPRWLMSKGRHDEAMRNLVKYHGEGDPDDAFVHLECAEIKAAIDLDK EIDQTRWVDFLKTKGNRKRIGLITALGLFSQWSGNGLISYYLKQVMDSVGITKASTQL GINAGIKTEALVTNFTLAFFIDRLGRRPVYMVSTVGTCVVFNAWTIVSARYAITANQA LGYTFVVLTVLYGVFYDIKSGLMATYTTEILPYGLRAKGFTWLNFCVTAALFFNQYIN AIALDAIGWKYYIVYCVFLGMEVFVIYTFLIETRYTPMEEIAKYFDGDDAVDVGEIAV ADMKEQARESEGKAAAVHVEVKE AFLA_009570 MSPITYNHLADCDPVPDKRPHSPAPATVSESESVQETQTPAAWG IGWRCPALMVGLVISGAMLSVGHHYYYKSFDGGRVASREEQTWAIRIGTGFAFSIKSC LVSAVGLAAVQETWATLRRKSVRLSGIDSMFAIRDSPLAFLTLDLWIYAKTLTVLGIV SWLIPLTAIVTPATLTVVTYPARDKIERLVPNVNFDPSFWRNEAQFDEMWHITSPSGN MARLFTTMASSTQVLPVPAPFSNSSYELSFWGPSYKCQRLGEALMEIDGVTQKLWDSE FPDPQFQTTRIYMGTAPSNLNNTIFISAAGSNPLWNDNATQPTELVCQLWNTSYVVDM RFTNGIQTLTPISVDHVAYANWSEGAASSSAAPSYSGLDPTVNAGFYIMHMILSGLLQ GELVQSKRAGVLENKTVASTTTYTDTSLAYTGLFNCPELWNTSSYYYSHGNDSTSFCR NGTLAQAIQDLGHNFTYSLLSLNGGNTTVEVMDLTYRNYYFYGPTSLIGAYMSALAVT IACVVVGFCSLRRNGVPQNNSFSSFLMTTRNPELDRLAVGHCLGSEPLKGNIDKVRLQ FGEVEGANLQHRHAAFGSKGSVTTLSKGEDYY AFLA_009580 MEGSLSEASYQRVRKLLLEMATLLYQMESALTRSTGASERLKTQ VKRIDEYMDKARHAGMHSGLAEIGQSQHFEGYVQPTAVQSADFDDPLACFELPSDLLD DWPWSSGAGSLGGMFPMALAKTDN AFLA_009590 MILHNKTSPSELSEVNVILGETFAAAVKEFCAQYNVDISSIDVI GSHGQTIWLLSMPEEGEVRSALTMAEGSFIASRTGITTVTDFRVSDQAAGRQGAPLIA FFDALILHHPTKLRACQNIGGIANVCFIPPDSLGGVDACYDFDTGPGNVFIDAVVRHY TNGEREYDKNGEMGARGTVDQDLVDEFLQTHPYFRLDPPKTTGREVFRDTLAFDLIHK AESKGLSPDDVVATVTRITAQSIVDHYRRYAPKGLPIDEIFMCGGGSYNPNITQYIQS QYPDTKILALDQAGIPASAKEAITFAWQGMEAIVGRSIPVPTRVETRQPYVLGKVSPG KNYRRVMRQGMRFGGDRDELSPVKEVVNYVNGKEWNNKW AFLA_009600 MFTTMGYATFYRVLSPRQLNVMIQAFSLVCIFFEGYDQGVMGGV NSSPRYVTEVGIGEPDGTVTNTTHQGGIVSIYYLGCIFGCFGGGWLADRLGRINGLLI GAFFALVGGALQAAAQSSDFMLVARVVTGTLIRLALTGIAPVLVSETSTADHRGAFLG YVFIANYLGISVAYWLAFGLAFINRGYSDIRWRFLLAFQCVPALILLLFIKMLPDSPR FLASVGRYSEAQEVLNRIRCHKASQSEIDLEYKNIIATVEEGKSSSPVQFAKILIGKG GKPGANLGRRAWLCVWLQIMASWTGITAVTAYSPVLLRQAGYSELTQNGLAGGLNTIG ILGTIISAQIVDRIGRRKCLILGSVMLFIVELVVSARARTRSVYEASLHRPDKADQLA PAAVAMLFLFNLAYAATWGTVAFLIPTEIFPSNLRAQGNGFGITGWAIGVGMTTLVNP IMFGSIGSRSYFLLAGLNLLWVPVIYLFYPETRNRTLESIEYLFSTSRPFHWDMERAY RLCKERKDQEHIDEQAIKASSQDEVQQEFYESIPQ AFLA_009610 MLSKRGETYAEAGLANGYLGHLKAPFDKENKEGVFLMQDVILHY IRTRAASGLDRTRLTYREGPFGSRRLREAMAKLITTYFYPASPITSDNILFTSGVTNL NAVCAPCLTDPKDGILLGQPIYGSFD AFLA_009620 MSKDFAAAGLRLGCLISQNQKLLQGIFLWVDLSRCLNPTLIADQ GGWAAELDLSSRLLQIGVRMSSGYAYHNEVPGWFRITFSVEMETLEEGLSRYVPLYGV LVNE AFLA_009630 MGKDSDVHIRGRKYTREEIEKEIARHVPLGREWCSSDDVLPDYI TICTPRIEPTGALGIRRDFLLRDLPWYQCNQEIHTLVSGLLRRHFAPSSPGTSLEISV RELAPQSDSDLPQLHVGPDSRLGTKNDLNIYLPPEDLEIGNSSTTNLRALQGKSPLSI LKVFLQRFAFLSANNRLDQWQFNEVFSWIVEKGGANILLFLCRLKSPLMKVFARKVFC SAVMFGDISLGRKVLQCGVRLQTDDPSQRSRLTDYLSTAIHGRHKAMVELLCKAGVRP EVKNRWSWRDDWDLQLPILHTLLAFGADPERFFTEEKTGFPLINAALNGSLRAVQLLL NRGARVNLYLAQYYGTALQAAASQGHVEVARYLIQHGADTNGPCVMQIHLTNYFYFSD ELIPLLTPVQIAAKINNLGLVQILLQHGASAMACPVSAYFDFKLYFSHRAEEDWNDTQ RYTPRYDSKHKVYTALQYGALNQNMEIIALLLSTGVAPDSRVAPYVDDTPLQMSTRLG NVEMFRLLWSWGADLNAPPASRNGRTAVQGAAESGSLMILLMLRHAGAQINEPAGAKQ GMTALQAACLNGNSLIAGVLLAHGADLNLGPSSVEGLTAIQAAAAHGDIRLVRDLITL GAQVNAPASEGGRTALLAAIEHESLPLLELLVQHGADVNATGGYGFLSPLSEAASHDW LKGVHFLLEHGANVDATPSDPDENELYALWEVLSPLGWAIRNASVEMIDLLLQHDADV LGTVIFYYSNSRSALIHAIHEGANFEVIELLLAKVPDLQKHPGWENALKVALVDSIEV GTIYRQRILEKINLLPPLLRQRAIQKAWDALPTNYDGLDDTEETLVETIELLIESGVP LDSRADDGSTLVLRTARYGYDKSCASLIGHGAAVNIYPTKYSGTPLQESIRSTHVNIA NVLLEHGADINALPAENRGVTALQAASINGMFELAVRLLERGADVSAPAAPRNGRTAI DGAAERGHFDMVQLLLNAYGEDADLEPVRRQAAGYAEEEGHFEISQWLRGYSAG AFLA_009640 MTPFLGAKIRSFLRLFRRKSKPIPPPTQQEVQDIYIPPPPPQEL QDIFIPPLLNLPLDILFEIFPLLPLPSQVCLALSCKPLYRLFSSTLQDEQLAWPRLLA CKTFRISDTLSSNEAPLISPRTQVLLQLQDDWWLYYTLSQRIREAFQPLIIDDRSSLL HQCSIPSIPEVSVDLEIVVALNDRKRLEALTRYRVRMSSYQPRPRGVIPWFSGPHDAT ERIYLCPHIDLLPFVRDSYYWPQIECWSCETSVCVDSSEDEGLHVVLLSVRNLGEPHY REGLHLPWEKIARENSHDITGAMIADMANSHRCLAIPSRLGM AFLA_009650 MVDWANVRWWVSLVTSNLLGGVSHAKDWLKHLAPVLWPKDKAKV NSEPEAELRALRTDLLQETQGLLTVAHDLISQAEKRDASSVGWEARLNKRDAGLDELA GRLNKQADYLTKLEARLDLWEKDLRAREDALRGVEEGCSALEGTLRGLFYDIRGVLRP PKDGR AFLA_009660 MKGQVSAYSSRPHLDIEQEESTRSCTFTLFYNDLSKNASNLLPI EAKRRDEFKRGRRWSEELDTLLGMEGTLEYSVYCRMATRFTFTNYLTI AFLA_009670 MTFESAGVKFIFGGASFLDERNSPVDQVEDILQVVQHGGIISID TASIYGSSEELLGKANASSCFSIDTKYPGGMCPDVSSKEAVISSAEESLRKLRTTQVD VYYLHAPDRRSPLKEVLSGIDALYKAGKFKRFGLSNFLANEVNEVIRIARENNYVLPT VYQGNYSAIARRAETELFPVIRENRLAFYAYSPIAGGFLTKTVDQIIQGKGRWDPSSA LGGLYHMMYNKDSMLQGLRLWEEISKQSRIPKAELAYRWVVYHSMLNGINGDGVIVGS RNAEQLKETLAGLAKGPLLPDVVQRIEQVWEIVEGVAPLDNFNTSSAV AFLA_009680 MDFHHLKEIYESERLKRLRLDGPMQYVDLHDEQFAHLNKDCWIN YDDIANKEPDLKDKDEIKFLVIGGGHAGLSFAYRVFETGVLGRDICVVDVAGGVGGTW YWNRYPGLMCDVEGYIYLPLLEETGYMPKHRYSYGTEIRQQSERIASHIGCRSMFSTT VKRMDWDEGSARWIVIMSQNRGPEQGITNFTVKAQFVFASKGPFIVPRLPRAPGFDAF ERNNHVFHTSRWDYKCTGGSPEVQDLTLLRDKTVAVVGTGATGVQVIPEVAKWAKHLY VVQRTPSFVGPRNQKETDLNEWAQITSHPGWQHRRRKNFNHFITNDPLPVDLVNDGWT ETRAFAGVIGGPKIVTQDTLPQHLESMFELDIPRAEIIRAFIDSQVENDKIAEKLKPW YSGWCKRPTFHDHYLATFNRPNVTLLDTNGKGLEEYTSSGIVVGGVEYKVDILILATG FSSSRSKDRGLEDALGGPVVGRNGRSLGEKWASPQVGGLYGIMTEGFPNLFFPGGAAS PNLSSSYDITARFSAYLIKQAMEKMSNCSTILIEPTLGGEEHYTKEVVKRALYFAVLK TCTPGYFTHDGAIALPKSAEETARDLRKAPWGEGIVKYQHMVESLQVEGRTDGFNISS A AFLA_009690 MKAMRRTHRKSRLGCSECKRLRIKCSEAKPICTSCQRRKVACVY PAASVESTNASRQCLLRDTANPESQTRTMHPCKSKMPIVAPTSLQTPPMLFDMVDMAL LHHWTLQTSPETFKDASVDHVWQKTVPRIAVEHPFVMHSLLCLAALHKASLEARCQAE LTLVAASHYDKAIGWFREALTCIDQRNCHAVFAMAIMNTFYVFKTSGGAVGDIVSTAT SRRPGFLSIDWVFVARGIGTVLESTLESVQTGPLSSLFSIGNWETVDPAFESSSADTA LLSLRQIWRGESDEAVYDQTLLELRRALAHRTDMRYWSGPFKWLHVIPSMYLLRLNQR QPTALVIFAYFGALVHNLDSLWWAKGCGKRIVQEVVEVIGPYWDNFLEWPQLEVGLQT SHDATFSQGG AFLA_009700 MANQATVLDQPHGPLVIKDIPVPQCHPTEVLVKVRAVATNAADW KIYEGHFPQVLPVLLGCDVAGEVAEVGRSVVGLNVGDRVCSRVFQVKCLLTCRQVAGF TQQQLVGMESMMAIGSVASDLRHGGYQKFVPMLPRMIFKIPESVPNEIATTFGCSFFT AAAGVFKSLGFPFPIPEVASVEKVLVWGAASAVGAFAVQLLKASHMEVIAVCSAKNFD YIRNLGASHVIDYHGTDVAAQLREQRIRVKKAFDSISSLETCNACLDIVGEGGTVADV QFLEALRRPGIGMEHINVVDILGEAVSFSHRKEGATNDTKNSQQAPLLSPLVNDWVPN GLVAGILKGVQYQIYSGGLNSIDQAIRDHRDGKIAGKAVITGI AFLA_009710 MVSYDPPYGFPLRRNGSCLTSETSCGKTWGDFYACCPGDSICPG ATQSIQNNVCCPTESDCTAPLKATPHCANETGIMYNHTGYFCCLPWQTGFWTDDPDNA VGCSDGSPTARGETILVTKTQSFESTSSTASATTTTSTGSTSTSSIPAATTTSDSDSS NTSHSSNHAGAIAGGVVGGVAGVALIVALLWYFFMRGRKQSQPPAGEGAMPVTSSPQP PLKPLTELEAPKRQYELESRMDQPIHELPTNRY AFLA_009720 MAFYGRFKSLLVHYIDKPNLPTVVALFLCGACLVQYGKQSAGWV FCGMAYRMIFDLGYHLEDRKPSHCGDDMILSPLEREIRRRVYWGAYATDKSQSLYFSQ NPALYLSQANVPREFLDSFEELEEWKPYVDPNVELCNAKAPMYRGRPSFALSTTWGQI VA AFLA_009730 MDKCIEAAFNIRALAETYQRSFTLRRAQIGISYAIQGRDDYTEA CRFFWSALLEYQKGCGSGLKKPLALLKSLMLRVAKLAPCITDNTSDAVPGRLFMLPTS VLTSCREPSHSGKTAWRMYVNLMSMMGPNIRFLMMILYLVSSPTEQLFPRAALSLKYT FRWGLKGSG AFLA_009740 MKFSTILVATTALLTGANAVPRLVEVHSTDVCWRVCFPEKPHCP EGWHDKKFGECYSCCKSYEDDEAVASKLGMFW AFLA_009750 MLEHAGVPKSDVAKWVGFTTTITATCAGLMGVIWGMASDSMGRK RVILLELNLMLVFVFLFGFSQHLALLVLFRALIGLVSGSVGIMRTMIAELVPEKLLQP YAFSILPTVETIGSGFGPAIGGLLARPAEHYPGIFGRIGLFKMFPFALSSVASSCVVA FAITMASSSLRETQPGRKDSPDDGLMIGKILSSVWALRKRKADIRPEVVDETTALLGD TIEDVEEVLEQRAAELVGRWKSVISPQPILLVLISGVMSMHTVAFDSLFPVLLHLPKQ HLKGNPDVHLPFKFSSGLGLETNEMGLFYSIVGVSSMVVQLVIFPWAARKYGILQCLK LACTVFPIMYLVVPFVPLLPQPLSSVAVVAVLVLKMAGAAFVFPCCTILISEVAGAIG MLATVNGIATSIIAFGQALGPGVMGPTFSFGVKLGYTILPWWLLAGFAFLSSLPVAWI KEVDIKLPEEGLLPNEEEQQVGDGENDMEARGRQPTLI AFLA_009760 MLLSGGLIEVVKVPEGGGNETVQVIHQSVTEFLRARGLAYLATL AETDKKAICVMPDTEPPGNNNIHNCPAPFDGSCLNHLVTEVPDESSFRLGIPGKQLCS HSIDNSVMP AFLA_009770 MADPLEKEKRLAAILSLDGILQDALVNIAQSMRDILQLVASLIH RFTVSSLAFNQGHDKMHPLEEPTALCNDIRL AFLA_009780 MVKNGFNHGYAYRAMSPIFLPYPVPFCIMNHDRITVVYRPEVFP GPFHFLEKLMSNMSRVDIAAVPLANQEGGRNWL AFLA_009790 MGSELGITPETKPQAVYTPVSIWWACWAGVWTTAVALGMIYLIA NRNMPTLRIRGIGMSLSAIVLLHLYWASVQFGVMIGPIMPGDAQYWIMGTYLGCGIAL FHASNTRFLHVAKHQRKFAHHNSRISESVPDEKPKGGLFARFRRLDYTKRILILVAIA MSFQIFLTILLWVISRKWHSSWGIPGTEVTGTPSEQAAKQGTGWEWWPTAFFQFFWAW IVAPFVLWKARHIHDTQGWRVQTIGCAIANLHATPMWLIALYVPAMQVVNQYWIPPQW ICLSIWIMEIFTVFLPCWEVMRHHALRQETFNAIEQWESKMRKSGSEARSLNSIPTLV DSMMSGWKSHNGSVDTTGSRDSILTMGALEHVLERNPAPLQKFSALNDFSGENVAFLT SVAEWKNSLPKALRENTDPMDNNMKELLHERFNRALHIYVKFISVSQAEFPVNISSQD LRKLENIFEGPARSLYGEKRAAVDPVTPFDTPSFPMKSLSSPSFGNGSQVELHPVSSD DRVQFWGEVPEAFGPTVFNDAEKSIKYLVLTNTWPKFVKSRRSSDPIKEEAV AFLA_009800 MSQKTFDRAETVELLSIPRDEEPLGPNSSSEDGTDLSDRTGVQS TRKHRKKRKTENWKRSLYLGSLSSIIVLLFNVSFVAWAVSHHDLTEDRGVLYTGDCTK TKRMSTGIHLVINILSTALLCASSYTMQCLCAPTRAEIDRAHQKNQWLDIGVPSMRNC YNSTIFSTITNLEYEIFAGNKPFSDFNANNVRPPHDFIDKNNTMYTNPYFSFSRMLDK GLHNELYRLENADCMSAYATNFQSEYGSVLLLTDDFHPNDTDFDFLSIQGASTPVKGN NPYAWMCCNQTVYACDMQTLCRDQLPEIRTHVDNWIVGGYRVNYCLAEKVPGNCKLEY SLPLAIIVIVFNTVKAIIICAVALTMTDLPILTTGDALASFLKTPEIRDRDHCLMTKA LAKNPPSKPLPYKAKPQRWATAVSTVRWIICIIWQPLVRPRYHKHRNPNQRKHLAPFP PHQHHHSKHTPNYLLHALLRLQQRPHNHDPRRGMEQLRPPPKRSPRLLNPTGLPTYDL FPLFALYIRYPVVDILDRVTLAHIPEFIPRQCGNI AFLA_009810 MKREPVPPPNEAAPPASNRNQPVGNSDAPPQLPRAKLFGNCRSN GRDARPTEVVLDNCLGWDTVSEALVALPNGQGLARGGCWGCEYVKISQDRYRIDCWCD NVARRPLEYVARGSQRRAAKVTFNQDGVFRLVNGQLACPAQRS AFLA_009820 MRVLSITYVFAVLQVVKGIPLGRQEKVGCASLAGAQIDNAAHIH HAQNVPIGGLTLSSNGSPVRNELPLCHVQGTINYMAGGDATPDPRGNNTLTWELFLPD QYHYNGRFLAVGNGGFAGDIDNSTMLTNLNSGFAVAGCDSGHPLLESEASGPNDSVPF LDDIAKVKAWIHNSIAMTTNVTRSITANYYAEQPAYSYFWGCSTGGAQGYALAQYHPT LFDGIYAGSPGNWYSHLILSFLWNGLHATGEGFMSQDALNLITKRTVAACDELDGVKD GLIENPLRCDFDIRTLECQPGQTAISNNKTVCLTPAQIQSTLQIYAGPKDQRTGKQIY PGFDLGSENGWLAQETYLYSSYAAPILRQLVFKDKTYNVTFFNWGSDVDHLDHTASPA IDEISPDLSAFSQRGSKLITLQGWADQYNAATWPIEHLKQIQSTMKGQDVSDFIRLFM VPGGGHCGANSAYTHVPATYHALDALLPWVEDGIQPGEVMSSAPPDGSNTTRKLCVWP KEARFLNGSPGDWQSYTCV AFLA_009830 MLDTRPIRIGNVSGATGDHPQAMLRMAQHGNVDVIVGDWLSEMN IAWNAITKSQDPDLGYEPGFLAQLSDCLDIVVAKDIKVVANAGALNTSSLMQKVCALC KERGHSKVMVASVLGDDISEPIQKKEAGFQDLHHLDHDEWKLKDWPLKPHCAVAYIGA WGIVEALNAGADIVICGRVTDASPVIGAAAWWYRWQRDAWDELAGALVAGHLIECGPY VTGANFSGFKPLLPNLVDLSFPIAEISPDGSCIITKCEAYGGAVTKFNTIAQLLYELQ GELYLNPDVAADLRNISVDEIGPDRVKVYGAIGQPPPPTTKAMIAAPGGYQAEAIFYI NGLDVNEKAEMLRNQLDHALRGNGFCKLSIELYGSPAINPSSQQAGTVFLRVFTQARN IEDISAERFKTLIYALRMQSYPGYHMNLDFRMMDAKPFMEVFPAVIPLDLIHHRIILS NGIQQGAPSAPVTRIYPIQRPSYETKIPIPLSQFGAKEAAPLGSIVHARSGDKGDNFN VGFFVRNSDEYPWLQSFLTVDRFKELLGDDWPKRENEPRAERCEFQNLLAVHFRVLDF LDGGIASSSRIDGLGKGIGEYLRSRVVDIPKKFLERGWI AFLA_009840 MHACDRCHRRKSKCDKVLPACGPCQKAGVACKYVDRTKGHQQLL EKLQRRLKHVEATNRALAAKLASHASPAAQSEANEYGNEVDSEMPALDDALREATARR QEIERDDNEVIEEVTFLSSGAGGEQHFLGSASGVFLASLVSATMVSSRSQGTHEGDSR GRSSRFQPVSPLTTASSEAPALPAEQVARNLHRAYFEHDHLCYPFLHRETALCALDQA YQDPSFLEQNAFASFAFDMILAIATASVHKFNIEALPDAEAYQIRATQRLNEVMRDGG VQALQALLLLCQYRMTNSIQDTSTMTKLHMQPYSARFVADASGVLLRWIALGSSPHQD AGVLQTIFVAAKQSITLYSHLHRSRRINYTWITLHSVFMAGLSYVYAVGRHFRARKRR APSSTSSLLQSDPTIIEIVHDSRACSNVLVGISERWNVTKHCHDVFNRLTDAMLADAI EYHSQTTGSASAQHKSAALGPDEPLLSVDNTAVSATPGIAPVASWDISDPSSNSLGVD SVLRECFDDLRRFQMLEGHGDDPVGRLFHDWLGEIGDIDMNLPPMW AFLA_009850 MRVPNAPDSRFDPRDMAAQDEKPHDHEVEDVENGIHDTSNSPEA APAYDTAAEKALVWKQDLRIVPLSAFIYLLCYLDRSNIGKNMKSTPIEKPS AFLA_009860 MSNYQYTIALMVFLVAYALFEVPSNYLLKKLRPSRWIAFLMFAW GGITMGLGGSRNFADVTGIRFLLGVIEAGLFPGLVYYLTFWYRVSERSIRVALILASA TLAGAFGGAIAYGVGYLNRSHGLSAWRWLFIIEGAPSCASAILVWFLLPDYPETAGWL NAAELNLAKHRLRLEGSKSSAKTMSWSDAKTVLTDWRLYGHYAIYFGISTPFSSLSLF TPSITAGLGYENLEAQLMTVPPYAVAYVVTVAVSWSADHFNADILMHRCCGLLGLCRL TCGCVSGKDNSMRRKAKLLTVSQHRYGCLIVAASGAFSCIPPLLGWLSSNLHSTAGAG LAIALNISFGAPGQIVGVWIYKANEATRGYPTGHWTNASLLFFVCVSCVALHIYYVVL NRKLRRSGRPKSYVY AFLA_009870 MHFFTILATLSGTAIAFPAWGRFVNGTANGEADIHKTTVGDNNI DKSRNSAAVSYDDHSPSMDLKDSNNEDNSKRPSLGSLLEKANVTVGPLTGDSPLVSGP LISLPSPLL AFLA_009880 MGFTSIIARLLLGTVAILSFVGAINEQDFAPDDIVIRDICILGG GSTGTYAAISLKDKAKSVVVVERHKILGGHTETLYLGNNQYIDYGVEGVFNDELSRKY LKRLAVDYKPLLPSTQTTEYVNFRTGKKVPPPSGIPSVVEAAKVYRAAIEKFSYLKDG LYNLPDPVPEELLQPFGDFVKKTGIEGSLQVVKIFTHGVGNILQAPLLRVLQLCGLPQ VDSLLQGGYITPKNGMYEVYNRASEILGSDIFYETSVIETERSESGINIFVQSANGIR KLIKAKQLLVTFVPIIESLNGFDLVRPESSLFQKWNWVNYYVAVVKNTGMPNATTLVN ADPDNTPGNLPLPPFQLELQYPGVDGYLVSKIIGNSTFTAQQAKDLILSDLRRMGTEG TFPGGDPEIVVFGDHTPTTVSVSNIDVRDGFYRKLSALQGTKNTFYTGLAFCSDYSSL LWAYTETVIGEMVASSASTCSLCVQGYD AFLA_009890 MYPHGVMSRKCSEKRGKESCKAGKAMGYTVSRQQAKAFSSFVDP SALGIVFMAVAPHHQRQGVGSTLLKMLCDYVDEREY AFLA_009900 MAQQQPSRRVLDWIKQQHAEAGDASRRTRKLKARPRNSLEDILQ NDPAASGRTPQQIPLPGSRDGSATASDQRERGRNAAKSSLELIEHEDTFILPSSVPLP PSLPPSPKLPPVLEQATENPPKKRQTYAEILDRAGGVFDHIKNGNVRKVPRVERTSIT LYEYYSDNTASSVEIEDPEFISNYSDAPQGLSGRVFIVEDLSERTIHALGEAFGVTPE FFEEHLLNSGYGGAQYDDPPARSWKTAGLNKSLKAETNIFRPEWDLRVDPRRTAKETR EFGLVERASIWKKKAKNRDYEIVIVLLDPLPAISYSHDQTQVIPKIDVNSDSDHDYGD ASDSDGAGTRPILIIEGDDGVEALAIPIVNPRRERTGRRVFDWLLRRKRPEVHRTKVN LERTIQVLVKQMASRKPVTIDLEEAIVTGDLLATLQDELNETRSTQQEIHHMVEKKTA PVSLLDTLFEIIRQDTLTLLRVLNEILSDMEVDILDDTKMEDRLTLWRQLLTKAEREL LDLIASIKEFLAFFGITHPANTSPAASDESSDILRSVSDLFDQINQMLARLRRASSSL TSNMGLLDSRRSIDEAHAVTRLTELAFIFIPLSFSTSVFGMQIEPFEDSAPVWKFFVV ASTVTTFAYLMRLTMRSQWLASLKQNVKQDVRRYAEQHSIPVQVRSLSMLLLLRWFGS VLNRSGKATWSWINKTSRKAGTELWQVIGFPVGLILITGIVAVAPIAVLWTRNMDQGV QGTVTAAIMLAILGLVGIPYWRNSDPDFRYALPRVILRLFERIPPTTRLILIWALGIM AFVAIPLALIWTRPLASGIKAGLTAAIVVILVTGVGFLVMQLLYSRALNISRGTTISS SEGTSVSAEPVVQDLRI AFLA_009910 MGIRSSLTRFVPFIAYHHILMGVCTVAIILLSVLLAGCTSSNGM NNIYLVSLKYRNASTSTPSDPSLINPSIAEKVYNLSQPRNTTIQEVRAGYMGLCLTRS DGAQFCSSNAAALASMVKDQGLQGSNDTADPLNLIWIAKNFKETIVFDGLIFIAVAVA FICFLALATFPGWHEEVDEDGSEREVKPFPSRRVSQAALGMSTLGFIFALISILWQHI NSSAAGTMSESLTYGAIESHVGTAAMVLGWAAVVCLGVVALALLVMIMSISLLRQLTE E AFLA_009920 MEQVDNNGTLHNSPCAGPQDLNGSVFVVHPRYRIGILTRQMLGA RSNRGNITSSPDSSATFYI AFLA_009930 MSFFSSVLAAVGGILYKITGNQHAFSLDDPNISYPLYSDTFSIT VVGILALAVPAVIIMVLSLLIPTSTITASGHGTRGLSPTKSRLWEWHTGWLGLCLSLA GAFFVVSGLKDIVGKPRPDFLARCNPDLSNISAHLAGGLAAVLVRASICQNTDAAVIK DGFAAFPSGHSSFAWAGLLYLSLWLCAKFAIIPPSHPAPPLYLLLIATIPVGLALCIC ATRYSDYMHAGWDIMSATIIGILFACLSFRWYHTFPSTRLISPTEDGGGWAFPPRSRS HALWVAYGSRGYAENDNISGYEDLELGPVGH AFLA_009940 MGFPCLLQTEQCTAAKRLQSQHRVTTDQRLVGHRMSGCSGLCVL TCSQGCVGPLLPWNNGENRVVFASLCHSLRIINIAVFRALTARRSLRYLRTFLTG AFLA_009950 MPAYTYRPLSEPTIIRILQVLPQSKETDRVECCLAEYSLADTGK AHHYEALSYVWGNQDDPESILVDGDDFQVGRNLHTALLHIRDDQIVRHLWVDAICINQ NNEDEKARQIPLMRDIYGHAHRVIVWLGNVADNSDLVFETIRLAGERALAGNTCPQEV TPESEFHQAACLELLNRDWFRRIWVLQEVGVARDISIMCGAAEIHGYIFCLGLRRLKL DLDGQVYSALSLMSGAIARPRYTPSTRGELSMAELVDMYHTRAATQLHDKIYALLGLT CDDRKAAALIPDYTAPWDKVLQRFVTYVLPSSFRVQTLPDPESVFLTGKGYTIGQISS IEGYTSSVRQSFTITFVYTSCAAHFKEKWGSRWVIGPSAKPVRQGDVLILLEGASKPS VIRLYEGYPVIIVLAVTSQQTEDTHQHISDDGTRLQPTRARHIIPRWRGESPQTEITM SLETGSRDISLIWSWKSCISIVGKGGEYECPLQSILFQAPVERKDDIRSIVEDLVVER IAKTDWEAVNLILDQVGITLPVTAKVLCAAATSTEESHFTYMIKAWGENGLPISSQVL EAAARNFYLTGLQLMQHHRRDMTQYTTDRVLQAALTNPSDFAYYMVRILCGESGENVP ITEDVLKAAAARSRGPARDIMLFLCKHGGENLPITEDVLKVAVSHASPANLAMVIILC EYAGGNPPITEDVLKVASGLDFFGGAAILIALCELAGGDPPITEDVLKAVAERTERNG DIFMKILFHYGNENLRITEAVLCEVVGNASPSAPRLMQWLCQSSGKHLPITEAVVWRA LTNKGGGRRSRRSTLFDRKVFDPYYGKRTMIEFLCHYGRADVPITDEMIAYEIDDDPL TSHILRDHARKIRSGSWGVREAVRKFRDPGKVFCQMVRSAKESLGVNKDKRIYEKNIV WIQESLRDVGAETKFKSRRWLELK AFLA_009960 MEILDRVEDTFLQDNLSPTIAEHATQCNSLFHKHMAVPDLVPDP TIMDDQLARFKLWASNMDVYGTPDVSLDYRLRSSATVLEIIHQLLDIICDTLTSFTRR PNDDASDSDSDMDPAEDNISEITDTIGGTVIRLFRLSNAVRKSAKAYRAHENGRYRDG EQANKAMAESRLHTEC AFLA_009970 MVVRQQVYPKWLSTSESRLSGDVYQPDLSAQRVLQCVHSSDRSS APTTRRNYVVAVPGRASISQCLVYGLRYVWTLLLLCGDLFGPERTLPSDATPLASFRT VLAWNGSTWYCHCGNGHIRFRHLLWILL AFLA_009980 MEIQDIWTVNPTRSVSILSKKLDEAFAGRLERGGKRPLAWALYD TLKIELCIGIICQILSMCLLVLAPFVVRRLIEFAMDAYTSQHNNLPGPSLGKGMGLVI GLVTMQLVQSLSSNQAFYQSLIAGGELKAVLTPNLFSKAMRLSGHARAGNGTGYSDGR ITTLMAVDLSRLEKGCASLHILCATPIALIVALVTLLVNIGYSALAGYAFLVAITCLL TFAVRSIIVRRRAINTITDKRVSLTQEILQNVRFIKFFAWENSFLERLRVTRKLEIDS LRRFLATRHSITVSFTSMANFASLLSFMTYVLSGHTLSSDRIFASLAVFNAIRLPLSM MNVVVTSTTDAVTSLNRLQEFLLAEEREDFITWDRNMENAFEFKKASFTWESVPDIEA ETPGVDSVPVSASAPPVSSWDGATKPASESKDNRPFRLMDIDFQAAPGELIAVIGTIG SGKSSLLGALAGEMRLTAGSVRMRTAPAFCPQYAWIQNTTIRNNILFGQEYDDARYDQ VIDACALRADLATFADGDQTEIGERGITLSGGQRQRLNIARAIYSNCDIILLDDPLSA VDANVGLHIMKQAICGLLRDRCRILATHQLHILAHCDRIIVMEAGRVVDIGTFDHLVQ RNEVLQSLVSVNHQEKEETPSSPSIADAVQVEKACPESKLKNRNAAPLMKDEERARHA RRRDIWRAYAVSSGSMANIFIVFALAILSAGGAILGGLWLSFWASNKFPQLSLGQYLG IYAGITAGQAAILYLFSVCVTAFAANASKVMLEDAMYRLLRAPTSFFDTTPLGRIINR FSKDVQVLDSELGEALRLFLYLFLMVVAIMILVIVYFHYFAIAVGPLVAIVILITIYH RASAQSLKRHEAVLRSVVFARFNEAITGIACIRAYNMEVYFRQNIGQAIDSSNAAYFL IFANQRWLSVRLDLVCNTLLLVTGVLVVTSRFNVSPSISGLILSYMLSISQTLQFSIR QYTELEQHINSAERLHHYGTSLEEEEEKTAPLHRVEVSSTWPAQGQITFQNVQMRYRE GLPLVLKGLTMSIQSGERIGVVGRTGAGKSSIVSALFRLTELSGGNIWIDGVNIASIA LHDLRPRLAIIPQDPTLFRGTIRSNLDPFNEYTDLELWSALRKAHLVDPPLESPSDGD KDARQVVNEQAAGPSQLHLDTRVDEAGLNLSLGQRQLMALARAIVRDSKIIICDEATS SLDYQTDQKVQETIAGMHGKTLFCIAHRPRTIIHYDRICVMDKGCIAELDTPVRLWEQ QGIFWEMCNQSGITREELERGPVGL AFLA_009990 MAVNFTQIPTIDLAHAQCPVTKASFLSDLRDAIVKVGFFYLKNH GVPNNVERNFVEQSVKFFDLPLEDRLEVEMLHSKHFLGYVREQNEKTAQRTDFRETFN LGMDLAAPGEEEPAYRNMSGPNLWPNETAIPGFRAAMEDYVKHIQTLGDDFKVLVAEA LDLEPTAFLRFFDEQPQDRLKLAKYPVPSSIADSEPSGSFQGIGPHKDSSFLTFLLQG TPHRGLEVQNKSGEWIPAPPVPGTLVVNIGRMFEALTGGVVTATTHRVSLEEKNFVDR DGRPLGPRFSFPMFQLLALDLSETR AFLA_010000 MAKAEPIAIVGRSCRFPGGSSTTAQLWKLLLEPQDLSSEPPSGR FNADAFYHNDPRRPGTTNAVKSYFLDEPIDRFDAPFFNISRTEAESIDPQQRLLLETV YEGLEAAGCRLEDLSGSSTGVFCGVMCDDYRSMVFRDLDTLPQYAATGTSQAIVANRI SYFFNLRGPSITVDTACSSSLVAVHLAARALADGDCHLAVAAGTNLILAPNVFLSESS LNMLSPTGHSRMWDANADGYARGEGVAAVVMKRLSDAIRDGDHIECVVRATNVNQDGR TPGITMPSPEAQKDLIMKTYSDAGLDPQNRPEDRCQYFEAHGTGTRAGDPQEARAIHG AFFGPSATASNDSSALLVGSVKTIIGHTEGAAGLAGLLKASLCIENATISPNMHFENL NPDIAPYAGSLRVPTEVLPWPTLSPGTPRRASVNSFGFGGTNAHIILESYDVGSESHS LKGPLAILPFVFSASSEWALGQLLHRYCTFLDENPDTDLTNLAWSLFARRSLLSHRVW FCASSGSELRDAIKQEICSRQSGTLETILGAPPCGPKRILGIFTGQGAQWAKMGIELI RACPEAGNWIAEMQKSLDELPDQYRDTGFSLMHELSLSPDTSRINQATVSQPLCTAIQ IVLIKILSTLGIKLSAVIGHSSGEIAAAHAAGFITASDAIRIAYFRGVFSRLARSRGG QAGAMAAAGLSHEEAESLCRESKWAGRVTIAAYNSPSSVTLSGDADGIHEFCSVMQDE GKFCRALKVDTAYHSNHMLACSAKYKKALEDCRITPTRNSAATKWFSSVLEGRIMSQG DRKLLSAEYWNENLVSPVRFNQAVVAVTRDTSFDLVIEIGPHPALKGPFQQSLSGPEI PYTSMLKRGSNDMLSVASMIGTCWAHLGPDSIHLAEHIKLCDSTREPRLLKHLPSYPF DHREEYWGVSRLTDVALNRKDAPDELLGILCPDSGEGEWRWRNHLRHEDIPWIHGHRV QSQIIFPISAYLAMLWKGARIITNAQPFRFVEISDVELLHTVVLDGHMEQSIETLFKV DVLTEDKNVVYLDFACYIEIEGFMRRCASGKASLHKGEQSSMLLPTQPFDNCSLTLTD SAPFYSTLAKLGYEVSEEFKVISKLHHHVPYTRGFLRNLEQTCPKTQVYYGAALDASI QTLLAGADAQSPRLLSVPFVPSKIRRIVLNPMSLHSHLLENAVVFDSILSNATSYKIE GDVDLFADGGQGIMQLEGVTLVPSREPDAGSHTLFSEVAWGHLVPHVTADNADLPIGV EDTDLEALERISLCYLAHAGRLVPQHERIHTSGQTAALLDWIDNVLEQASAGSHPTCR KEWMFDTIERIEALAPEGSSCRTYGILHTAGRNLLNGVRQQGEVEASCDLLVQWQKNS VDLHKLRCRMRAVLEQICFRYPHLNILEVGGAGYAEANDALVGMGLAFKSHVRTQPPS YCATAQSDVDNQHEQQIMHLDLSMNPVNQGYTEHSYDVVLIPSVLYNHTAREQALLNL RRLLKPGGYLLAIQETNPSVLHTVVLAASVGLKGEEIWTPDMWHSTLQDSGFSGVDTI TPAVGASLQPYSLIVSQAVDDTVNTLRDLLMSARTAADERDLYIIGGITPETVNLLQG LEAILTPRFRSLVKVPELKAFRVVGQTRINVLYLEGIGTAYSEDLDEDRYNAIENMVN MSDNILWVKRGTRSSIWNQGNVTAVLRSLSSQKQNLRLQCLVMSHGTQPTACSLATAL LRVTDTSVSNDHQLPTHVWSNEPHLLLEDGVVYIPRMRYSELMNQRATAYQQTTYQDV QLSKSGVRLVSSSGHHHLAVQPVVLEEPEKIRIQVTLSSQSAIKIGNAYLYLVVGKVL NGQSSVISLSDECASVVSVPSCWVWPFERIAQDGPKALMAVILALTAVAAVTLAGPNT TLVVHEPCNPLRALLLRALLWQESKTSSPRSPA AFLA_010010 MGLSKEDIGEVFAEAIIGGRVSSQGALNVIPAAAIDQGMKNSDV AFSQNPIFWSMIGPEATPSTPVEGQSRGQDLTLKEELIRSGREIDNLQKIIQRRFTAK LQKTLRLRKEDPILANTALFELGVDSLVATSLRSWFVNEMGIDMPIMNLLNNASIGSL VQYSAKEWLTQTAKQDEQDSPVSWAQGSSESSGSASPTTRSSGAASNLGDMPLCKGEY ARVEHLSYAQSRYWFLQQYYEDRTAFNVTLLFTMDGQPSEADLQSAIQIVSQRHQSLR TCYLSEGAEMKSACQAILPISPVTLEVCDIEDESGLFDEYARICDHPYDTEKGECIRF RLVKTPAADSTFLIIGYPHMCMDGASCFILLEELDQAYRKLSLPPVSRQYPDFAAAQR ISYEQGMLHRELDYWKKELNPLPEPVGLLPMTKVRSRPPLKEYDTHELQFCISNTIMD RIRSQCRRHRVTPFHFSLAVLRILLARLTKIQDCCIGVADSNRLDPSNESTVGFLLNL LPLRFRTLPDNFTDVLAGTRDACYGALTHSAMPFDKLLDELAVPRSNTHSPLFQVLMD WQPRLGGEVKLGEITSSCTKMTVGRTIYDLTLLVTESARGDVTIHFRTQKALYSKEGS EVLARSYINLLEAFTGDLDLQVHAPCIWHPSDLERAVEVGQGPTYKSQWPSTVSRRIE EVSVAQPSNTIAVMDTKGRSFTYRGMMDRVRTLAFALRDVGVGPGSFVCIFQHPTAEW VCCMLAIWRLNAVYVPLDLRNPPSRLMTVIQDCQPTAIFCNDETDPTVRDLGCPGIAL LNTSEICAYGGLPLTVHDLSSPDAPAAVLYTSGSTGKPKGILLRHSSIRNQVEGYTRR WELGPEVVLQQGAMTFNHSLDQILTGLCTAGRVVVATRDIRGDPVSLTKLIGDEQITY TKATPAEYSMWLRYGSASLRTATNWKHAFGGGEHLTTTLSQGFQALELPNLSLYNSYG PGEITISSHKMKIDYKEPSVTPDDIFPVGFSLPNYTTYVVDEDMQLVPPGVSGEILIG GAGPCLGYLHREALTAEKFIESHFASSEYTQSGWTRAYRTFDRGRLLSDGSLVIEGRL DGDTQVKIRGIRIELEDIENSIIQASDGKVLNAVVSIHGEDSQLLTAHVVLAPSTQGG APSDLEGFLQQLRANLPLPQYMCPSVFIPVHDFPLTIHGKVDRKAIRAMPLPQAPTSN RPVEELSGTEAMLREIWVQVLESTSLDATGVGREDDFFMVGGNSVLLVKLQALIRQIL RVSVPLVDLFSASTLGDMAGVVQNAIPTIELNWMEETSLPDLTINHEPPRPVTDQGIV IVLTGATGFLGHVILQRLLAEPAVSHIYAVGVRTEGRGIGRPLPSASPKFTALNGDLG QLMFGLDESTFATLAASAHVIIHSGANRSFWDAYPIIRGANVLGTKNVVALASHRKIP IHFLSSGAVEKVVESNAAPPTDGRNGYLASKWASEKILTTAAEKMNTQVTIHRLTQAP NVQPPPQDIIAAFSAIAEQMNCIPTAQGWGRLISLLPLGELATSIVRRALISVGQQVS AKVTIENHMISTTFELSDAAEAVDRTVVGADQMDSLHMLYWLGRAKRESKFPWFVAAQ DVIVNLEGQTVRVELD AFLA_010020 MGRAGNFAQPCFFPPLIAQFGRQSGGSEVVERRESVTVPLKELD IQLLTCWLHSETVDLLSLLRTAWSVVLRTYTAGDHIGFGLVDLENQKIPASDWSDLRE QIAVYESTINSLTVIRELLHDGKQSSKSEGSESSDTANNTLHTSSWPFNSIVCIDRND ARKGSEFVRMSLSDMCREALNEFQIIVRIRRDELTGLLTTIIDYTTTVLSYEQARAVA GTLNKTISEVVQHTNQTVATLDICTDFDIQQMIKWNTPPLSMPRLEQCAFQLISQRCV EQPGATAVSAWDGEMTYGELDRRSTALAVQLAEVGVGSGVFVPLFFEKSKWAVVALLG VIKAGGAFILIDISYPLKRIRTICQSVSAEVAVASPQHQLLGQEIVRLVVAIGDDCPE TPSLPASPKLSPIHSGTPESPLYVVFTSGSTGDPKGVIIDNVSFCSIYETVSRAFSFS PSTRLLQFASHAFTLCSREILLILMAGGCLCIPSEVDRVNDLAGFLCRHRVNFATLTP SVAGTISPSSVPSLQTLLLGGEAVKPAHIATWAGKVHLMIGYGASEIAGTAIVGSNLQ LDNDPRNVGFACGAGLWVVDADSLDKLAPVGAVGELILQSHGLASRYLNGNEQVNQPF FHEAKWQKRLPMEARIARLYRTGDMFRYNMDGSLYYVERKDNQVKVNGQRIELGDIES HITASCTMVHSSVVLLLQPDQQATRSFLVAFLCPKEPPRWGTTTNSPLAIVETPSSQF YLDIERLSRELQESLPSYMIPSIFVPLSSIPLTLTGKANRRLLLETVARWPEDRFGTY RCGSQSSSTYKAPVNQRDDQIRRLVATALKKKPEAISMGSSFLALGGDSISAMRLVTL AKQEGLYLTVGDIFNHPILSDLARVAREGAIEELQPRKLKFKGLNSYDGLLRRLAPDI ADNIHEIIPTTAYQRMTLAELRPRYLRIALPSNVDRDRLLSACQQLLERHAILRTIFE IDNETNASQGEVVQVILRPYKLKFVEYHGIDDLDQHCLNESLMSPSSTGGGLQFQAQL LTMRDSRLFLVLRFIHAQYDGISLPIMSQDISAAYNRVSPDPTAPFSDHVHAVWANHN DEGLEAWRSILKGSEMTALKPKQMATTHVEKPVHEARPVKVIKRTRLISPPENITMAS LVKAAWALTFAKFVSARDPITFCAEAVAKDIVFGQVVHGRGLGITHENRILGPCVNTV PVRVGLSKYTNNHDLLHHVQQQHLATMPFENIGLDDIIRDCTDWKPGTKFGSVLRFQN FDANLSCVFDGVAYDASVYHLPNQPSEKIHVIIMPSENDMRIIMNGYDHVVGQKEVED LVDSFCAAIEDLARTPTK AFLA_010030 MPATQGLPILDFSAFYGENPKAKAQLVEQVRESCLHNGFFQITG HRIPLELQHRAMNCSKRFFRLPLEEKLKIDKIEKNTFNRGYELLQSQMNEAGASPDLK EGLYIGREIPTDHPYFLEGLLNSGPNQWPDTIPDPEEFQKSTMEYYHAVFDLAKDIMA VLALTLNHDEAYFDPLTDGAVATLRYLHYPPPPKQMGERLRGIGAHTDFSCITLLLQD EVSGLQVLDVPTNEWIDVQPIPGAYVVNLGNLFSRMTNDTYKSNLHRVINDSGNDRYS IPFFLTGNPKYVCKCLPGFQKDGEPGKYLPATVQEVVSLSYKETFARAERYKAEIQTK IQNNVTKEVAA AFLA_010040 MACAKMGIQYLWVDRLCIIQDHVHEKGIQINAMGTIYTNSYVTL VGLEGHDANHGLPGVFEEEPPRRQVLRVEDIVLTGLYDHRRYEKLVGSMDRYHRIPLF GARMDPPGEEYWPSQTFSDLLEGYTKRAFSHESDILRAISEILNSFYESHHYFGLPFS VFDNAILWNAADTTYSTRNPTSGDVFPSWSWVSVKGGITTYNLPLQTLAVWAVASTDS DFLPQPLKVIAPWERPLANERQSYELMPDHSALLILAWKEGCFSGKLPEELKPAASWS KIERLAQKRWGANGQHRMIQDALGVGESIDYSDKFPPEHISLSRSRPGGLMVYTQSVR VRVVPPQNLSGRFDEHIKLYAEAGEVVACVSDGSVNKDWFLATYSGRTSGTYVDLLAL SLELTPVLCFGSGSFRGMTQPINKMVYKDRQGRPLGKYSIGRKKVPIVNLMVVDTQDG VSRRVALAETYLKTWVKAERKLATFVLV AFLA_010050 MEVNRAAVLKSPRASVEVEQIETWAPAAGEVLVRNEAIAFNPIE AKIQKWEMFQIEYPAILGYTFGGTVVSVGPNVDSVKVGDRVAVACWGRAAVDKRFGAY QKYPLALEENLVKLDSQTSLEEGSGVIANLATVVAALSVCMKLDYPPINERDPKNGKK ILIYGGSSSVGGLAVQYATDAGYEVVTTSSPANWDLVQRRGPSSIVDHTLPREQLLES IKASGPYDGIFDAIGSAEVTELLGELLAEDGGLFWSTSPTPADSRLPKNVKKEWGGYS DILVSRDENKDAKVWYLQEYLPKGLSSGRIFSNPSYIFPGGLESVQDALDTFMAGKVS GKKVFVNPQH AFLA_010060 MDPFFVACRHGCTDVLRVLLEHYHDNLGQTTSLEERDIVLLNVA CEYGQLETALFLLDSQPPLGSVGANMPGCETALLSAAQSIWNLSDELQYHENEALDWV DKRLTRSEQLINILLDRGASVHDVTMCPDEDIAEVKPQPLHTVLGLAVSRAGYKLLKG LIDEGANVHTRQECLGIGGPDFKEKHASFQDTTALHYGSMFWNVEGVQALFDLRGTDV DIADMVSVRDSLGRLPLHWAAAGAGDSDKAIIPDGAIVQRVLDTFELLLVGNPTAIMF RITKAKRLCTMQWQHTHQVAAIICIA AFLA_010070 MDLNVIDLLIAHGANINHADRNGITALHIMARNLRQVKAAQFLI HRGADVNAKTLKGDTPLCEAAGRGALLKRDVWTQENEYVTLDDRIKTQDTMMGVLQDA GGSLDEPNAAGKTPRQLLEQKRASWYKESNRRTIL AFLA_010080 MHLTGLPNETLFSIASYLPCQQDVYALVQTNRRFYHTLYDFLYE YNSRYYHGYALAFVTNQGNIGRVEKLLTGLKTARTKSRFPPAPSWRSQPLFEEQWEDE LEWDSDDENELPFTRDISAHPLRMAGYSVADIVHIQKALLVAIEVNNQEIVTLLFEWG AQANFYRGNLRDDDPGTSRRRHHRAQDPPPLYLAVKCGHAELVKYLLEKGADPDRYRP SPLYRAVEDSRYNIVAILLNHGAPLSYASVLNLAVQRWDRTMLEFLFDRGVEAAVYGH RALRVAIRTRNQEMVEFLRRKGANPEQQHHEGSEESQYEWDQEDGDGMDGTVAYERSF YVCMLEWETPEQSEEEPELDT AFLA_010090 MCSEKQLQSTMAENTKDSAPSAVSTDNLNQPKRVILQHRANDFD NWSGEDYHSVIRLYAALSRRPVDEFDFGRKIHYTHAQTPLAAVRGFFYMTLDLHKDLL RNPDPSQVQHEIYRVCRTEDGTISPCKFRNPVKEQNLLRKIRHFSDTLDGGD AFLA_010100 MNLFDPRWKLPLHCFQLFLIVIVIGLSAPRLFMKNQPRTRASTI GLGMVYRPSSPPPLRTPQLTMEQTQAAKSLVIILYQLVTEHVTALQKWASLKAYTILN ALEIVFWAAVAILTIQANVQMCVAPGCILGWGVAITGINLRYGIWLKVIGAEIVWLMR LRIVFWLFMPRLSVIVSGSSLRVG AFLA_010110 MLFPSFVLATLLLGAAARSHAGSPSHYDFVIVGGGTSGLVVANR LSEMNNVTVAVIEAGESALNNFNVSNVMGYSTAFGTQVDWAYKTENQTYAGGLQQTIR AGKALGGTSTINGMSYTRAEDVQIDNWEVVGNKGWNWKNLFQYYKKSEGFQVPTKDQI AHGASYNASYHGRNGPLKVGWPTSMTNSSVFPVLQQTFEKLGVQYNPDSEGGKMVGFT VHPDTLDREMNVREDAARAYYWPYEARSNLKIISNTRADKVIWANATQGEAVAVGVEV TNAYGTETIYADKEIILSAGALRSPALLELSGVGNPAVLNKYNIPVKVNITTVGENLQ DQTNNALTWEGVETLTGLATFSVLPSVNQLYGDNVTALASYVKFQLATYAKIVASASN GAVKEANLVEAFERQYDLIFNSQVPYAEVVFAPTQYIRKALGTAPLSGLVGDEVSPGL DVLPASASSSTWTKWVEANYRTNYHPVGTNSMLPREKGGVVSPELKVYGTKNLRVVDA SILPFQLCGHLTSTLYAVAERASDLIKENYRA AFLA_010120 MQIISLSKLAILGLAGLAIAHPGAHEPSSMSHSAKRSFLNNAKR SLSQCSSHLERRGINDRIQARRAAAYEMYRKRSIDARDYNPSVNTSHHSTLDVTSQTT EEELFAKNPVCILSPEGEIGPFWVKGELVRSDVRDGEAGIPIIMDGQFIDIETCEPIK DLYWDVWNCNSTGVYSGVQDSSNGNGDDDSNLDKTFLRGIQKTDAEGVAQFKSMFPGH YGGRTTHVHVVAHVGATQLQNNTITGGHVAHIGQLFFDQDLIYKVEATYPYNTNKVSI TTNADDHVVQDETEDSASDPFFEYAFLGDALEDGIYAWVTLGVNVSASYDTSYASLLT SSGGVSNSNSGGPGSTVNL AFLA_010130 MPGLAFNPDNDIPDLSGKVIFITGGTAGLGAQSVAQMAKHSPAR IYISGRNATSAEKIIKEIAETGSNTPVSFVECDLTSLDSVKRAADEIIAKESRLDVLM CNAGIMALPPGLTNDGYEVQFGTNHLGHALLIQKLLPLLQRTAERGADVRVIILTSKG YQLHPYGGIIFDDLKTTQDYGFLGSWRRYGQSKLANILYTRELARRYPAITVVSVHPG VITTGLVENLGWAHRWFIYVTTYNQMVTLEEGAYNQLWAATTSKDGLETGQYYIPVGV ASNDKLTKTGRDDVLAGQLWEWTDKALEDYL AFLA_010140 MNQSLKSLPRLKWRTLHLERPSTILYVLLTVYLIEVEKYGTNGI PLDKVDQIKPSAIPDLIKGSKLVIVVEQLWLGVIWGCKACLLLLYSTMTSGLSQHRIV KLIGTFCALSFVLVEILFFAAWCHPFSAYWSVPPKNIQCSVYRNHLILVLALNIATDL MIMCIPLPLLIKAKLSLTKKITLCAVFSLGIFVILCSILSKYYSISNPYGDRWVDWYV REAATAIVVANIPQTWTLFRRMFNWKSFLAHSSYNRSHSRSKYTNRLDSSTIHLSRFK GGDKSHTRSVDITASGEHINPDQPLEIWAHRQFQVTNEPGGSSDSGSQSSVSLEYDTT GLNPQGKTTVTTRS AFLA_010150 MCFPTTGFDIVTPSQILEERFEDMRKGQYYPVNIGDIFRSKYQV VGKLGFGISSTVWLARDLEGHQYVTLKLYTRSESDLAEFQIYNLLNKGSSSHPGYAHV RRALDVFTIPRPGGDHCCLVQKPMWESFRDLLYRNPTHRFTEELLKAGLMQVFLALDY LHNECKLVHTGRNKFTQLRLSTREEEGSKKSAWSTNYVTD AFLA_010160 MQWRLSQSPVGALKPGSGSGSGSGSGSGSGSGSGSGSGSGSDGG SSGGSDGSGSIRLDPNL AFLA_010170 MPEGPVVNGLFRHNNTTPPAQESVMALFSLKGKTAVVTGAASGI GLSVAHALAEAGANVAIWYNRNSKAVEEAANIQSKYGVKCMSYHLSARNWAPRFNSRI NHVLGRAYQINIRESEKVEELLNTCVRELNGRLDIFIANSGIPWTQGPMIDAPLDHYR DVTQTDLDGTFYCARAAGAHWRRQKTEGTDIFGNPLQGFTYGSFVATASMSGHIVNIP QLQAAYNAAKAGVIHLCKSLAVEWVQFARANTVSPGYIITDISTFVPDETKDIWKGKI PMGREALPHELKGAYLYLASDASSYTTGADLVVDGGYTLP AFLA_010180 MNHSHNPVKPRTSNQCRIWRHITLYGWPIYPRAQTQHLFKRTLT TIAGTMGSVKVTDLDTYHNVVSGANYAVFNFRDSRRRSSDTDLAYDDLASYAYSDTVA FYEVDVGDQKHISDFAKVERPTLILYKDGKEVERYSKPLPRQLEYLVSRALCGLTEIG GRF AFLA_010190 MSTDPVKLLQSNNDGPPATRPDSQIDQAVAVKYWSDKPATVNGM LGGYAQVSRTDLRGSRNFLAKARRLVPGCPVTGKLKRGVDCGAGIGRVINDFLGQECE IVDAVEPVEKFSRVLSERRLTRNCALGEVLTIGIEDWVPGVKVYDLIWAQWSVPYLTD AQLVEYLVRCRGALTDVGLMVIKENISEEPEGDIYDESESSVTRTDEKLRRLFKEAGM QLILSEVQSGFPRQLRLLPVISYALRPRI AFLA_010200 MGVALRLQESHRSYLDLDIIPEERFRDTIRDLCGLFVTILDSRL YLIHQTAKEFLIQGASRNSLQRTYDTFEWKHSLSPQQSHHILFRICVWFLQLREVEMA PLDAKTDLCMYVDAYPFLDYAATFWTLHLQGAHINADTIMQLLRRFCDTGLKDSRLWF KIYWSNTHGEIPRDFTALMVASYFGLDSVVKELLDDYKGDLDFVDSKHERSALSWAAE RGSVGVVKLLLKGRRRSFIGIHVPSGKGAEINSIDVYMRTPLTYAAWNGHLEVVSLLL RKGAAINTIDEFGATPLLYATYNAKKPVVDLLLAQGAKTHSGENIIMAFCSAAGKGQE PVMRLLLENGTDPDARRSDGCNLISWAASHGYKAALTLLIESGGDINRGNYENGQTAI HHAVKYGQKGAVRLLVEYGADLKLGDKNGQTPLHFASSIGDRDIVQILLSKDSRPQLE LRDKVYTRTPLTCAATHGYTEVVRLLLDSDADIEANDLKWGLTPLSWSALNGYEAVAE LLLDHGAELESLDTWSCRTPLSWAAFSGHEAVTKLLLERGAFIEHMDHPNGFTPLLLA VKNGHQAVVKLLLDKGADVQPGRQGIKAPWNTEPLYFSYRVVGQMQAQNVQGQNGDEL RRESANSFQYFKLTGLIWTYMGMVLCVRIIWIFQYQKPKLALDLMIKHCL AFLA_010210 MTVNSPPTDRSQFGVGIICALPLEATAVSALFDTEWDSHLYGKA VGDTNAYSTGSIGRHNVVLVHMAGMGKIAAATAAANLRASFEGVQLAIVVGVCGAIPL RKQSDMEIHLGDVIISEGLVQYDFGRRYSSNQFARKDTPRDNLPRPSPEIRAVLAKLQ VEQGRRALHERTIGHLLDLREKLYGVATYPGATEDKFFEPTYRHKHHVDWTCAACLKN DDVCDSEIKLTCDHLQCHEGNLVPRQRLSEPFNPVIHFGLVASGDTVMKSGVDRDSIA ARDQVIAFEMEGAGVRKLFQGVLVIKAACDYADSHKSKNWQGYAAATAAAATKGFLEH WAISLRVDRRTICYFFFKDDFEDQRSSTKALCCILSQLFEARRDLLSEGILEQFEIQG DNFTNSFSELWDTLVTTIEARNAGEVIILLDAIDECEDEGRFLLEDALCKFYGRKRDS NLKFLLTTRPYGKIKRGLRPLDIPELPVIHLSGESDAEMHKISAEIEIFVRERVKNIR AKLRLGHEEQQLLLERLL AFLA_010220 MSNPRPTKQARVNLAGDAHVTHLEHDNASTQPANGAINSEELLR LVDQLSHDQLRDIVIKAAEAHSDVATHIKNTIEEMREKERNRVINFGSLSKSVWYSIN VAHRKLKGSRQYDVAGDVWYEVLDTIKSIAQQCGPLTSLQARLNGLSVLRKIGKTICL SDNDVVGHEVQKQFQSDHSPEEAMIEILSTMSEGERRAIREEESSEEDLWPEILELDD LAYNSCLSERLNEVIDLINPPRACKDAFDNGAHLKCG AFLA_010230 MSSPQNGIDYSTDSSVTTEFRRQDNTHQHALEAYKLRDLTSCLE RLTRAQLIEVLQAAGNDYVEVRKLVQSKVSEQMDDKTRIYRCEMSDVMSFRGCREAAR KAMEEAESRVRFLRLWNSFPDSGNDDFTNMVQLIADHCGAFAHPQTRLNGLTVLCEMC YIFMDVASDDFWEQDRSKYDWDVSIENAMLEIATAMTPAERQAVVDDDDLWSALVYVY QESDEKIFRAFKDVLDEFPKVDTGAEDDGGENDELRSLFEESDQAGEPNEVDMSEAE AFLA_010240 MVISVIQWSKGDVTIKRRIRTQRFPALVCAARALRLTQVTNQAV SDTESLDLDRYFYRESLVRAMAWLYLMDSHLAVYYRNPPQFKIAEACFGLPQHEELYD TMEPSALSVIQRLMEKDSGRFEELISQRFTLFGLFLVIASLHCILFDFQALDTCVDLS GPFGSLDTALDRWKKMWDLTYTTIMPSDIRKSGYMVHALELWWLAKKLTQKPTGIYLE SGFALDSTATFHEMVRELKGFQPVQ AFLA_010250 MVVAGTVTNKMAPALRQCYDQMPDPKWVISMGSCANGGGYYHYS YSVVRGVDRIVPVDVYIPGCPPTPEAFLYGIFQLQKKMRHTKVTRMWYRR AFLA_010260 MTPVEDECYYMVNTIKEYSNKKTIIIAVLKEEQSFTYLKRKYRP KKVIPSIDKDVQSAMREACELAGRRKNIKVIMSSYLPYMAYFQPSTIPSTAIVMMPKS YRGEKASSQREAVARARFYSPKKVEPEVSSKGKSGIAYDTFIEVWNAAIYEDEYD AFLA_010270 MPKGTRHITLLYHQCVIVATRPLLLSALIERLENLDHEREYWQS FLALTKTLIFIGIKSAVKMLQILSNEDNLLEIFLPYDLEFTYAAALHLAMANAVFPQA LDGQAYLEEAHWILDEMISNGNKVAEVRKAELVHLETLFEELTARVKSQGLQPLTLTS PTGAEAVPQTQIVEEDGEGETVTDIGVWDLPIPGDSLTSHPADMQMSSVELLNNIGIS SSDFLYIVDQIGNPDVSYSILDLGRAGGEME AFLA_010280 MATEHRLWFIQFLLVLAFGTAFLHRSGRSKDPPGSKFFIRAMSL MPDQAPLWKGSLLAIEVLGMAGLFAKRYKLHNLKAYTPTFRRSNSAPPPCPDVVTCGG LCTS AFLA_010290 MSTTTVRPPTIPHTVVGPVRLTGARPTNELPQWLIDEAKIEEKQ SFDPKMHMNYHPPSKIYTMKEIGLEGQGISPNAVTAPFQLFNEEAIKQMRAEIFSKPV LDECQYTSFFVKNTIRDMGPARAPFICDVWRSPEVLAKISEVAGIDLIPAMNYEIAAI NISVNDQTTTVLNNTKSEDEDLSAFAWHWDSYPFVCVTMLSDCTGMVGGETALKTASD EIMKVRGPAMGTAVVMQGRYIEHQALKAFGGKERISMITSFRAKSPLVKDDCVLTGVR SISNVLELYREWSEYRLKVLEERIRAELENERQKQLGQRPFSISDMKTFLIHQREYLD ATIAELME AFLA_010300 MPLSFVIRLSPFGLYLSLFKSPFPIIMCMKEAPMSDGIEDVSHG TDDYDAQDIEKPGEVVAGMGQLQRRPENRQIQIMGVGGAIGTALFISIGGALPKSGPL SLLLGYAIYCLILACVNNCLAEMTVLYPVPGGFIRLAGKWVDDAFGFMAGWNFFLFEA LSIPLKSPPSTWCSRSGEMMFQLVLLSVFAVKVYGEAEFWGSSGKIALLIMLFLFTFI TMVGGNPQHDAFGFRAWRDPGPMAEYLHTGDLGRFEGVLAALWTASFTFMGPEFINLI AAEAKRPRVHIKNAFKVIYWLPSVLVFSLPITTRPLYLCF AFLA_010310 MQAANRLQKSLKKGEPSFGGWQMLPGTNLTRTICRSARNLEWLL IDLEHGNISDDSMHEIVAATAACGVSPIVRVAEGQPWMIKRALDSGAHGILVPVTDTA EDARNVVRYSKFPPVGNRGFESLLAVEKFVEQHPHGGEVIQFTGMEYLQQANDSLVIA VQIETKAALENVREIAAVPSVDVLFIGPFDLSVNIGHPITNPEKMDPEHVKAIQSIHD AARAAGKASGIYCDTGEQAREHANKGFQMMSVVTDMVGMRKVFKQAFDAAKGDLENFV INIFMPPMIKSYNILC AFLA_010320 MRSTVQERDQIQVVINACQELTALLTEPYEWIANAAWGYVDSVA LSLVLNLKVHRHVPRNGGTISLVELAAKTGSSVVLISRIMRQCVGAFIFDEALPQCYR HNERSLCLLHDDFSSLIDYITDDGLLTGAHLTRSLSKTAFQIPKHSGQAAFQDAFQSQ RFASAMAGHYNTPLDDPIESIYPFNVLRDNALVVDIGGGKGHHSIRLAEKYEMMSFIV QDQTSVVGTVQTEDLPQSVAGRIEWQAHDLHSPQPVQGADVYILSHIMMDNQPGCVKK IDPRYLGAIINACFLAVSAQIYSDMPQTQ AFLA_010330 MIALVNSFIVFVLKVLTGAFLCVVAYRLFVHPLASIPGPRLAAV TNLYHFYYSVVRKGGMLHQLKVLHERYGPVVRIGPNSIHFATLEAYRDIYHSRETSKD PTFYNAFFVPDGTFSTLSHADAKSLRKPWLRMFSGRESIVQAKQFISQVIYTLFDLEC HLDRLLTDGPDTEPKGNQLPFFMAMTMQGGDHQVALRSRSSLMSDAFTMMFSGAYTVG TTLTVATYYVMRDKHLLRKLQQELEVAWPDSAKPCPSQTVLAKLPYLYRAVVTLSIMM KVYSKAHASSTPVDGSSEARRCKSSNSVFRLGHDSVRQLGMNLVSRYGSCAAP AFLA_010340 MATSALSAETLFDSLGQQYEDAYMNNPTLKETVTDAISLLPPQS HVLDVGCGTGKPVASNVALAGHNVHGIDISTAMIKIASSNIKGKFEKADMLTFQPTMK YDAIFSIFSMFQLTHSQTYTMMLNYCDWLKQDGVLVLGTIPATSLVHDETLYDSTGKL VRHADLIFMNHRFTGTLYTTAGWHDLVQKCGFEIVSEKFASFSSPPPYEKEIQDHYFI IAKKVVQHALMAPYPLPTKYRGPHPLSEGAWAPFSERLVRDEFDAVLDILKGNRRVLD VGSGHGRLPIELANRGVQSYSIEPNADRNQIQTAKAQEKGVVIRSGSAENIPFPSGYF DAAVAMWVLHYVQDLERSLHEIARVVDPASPESKIVIVQGAPDNELVNLLNDVCAPLS ADNTAVDHQGYLLHEAARVFSEYGFGDIQISRVNAFCSFPEMDLKERCAKAAEVLAGF WFRDDINLERMKMALMPHLEKQFRDRPGEVGDEVAVLVARPFRN AFLA_010350 MRSFPSFGLNDAKRRLRAVCDGVRRLDRQVRRSTWVDHFADQGH GSQGLIGSQLILELFDTP AFLA_010360 MPPNDSRRVTNIMDSIPSSQRAVVVQSPGNNYEMIIRDDIPVRT PGADEILVKLSCTGLCHSEIRAVLAWGAYNPIIGHEGVGSVIQTGPNVSPSLLHQRVG VKWLYSACATCPACQRGFNHYCAQQKNTSRHVPGTLQQYVIADARFITRIPETVSDEV AAPLLCAGLTMAGAVGRLDDYLLSSGDWVVISGSGGGLGHLGVQIAARIKGFRVIAVD SGEVKRKVSLESGAEVFIDYRTEDVAARVREVTGGEGAHATIVVPGTREAFAMAPQVV RNMGLIVGVGLPPNEMDWPISATVSAARGLCIVGSSVGTEDQMSELLEQAGRGEITPA IEVFEFEETPRLVDGLRNDAIAGRAVVRIPQ AFLA_010370 MAQISDSINTIQRFTPEDVSKHNTPDNLWTIIGNEIYDLTDFQK EHPGGAKILQAVAGKDGTKKFRKYHREALLVKYKTLLAGELVVQPKGRKWLFFKTSK AFLA_010380 MEKKYSEKDTRATKLSALDAEIEYVENAGSDVPGQGRKATKLRV KRHFRRFWFCYLVAGIVFLAIFLPIFFIYIIPAIAQRVVDDTSLPVYAARILDPTPDS VTFSIDTSLKIPAGLSVRIDPFSLSLFNREVKPMVPFIDVALQGYNLKGTTKMSISSN NTAVLNREQFVEALTKAVYSKQFKLSAKGSTTGHLGALKAPVTLDKDVELAGLDKLSG FSIDTASLILPAEEDGTNLRGTATLPNHSVVTFALGNVTLNLKSADVVIGQGYLDNVV LSPGNNTMPLRATLNIRTVLENLLDILGAQASALMDGKLEISASGNSTVYNGQHIPYF EEVLNNLTITARVPIATILSGTLSGLRNSSLLDGLNGTLDSAGGLLGNIAKLSDILGD AFLA_010390 MDEKQDITTQNDKANGADEKLLTELENPQKIQKIVREGILLAGG GAAILLQVAMPGVGKGVDEHSNFSYRPLDRLRTTMTYVYCMAFGTPEEKKIIIEMVHK AHSVVRGPDYSADDPHLQVWVAATLYAVGIDLYEQVFGRMDEATAEAIYREYAVLAVS LRVQPEMWPPTREAFWIYWDEQINKLQHQITPQAKNVCKDLLFNKQVPFVIRISMPLV RLMTADLLPDRIREEYGLKTSRSRRGMQKVVRGLTKVVYPATPSFIRTYPMRYYMKDM RKRMKKAQHK AFLA_010400 MWQRTKRAPRACYWCRHRKVRCDASIHGCPCTRCRQDVQQSLGM QRRIFLNGMHLSTKQRSESNTEKNQSMGFMVTFELGLG AFLA_010410 MNSRGSIFSKFIHVFLFWMKQGFGVSLPTPLHIQYHYLFCKLFS FRAALYVYTLSFWSFIPTNTISTSPWKRSTNADSVIDEKLEQHSTIVPSYSSISKQKM THSPKHKAPFSSPTTPPLTILKLAVYG AFLA_010420 MEIESSSGGMSLDASVLNAKRVTNWYDAFLSSPRAYLRISVSLD YSLATGRLPYDNALPLLVRQTPWAKKQQRLPWTIGLDTDDSAVYPDAPPNGRVTEDIS SISQISTIPEEQPVDIPEDDDTESQVDARFPFIAAIGESIREETLNLAGSSSPEEGST GDDAINLNFFEFGSQQLDDILEMETEHTLDADQSQHLGMGASRSGKERADDVGFGHGG FQSIYTSLLRDTVA AFLA_010430 MADLFDNQTSVQTKSLIQIGTDELTNEAINEAMSLLDSANVLLT AESINNLLEEASPLLTEDFLNEINHLLKNTRTLLTSSFGTETKSLITQVDPLLSDLSS NSSALNIPQLLTSVNQYITPHTDDIGNLLTNANDLLTANLTKQIPSLIQEANSFLSSN ADGIQPLLNTTIDLLTTDVVNYVGSIVDSTAPVFTTELVGKLNTTLDNASNLLTSDLI NEVDNILDEVTPFLTSSNVQKINNLLSNGNNLLTAQMVNNTEALISAASGLLSDQTVL TKAEALIPIALEFLSDEAINKISTLFQNGNGVLTSESASQTGSLVDSLASFFTNQTLD EVESLLNVAQTLLTADFANTTGTLI AFLA_010440 MLPIKSLFLVTHFLSLATTTPSPTRFRDYDPKDPCPRQCGLVGT DPQDWAVYYSPDALDGCNRPLLLDFKLDSELDNPNVRNKIRACSIWGDNIPAPETSVP GLNSSAQNVTLQRIEYDGASGDSLVAAGAVFHIQRYLEQNVEQDNNVIMFSTSGNATV GFFGGALMDTQRMATSLLPELIRHITHDGFSNGVLEQICGTRRNAHEVWGIMVSFSAS MSTVQETVRTWSQGKCVTPISPMAGVTSFHSAMIPTTAFNRRPRVLNARTPTLQRRAE CSTIKVLEGDSCGTLANRCGVSEILRHNVAFPPPPAGRRILDEFNKKTWAEFCTYSSS DSGAPGTAAPGENGCISNCNANVVSSAPPEEYLRIGYFEGYNLGRACLNMDAGQIDTE KYTHIHFGFGTLTLDFNVKVGDALSQFEFEQFKQLTGVKRILSIGGWDFSTSPDTYTT FREGVKSANRMTMAENIANFVEEHDLDGVDIDWEYPGAPDIPGIPPGSEDEGVEYAIF LILLKGLLRDKSLSIAAPASYWYLRPYQIAALADVLDYLVFMTYDLHGQWDHSNHWAA PGCEEGNCLRSHVNLTETYSALSMVTKAGMPSNKVITGIASFGRAFKMTTPGCTGPDC HFTGATSGARAGRCTATQGYLSVAEIDEILSTNPSADTWTDDSLSNVLVYNETEWVAY MDDANKADRDILYKGLNFGGTVEWATSMHSFHEVPSDALVPGGSLSDIIKEWPVFVET VINGKSPFIHGDRNGHWADGSITCTDDAVVGVLDIPPKKRWEDLDCSTAWQDALDKYR QFDLPRNWDFTKSISDTFHGRENMNCGILSAQADCSMSFQCEQSKGEGSGPAGYEVMN SLVAIHKGIVGAAGVVASKNLEFVNNGWDEEDQWEFMGFMTDVWHTWQNETENTSRYL FSGTEDAIRDLSKIIRDGQLIQGSFEGATPPTEMSATEIQALIERAFYAYLIPRIWQS SGTKAFVIDTNHACDGSNPIPDYLDDTNAETTAVCFEDKLYYLAYPDGNAGDTCQLPG STPVCVRLKFKVPPGLDELTGAVKDYGGMKPVDIVASTVRSYQANGNKNGWTLKSMGD VQSVDDLNLDALITYQIGAAGFSTLPLCSAEEAHLNWGIGKDKDNYPCN AFLA_010450 MLEDSITYLGTGFTYHWYISAAISFLRSQSQPAKPSALKQEAIN QVVRQYYRVLASQEQFPRAKERIYSSRFRQGNPSEAERHFDVLDPGEMSLDDVGFPQF DPTVPPMDQYFFGDPAAWTFDNLWEVA AFLA_010460 MLPNSAQGMSDPTASSRPITRRKRKPLSCSLCRRRKLACDREYP SCTRCRKTGNSDSCSYDDSPAPSRNKQQRSDVPGQNRPVLTAAPAPENAASSAFLESY RDNHNNRPIVSDLTEHAGTWQLIGGDVDGATTIKERPAIKADVTELTYPPEPKPTEAV VFRGENYKTQYYGSTNPTSLIGHFPELRSYMKETIKHHASLPGVQKELKALDTKWKYE KPHNLPVKTADLLLLLPDQAEMDAAIRLYFETLETMYRILHRPTFMEEYEQLQQDRTA AKPGFIVLVLLIMATVSCTTATDRTYVGSSSIGRERGSLWIETAEAWLGKQSKKNIYL VIWQIRCLLVLAKQMCRYKKKRMWSVAGDLVREGMAAGFHRDPSRLGGKISFFDQEIR RRLWATMAELELQASVERGMPSALAAIRMDCAPPVDIDDEDLRPECDSTQIQESPSHN TSTSFLRLCRHSLDLRVSLNSRMNDLTSDLPYEDILRYEDMIMSELRKLPSPTESNED TTDRKLSQMARVVLDLQLRQFLALLHAPFARKTEINSRYAVSRMVCFNAAASLIDQHW RLVQSGNPMLLLLRHDYFRGALSLAHYAYVSSGIQGKSF AFLA_010470 MSTNTTLPTAKEVDSYVVSHLVSTDQALTDTISSCAKSGIPPIA ISPAQGKFLSLLTKMSGAHNVLEIGTLGGYSSIWFARALNANGKKGKVTSIEILEDRR ELAMQNLRNAGVKVPDEAEVLLGPGLEVLPRLEEEIQQGKRPKFDFVFIDADWDNQWQ YFDYGVKLAKGPGAVILIDNVVQAMLGDGIVGPEKRKQGAISVVEKVGQDRRVEAALV QTLGTKSHDGFLMALVV AFLA_010480 MSNCKKSVQTWGESRNVFELPDPSHSVPSRWWRSASPFPVMAGT LCAIAIMFNICTVSQGWQETENADGSITILSQQTWMVALKAISLALAALSYITLILTM MCKRNPQQGFIVTISGWLIAGALLFSLIGVNARRHPIIQSRQPWKYTQSYFYGIMAAG LYTLIAILLAVYTATARSVPLSRHDRRIVEYTSIILRTITFIAFLTGGAGVYSAVEGW SFMDAVYFTDYTVLTIGIGNIVPKTHLGRSLLFPYATAGIITLGLVISSIQSFGNSIR HMKLKFEIQEARNKLLEQKRPTQYEHNDSRTLCSIPSTTTFPQTSDVVELQRIRYDFN QRVRWMALIFFVVAWFVLWLLSAAVFRRSERGQNWTYFTALYFTYTSLTTIGYGDFYP TSNFGKVFFVFWSLLAIPVLTNLVTAMGEIGVRTLVYFAGYILRLGKMRRKSGHGMKN CSDTEPLGNCRDVEKHAEWSSKLRKPSQDISTPGTRSTLTTNELELSLSMNKAGRHGL LLAEEIKKLVIALMNGSNEQDPRHDWPFVLALLHSGHDEAHGSMEHIFPGVMNEYTSY ASGALSSEERTATERNNETLLMLKLLADKLCLDLRRGQDQVNPSE AFLA_010490 MELLMDGNHKALVERTLAENEALVAKVKTFQAKFEQIYLASKEC LGLDEGPGRVDTLVSGVTGLPGVPDPCLTSSGLEAPSGSNQDVPVVEQRSNLCRTFA AFLA_010500 MLKNSEESGMSINRFTEAIMAWKYSMGLNDGLQFLAEHFKAQGY AAHDVLHERLLSSDFNERLLGLLVGDSDTGASLPCEKQTLLPLSPLDVVRRKTAYLAY RIMAPWQDCCRSHAEMIAIFWTQFRHLLVRFPSPFSRIKAYRNFRSFLSSHLPKTSLD APAGIDRHPHGFSTTIPDV AFLA_010510 MLQWFLNRSEDYKVHSTRRIVKMQLLVIFAGIHNTTVTATNVLY NLAVSPEYMQPLREEICKAISDNDGTLTSRALQQMEKLDSFMKETIRFYPPELTSFSR QTVQGIKLSSGQYIPPGTSIETPLQAIYQDDSNYPDSDTFDGFRFYKIRQGGGATVHA RNQFVTSNEQNLVFGYGKHACPGRFLAAAEIKMIVSKILLTYDFKNADDWTERYSNYE VGRLVRPPHSPPPPNHCR AFLA_010520 MSGVNMTKVLEVKYTKVAPDEPLAPYCIKADLNPALSRLNPIIY REVENALEEKIPQCEDWAPVFIYQKLVNTVAKVSGRIFVGAELSHNKDYLDTAINYTI ELGNAVQAVKKMKPWLRPFLAWKLPEVQQLNKREEMAIRFLEPIIQARREASKDPDYQ AFLA_010530 MKSTYFPSQPQAWFHHYRTMEVQYILEILHNLEAAIWAVLIFIA FVLISQAHYKAQLAKLPTFDIDEGNEKWRRTYLKSARRLYQEGYKKVSVCLGLSVSHT C AFLA_010540 MLGLGPLLLCFFVFTTCLAYPGSLANRATVTPPSADPFYEPPSG YENTQPGTILRHREVPNPIALIDPIKIKLAAAYQILYRSTDNWANATATVTTVLVPEN ANTSRLLSYQIPEDSSSVDCAPSYTLQTGIDDSDILSKTGIQSHTILFRAALEKGWIV SLPDHEGPKAAFLANRRAGHAVLDGIRAVLGSSDFTTVSPNASVAMWGYSGGSLATGF AAELQPTYAPDLDMIVGAALGGLIGDIEVVTYTVNKGPFVGLNFGGINGIAHEYPDIA ALFEEQLVESKKAKFYDANHNCFLANIIEYPFQDLFSYFKDPSILSYPQMQQALKDNN LGQIPPKMPIFVYKGALDEISPVSSTEIIVSRYCAGGTVINYKDVLTHEHVLLQLDGF LEAFAWLEKRMDGEAAETNCSWTDELLPISEPGSLGVVVPTVEGVASDVVGTVLL AFLA_010550 MRMLVSKSIFAEPEPGYYAHTPVSLVICAPNMPDLLSHRLEDGF RAASRHAEALAKLQYRDPNAKDVLGFQLAFSTTKSYWDYVEEDDPECGQRFSKAMRAV TVNKLGDVPKLYPFNKLVDDGGIIVDVGGGMGQVAQSILSHWHGLGLKCIVQDKFASK SGSTHPDLEMQSYDFFSPQPVKGSAAYLFRHIFHDWPDDACITILKNTVEAMNPHQSR ILICDQIMEETNPSTAAVLYDIDMMCLYGGKERTLSEWEELLKAADQRLEIKNVFRSP NQVSGILEVQLCCD AFLA_010560 MGSLGEVEPSAPSKSLCREDPGINLTSTLSSYVQHLERERAKLP SSKAQACHNAAEKSNIVALCNKVIAMTMDPEMYLFTTSLQVRQLTIV AFLA_010570 MPTLSGIYTSLTGQTLAIDEHGHLSIIHNDKQKTKLRADAEFWL CEDDGKIGKFGSPKKVTLHLQGKKYHIWVEPRGFSDGAYEACNVNLI AFLA_010580 MGSIGNVQSPLPRCVQSMHAPSVMQEEMIVSTIADPSHKSYFET YHFSAQGIVDPDQLNGAIHAVARKHAVLRSVFVHPTEFDSTNVQIAVLDQAYTLHRAK LLSLQPIGEEIRFGILPLESIGTDEWDGVMPWKFSLVVCEREQKSYITVRYHHALLDG WSARALLELVQQEMLNPGAVLKGSDFFSLVRQPLKRDWKQDETLLRERLAQIETSPIL SPGPVANGDLRVGEVTREVSISSDIWLPDRPAVPARLLRLALGMTISVFRNSDDSLFL EITSARSRLFPKDQQVLGPVLAPQVRNIHLKEHTTLGECMQLLRSSNDPQHNFSVSQL KSFLTESSRDLDVCLVCQTNESYPSNGVGHWEWIKGEARNDLPFILEILPPKEGVFFA KIRYHQNRFEKQFADSFLEFFCQTLTWMQATGNSIDHQTFAAAVSEICRQGDYRQHYL ALNPRGVSDDPVNVHDLIEQAASKWPSKIALEAEHNQFMTYAELSNESDRVAKGLRHC LPRDQKDQPLVPICFDKSVDMVVAIVAVLKAGGAYVPLDPSQPRDRLVSILSACHATV VIAGQTDLQDVLHSTCSELSILVTSIENLSEHDKSTDELSGSEHPPPSSLAYVLFTSG STGTPKGVMVEHRNLVAFMKAGEGNADGTWTSTRLQLAAYSFDASIGDIFANLYRGGR LALVQRNKMLSNLNHWLEEMLITHLALTPTIGDLIISHLPPRLQTLMFGGEPFHQSFL AQAPAEARVWNTCGPTETVVDVACCILQKENPDVPIGRPFGQCQIYILRRGGSNTAVP PNAIGEICVAGPQVSRGYLERPDLTSLGFVTDPFRPSQRMYRTGDLGRLNHRGMLEHL GRADGQIKLRGLRVETGEVEVTIKQSSSIIAQVTVSVNHLEGYDREALVAWIVPEASQ GSQDIELAWQEDIMPSCQRRLVPYMIPEVWIMISYLPLTVSGKLNRGTLASWVEHVAT GGTHDGLYVITAPWKTQEITQRLPESPAERLLVATCANILGTTLHAVSMDSTFIALGG NSLLAMRLLAALRQEGMNCSLRDLLTPMTLGDVARAMSPSSTPKKQITKAFYHEDSWE RIVADSSIAADTIDAIYPCTPQQEGLIQTSLHGDKSAYFATITVHLGDSLNLRTFHAA WNRLVFGCDMLRTAFVSFSEVQHPPVSESNILQVVLSQSAEDVRRLVSLDNRDIAFQF GVVPLSAGISQGSVNEPWRLHLKIHHALYDEAFLSRIVAELCIVYEALEMESSEAALP PSRPFSAFVESLCNDDPEVSKGFWKKYMHEVAPATWPVASGIRRMREENGQDVEMTVV KSWTGNAVALGQKFQATPASIVRAALALALAQYSQTDDVVFGEVSSGRFDHDRFTLGP CLATHPVRIQIDRKSSSGMLKLVTQALESYLATTPYQHCGLASIHRQTADPDLMAFQV LFAHQEAFVEYTAGSRFHVKSAKLRNIGFPLVLESRCDRSTGNLAFECSFDRRYLGDQ DVDWFLRSICRTLDMFSAGARDGLSDQKLITGVVDEEMRRAIEKWSTKRTPFGSTFTR NAEICAHELFEMQADMTPQKIALQVNQSEFITYKELNKRCNQLSNALVNWLDSLGLEQ SRDQQIVPLCFSNAVDMVIAMIAVLKAGAAYLPIDQNHPQERIQQILSLSGARAMLGD GEAETLEKLQAASKQANSTLITSKRLRTLYGGQTAKKPSFRPTPASLAYVIFTSGSTG KPKGVMVEHGNLAAFMQANEPEAVGTWTSVRLQLATATFDAATGETFGTLGCGGRLIL GQTHEILAGLPDWLERTNITHLFVTPRVAANFLTEIHPPYLRTLHIGGEAFDPSILPH MPPGCDVYNVFGPTETTIYATHYKIRKGDGIRRNIPIGYPFGGCRLYILNPETLEQVP IGVIGEICIGGPQVTRGYQGRPELTSRSFLSDPHIVGERMYRSGDLGRLCGDGSIEHH GRIDSQIKLRGLRIEISEIESVCLEHAIATACTVIVLDREDGQVLVAFVQTQKDQQTS TCSQDWAETESILHRHLDSRLPSYMVPSRFVPIEVMPLTTSGKVDRRQLGARAETMDQ AGELFTSQHTNHAGSWEQGSIEDKIADAWVQVLGIDRANITPNVAFSRLGGDSIRAIR LLSLLRKAGLKLNMTDVSNASTIFSQAKCATSHTVAAKKSTEDATDIDAHTGPVALGP IAGRYAGIQLKYASQRGEQIIDHFNQSVLLDVTTLCPLRLQQALQRLRNHHDPLRAIV HWSLDIPVEEWTIRILPCSDIKPLVLDSPRTLTLQALREQIQHRITGLDIRRGKVMDA ELYRLDGDSRVFLFWTVHHFVVDIVSWQILRDDLNVLVRAKEEPESIALQPATMSFLA WTREGQMKSQDASLATGSIPEEPDILLSSSDSDQLPLWVRQPELVPIHPVNRTTTSAS LSPCITALLLGRSNNVLSTEPLDLLLAGLAMTISKHFARAVDRLVVGLETHGRHTGTN TADLSRSIGWFTAIIPMILDCRCESSSIESVVRRVKDQRRLLMENDRGFRHFVASRWS TANARKSEIMPLVFNYQGVRHDPHDHDEMMLHPVQIPGLSWIESSPHAIPLSHAAFEL YVHDRQAYIEATWPSDGEMDQEDVATLMGEQITNICQYLAEKDVLGKTSISASSTSAF GLLPDDCFDRVFSLYPEDTLDDIIPCTPMQRALLYEGIADHESRSYVTCRIWRIPTDQ AICSQIEGAVKSLIQRHGILRTVFHIDPEVGPLALVLRDTQSPTASAVGHVKVKDHTE MEERVTSLLCNPDYGNPMKQAFYVRIVHAADGSGARLIWLLHHSLIDAWSQDLLLSEL TQILVDGCPTKSLIPRPSFGSFARYVTSDSRADHSHGKFWSETLNGVQPKSLPLSLMS SSPINAAAVVVEQACNLAILSENCISPAALVSLAWSLVLSEILDTDDVTHGMLFSGRQ LPVDGVADIIGPCISTVPIRTHLNRHGKVLDLLQSTEAAIRLAGSHSTVGVDGVARAA GVEAPALVNTLLNFFGVRTDVLENDGLNSILELDSVDDGLPPSITLSCWQREVDANIM VMRLERRHPLQIGIAQCLVKRMAWYCHTLSHHMDRKLDSVLSITSNEDQLLTKWSQPV DSRPSDSYPCIHDLITGWAAQVPEKVAVQVAESQFVTYGEIEKKSTAIARVIERLVDP RSGSTPPLIPICCDRGVDMVIAILAILKAGAAYVPLNISDPEGRLEAILRQTGSTILV DGLLDKGSRRKLHALGDRTSTTVYTVDGLSILPPSEVSLRKAHSESLAYVLFTSGSTG EPKGVMIEHRNLTSFITTQHNEIIGRWTSCRMPVAAYTFDVSMADLLISLAIGARVAL VDSEKMLASMPYWADRTLATTLSMTPTLASLLARSLPPHVAVLMLAGEVFDPNIMKAL PRECRVWNGYGPTETFYASFHPVDAQPTHAQVPIGRSFGGNRIYILRPGSNYRQPIGA IGEICIGGTQVARGYLGREDLTSRSFTRDPYNTQTVLYRTGDLGRFTDCGVVEYLGRM DDQIKIRGQRAEPAEIESVVHAASPRVAHAIVDLYQSKRGGGLPRLIAFISTKDPVPP SLCKTFLQEEVEPSCRNQLPGHMMPSTWIYVRTVPLTSSGKADKRMLRSWMARLEEGE TVPEASIIELSLSTQMNSETHQDISGGPESPTEIMLRQSCAQLFKVNEDIISLDKSFI SSGGDSLLALQLNARLREKGLKCTPRDIVEAQSLAELATILNTSYEAVSPVHMADWTL DLNEMARLPDNGIQGWETIVQRVGIDPGQVRCVMPCTPFQEGVLSSNDESGSSAGYLA HMTVGLGKEIDVEALKYAWQETVDHEDMLRTTFIPADMDMTDIRGLGQGSSLLQVVLY PESPQAGRVKTMKTVSTPATPNAALPSYPSLQGKAQQGHIPVAALVAIGSQDGEGQEC TLLITMHHALYDEAYLSLLLKDLSGRYRSIACNEVVPQVPEDQRIPFSTYVRFVHSKL GTAPSTSTAGKFWKSYLADATPSTWPLPHGMQSSITSVKSPETAVLEWTGNLRAAASK VQVTAAAIARAALALTVAEHTNVTDVVLGEVSKGRPDIRGPGDARARFITGPCATTHP VRIRIADEGASKRRTMLQLLRESFTSYMETLPHQFYGLSRIREQSCRVDLLPFQVLFV YQDAFRQKEGLAGDDAFQIQGGNLGQMGFPIVLECSCLSGDSGVVFHCTYAPDVIDKP RIEWFLHHISQSIDALVQVDPARSDSLRSARVPLSAQETRQLELWSRCHAAKDVEKVD DTMPTETSSITHAFDDTARNLHEKRSTSLSMALQRHIVSLDDVGSQQPIIPICFERST DMVVAILAILKAGAAFVPLEPGYPPERLISIVRTARASLMICGKEDKSNEILVSVCHA TNTKLITLEDLKSRPASSDQAVISRYCRDDSRIAYVLFTSGSTGTPKGVVITHRNLLA FMRHNNPDVHGRWYNSRMPVASYTFDVSMADIITTLCCGGRVVLVPVQKLLPSLGAWV DASITSHISLTPTIANMLWEPVKNAEIAFPFLSVLLLAGEVFDAQLMSYVPKECRVWN GYGPTETFYVTFYRVPKAHAKEQTSVSIGYPFGENVIHLLGFESNDHVPVGCIGEICV MGPQVAQGYLGQPELTKQRFKRGVISQAPEGFLYRTGDIGRFHPDGKLEYLGRFDRQI KIRGQRVELAEIEMAIAQHSFVDGCAVVVVNTPTGDSLVGFCVKTSSQTPGKGWDANT AVQIKTWISTRLPAHMVPSYLFPLEGELPRVPSGKVDRQLLAQRATDLLADSLLSSQG QDTYIAPTTEREKVICEIFEETLAQRVSVLDNFLHLGGHSILAIRAVSKINHRLHANL TFKDVFDFATARDLARQLESTATNHRSYTSIPRLTQDKMIVRQSFAQGRLWFLDQLHP GSTWYLMPFGLRIQGDLHLDALEAAVSAIEERHETLRTTFEHRDGENVQVVHPFAHRQ LRVVEVPPAVDEEGLLGALKEEQSTPFDLQVHPGWRPLVLRQNKRSHILSIVIHHIIC DGWSVAVLLKELSTFYSAALHGKPIHAQLPPLPIQYRDFSAWESQKEQRVEHDRQLKY WIEKLTGSKPAEFICDKRRPQAPSRQAIFEEVRIDGAMYDQLRQYCKQHQLTPFIVLL AVFRATHYRLTREADATIGTPIANRGREELHDIIGLFVNVQCIRLKVDDHHTTFEDLV NQAQSTATEAFAHQDIPFDRIVSALQPDRETTQNPLVQTVFAVHPQTQGKEELEGLLT EQILLSRTTRFDLEFHLFQEEDGLSGQVVFAQDIFFPETVKAMISVFYAVLECGLNQP SISVASMALLNDLSMHDMDDLLSINQTDYPRDATVVDLFRQEARSHPDSIAIVHEGKE VTYGELDRQSDNIERWLRSLHLDRETIVGVLAARSAEAITVFLGIMKADLAYLPLDAS TPQTRICSVLSCISERITVIVVDGAQVAVPDVSLAHVDFVALSNLLDDQRHKPSDNQK FESESSISATSLACVLFTSGSTGRPKGVMIEHRAIVRLAKDFNFAKAAGKPLAHMASL SFDVSTWEVFMPLLSGGVVVCVDAMTVLDYKALSDVYARHHVRAAMFTPALFKQCLHD SPSIVKNLDLLILGGDRLDPEDVFQAKQLTQGIILNGYGPTENTGASTIYPIPDEESC VNGVPIGKPIGNSGAYVMDDSLNVVPKGVVGELVVTGDGLARGYTDPEKNEGRFVHVV IGQDTVRAYRTGDYARWRPIDGQLEYFGRRDDQVKIRGNRVEIGEIEHNILSHSAVRS AAVVMNGVGAEADLAAFVTLHPIHDDVAETERVDAWKNVFDTEAYDSFTHQPNRLGRD FVGWLSMYDGCNIDLTEMDEWLDDTLQTLLNGQDPGKVLEIGTGSGMILFNITKGLEE YVGIELVPKLAHMVEQVANADQRLAGRVKVHPGVADRVEDFIPGFIPDLVIINSVAQY FPSAGYLSEIVQKLVRLQGVKSLFFGDIRSYPLYDEFLVSKALHNAGARATQDQIRKC IEESKAAETELLVDPAFFTSLTNQFPDQVAHVEILPKLMNATNELSCYRFSAVVHLKH ATAATRIACQVKKADWIDYTARSLNSRSLLEHLRSSHDNTIIAVENIPNRRTILERYI VDALKEGVEPRSMDTKSHWQVRHRNRAAQSSALLPGDLVAIANQAGFQVEISWARQSS QRGAFDAIFHRMGPNSRRQRVLFDFPVDHQCRDVDSFTNHPVQGQQDQQCIFELKESL RAQLPAYMIPRTITILDQLPLTDRGKVDRQALRQRIIKQEPVATESESSAGRVTVEYG SEMERILCDVFAEVLGLQSVDRESSFFSLGGHSLLAPRLASRVSKRLDCTATVRDLFD CPTPVRLADRLLSKQSDSNTEANTSTDGKTQHSALDKVKYHNTLRDWGVQSSEVGHLM PCTPFQEGVLSNSLAVPGDSGYLSVVRLGLQSQLDTKAMRLAWQKVVEREETLRTAFI PVAEDLSSACITSSTFWQCIFNINSREVQRLLCIEGRNSGVDRSALGFGHIPVSLILT DVPTVCKARGVGSTQLELTIHHALYDEAYFRWIIHELSREYHKARLAKDYVPLRAPQT SMNRIPFSIFVSQLQAMPKESATSFWKSYLNGAPAACWPVARGLESGRITEIDEFSSR SLIWKGNMHNLAGARGVTPAAISRAAVALVVAEHSGVEDIVLGEVSSGRSITDGAAGF VAGPCISTHPIRIRMQQRQGSRSSQHRLSFDQLVKQSLNSYLETVPYHQLGLPSIRRQ SDAPDLLPFQVLFVYQQAFDFETDSREEASHNFKVQGGHLGRFEFPVVLQASCHPVTG HMSLQCMFDPTVLITEDIEWFLEHISQVLSSIADSSSQPVARLTVGDAEEAALTKLSC AKDQTPELPLGTDSESLCAHDLISRQAMESPCKIAVQYELSQFMTYGELDRESTKLSI VIRAFFDHLSKSVSHEQPLVPISFDKGLDMIVTMLAVLKAGAAYIPLDISHSEQRLRM ICQSAQAKLILWDGQNGFDKLRAIGHSSGATISTVDELSDAVDGWGSTPRSGEKPNLS SLAYIIYTSGSTGVPKGVMVNHANLVSFMRSATNETYMSWTANRLQIASYAFDMSVSD IFPVLAVGGRVLLARQQSLWSDLAGWVDAFAVNQLMTTPTVADMMLSSALSDGFLLAH LRDVIVGGEAVKRDILDKAPTEMVFWIQYGPTETTVVVTGCMFRGPTYYQPVPHSQIT TIGFPLRGCRVYILQPGTSNRVPIGVPGELCISGPQVTMGYRGGGDPSESPFVPDPFW AGQTMYRSRDIAKVHGDGMIEWVGRMDSQVKLRGLRIDLGEIESAARQLNGVQSCAVV KLALEDKETLLAFIEVAKSHQTHITPVTIQQHIAQNVPSYMVPAHLRLLDKPLPRTAS DKLDRKGIHALAQQLVDNGDLLSSCTSRIPPADLRPSPGTLEATLASYWGTILGIDQE VISLETPFSHLGGDSVRAISLLALLRRNNFQLNLTDLGSFSTIRSQAFRILCDVQPQK LPAYMQLTTRSTSRATMVLIHPFFGQSSVFDHVVPALSEQYDIVQVSDPFFGKPDGPA SLRDWAAHYLEALHVHLEQDRPVVLVGYSFGGLLVLEMARLLEMTGKGSPFSTVIVDT RCYDPDQPFFKDEEERQTAADDAVRLFGPGQTSMIEEHFDKHAHIWENSVCPDKYLGR SLYLATPEAVESGIVDWWRNQCPHIEVQRVECSHGEIFEPAMTGRVSALINGHCDLDF TRIEP AFLA_010590 MFSSDLSEEQLAKTFGKIKQGGLLKHKLMVLFSGADQSVPDWVD KEQLLEKWRKVTDRDGEVPIWDQEHSAVIPNASHALSNDDQAEPRKFLVEKVMGYLRG AEKA AFLA_010600 MLNCSDINQGNLHIHAKMSLSLAESTPKSKPSYPWVKLPHPYLT SYKIHIVSESTPRVLQLQLHDSSLGKPLPEPLHNNSLTYTDVSWDESAKEIPDNDNSP WARTRRAPGISFHWTSPEAPTLGQIWNVIHATFLTHPQYEILRLDLIGSGSEIIRDEC IRTGLTVPFPSRRVPFGNENKSSDVNTLVLLRSAFWQGAASPLGPRPIWAVDQDIHGL LRKSVSQYPALAQNYEFSMKFPEERIYARHPIRPTKPTPGSLVYSRYIPHLDKHFSLM AVDWQDEEHLRLFNKWQNDPRVAKGWNETGTLDEHREYLRRLHEDKHVLCLFGRFDDF KFAYFEVYWAKEDHYGAHYDAADYDRGRHSLVGESSVRGAYRVNAWWGSVIHYIFLDE PRTQAVVGEPKATNTTVLSYENAQGLTIQKYVDLGHKRSVHVHCSREKWFQLCPLFWD GRERPLESSDRMAFDAKL AFLA_010610 MSIEMQHPPVQGCLVSFPAPNILLLTLNRPKQRNSIPLATSAEI QRLWDWFDQESTLQVAIITGTGESFCAGADLKEWNELNARGETNEMTAPGLAGLPRRR GGKPIIAAVNGYCLGGGFEMIVNCDIVVASERASFGLPEVQRGIAAVAGSLPRLVRVL GKQRAAEIALSGLTFPASQLERWGLVNRVVEHGQLVATAVEIASAIAKNSPDSIRVTM EGLHYAWEIASVEEGSTALVDRWYPKLMAGENFHEGVRAFVEKRKPKWRASNL AFLA_010620 MAIQVDGTHNQSQHRNGSVGESSAGQADGDSFTSFEVVGLAADE PTTPTSMKEEVLLISWLIVLLRTRDSGQVQYEWAYKGRDNGAEQEPVKACLSMGELLT GLQDSVGQATAAISQHVKTVTSSECATVSSPASLLLSTGSLSQTSEDVKDEGAIHLET RFENGRLAIRPAWRSETMLEFTVTQHIKSLVDTVKMCLSNPDASIEACLRPTASDLDA IWKWNHELPPSYNFCMHDVISEQSNRFPDKVAISSWDGELTYGQIEQYSTFVAGSLKK MGVDVHDFIPVCFEKSRWTIVAVLAVMKAGGTLVMMDPTLPLARLQNMAKQVGAKAMV SSRNQHELATTIMSDGKHIVVEADAFAHLSNSEPLPQLPAVPSSALMYIIFTSGSTGT PKGVKISHETYTSSAFPRAKAVGYTEESRVLDFASYAFDVSIDSMLLTLANGGCLCIP SDEDRLNDINEVIRRMKINYAGITPSVARILEPDVIASLSALGLGGEAAAATDVTRWG HDTRIVIGYGPCECTIGCTVNSDTATGRDYISIGTGNGAAIWIADPNDHNVLMPVGAV GELLVEGPIVGQGYLNDPDKTAAAFIHDPAWLVSGHNGYAGRSGRLYKTGDLGKYDPD GSGGIVFAGRKDTQVKLRGQRVELGEIESQLNARLTAEITVIVEVIKPQSSGGQPTLV AFLASQSTKKNGDTEIKSAELSDDLRKTLSKADAEIAKVLPRYMVPNAYISVNYIPVL ISGKTDRKRLREFGATVDLQQLDQGTNNGPARELTDLEQRLRHGWGQVLKLDPEGIRP NDNFFALGGDSLAAMRLVSVCRAEGLDLSVTGTFGHPTLSAMASVVSIVDSKAKTETP PFSMISQAVESACLEASEACGSDPASVEDIYPCTPTQESLFTFSLKSVKPYIAQRVAR IPSHISSDAWKKAWGEVVAASPILRTRVAQLQERGLQQVVLKETISWRSSSDLVQYLE TDRKERMSLGESLARYAIVSDPQTETRYMVWTIHHVVYDGWSEPVILKNVSDSLQGQS IETQAQIRDFVKWVRNTDEVAMQEFWRRELKGAVGPQFPRLPTRDYLPVPNAMVERQI PLETGSGWPFTLATLIRGAWALVASQYTGSDDVVFGETLTGRDISLPGVESIVGPLIA TVPIRVRIPRTSSVESYLRDVQQSVVARTPYQHMGMQNIRKVSQDAQHACEAGTGLVI QPEPEYVGSELGFELGDVVREALHFNPYPLMLGCGIRKGGFRICANFDSSLVEIPQME RILAQLEMACLQLTKGLSRRVDEISCLPEAELDLIWQWNQIAPLSLDESSGRLRANTN IKQGSVYPPTVVSWVCDPRNPSLLSPIGCVGELWLEGAFLSGDAIESPSWLVAGSSNF RGRTGRVQPTGDMVNLQEDRSLVFVGRKENVVPVHGHAVDIADVESHFSKYLPPNVRA AAAVFQPSSDDTHSVTEQELAVFIEQEPFEQDSVELLSAQYDITCEGSDTQNLSTSVR ATISVSLLVALKRLSKFMQDSLPSYMVPSAYVVLDKLPTDVGEVNHSLLKQLASNIPK HVLTQLREAFQQAWTKNLGQTNMSLPESILRSAWAKILGISPEKIDVDDNFFRLGGDS VLAMKLVSSLRAQGHSLSVADIFQHMRLGDAAKVLKLGQVSQQKVQPYKAFSTLGHLD VEQFLSEIVRPKLADVTWSIQDVCPVTDSQALDVRATIQGPRTSIQYTMLYLDKSIDR EQLFRACNDLVKTHDILRTVFIEHESIFYQVVLNELEVPVAMHQADKDLGPYIKDLCT SHTESFHLGSSFFKLLHVEGNDGQECLILGLSHAQYDGMSLPRLLQDLETLYTGGKIV DFEPFSAYIARISDEDVQTKAINYWRNLLNGSSLSVLEGTSVQPTDKAIFHTKPVDVS QPLDLDEVTTANLLTAAWALVLARRLQKPDVTFGGVTSGRNIDLANVENVMGPCYQLT PIRVAFQSQWTAMDLLRFVQRQSAESAAHDFLGFNKISKKCTQWSSEATCFDSLVHHQ DWDDFDTMPFAGGTCKVDILNPDGDAPYPLKVVSFVRGGQLNVGVAGSERDAAFVDAA LDELAATVKELATRPSEHVLIDGHMF AFLA_010630 MKLGKKLAGYPSLLLAGNPSKVDIGRLLLGMVASAASGVPFPLI AILFGQLLDDFNAVTCDETESTGSDADYQHDINGKILIIVYLAIAQFVAIYIHLSCWS LNGARLAQRLRETYLQNLLRQEPSFFDDLPPGELASRLNGDIQAIRSGTSEKVGICLS TLSFFITAYVVAFIKDYRLAAMLISLVPAYFLMSFVGSHYIEKYSGRMSDYSATAASI ASEALSNTVVVQAFGASYRLEDKFSKALKASEQEGLKKAAAVGIQSGFLYFIAYSANG LAFWQGSRRVADAVGSDTAGATVGATFTVIFVLVEATLLLSQVAPFLHLFTAAVASYQ KLRADIDRQPQIDATTESGIRLSQAEGGFEFKNVSFTYPSRPEITVLDQISLSIPANK HTALVGLSGSGKSTIAGLVTRLYDPTEGQVLFDGHDLREVNTRDLRSFLSLVQQEPSL LDRSLLENIAHGLINSSNPSHAHLRTTLLSTGLTDLAAEVREGVDLMAAAEKRGSEVV EIVNLVRKAATLADADGFISALQYGYGTLVGSSGRLISGGQKQRVSLARALIKDPAVL ILDEATASLDSRSEQRIQRAISNIATGRTMITIAHRLSTITNADNIIVMHKGHIVEQG DHATLMAKNGAYADLVNLQTLGSAPGKKEKTASADNVSKSDQASLSDAGIEESSVSKD GLETAEKKEVLASAAPVTEPAEPAEEEEEPETPKKSMWALLRGYAPTLRPHLLFIFLA LLGSSIVGGAFSGEAVIFGNTVGSLNPCHSESYIRSAGNFFGLMFFVLAIIEFFANLV SWTGFGWVSEKIVFTVRVLSFRSLFEQDLQWHQSNGRSPALLLSYITRDGNALAGLSG SVIGTLFSITVNLIAAIILTHIIAWRIALVCLALVPLLLGAGLMELHVLGKFEERHEN AYTKSVDIGVEAVTSIKTIASLSLEEDTLRTYRRSLKGPRKETFQVTLHASLWQAMTY FLGNCVNALAYWWGSKQIINGNYTQTQFLIVVFSLLVSALLWSQMFALAPELSAARAA MARILGLIEIGSDKMQGRVPSRSPTISSSEEKDVETAETKSVYVSGNNRASSVQLRNI HFAYPARPDIKVLKGLDVDIRPGQFCALVGPSGAGKSTIISLVERLYTPETGSIVIDG VDVTRHRGVDFRDSIALVPQESVLFEGSIEFNIGLGARPGHEATIEEIKEACKLANIH DVIEALPEGYKTLCGPNGSQFSGGQKQRLSIARALVRKPKLLILDESTSALDAESEKL LQDGLEKAAKGITVIAIAHRLHTIRAADVIFLIEGGKCIDRGSHEELLERSDSYRANV MHQTVAT AFLA_010640 MLSSWQKKFLEKSDGPTEANPNPPEYGTNEDIHPGSKRPEEVVN DDVSREDVPDDTAQDGVAQAEAITLTWNKWSLGSAYILMWLLYFVNAFQSSITGNLSA YVTSGFESHSLIPTISVVSSVMSAATYMPLAKILNLWDRSIGFLVMVLFSILGLVLSA TCSNIATYCAAQVFYSIGFAGLIFSIDVITADTSSLRHRGLAYAFTSSPYIITAYAGS AASEHFYESNWRWAYGCFAIVLPVVAFPMFCLLRYNRHLAKKNGLLKPREKSGRTLMQ SIIHYIIEFDLLGTFLLAAGLVLFLLPFTIAGSAEDDWASAHIIVMLVVGFVVLIAFG LSERYLAPVPFLPYEILLSRTVLGACLIDVTYQVAYYCWFDYFTSYLQVVYGTSIATA GYISSIFDVVSGVWLFVVGFLIMKTGRFRWLIQGAVPLYLLGVGLMIYFRNPSWSVGY TIMCQVFLAFAGGTMIICQQVAVQAAADHNHVSSALAFLNCFGNIGGAIGGSISGAIW THTLPDALKRLLPDSIKSDWESIYDDLDVQLSYERGTPERQAIALAYASSQKNMLIAG TAIMALSLIWMFVLRDIKLTKQQSKGILF AFLA_010650 MFRSLSRLTGSLAHLRQRDDREAQRGKAFGVLCDTFTRLKDMSS VYVQPNEFESLPVKIDIKVLPINDVTIEETPRATARNDSNFFLPLSRESISSKYKMNL ESPEIRKRFSEVNQLTDGNCPALVKIGCWNEEEYLDSIVYQLMWEADVVPRMGTDGNS RPLRVVTGWKSPGFAFEARFEPCLHPYFPPYSEPAYCWVKGGLPHIKIAVYNTSNGDP ILLLRSEVVTIIAAIATRLMDEGLEKHLVIPVMLISFMAPAHGRVIIAHYDGHRLRIQ MSNLVPCSSDDRASWDFFARYLASDANPKADTSMFGGY AFLA_010660 MSSRCINALTERQNAQVARPSGLYVTLSILMGPKVTINVSFILH YSRVYGSSSTVTPSKGCLNSPGLYALAGVSSARLPAHRVPDYTYCLDIKANNIMFELA DDSVFIKSEDDELQNPSPRKELDIWNIFEGGSLFTGHDPEFKAYRSRAHLAEMINLLG PPPPSLLARGKQTHRFFSDTGEFREATLLQNMTPLDQRETTLEGEDKESFLRMMRRKL QWEPEKRSSAQELAEDEWICRQID AFLA_010670 MNGPQTAGDSSGLRLKVQLVLFHQSGREMVMMSLLSVPQAIPSL PYSLVQLSTFNHYRGYRAARDATYVILFLLTVMLLSLAAQSYTRRSKKASRENSAMSL PVMDLSKSSPSELPATEKTWDV AFLA_010680 MHRMLTDDRLYRVDADLLIPGKGDPIPHGAVVWQCKTIRYAGPR SEVPAEFQGATTTHVPVVMPGMWDCHIHFLGATAATMNAIVDTPQALAGARSVPDLHA TVMAGFTSVREVGGYGCDLAKAVGEGRIPGPNIYSSHSAISMTAGHGDVHGVHRDSLL DLCAHGLPLTIADGVPECLLAVRKQLRRGATVIKVCASGGVVSAIDDPQHQEFSFEEL KAIVDEAARARRVVAAHCHGKAGIMNALRAGCRTIEHGSFLDEEAVELMKEKGAILVA TRSVIESGLAMKDLFTPSSYQKLLEVADAHRKAYQLAISRGVTIALGTDQFISSDNPM IGYGRNGHEVRYAVDAGLTPLAAIEAATANGPLTLGYQAPQSGQLKEGYDADIIAVRE NPLENVAVLSNSKNVTHVWRGGMLIKS AFLA_010690 MTDYSSSPGSEPKAPSETVAEHQPLTAMEEKAILRRIDLCLLPL MFVSYLLQYLDKTAMSYTSILGLLPGTHMNTDQYSWASSAFYFGYMVASYPVSIGFVK FPIGKYLSIMMIIWAIILTCHAAASSFAGITTLRVLLGVFESAISPGFTIVVGMWYTP SEHALRSCIWFAGNGVASIFGGVLSYAIGHVHNRLGPWQWVFIIFGIITLVWSIFQFF VLPDSPLNAKFLSPSQRGPAYRRAQACQKTYQSREWKKDQFIEALIDPKTWFLFTYNF LVSLPNGGITNFSSLVIASFGFDTFNTLLYTIPMAAVALIFLLLSAVTCNRFRGLRCY WMIITLLVSLIGILLMRQLPVEKKWGRLVGVWLVTVFGAGFPLSLSLVSSNFAGFTKK STVTAILFIGYCVGNIAGPQLFKKNQAPHYYSTFAAILACFCIAVLDVVLLRVYMVWE NKRRDRKQGRVIEPETKEVEDSGDSTAGKEVGDVSDWQNPNFRFCL AFLA_010700 MFMTWSMKPEPASPRSDSSSTSFLKEPRRRVRTRNGCNTCRRRR VKCDESRPICTRCQRSKNSCSYELQLQWEDEMRTAGKCHGRTGVQSRRHSLQRPPASD GEFQRLTRARRKDMTHRQHDAGSVAIRSPPNTHSSPPAGRVTYYTHYEKWKRVTTISR PVPLLPWSIGMPDVDDVCLSFYSSVMCAVGVTVDDERFNPLRSTVMRLVFRTETAYYA VLMASAHYLRSVESRFELMEIQIRSEVLRGLRRALMKDNLDWEDFCDGSWVKHLACFQ LIVKEMAYGHRKAPPVPQFFISYFSAHLVLAKSVFSIDDVLPVGKIPTNSTNHSSLVN STNTEKVSWTSTKDLAKVMPADTLHEIDMWNGHSGHMLLIINEILSLKHDAQALRHQS SDLSTPQLAVQEKHAAIADKITTLEASLATTTQIIPVSLYKDRTSAESRHGFRLLKST SEAYRLAAYLLLSEAVSPRFLGYTPASTQSIEQLRDSAQRAQYVDRIFHLASYVVSSV DHLPISWPLWPLFIASCCCSRDEETRTRALEIFGSAREKAPYENIPRAQTLVELLWKR RDMQTESDNSVRIGRFEWESAMESLGWQTSFA AFLA_010710 MATWDGTPSRETLVIVGYTVPTVLLVASTVLRLFAKMSNEGLHL DDHLIILASALEVAYSVTILACGVGHGFGRHTATIDLKDLEIFLKVKAPGDPPDLSLA DNRRRESTSPVTFTTSSLPQPNSASSSYTTAFSLSPGSARQ AFLA_010720 MGALCRPLNAFWDRTVKGECFNSTALTYFVNSSNMVTDLVLFAL PIPVIVRVRTTRKKKIALITIFSIGFITCGISAARLAYVVAQGSADITWEGVPLGILS AFESLGGVLCANLPIIYRLFKTAAQKISSSVSGQKSKGSNLQYAYDSRAYGSKSHGRQ NRRSTDSERWIQMPNESDSTEMQTHVQGMSPEMKADGFEMGPIPRDGIAVQREFHTTV EERV AFLA_010730 MPNPRKAPRICGSKVKTGCITCKKRRIKCDETKPTCQKCTSAGH ACSYAVVPKRNSSPKLRMVVYRAPESRSPFLTSDEERQSFDFFLHQTRYRFPIDFTDP VLRAAHSDEGLARAIISFGALQQIYECGDDPSLLSPQGQFAMRQYGRALEKVRVWLGN GAKDLSLVYCLLFACFECIRGCRRAAVVHIKSGLDILLQCGEELAWDVVSRDTMISMF IRLDNQLLGLLGTSLSRTLKRDGERGSSILERVALGPNGEYVVHSLHGVLNSIFHDRL DMALIMEGHSPSVRPVDRRRTSRYLKEWHGRFVETMSSPGLSRQYGTSYVGGQDPDIM RIWYIIGKMYVAVPPDGSEDAWDQFRPGFETIVSLVESYLLRTRFVIFIRANWPVWVY AFLA_010740 MATEVGPCSVNSDSNSTSPNPWSWTRESDILFIDQPVQTGFSYD VLTNATIDYSTSTTTPTDFDDGVPLANHTFGVGVFGSQDLNGTANSTTNGARVLWDFM QVWINEFPEYESPDKKIHIWSESFGGRYGPTYAAYFLDQNEKIRNNEVTEISSPSPIT IDALSIHNGCSDITTQGAFYPEYAYNNTYGVQAITETQYEEAKYNWTKPGGCLDMAVQ CQELVQKLDPYNFGNNAEVNDACFAADEYCYENVLAVYALSGRDIHDLAEVPTTTVPL PYSDGFFNRAWVQNALGVPVNYTMNSYTIYNAFWSTGNALITRGNAMDHFSSIMERGV KVNLIYGDRDYLCNWMGGENVSLSIKHDQSAEFSSSGYADLITNETYVGGVVRQHGNL SFTRVFDAGHTVPAFQPETMFRVFSRIMNSKDIATGNVTLTEKRRETYRTQGPHSTFD RKNKLPSPATPLCYTLDMPTTCTDNQQAALLNDTAIVEDFVVKWPVS AFLA_010750 MDANRNVQAQGGGRGGRGGHRGAIKKLVLTRRIRYAFGERGHNY RNCPLMAGHMAGHMAGHMALNHLHQNTQPRAQPQPEPQPQDQQEDEEDVLEDDLVMNG ENLLTVTWRSPRLH AFLA_010760 MISLLQSPVRRYGLLAVTAIILLGTLYRLYFHSNPLPQVIRPSG SSPDNSNPKGKEKETGRYGHDDPEAVPHPIDGLIRNAEQEWDRLLTKQVYNLTDAAAQ YRQRRGRHPPPGFDKWVDLAQQKDAILIEDLFDQLYDDLSPYWALEPKVMRTQASGWE PRIMVRNHTLSSAGHTGVNWLEVWMDLVSTIADFLPDIDIPLNGMDEPRIIIPWETLS EYREKDRASQKLLDPATAVDQYMALPAYDEAHPNEPFKPPFDGPDRPFWEIMRDACPP ESPGRNSNIPHMDFANPPPEFFNYRNFSKTGYIEDFERSKDPCWRAELQALHGSFIEP TSTSTTHELVPLFGGSKLTVNNEILIPPAVYWDDDPRYSGGWKNQGGSWSDKKDIVYW RGIASGGRNRVDTWTGYQRHRLVSMLNGTEVSLTNGSKSAGINFRQPDYQYYNIWAGL DGTLPDYLNEHCDLGFLDLCCFPREDGKHCSYTDPHYKIVEGMPMKKMYQFKYLPDID GNSFSGRYRAFLLSTSLPIKATVYKEWHDSRLIPWAHFVPMDSLYMDIYGIIQYFIGY KGRNGHDGQAEKIALNGKSWAEKVLRQEDMQLYVYRLLLEYARLCDDRRDSLAFVGDL L AFLA_010770 MAESSSVAQPPLRIGTRRSKLAIVQAEGIRDSLQKTAPNRSYEI ETLHTLGDKDKSTALYNFGAKSLWTSELEEKLTSGQLDVIVHCLKDMPTTLPESCDLA AITLRDDPRDALVIKAGLPYTSLQTLPEGAVVGTSSVRRSAQLLRLYPHLRFANLRGN VETRLAKVDNPESEYTCMIMSAAGLERIGLKHRINQYIGSKDGGILHAVGQGALGLET RKGDSSTQELLNKLVDEKSTLACLAERALLRTLEGGCSVPIGVETEWVDQSEYFLRMR AIVVSLDGTQSVQDTIDATVRTKDEATVFGKELATRLVTAGADAILKDINTNRPPKD AFLA_010780 MSAIIDRLPLELLELVCDELDTRDLASLVGTCRDTYHRTINRLA QRYTEIHLDFSQDSFNHIHAIANNKVMRQQVHRLVVMTPEPYLGRDLQWQRSAAGHIH NPLQIPAIQRFRDDLVERLTNCRSFVISPVRTKFVPEEEDVNDSEHFNPDDAAGILLE IIADASLPMKLFWYGTGVNYTSNIMDIRRLPKNLFTNPTFKSGWAQLENLHLDHKLTP YNYSFILNMILHAPNLRKIYLSLAPRDMAIEFFSHLSQSPSLPSSLERIALCFTSVRA VDLITILSHSRQTLKRLILDDIGGLSSDGSKLHNQLQGCFPRLETIDFNKCQ AFLA_010790 MTKLNTKPITVWLTPSGPNPWKLKPYTDICPNGRVPAIVDPNTN LTLWESGAIIQYLEEVYDTDKKLTYESLNERQLLNQYLHFQMSGQGPYFGQAGWFNVL HHERIPSAIERYNDQVKRFLEVLNTCLEGKAWLVGDKCTFADLSFVPWNCRLDMLLQT PPGEDPLAKYPNVQAWHHRMVDRPSWKRCMEVRDKLMDDQGLMPNGMPKGINNIQEYE AEIAREAAEKGKE AFLA_010800 MDAAMSINVTPVVSVALVIVSTIVPILSLMSIILRFQARRIGRL KLAADDWWILAGWLLTFALSLTIWIFGSITGISKYKISVTTGIQRSFQCVFICSAILQ VSLSAVKISILLFYKRTFSIPKFQIATCIAITIVGCWGITFFLLILLEGDPISRAWTG KGHFRFDQVAMEFAQSATSIALNVVVLLFPLPMLWFLPMSPHRVWDLILVYVLGALCC AAAIIRLVLLHASIAKEATDLSVVHIHSKQLVFMIIETNCSIIAACLPCYGALLRGGQ SLASIVRSFLVIHGRASSRSDSSFSSLHSGKHYPIHGVRDLDADTGSQVELTGPADRW SRTTTQVTIKVSGNAHQHDECRSPGIVVHTELDISTKGADV AFLA_010810 MPLFKKKPKTPPTTNSAAFRSVEHLPSSVAFPGDLDRRFSVSTN EPVPPLPPQHPAHSNVVHRSQSHRRPNTLNNYSVVPPAVAAPPRIVDADPSDQSWQHP PPAERPEEHENPRRSRRNLFSLHSHSSSSQAPRESTGFLERRRSVRKTSPAPQQQEQR PSSVDTAADPHSPSKSREYVVDTTQDPSKSPVLQDQGIPHEPRPPRSPQNPSITRSNT DSTLLEHIYRPSPVEPKHPDELDPGPVQQQQPQQNQLGLPRPSSRQSLEPPSPLPQPP AYSDHSASQAAMSDRPPSGQRAPTATPPPGGHSSYPQGNNNQDVTPRNNAAQQPEPGR STPSSTRMRDEGEIDVRTLVQKHDELQAKYSKVKRYYFEKEAQVQQLQNTVAHQRMAV SRTVLDDNEYANRFGRLDGAIKDLAFAFRKDWKSLPKWLMGYVNDDAHITGTKEMTAI GRAVISRWLVDELFERFFHPALDPTFSRQLKSIEMNLRRQQANTLTDEDKENAIARIS NWRRTTLDGLTDALHGPAAEEHRCQLIDHLVDSLVANLVSYLGDPPPPGLDNGARMIV ENAVGIADKVPLESRDVCIEYIHPGTLLHEPNMKVEAGLPPLTNVSAESAAARRSGDQ EQLEGPPDEADRESSGSTSQEGAPGGPHPGREPRMRSVISKVMGRRPNQGAAPNPGGN GDMRPPTAMEERNSMGAGRIRFASFITAEVRGRGPMNVLVKAPVYLMD AFLA_010820 MATEWGGQGIGEDPNRPPSYPGQKLCERLSGRQGHWSGKGQVNV EFRPNDVVPLKDDTQVEYKGTYGRVCRSILTVGEETIVVARKEMRLSEVSEEKVNREV KILQSLRHRHLVTFIGSFKARGFASILVYPFATCDLEKLLEELDYAQYHEQKDTFRYS LIR AFLA_010830 MLVARDVPDKYQQLRLRLEIEQGRLLSWGHSFGLLQEESEEEDA SRISIPEQVRYMVQGYIEPLWQKVLHFTAETPSFVPRDKREETPSVHHGGMARRFRLD RMYAKARQGTIKFKDRVNWAYWQMDKLEDLVECMRHVNGSVIALAEVKTQQEIQETVK ATLMGLLSLQDTVNGLKELIVAVEEKRLDNRSSQMPLGEPNDLLLGLANLKKMRLQIG HDKNDGSLQSDLKIQPERVWPMNKMKYPSPQRQDATLDGKEGFWVEYKDYSSSDRIVG PTKDEIERHIVRELAALLISKLPSSFRVARCVGYYEEAGSFGLVFEKHAAHADQTIIP LSHCLSLETQMPSLGERIALAQGIVQTVFQLHVVGWLHKGLRPANILLFEDHNGGIAY DKSYISGFEDARPVAQPNLTEEAHSRLLNDDWYRHPDIQTVKPAHREFQYCMRYDLYS LGILLVEIALWKPVLDIQSNVGPGDIKSFILSHNTQCLQRIQFAFGRKYAEIVMNCLN PGEEMDDHTQMDSYIYYFEKLVVKPMKQMSVD AFLA_010840 MSTAESLLELARNARAECNTGQRKFSTALPALDALVSELEVYKS VMRKPVNSETLTNLESHLTPCAGALNVLLITRRKYRSEMSAFERAKWKTTDGEKFTDT VNRLQNATNLLRGTLRMTREVQLHRMAETNPKMAKEEARETTAETMKANAKPDTCRNG AGCRVIECKYSHPDAEPCRYGAGCTNSNCRFRHPKSRLCRNGAGCRKLGCTFAHPEAP DCRYGVGCTNTLCSFKHPSVPACRDGANCMVDKCKFFHPEVKPCRFGTRCTNKNCTFR HPPPPYTKEPTASAKSMDDKESKEPKSSEKGSSQKAKGKPKVKKSSVIPEKETSS AFLA_010850 MPCARTHSRGNCYCAMNISRAGVLYPDWLCTLPVTSSLYVANFL NRSILTFLTGNKTALCDTCGSRLNKLTN AFLA_010860 MTMSMNGRKFVQNFTYNLERDFASPAGEPAAWAPGHPKRWGQER AKIGLGGIASTPTLSPDDKLVAVGVNEKILVFDVATQASLEVLTDEKRVESVQFAPGV VDSRDGKHARYLLASQGGSDENPQVIIWELDEKGKLVTTTEPRLVFEGELGSFSSDGR TLVFFSQNETTQEESREAAALPCINLWNIETQSFRHHLLGHTDRIMWAAMSPDNKLVA SVAWDGIARVWDAWSGSCLHVLGPMGGQLWSGAFSPDQEFLAFSQGNPRTYIHVYDIA TGKQVSRFDKLHMATRSLSWRHDGTMLACGADDGTLCIWDPYTGEERMRWCLTFDDFL MCRFANTRAVQFVDGGKKLVFQIREGTVEVYDFERNLKQQFTRGVDDKIDRCPVSEMV CSRDSKFVVVPDMDGSLRVWDL AFLA_010870 MVSASQLLFCLPVLGALATPTDSTTAQAVARSPEFLEHMGALIA NATGEATLERRDATFKTSKDGVDAAGFYYSLYNANGAGAEYSESENSGQFKLSWNTNS EFLGGKGFKGGSPRSLSWDGQFQAEGDFTLAVYGWTTDPVTEWYVVEAHGTGTPGNGH ILGQVEDDGGIYDVYMLPYRNVPEIYGVTNFNQLWSVRREARHTGTVDVAAHFKRWQE LGLKPGNPVFQMVTAEGFKGSGNLDFTLQK AFLA_010880 MFRCSQCDKSYQRKAHLLRHELTHSGQAASVCPFCKKSFLKPEV ARRHSKACAIKYNQQPAPAAKPGRKRQSCDQCFATKRACDKGSPCSRCQSLGQQCTFE SQQESSIGSPCPTDVSLSPSVQTPTPSKEESQFSFLRHFTDPCVERDRLAIGTTAECS VRRNLESLYSHLEDALVPPDPMAANFGDIPGAEMFFRPPLITDEYFPTDLLSYGPTKL SEQLHELMMELIETSKSMRPDDTENPQLQLDIAQLAPLFTVSNVAIFISVFFHSLYWH LPVVHFPTFDPGNVSNPLLLSIFLTGATYSSSLDEAALLPRVLDVAEEHVFRKITTLS TQVAPPTHDLTRQIPTIQLLQAALIIEMLQFGQERVETRRRIRIIRHPSLVSLMRCLG IFQLKRSAPPTVCTGDDSTWRALVTEEVCIRLASWVFLADGFLTVCFKNRPTISIFEM ECPFPWRTELWEAENASAFSRIAATDEAKLPMPSVREAIRLLLDNSEPGPLPSSLPLS AEHLLIMIYALNSLAFLARTDFFGSVPLKKIKSAANKWKQIWNSVYQDSNNDQMLLLG YPKHAEELWLLLTTMLDIATENSTKLPYLDNAATDDLGKLNEFIQWCCRDT AFLA_010890 MDVLLDILDTYVFDRFYAAILPATNPVTAPAGTYNKHIGLYYPL PPSPYADSSTWKRDDIVRQTMSLFLIGWIFATALYLIGSTIIYHTLFDKRVMRHPHFL PNQIRQEIRQGITSIPVIAVLTAPFFLAEVRGWTKLYDFADEAPFRAYNWLQYPLFVC FTDCGIYWIHRGLHYPPVYRWLHKPHHKWIMPSPFASYAFHPVDGWSQSIPYHVYPLL FPLQKSAYLGLFVFVTMWTVFIHDAEYLSQSVVVNGAACHTMHHLYFNYNYGQFLTFW DRLNGTYRTPKEDGFIQNENRKAQALKQD AFLA_010900 MVDKCGQSMLMSIMPMGSSPPNRCTATRHGVRRDFVVLKQNPIP STASDVILSRRQIEALIAEGQSIIIVNQKVLRVDAWIPYHPGGQKVIQHVVGKDATDE FTIFHCEETRQMSERYQIGRIEGRWANFVPPIQGGVFRSEDEEDDESTILVKEQQDSS STGLEVAAVDSGIHKRGSQPEPREDMATQDEMAFLDTETKEEIKLTLSKYPALDDATQ DEIIAKYRLLHKQIHAEGLYTCNYTAYAWEFARCSLLFSIMLFFLHIGWYNTSALFLA WFWSQMVFAAHDAGHIGITQNFTIDSLIGMTIAAPIGGLSLGWWKRSHNVHHIVTNAP EHDPDNQHLPFLAVNHRFLGNIFSTYHERLLAYDKFAKALVPYQAYLYYIILLFGRFN LYVQSWLFLAKGQGPRKGPAKWHRWYEICGNVIFWLWFGYGILYKSIPTPLSRISFVL ISHMATCPSTSNSPSPTSQCQQPTSGLTNPSPRKCSAQPWTSTVALAGLGPRRTAVQA IHHLYPRVPRHNCAGRRRWLWSLSGCGDSIRTLWFCGWESEGLGWVGGGGQTGGYFGE VSQDGC AFLA_010910 MGVTKTLIAAGDGVNFPKKNETVAMHYRGYLYDPSQPDNKGKQF DNSYDRGQPLKVAIGTGRVIKGWDEGVPQMSLGEKALLTITPDYGYGAQGFPNLIPAN STLLFEVQLVAINNKEMPKP AFLA_010920 MRGEPRSTYEVALDKSTLCDSVQKERTDIMLLYLGMKAAVPYTF NGNTFCHWIGAEDEVAVGPNTLNPKLGALQMY AFLA_010930 MSQRSNGPYRLIYWPTIPGRGEFIRLALEEAGADYTDTAHEENG VKTVLSLIDQNFVGDQSGLPPFAPPILEHGDLRISQTPNILLYLAPRLGLAPDGDAIY HVNSLALTALDGLSNEPHDTHHPIAVELYYEDQLEESKRRSESYRKNRLPKFLSYFER VLKPQAAQGRPWLYGETLTYADLVLFQGIDGLKFAFPRALSRLEKSGEYSNVFKLYET VKNRPRIKEYLASDRRLEYSQGIYRHYPELDDAE AFLA_010940 MSSNPEIEYGFTPVISSARALLSAAKPSTPAPFISVADNPIPKT ALAQRIDLYARSQLPEPTYNHSLRVYHYGLAIKRHVFPSWSFTDETYFLCCLLHDIGS TEENLNKTKLSFEFYGGFLALDVLQHGTGPFGNAVAPRDQAESVAEAIIRHQDLCEEG KITAVGQLLQLATIFDNTGAYADLVHPSTIDEVSRRYPRKQWSTCFAATIRRENELKP WAHTTTLGEEAFPSKVLGNSLMEPYEQSDNLEPYIY AFLA_010950 MIDAASVVILIIGLIVARLVTNRFRLSGIPGPSLAAYTRLWKLY NAWKGDHHHTEIALHRKYGSLVRIGPRHISVSDPKAIPIIYGVNKGFTKTAFYPIQSI SWDKKPQMNLFSTRDELFHRDRKRPIASAYSMTSILEMEPAVDSCTELFLSQIRKMVE EKAPIDLGMWLQYYAFDVVGELSFAQKLGFLEKGEDVDNMIEAIRGMLTYAMICGQIP EAHKVLLGNPLFPILLPQMETWNQVVVFTLKAINRRASLQRDGDLGKDEIDEAIGGKD MMSRWLAIHNADPTRLSTRDLMVHLSANVFAGSDTTAIALRSILYHLICHPDKMAKVR AEIDTADQEGKLSNPISYQESNTHLPYFGAVMKEAMRLHPSVGGNLERHVPPQGVTIC GHYIPGGTNVGINPWVVHRDPIVFPQPDSFIPERWLDSTPEQLKEMEKAFLNFGAGSR SCIGKAISLMEMRKILPQLLREFDIHLHQNKSWKTRNVWFVQQEEFICDLTPRVRS AFLA_010960 MALVCRSTGSRRKKGRQGRSANVLYELKTNTNSDAIDENQLSGV TPVESPNDIPPTGYVKRSGLLNDGLVQSCAAYFYSRMLGTVPILHPDKFQEQVERMDE CPHAYCLVVAFCAFVLTQTGYLSCHQGTGPDMGRALLDEAMAARRHLDPFSAPIRLGI TIAFLLYGCHIGCGNQRQAYYFLREATTFYTADMLDQSGDEDEPCFSGNLFWLLLISE RAHAIRRRRPVTLQITSTSPTLDTSPIDPFSLGFSHLVDLYRPFDESFLAIWNGSQPI CTRESLVHLEEHLQRSVPADLDLPDILLADLRVSQQWLRTMIWQLATTAGFLSSTPTH PSLDFRYPLQIARDLSLATWKLSRESMETHGVGLIEKIFEVACTLTDVMACLSSTGLR SSGFEMGPQDYLKHLCSLVHSLHGGRKRFLPLLMAKVEHTLPAMVMPISRHLGLEDLG SFDEGEKKGFDAVGDVERDLNWAEMSRIGDMTPDIYDTSLL AFLA_010970 MTTPDAIEANDGAQRKSSLVQGKRAAQQEQSMTLWQAIHLYPKA VGWSVLLSSTLIMEGYDLALLSSMYASPAFNQKFGRQASDGKWTVPASWQSALSNGAR VGEVIGLLINGLVSERLGYRWTMVSALTAMIGVIFLFFFAVNVQMLLAAEILAGIPWG VFQTLPAAYASEVCPVVLRPYLTTFINMCWVFGQFVAVGVNRGSIQRDDQWAWRIPFA VQWAWPLPILIGCLFAPESPWWHVRRGNLSGAKRALLRLTSSDPAFDPDATIAMIQHT NELEMSATKGTRYRDCFQGVNLRRTEVVCGVWLVQTLCGQNLMGYFAYFCVQAGLPTV RSFDLSLVQYALGVIGTLGSWYLMTIAGRRTLHLAGLTSLFTLLIITGSLSFAPDSNS GAKWAIGVMLIIFTFCYDFTIGPVTYCLVSELSSTRLKAKTIVLARAGYNISNIVVNV LTNYQLNDTAWDWSSRSAYFWAGTCLVCLVWSFFRMPEPKGRTYEELDVLFEQRVSAR KFADTVVDPYADAVEVRVDSAKE AFLA_010980 MFRRFPLDGKLKKLGELVDMGDVDSYMLCSSSYDENLALENWGT CEKWRIWGSPQSARVYPASFKDSNGDGWGDIPGLISKVPYLHSLGVDVVWLSPMYDSP MHDMGYDVSDYENVLPAYGTVEDVERLIDACHERGMKLILDLVINHTSDQHKWFQESR SSKDNDKRDWYFWRPPRYDEQGNRLPPTNYRGYFAGSTWTWDEHTQEYYLHLYAKEQP DLNWDNEATRKAIYDSAVRFWLDKGVDGFRVDTVNKYSKHTDFPDAPVTDPKSYIQPA IQMWCNGPRIHEFLREMYDDALQPYGDVVTIGELANTPDPEHVLKYVGASAKQLSMVF HLDIGHIGMGKSLEDKYIFNPWQLTEMKSIVTKWQSFIEGTDGWTTAFCENHDNGRSV SRFASDAPEHRERSAKMLALMMMAMTGTLFLYQGQEIGMINAPRDWSVEEYKDIEGRG YYQEAERQVAAGVDPTRKDRIMDGLRILARDHARLPMQWDDSSHAGFTTGTPWMRAHD LYPEINVQKQADDPESVLSFWRSVLRLRKEYRELFIHGAFEVVDFDNQQTFCFFKSRD EKKALVALNFTAENQPFTQATTTEGMKLLVSNYAELLPETLRPFEGRIYISQ AFLA_010990 MDTAYDFVVIGGGTAGLVNASRLSEDPSISVLVLEAGADLTADP RVNIPIFYAALLGSDADWKFQSSPQPGLNGRVLGLNQGKALGGSSSLNAHVFVPPFKG AVDAWEELGNLGWNWSKLKDYFSKVYSSPTVAQDAKENLAIEDWPGLNEAKGPIQTSF GNKTHPIRRAWAELFRSSEQYNAGDPFIHSSVGSFSCLASIDSEGKRSNSASAYYKPA ESRQNLHVLTNSFVERVLFDESKPPRAIGVQYNLDGVSKTVQAKSEVILAAGAFQSPK ILQLSGVGRAELLEQHGIDIVMDLPGVGQNLQDHMILYTAFQAKPELETKDSLVRQEP EAISQAMQEYAATQSGPLASLGVHTYAYLPLPEPDRSALQTLFTNDAPESSQHRATQA YYDIAKTTVLDPRQPSAAYLSALGQTNYPKDLKDGTIPAASLGKFVTLGVMLSQPLSR GSVHITCNNPEKPPIIDPGYLSNPLDLEVMARHMLRIKELAESPQLGELLEQPLKFRD PDADFQGDLDAARKYARDNLVSMWHFAGTCSMLPREKDGVVDSHLKVYGIEGLRVVDA SAIPLISTANLQATVYAFAERAADLIKQEWKSKYIRR AFLA_011000 MVQLKSSLLLLNVAIARSALVWDDTYFYGQSPPVYPTPNMSGAG SWGHALSKAQHLVSQMSLEEKVSLTGGSKDSRNAGTKASLISKQRLLEANLGGKAPLL PLVHRLSVLLDELRLGGVIGKATPMIHILAVFWQPKRFGVYRIMVSSHVRSISWATSK NCTGTHDQEDQGTGPLRPLPVTWMTKPCTSFICGRLLTLFTLALQVLHTGIASALAGL DMVMPSGNKYWGAKLIEAVRNGSVPESQITNMATRIMAAWYLSNQDDPSLPPVGAGIP ADFERQHPVIDARDPHDESLLLEGAIQGHVLLKNVDNALPLTNPRILSIYGYDAKAPD SNFAQAGLNDWTLGLESHDSRTVVCGFASIGGDCPDFPPIAINGTIISGGGSAAVTPV YISSPFQALEARARQDKTQLFWDFKGTEAVNSEADACLVFINAASSEGVDRPALRDDY SDGLVLDIASRCNNTIVVIHNAGVRLIDQWIEHPNVTAVIFAHLPGQDSGEAITQILY GDVSPSGKLPYSLPRNESDYGSLLTPVTFTDWDQLFPQDDFAEGVFIDYRAFDMKGIA PRFEFGYGMTYTTFKYSNLTIRWTVDPSDLLEYPEGPVIPGGNADLWEDIAAVTADIT NTGQIEAAEIAQLYVQIPGEGQPLLQLRGFDKVAVPPGETRTVDFNIRRRDLSTWDVA AQKWKLSVGVQYPVFVGSSSRKLLLNGTLYL AFLA_011010 MSYGSSEWQSWVLNEKDALPLLKHAYDKGINTWDTADIYSHGRS EEIIGKFLRQERIPRDRVVIMTKCYFGVDDQGRQPPNRATIHNDGQEWVNRVGLSRKH IFDAVEASVRRLGTYIDVLQIHRLDRDTPRKEIMKALNDVVESGKVRYIGASSMAAWE FQSLQNIALQNGWHQFISMQNLHNLIHREEEREMIPYCLDTGVGLIPWSPLARGVLAR PWDSRSTIRETTDMSLKSIIRDRESLADKSIIDRVEEVARRRGITMSQVAIAWSLSHR TENPILGLNSKDRIDEAVAAIGVRLTEDEKRHIVFFFASVLAASLGISVNVNSSNLRE VYQFPNGTWVENIALRSNGNLLVTLVNIPEVWEVFPSAQPGASGARLVHHFTNEGMST SITEHSPDMFALITTNTVWKMDLNAGREASQVRVATLPAGNLNGMATLDQELGRVAIS DSEFGLVWVVIYILERSRSSSGTKPHGEYEGRPIASI AFLA_011020 MAMQPFTRLIRFNKLAPFFDIVVASPAGGEPPLDPYSIESTKDD PECVTFLKERCSVCKNTVKLDSLLAKISEFVGTFYVGGHGQK AFLA_011030 MVNFERKTLNSYLSCSPQTDILINLSRINVLRAAFQNAAVLGMT PEWMCQDDTLSIFSTYGPWDMEKQGSIAPGLRPTTLQREIPHQLKLDISPFPRMRDNL IRLGDQLDDEEFAKEWGSFL AFLA_011040 MLMLLPMPWLLRVKTSWTRRLQLVGLFAIGLLLIAIAIVRLPSF DDNTSQLNRNTWGSVEEFLAAFVANVPTLYTLRRRADKSYPTYYSHGASEGRMGGSRH PPHNEGILVTNSVQLEYMVDGRHKSQGSDHNVVRQDSNEQLVGDERWR AFLA_011050 MSMDSTAAIVLVGVFGALSQALMVLRLVMRRCRGQRLILSDYLT IACIGLVLARSAFAAVILVWGNNHMDRPVADISSTQIYRRQVGSKLTVVNRLVYNV AFLA_011060 MPHVCQAFMAVVLASTLLLSHVVHCIRGYSNAAPHFRDYFYVGG QYVHSNSSGVGSYFHNQMYVEKLSPVRERVQPYPIVFVHGGGQTGTTGEMGDPFFDAY YMSTVQSVSDSRVQEETMKVAGEKLLDRIGPAIVITHSQGGLYGWSWADSRPDLIKAL IQIEPKGPPFREAIFSKEFSRPWGLTSIPLSYEPPPSNVSSPLTMKNVPAHSPGLLPC IIQHEPARKLLNLARVPILISTGEASYHAQYDHCFIKFLYQAGVPAEHLELGHAGLHG NGHLQFMEMNSDDIAQVLHDWILIKVNGTF AFLA_011070 MEVFLHHVPADLNRHGFKRELQPFMKTLRIQDFICEKPRKKRFG TITFLHVDDAERFLQAHGEKPNPLGGFKSNLKLMGVSVCCKSSRYPPKPFALRTLEHE AQERAKGHRERPEESVVFGMQQYSCGRCDFVGEQLTYNPELQWSARGTIKFKTRSMIV HVIPDCRIRIPLSTIVSLIYSTDGTLTVTLSDVPFFFKVIGTSYNSLGIESSRVRLSN LGNGHDQIVGQCLVYQFKVSVVDFRANIEKLKDWEITIYRYNLTTARPLLCSQAVSVE FHKLLSELAECTRNRSVPFGILFQLQALAQNAYLHPTTSRKLAEGLRKRFAEDEAAGR DPITVDGMRKLFNMIGWPFPGDDPRVYEVDFLVATLEANHREIQDGFAYREGLHENTV NMTKVHRVNVTPTRITLHGPEMEPQNRILRKFPNHHEYFIRVQFCDENGEGLLFNANV DYKDIFGRFIDIMTRGIQIAGRTYNFLGFSHSSLRSRAVWFSSPFVDDNGHMQTYFSI VSAIGKFSHITSPARCAARIGQAFTETPFMVPLEKHGVLVSTIPDLTSPDGSRVFSDG VGAISREVVASIWADIPLKRGNPTCFQIRLGRAKGMLAADSRLRTAVIQIRPSMIKFD SEDMKNLEICNMASRPYPMVLNRQVIKILEDMGASKDWFFQMQNEELTRLQSITVSTD KTARFLKDKSVAECIGLYRLYRQCYWTRLNYKKDGFLRAIVEAVVLRELRLLKHKARI PVKKGMTLYGVIDETGFLQEGEVYVTFDRMEGRYAAPPGPGHILVTRSPALHCGDIQR AQNVIPPEDHPLRYHRNCIVFSQKGSRDLPSKLSGGDLDGDLYHVIWDPELESVETFA PADYPRPTSIDIGRDVRVDDMAAFFVEFMRSDILGMIAIRHMVMADQAASGTRDTSCR LLAGLHSKAVDFSKTGIPVNMEDMPRVNRYRPDFLAPGPQTRLYNKSKIGLEQHVSHA NYDDDDDAIISAMDTYSDHPTKPISELEVVIGSIINRRGVQTRRQSDRSNKLHEEFDR IATWITSIMRRQEIEDPDPNGLELSLACLYHGIQGSDSGHRKEVYGELKSFRVVAACA LLAELDHRDKADPKNCFTM AFLA_011080 MVHLSPLLRPLAAFSFFTSLASTESIFPDCSTGPLSKNNVCDTS LDPVSRAKSLVAAMTLEEKINNTKYDSSGAPRLGLPAYNWWNEALHGVAEGHGVSFSD SGNFSYATSFPMPILLGAAFDDDLVKQVATVISTEARAFANGGHAGLDYWTPNINPFR DPRWGRGQETPGEDPLHLSRYVYHLVDGLQDGIGPERPKVVATCKHFAAYDLENWEGI ERYAFDAVVSPQDLSEYYLPSFKTCTRDAKVDAVMCSYNSLNGIPTCADRWLLQTLLR EHWGWEQTGHWVTGDCGAIDNIYADHHYVADGAHAAAAALNAGTDLDCGSVFPEYLRS ALQQGLYNNQTLNNALIRLYSSLVKLGYFDPADDQPYRSIGWNEVFTPAAEELAHKAT VEGIVMLKNDGTLPLKSNGTVAIIGPFANATTQLQGNYEGPPKYIRTLIWAAVHNGYK VKFSQGTDINSNSSAGFAEAISAAKEADTVIYAGGIDNTIEKESQDRTTIVWPGNQLD LIEQLSDLEKPLIVVQFGGGQVDDSSLLANAGVGALLWAGYPSQAGGAAVFDILTGKS APAGRLPVTQYPASYVDEVPMTDMTLRPGSNNPGRTYRWYDKAVLPFGFGLHYTTFNV SWNHAEYGPYNTDSVASGTTNAPVDTELFDTFSITVTNTGNVASDYIALLFLTADRVG PEPYPIKTLVGYSRAKGIEPGQSQQVKLDVSVGSVARTAENGDLVLYPGSYKLEVDVG QDFPTATFTVSGKEKVLDEFPEPQQNATSAVTRWGR AFLA_011090 MTSSTTPSADEKHVDIKSAATANPSTVKGDGALQLLAAGSEAGT LDPEASHRLVRKIDLYVMPLICIVYFLQYLDKIAISYASVTGLRESANLHGNQFNWVS SMFFFGQLALQFPTTRLIQAFPLARYVAFNVTVWGTILACMAACHSFAALMVCRTLLG AAEAAVVPAWVVFTSQWYRKEEQAFRVGLWFSMCGFAQMFGGYIAYGVAIHIGGDPTA SLRGWQVIFLILGLFTVVIGILFFFILPDSPVTARFLSPEEKALHAERLRSNVQGIGS NVFKKAQVYEALKDPNTWLYSFWVLAANVPNSIATSFGNILVSGMGYSQTQSLLLVTP LGAYEVVALIGLTYLAMKSEQRLLWCIIGHIPSIVGAILMATTDKAPALVGYYLTGGI PIGWTTILGLTSTNIAGSTKKITVSCIQTIAYTVGNIISPQTFQAKDAPQYLPAKISI VILYVLVTLDLYLIRWLSIRENRRRDQEKEALGDAYVVPQNHEFLDLTDRENPEFRYA I AFLA_011100 MHGVLQFRSTNDEGSFRPYRRRNAKRTKSGCLSCRVRHTKCDET KPICGGCVRNHLLCSWPNSGKTISPSPSAESDRPEQSQNVTSPRRLSPNRALSLWPRL NGRSGEQRLLQHYIERSVQRLVVRDVINNPFLAYMLPLAQQNDGFLHVVFAISASHLS YDDQQSHIVALSHYGVALRAVKYLITEYGSGYHHNPVVIVMLLLALCNFEHGIRLVQI MGCHRSRRRFLWSVWN AFLA_011110 MLQKHPESPPFFDFIVTPETTQTPPKEDCGVRTTTSPAIKNAPL PADGAGNDSWSNLLLFSLLIGVPWFISYQLGGGLYFGIFIAVCTAIPILMAFWTIFSS MSPRMNEKAKLPGRPVEYYLNFHKESDRARYNGKKKIPIEVFYEKYFRGEVEFKNGDC LENLEYRHDWASFSFSWGVFKHFLFGFVPELIVHSRSQDEEQVSGNYDRGDDFYAWFL GPRMIYTSGLIGDINKEESLEQLQDNKLTTVCEKIDLQPGDTMLDIGCGWGTLATFAS VHYKAHVTGITLGRNQTKWGNTTLRNAGIPESQSMIHRLDYRDSPRVPGGYKKITSLE MSEHVGVRHFRGFLKQVHDMLDDDGVFYLQYSGLRKYWQYEDLIWGLFMNKYVFPGAD ASTPLGWVIDQLEGTGFEIKGVDTVGVHYSATLWRWYRNWVANREHVEAKYGPKWYRT WEWFLSWATIVSRQGGATCYQIVLVKNINSTHRVDGIPSQFGISGAIRSAIEKLGKMP SAFIPQATV AFLA_011120 MASQSNIGSSKTVDVVVIGAGLSGLRAALGVQAAGLSYAVVEAI DRVGGKTLTVPSKKSGPGVNDVGAAWINDTTQSEIYKLVQKYGLQVETQEIPGHDIFQ SPEGCVLFKHGELPVREEDKAALAQVLAHFNDLVSDVNLEDPGSGPNAKELDSVSLQE YCIQTFQSELIAGLFDTIIQSLIGVEAKDVSLLAFLISLKAGTGFEAVTSDGKNGGQQ LRVRQGNQTISQNMAKELKPGSIHLSSPVTEIKQDPATGACTVHTSNNTTFHAKKVIL SVATPLYKKITFDPPLPEYKQLLVKENKLGYYSKIIFVFDRPFWRAAGLTGAIQSQTG PFTFTMDNSFPDDEQWSIACFTVGRRGREWSQLSQEERRQTAWEQLRSALENTDLPSG EKIKVPEPINVLEYEWTKQDFFLGGPEPGSPPGVISRVGKDAVRKPFGSVHFVGTETA LHWKGYMEGAVRSGDRGAKEVVEALTK AFLA_011130 MAQHTADKKEHMEAGGKRTFNQGQGPRSEQDSESNAEHVTHRKN KSKSGGSPPMKPSQIMEEHPEHNPADLGDSEVVHSSTKIEPAQNPRTRDGKVKQMESH IVALARGITELDNDRKRLQAQVRSARSGSWVTKSSRQIREELSSLEAAMRQWAENYSV AEIRALDSLSIEEKDAILERLDGYCAQVDWTTLIEKSPTMADKIPALLMQALLAKDIF GSIFANPFFAFGESKEPSTAHSSQTLSYLYASMLEVNKEEAHIWRSQTLRLLATPPPN SVHNAPLRAKVEAITSELAIEFLAGPVRLLFRHRNDSWAIQRNQELYQLYHTAGELAI SLWTQRSFMRCYCMDELPVFRAEHPVMSAHALHRQHGNDAKLDGKNALIIVHPAIVAF GNEYAEHYDLCKVWAKGIIIVDEHA AFLA_011140 MKASTVLLCLFAAFAAATEGDPTVADETPTPTQSLRTVAPTRPI KLYDLTPEEQRFVFQYMRDHGFGPYPGTVMPGGWRWPDKRDGSLQPETEFNPDLSKLM HIMDTMPKPQGKINHYIGEESKGKDDSNEDDEEDEDEDDGGDDEDTSPASHLGKRKQN PCKRGCRADVHCCPGDKCFYGVCLGPQIPPKESKREVYERDAPVDETQTSGEDAENVD EDDSADNADTSPASPLEKRKQNPCKRGCIADVHCCPKDKCFYGVCLGPHKPPKQAKRE IYERYAPSDETQKSGEDGEDVDGIRFEGQRDDRCARYCENDSACCKSDKCVMGVCLGV GEFP AFLA_011150 MSKATVTDSVSTVNDVAQLPKLSQRYADESYKLFSKVSVADPTP EEAIKIRNKCLWRILPFLCIGYHIMYVDKQTLGSSAILGIMDDAHLNSTQYNWLSSIF YFGYLLAEWPQNWALQRFPVAKWLAINLVVWGGITLLHIPCNSFATLFVVRFFLGVAE ASIVPAFLLILSMFFTYQEQAVLMPIMWSIGNASPITSGLLSYGVLWIKTGTFAPWKW FMVITGGVTVVFGLAVWLFFPDNPLRASFLTPEERAQAILRIKDNHSGIEQKQFKKYQ FIEAVKDPKSWLFFLHAWSQEMANGMTNQYSLIINSFGFTVLQTTLLGCVTGIVSFFS LASAAVVLAKTTNCRAWISLIAYIPGAISSILLLSLPWSNRWGLITGIWIRSTVGIPY AVVMIWAANASAGHTKKTTVIAMYHIGYGLGNILSPQLFQPRWKPRYRPTWIILLVVA CILPSLVIVYLRWYLSKENKRRDLLQSETLVTDTGVVEEVDNDGTKHARLVDKNQLDL TDRENLSLYVLCSSNPFYLPLTVGLLLVDMSFNVEIHFGVEYRHLFLKTIHISLFVPE VSYDIYLA AFLA_011160 MSQEKVKVIIAGAGIAGLSVAVALSRLPYIEVELFEQATELREI GASIAISPNGLRSLEKLGVLNALDEDVAFRGPSGIPMIYRHWKTNKVIHHDYFAEVTV RHHETARFHRGHLHAALLEHVSSEFIHLGKTVVSADASNDKVTLHFADGTSAHGDILV GADGIHSEVLRPRSSAVFHRKGLATVDI AFLA_011170 MELTIPAGKNQYTTVGSFDLPVETDGRIRNVKWDDEENVEVLRQ RYKIAADEPFLATQDWNPVVKALVNSTPYTRIYPNYAGEPLPTWVFDSRVTLVGDAAH THGGAFAAGGSLAIDDAYALFLAFRHALGSSRAQKPKAYEVRAALALYDETRRPHTER LLTIVLKGIGGQATNTDSDEVLVERVRNKPNTTWLSEHDVEKAFQSVLQKRSVTGENL VEQATSKL AFLA_011180 MPVVHLPTSTTKTPSETVSALKNETDSSSSRKIAPLGEPFSGRR LWFQKGQKYDPDAIATQPSVYDNPDIAQEYQPSEDWENLHRFDPSARWTWREEKRVIR KIDARIMIWTAIMFMALELDRANLTQALTDNFLKDLHLTTNDYNLGNTVFKLSFLCAE LPSQLVSKWIGPDRWIPTQMTLWSAVGMAQYGLQGRSSFLACRALLGLLQGGFIPDVI LYLSYFYKSHELSVRLSFFWTAYNLADILASFLAFGLLRLRGVQGQAGWRWLFLIEVR ITTPLKP AFLA_011190 MCVQASGIIASNIYRQDDAPRYKRGNKVLVALVVTNIFIYLFTK AYYVWRNASRDKKWNAMSEEEKRVYLATTKHEGNKRLDFRFAH AFLA_011200 MAPSVADSTTTPVVPIVEGDVSAAKTRTLQREPLKLSGALDSFD SFDVTPVIGREFPSANLAEWLRAPNSDELLRDLAITISQRGVVFFRKQDGLDDDLQKE LIQRLGLLAGKPSTSGLHVHPIHNAGREHNVKDNEISVITSKNHDQLYKGRLHPKKQS ARREWHSDITFEPIPSDYTVLRLTELPKTGGDTLWASGYELYDRISKPYQQFLEGLTA TYAQPGFNRVAKENDFEIFTGPRGAPENVGDKLEAIHPVIRTNPVTGWKSVFAVGVHV AKVNDLSEEESDHLLRWFVSLIVENHDLQVRLRWENPNDLAIWDNRSVYHAATYDYKE KGFGPRTGHRVVGLGERPYFDPKSTSRREALEAEAESS AFLA_011210 MFHEPLEALLIAKVRNLTLQANQSRQYALRLMFAKIVVGAKDYR SKNCIDTLIDWITYYNGKGKHVGWFIELALEMCLGTTPIVIASEHTFDTPTFRKVCVV FKVYDLDMFDNGLVLGIAAVNHDIVWLDI AFLA_011220 MANNTKEFDFIIVGGGPAGCTIASRLASCSEKPRVLLLEAGKHN DSEDLMVDGQRWATLQQPGMNWGYTTVSQEYCNGRQLDYSRGRGLGGSTAINFGFWTV GCRGDYDRWADLVDDPRFDWVHMQARFKALESFQTEDAEASYGDYVAPRRDDHGQHGP LKVGYAKLWERDIVPMLDIFRDAGFPITRDLNSGNPLGIGPVINSCYQGRRTTATTLL QNSSNNLTTITECSVERLVLEGKRVIGVEAAGARYFASKEVILCAGSLDTPKLLMLSG IGPASQLAKHGIPIICDLSAIGQNLKDHCHVPLAFRRSKESNDRHSFYGEPTASQEAL ETWRIDGTGSWSIFGCQCVGGWLKSPSVVDSFEFKQLPRAEQEFLNGETVPHYELVSH FPFHMLIPGVSEDFSYVCLVAFLMNPQSRGEVTLQSADPTVPLLFNPRFLSHPYDRRV AIESYRDLLKLSAHPSFSKDTIGDLIRPQGDSDEAILEFWRQFVSSTWHMTGTVKMGR PDDPDAAVDRSFRVRNLEGLRVADMSVVPVLPNSHTQVTAYLVGATCADVLIEEYDLS YQV AFLA_011230 MDLESVRDPNGRTPLMAAICSGSTQVFMMMFNKISVVDERDYEG RTALFIATQIGNLQIVHLLLRWASSIDYSNLQGDAIDRGHPKSEYGSLLSITDLCDNN LRSVLFYAPLCGHELVVNILLERGANIENTDIEGRTPLAGAARNGHAEVVLRLLEKGA CPEPEDRRDSIKSAASQTAYGSMLWYSGNETGQIPGAFPDKWWEGSALFLSLLYYWHY TGDTTYNAEVSQGMEWQAGNGDYMPANYSSYLGNDDQGFWGIAAMTAAEIGFPDVDDG YSWLSLAQGVFNTQVARWDSNNCGGGLRWQIFPYQAGYAMKNSISNGLLFQLAARLAR YTNNQTYTEWAEKVWDWSASSPLLNNQTWNVADSTDIEGGCKSQGNNQWSYNYGTYLI GAAYMYNMTEKETWKTAVDGLLGVTLNTFFPQDFNYIMSEVLCEPNEVCNDNEILFKG LVSGWLAFVALLVPSTYDEILPKLQASAQGAAASCSGMSNNTCGVRWHESKWDGWVGM EEQISATDVLSSVLVTEKKGSGPLTSTTGGNSTSNPNAGSGDDSSSDKSQLKSITTGD KAGASIVTIAFVGIWGGLISFMVLGT AFLA_011240 MHASLALLLLPLGALSLPFEDSVQTVEASLGRRCGRSPCKGVPS TNTPDALCNNKFLGPKVLTAADDPEWSEMFKGYSPLGSYCPKDFLLEFAPSGKQYDYP EQDGALLDSVGVPVTTEYTLEEGMELDRFGTQYGGYLAPRGTPFAWRSIPPSNLNKYP NSPEYNYWVWRVVESFNVTGGPIAPFFGQPGYGLQFYYEGGLKLLEDQGVIELVDKKQ CSCEVPRDDLK AFLA_011250 MHLLDLGSLSCEEGWLFTAGGSATASNPQRPRKWRDLMVLAGLI DHPEMGLILFETGCAEDIEIKWNPANADVFPRTRYEPEHRLPAAIKAAGYDIKDVKAV IMGHLHLDHAGGLEHFLNTDVPIYVHEEEFKHACWGAGTKAEEGSYLPDYLPLDGSLN WQTFNDSQLDLCTGITLHLCPGHTPGLCIMQVNLPQDGTFIWTTDQFHVRENYEKNHA QGWLLRDHKSWMDSTNFIRRLQRLYSATLIFGHDLEVGTALIQQKPFYQ AFLA_011260 MIDFELSDTQTRVREHAHSFATKHLETAHTVYTNLLTPQARFSA IRPLYEDLIKAGLIQAQVPAEYNGLGYGLVDMALLTEELYSADANVALTILATGLGLS PLLIGGTDAQTKRYLSPFTDGKGGTVGKLDAQGQTMILLVTREDVAADPPDAYGVLFH PETIGHRAVSGSHIRFRNFVAKEIIAMPGAGAEAIEAALTASAGLVGAIAVALMRRCF EMTLRFAKSDTRNDTESIINKQSVADLLIKMKMRCEAGRALTWKACSSVGRLPEAAET AHLAKIFCSENAVQCVIEGMNAVGVQAYQAKFHYGVLLNDAACLPIFDGGNVGVRRRE VEAIFYYTGYDPLASTFGSKL AFLA_011270 MSTMQAVVFQGPLKVTLEQRPVPQIEEPTDVIVKVRYTALCGSE LHVFRGHQPSGTGFIMGHEFTGEIVEVGSAVRGFKVGDLVVSPFTVNCGECFYCARKC SSRCAKGKLYGSVMLDGGQADYARVPSADATLVAAPAAVDEKKLVLMADILPTGFFAA RNAFKGMDRATIEESTVLLFGCGPVGLCALISALDYRPKHLIAVDSVPSRLELAKSLG AEPWNFQTDAEGLKQRVKDLTEGRGADVAIEVVGHSDALRMAFDNLRPWGRISSIGVH NGEIPWTGNEAYGKNLQIQMGRCPVRSMYMPLGCIFEDALEFLIRKQDSLGFMVGDIR PLSQAIQAYDDFNQMKSQKIIFEAGK AFLA_011280 MSPTNSPNPIPFSEPPYLRNLPSPYYTEGHLRFQKACRAFLWEN LISHAAEWEKEGHVPEHVFETFCKHNMLLPNLPAPLPVDWLKRLGIHDILGVRVEDWD YLYTGIYCDEMARSGLAGPGTSLSAGFAFGIPPVLKYASRELQERVLPDMLTGRKRTC IAITEPEAGSDVANITTTATKSADGKFYIINGAKKWITNGIWSDYTTMAVRTGGPGAS GLSVMVVPLKGYPGVTMRRIHVSGTKTAGTTYIELDDVKVPVGNLIGREGDGMRTIMT NFNHERLTIAVQCTRQARVALSSAFGYCLKREAFGKTLMDQPVVRHRLAKAGAELETV SAWIEQILYQLCKLEKVEADRLLGGVTALAKAKAAMVLNECAQCAVLLFGGAGLTQSG QGEIVEAIMRDVPVSRIPGGSEDVLLDLSVRQLVKIYQTQEKKLGQAKI AFLA_011290 MSLIQALHCEIQPEKRVSAPALASPPSSYSEGPGQQAESRQITL NGNQPPETGQPVFERVPSHGTTQARRLTVTVLLILANLVQGLIAYRLTQGTFVLMSGR LGDVFGHRKLLLIGGAWLSLCVLVGAFCNNFFAFVTMRALAGVGGAFIMPNAVAMISS TNPPGRLRNLSLGFFAASAPLGGYCGAILLGAFLENTDWKWFFVFMKILMLTGHRACL SAVTFVALWALAPYEPPVDRSGKIDWIGSALGTSSLILFNFVWNQAPSVGWSTPYEIA LLPISLILFVSFLMWEKRFAAQPIMPLEIFKAPSFLTLLLVILLNYMAVGTLIWYQVL WLQEVWHWSPLHFAVGWTPFVVCATAAASLAAWLIPRLAAQWILAIGTVTILVSNALM ATVPLQQSYWAQIFPSVVLFSFCPDFVYTAGQIIASNSVRRHQQGIAGSIIGTLNLYG NSLGLGFASTIEVQVAHTICPTSSLTSSHLLPRDTTLPRAANILLPSPCSTSNPHPRR RRRTVVV AFLA_011300 MSVNSVSLSGSHSVSKTAVPSITLIPNHGVKGDAHAGPTVQHRP LRNARPSDVNLRQVHLMQAEILQQVSKDPATGTKDIVLKPGQLGENITTQGIDLLSLP KDTRLLFVDGKASSQDAPTLRLTGLRNPGPQLDAMKKGLKDRFLVKDSRGVVIGYMAG VMSVVEKGGEIRPGMEIVVQKPATNLALNCI AFLA_011310 MVDPNEYTVGWICAITTEYVAAQAFLDEKHESSAYLSPQNKNDY TLGRLANHNVVIAVLPIGEYGTSSAARVAETMLHSFPNVRIGLMVGIGGGAPSADHDI RLGDIVVSTPSNNHGGVFQYDYGKTIQGQRFFPTGYLDQPPAVLRAAVNGLQARYEIE GHHLAGDIDQALERNPRLRKKYGRPDPASDRLYQSHIVHPPHDKSNCTISCGDDPSTL VLRNPRTEDEDSPIVHYGLVASANQLMKDACMRDKLTMEKDVLCFEMEAAGLMNHFPC LVIRGICDYSDSHKNKAWQGYAAMAAAAYTKDVLRRISPQHVQAEKKIMEILSTVKTI HETTQDIDRGVKRLRQLGDDQEYQAVINWLTPVNYALQQNDFIARRQEGTGEWFLNST EFKQWLSQSKQTLFCPGMPGAGKTMITATVIDHLYRAYQNKPKTSITYIYCNFRQQHQ QRYTDLLLSLLKQLVQGQPFIPNAVRVLYDECKGRGSQPSHEGILSTLYSVAASYSRL FILIDALDECQVSNEDRERFLRIVFGVQERTKANILVTSRFVHEIEKRFGESIRLEIR ASDMDVQRYIEQRLQSFPSFVLHNPALQAEIKTNLARAVEGMFLLAKLYLDSLVYKTT PKAIRCVLAELEVTSAERSDNGQKSKVLDHAYNQAMERIRGQAPEHQKLANRVLSWIA CATRNLTLSELRHGLAVEFNTPELDEDNMPDIEQAVSVCAGLVIVDEKSNAVRLVHYT TQEYFEHFDGDHFLTPMKMAMRTWSNPLYYYAALNWGYHARKAYMEEDKLVLDFIHNT SKLLVCGHFIRQGQLGRGLGSVDMESMHLTACLGLSKSMSILLEGSHDVDPRDSLYMT PLLWAAMQGHEAAVKLLLENGADVNSADAWGMTSLSRAAKRGHEAVVKLLLEWSADTE EKTRKGDTPLIMAAEEGHEAVVRALLGGNAIIEARNKSGYTALLLAVQNGHEAVVSLL LEKGANTEIKGYLDRTPLLIAVENRDDAMVRLLLNKNPNIEARDSKGLTPLSLAAWGG DETVVRRLLERNANINSKCGRGFTAFYLAAKGGHKAVADLLKEARAL AFLA_011320 MTEACFSGPVHMKILEEAVIAQHNRFSGIKRYERVDFDKWLSDY DCFIELPSYLGSQALEVYAEDPDVKFILTHRDPDKWVTSMDNTIANVVRMATSFPMNI LKHFDIILKGFFRLNQVMFWAMSDGTNPGDPNNEAALRRNYVE AFLA_011330 MDPKPQGTHHEDPVKPANMDHINVVRVPLTEEDSKRIRNKTDRT ILVILMWGYFLQILDKTVLGYGATFGLKEDTHLSGNEYSLVGSIAPIAQLAWQPFSSF LIVKVPHRILLPVLVAGWGVAQAAMAACHNFGGLMATRFFLGLFEAGCLPLFSIITAQ WYRRAEQPLRVAAWYSTNGLATIIAAALSYGLGHIQSGVLKEWQIIFLFVGLITIVSS PFLYWRLDNDIASARFLNDQEKLQAMERLRANQTGAGSREFKVRHVVEAGLEPKTYIW IGMAFLLNVGASVTNVFGPLILSGLGFDKFKTTLLNMPFGALQFIIILLASYLAQKAR LKAVVLASFMLPVVAGLAILYALPRDDSVQGALMAGYYLLSFLFGGNPLIVSWIVANT AGQTKKSIVMSLYNAASSAGNIVGPLLFNENDAPAYQPGLRACLGIFIAMAAIVLIQW ANLFVLNKMQERRRVANGKPAKIVDRSMENNYQVSEEDAEVEAAETDNQVGNNAFLDL TDRENDEFVYIY AFLA_011340 MEKPDLIKELQSDLARKYKLHGPKIEGIWHSLGKAQREKVMRAG AAEGQMLKSPTDRSLGDVYKFIPDWNLRDIADPDSNYLLDCLKHRATKSLSEQYIEGV NGGPGDAAVILRSMQIHGLKHVEPFRYSFTLFMDEE AFLA_011350 MAMMKVRVDAGLIVPQSTGKLILERQSILLPSMNIVIQDILEAG STVETKGRSKKSEKAAREAMSKLTIDQKPEKLSLEDLAALSLDQKSALEDYVLLCRTE PEFLAHAVNAWFFSRPELVADEKGRRLPLITDKYINIAFFEMIHNAVVGAAVWGYIHQ LLQALMTGPNDRTYKSSFLQELANVCHFEYQRVQRLFKRFVQMGSGDKHFKRVSGVYD NGTARVTMKTKPDTLTRTDPQLNYILRLCQPDTNASRVVEWVRKLDDLHQTHPAEQDK MVESEFDAFGDLAVTTNFVQCLSTSLPMPPINPKKGQTYVSRIKDVGSELDPLKSEVD LSAFAVPIDNLMEPGMAQGALTTLDEFISSRIGADIGFLYQDLNEECLSDLQNQAQQQ KEKSEQIARAELASSTPEAPNREIQIEQRKEKIKTRPPHSSVYSIAPTAASATEPEPA APSQAFKVKPSSLEVFSTLFSNPRPRSSITWAAFQAAMAHMKFSVVPKTGSIYTFSPP EGFNVQRSITLHRPHPSRIEGWRLLYFANRLKRKYGWDEKSFETE AFLA_011360 MKFTLAAASTFITAALAATLPKSFTLVADGGNTVLTDNTNAIVD ASQANTLEILRLKSEDGKTITFTQQQAVNPNSWQNLYAIAGKSKPLGLTIPHSGATPD GAVLNGWGITDDGYLTFNGENNFGLSEDKHQIYFLGEDATVPKVTLWVKELK AFLA_011370 MKAPYFIAFLAAAVSAQNAFIGLPKKDQEITAGENLVVQVQRPN SLTGSEEMGVAIGVASCPERPCMAPKDTLGTLLYNGPFKPEYHDSSPPYQNFTVTIPD SIAKGDAQINVAHVAIVGASAWPYLDLLNQTVVVA AFLA_011380 MTVTTTTRPTILVIHGAWHHPEFYAPFCRAFEDLGYEAVCPRLL TCNNDVPPTKTLADDVALIRQTAQSLIDDGKSVVAVMHSYGGIVGTDALDGLAIKRLI YMTAFIPPSGNSLAGMFGGQLPPFITIDDEKGMLTVPDPATFFFNDLPAEEAAAWAKK LVVHPKSAQFDPISNEAYRSIPATYIVCEQDAALIPMVQEMMIGNVRKVGVDIDVERL PASHSPFLSMPEETAKLVLKIASQ AFLA_011390 MGANDRGKTYITVSAVLVALSTIVVGFRIVARWMRTTLGMDDYV ICVSIILAYSMLGEAVVWARDGGLGKHMDELSIQEKIIFQKASICGRSTVIQV AFLA_011400 MVLQLQLRLSQKLTILGIFLLGGFVCVASIMRVVTLDIFETMDT SYSVMEAATWTFVEPCVGIICACLPTLRPLLRTLCCSFSWSTDHSDGPPANYRMHRIS SAAKNESGGRSDREWDNKRFGDEFALMGGSTKVAVNGDDAS AFLA_011410 MSNANNTPSSQPTHAGLSTEEAPSYQTFTDATDKLYKKLVHEES HEWWQYRFVSTFFNLLAIVQVMVGATITALGPFGGQHLIAITVLGAINTVIAGFIALL KGRGLPQRPRKNMLELRRVREYIEQKRTMLQYRNRRFSRDEVDSLLEDVMRRYDLAGE IIERNQPDTYTNAGLTGRERQPDEERGPK AFLA_011420 MLSLRLSVISVCLAAVNVLSASIRKDSVTKASLPKSFEWSSSGP LISPKNDNYDLAGIKDPSIVEVGGVYHVFASTAKEAGYHLVYLSFTDFNDANSATFHY LDQGPIGTGYRATP AFLA_011430 MWTIRDSSDCYLFPSDDNGLLSRSQTSLGSFPNAMGNTVIALQG TNAYALFEVSIVYSTGDGEYLLLVEAIGRDSARYFRSWTSTSLSGTWTSLADTEANPF AQSNNAKFSAPAWTKSISHGEMVRTNVDKTMAITPCNLCYLYQGVDPNASGDYNAMPW KLGLLTQANSAC AFLA_011440 MKLNLASLSFLASIAPLVSGHYVFSKLIVDGQTTKDFEYIRENS NGYQPTLASEIVNNDFRCNKGSMDSAAKTKVYTVAPGAEIGFQLAYGASMKHPGPLQI YMSKAPGDVKTYDGSGDWFKVYQEGVCEDISDGLKDTDWCTWGKDTASFKIPKDTPPG QYLVRVEHIGLHRGFSGNSEFYFTCAQIEVTGSGSGVPGPLVKIPGMYKPEDPNIHFD IYYPVPTSYDLPGPSVWSGGASSGSSSSISAPPVNNAAAASSVTPTTLVTLSKTSSTP AATSTAAPTLPAPSNGTIKKYYQCGGQGWTGSGSCEAGTTCRDWNPWYSQCV AFLA_011450 MQEVSCVYLDCIVQWDSDEEAEVEDSAVYTISFEDEEEFSESTA ICPVDIYRVTYGEWFCSFYV AFLA_011460 MGSTSEDVYDYIICGGGTAGCVVAGRLAENPDVKVLVLEAGQHN KDLENVHMTGGWSNNFDSETDWNIVTTPMKGVDNRQVKLSRGRFLGGCSGCNGTLCVR GSKQDYDDWELEGWSGEEFFAAMRKSETFHPKDWFQADPQSHGYNGPLHTEPHDLAPI SNLLIDSLVSQGMPLHHDMFSTGDVAHGCGHAPRTVHKGIRATAADFITKDYNRSNVT IQTDTTVDRIVLEEGAAGLRATGVLTRLADGTTRTFHARKEVVVSSGAYCSPAVLMRS GIGAREELDKFDIPCKVDLPGVGKNLMDHLIVFMFYETEKEGLTTDWHVYHDDNMEKT YAQWKEHKTGFLSTFPFGAFAFARLDERLKDEPLWRDAPREPGRDPMGLTPQQPNIEF FTTECYGGPKQYDQFPVDHKHAFSMIAELFAPKSRGTVTLKSKDPLENPIVDCNYLAD PMDLLVLTEACRFGNEVVMKGTGTKDIVKGSWPPNLTHHTYTTREEWIPYVKEHATTC YHASGTCAMGKDDNPLAVLDNKLRVKGVAGLRVADCSVMPTLHGGHTQMPAYGIGERC ADFIKETWSGQSIARL AFLA_011470 MAHATPFQKEAWTEYGIGVIILLLRIVARVRVVGFKNWQGDDYF VFVVLAFWTAELTMLELIGQYGTNIGLDDAQRASLTPEQTEILVRGSKCLLAGWTCYV TLIWSLKACMLFFYNRLTLGLVQQKLVKFNAVLCACTYTAVILTIFLHCRPLKKNWQV YPDPGLNCTADYVNYIVIAVTNVLTDAILVCIPIPLLAKVRLALRRKLIIGVLLCGGV FVMIATLLRCILSLQSINSINTSTIWAIRETFVGIIAVNAPCIKPLFSTSTWLGSSED PYSSSRKKGSYSLSVFGKSKPSHLESTKSTKMGGRSSDEFILHGGGTRTFVNDGQTGR SSSLSDEEAGRHPMGGIQVTTMYEIRRDGSRHG AFLA_011480 MAIDEVTPAPQVTETAAGNDGDKITIKTGANPLKKFGKKKDGNG NEDVEKDGIGGDTPPQELQRRLKSRHLQMIAIGGTIGTGLFISSGTAIAHSGPAGALI AYIFVGTIVFSVMSSLGEVATYLPISGSFTSYTARLVDPSLGCAMGWIYWFNWASTYA VELTATGLIVQYWDDKLSVAIFIAIFWVVITLLNFLPVGFYGEIEFWFSMIKVLTVLG FMIFAICIDAGVGKQGYLGFHTWKEPGAFAPYLIDASNPVSKFVGFWAVLVQAGFSYQ GTELVGVAAGETENPEKTVPSAIRKTFIRILIFFVLTIFFMGLLVPYDNPNLITDSSD ASASPMVIAAKLAGVKVLPSLINAVLLTVVLSAANSNVYSGSRVLLGLAQEKFAPPIF GWVTHRGVPYISVAFTAAFGLLGFMNVSESGGKVFNWLVNISSVAGFICWTSISLSHL AFMRALKARDISRDTLPYKAALQPYLTWYGLFFSVLIILTQGFTAWIPTFNVSDFFVA YICVIIFVVLYLGHKILYRTHFVHPLEADLQSGRLQNYSWETARPKTWQERLRESL AFLA_011490 MEGKDTEKTPQALCKEQSIPSPTESSQTLDLECEYHDPKLPPVD GGIHAWLFLAACFVVEGTVWGFAACFGVFQNYYRNDPTFQGSHAIAAIGTCAMGMAYL LSPIVMAILIALPRMQRWASSIAFVVLSLSLALSSFSTSVTHLILSQGIAYGTAGCFA YAPSILFMPDWFVKKKSLAFGIVWSGSGLTGIIFPLILQSLLDTYGWQTTLRICSVAI FLLAAPFMLFHKPRIPRRTTNLHQLDLSFHRNRTYLIYQAGNIIQSLGFFLPQIFLPS YAELLGAKGLHASSTITVFNISNMFGCIFIGWLADRYHVTKCILISTVGAIFSTFLLW GMSVHLAPLYLFSIVYGCTGGSFSSSWAATSSEVGKSHPSADVSMVFAFMETGRGIGN VISGPLSEALLKTRLWEGQAWGAYGTEYGILVVLTGVTGLLGGVSVVARALRWI AFLA_011500 MISYNRRWDTSSQHARSFECYDVGLPSALLPHIDEVVSITNINS IRDPNCAHIFGPAVAFPT AFLA_011510 MCGLGTVTITEDNNRLDIAITTIDSLVLCKATQALKCFVTLVYG YFKSDYDGFITLIASTQYILSSDSSRAYHRAA AFLA_011520 MSTFRSTLDEADPTSWLKDLETKLIPREKRTGWMKTFQARIRAP PCGTVHVTAGDLFDFIQAQANAGDEMMSRYEQTGRMEDLEEAIKASRGAVYITPENHP YRAAMLYNYGNMLARRYEKIPDEECLKEAICVSYQVIEDLEEVIWASCQAIQATPTGH PLRARWFIIIGRMLKDRYEHIGQIEDLGLMIKASRSAAQVTYDTCPDLVTYLSDLGYG LTIRYERTGEMKDLAEVIEVFRKVVQATPDTCPDLANYLTKLGVELTRRYERIGRTED LDEAIQVFRRAVQTTADTSSDLARYLTNVGSGLTRQYEREGRREDLEEAIELSRRAIR AIPMDSPDLATHLTNLGYRLVLRHERTNKMDDLQEAITLCRQAEEASYNNDSDSTASV GNLGGVLLYGYKRTKQMDHLEEAYQICRKAIQITPVDDPYYGKWLCNLAHVLTERYEH TRQRKDLEVAIWWSRKSIQASFDGDIDLRAQYNNLGTMLVTRYEQTWQFGDLEEAIRI SRRAIKATPDDHPYLAGQLKNLGAMLKSQYERTGCLKDLEEAIHVSRKAARITSALPL ERISAASLAIRLMLKQEDYNNSYALCVEALDLLQLVCSRHLTLEDQQYVVSHFSGLAT LACSLALQVRQPPFRALQLLEAGRSVIFGLIMNDRSNTSKLKAADPTLCALYEELRLE INDPAESSQPQYVDEAVPTRRLQALKKLEKCLHDIRQLPAFDSFQQDLNEEQMKDASL NGSIIVVNITRLRSDAIVVSQAGFSLVPLPGLGAVQAQRWIDQEMTSASSSQRGEMNK RYREFLGWLWYECAEPILTKLGYNVQSSPENLPRTWWIGTGLASSFPFHAARGLRADE NDSTSSRVLSSYTTTIKALLHARERVPASFSPNEQLLNLLMVTMAHTPGEDDLPGVKC ERSIVLDLLGSSVHVNKLDQPNSASVMRQIGDCHIAHFACHGMSDLADPFQSGLLLQT KTTIPEKEILSVCKLCKQNLPHGEIAYLSACSTAENRAKQLLDEVVHVVNGFQVAGFS HVIGALWPSDDRVCVKVAKLFYTEICRDGVLEYTDRDVALALHKAVSVISTSDDYRKR PLHWAQYVHYGA AFLA_011530 MLRVSAIFMACLLLATAAPTAPEKSNACLSMCLQERPACASDEH PTGSEGCWGCCQKIEAVTAPVQRESCLSMCQQEKPNCASDEKPAGSEGCWGCCQKVEP VVPVKKEMCLSMCLQEKPTCASDEHPTGSEGCWGCCQKN AFLA_011540 MASEDCIHEAPQPQGIPYWRLLTDQGVVTPEIIDYPYKGSGTDD DPYIVEWIPNDPRNPMLLNKSLKWAYTITVAFATFGVSLSSSAYAGGIQEVIKHFGIG EEVATLGVSLFVLGFAVGPLVWAPLSELIGRQIVFFVSYGALAFFCAGAAGAQNSWTL IILRFFAGSFGSSPLTNAGGVIADIFPAEERGLATSLFAGAPFLGPTLGPVIGGFLGE NAGWRWVQGFLATFTGLIWIVESLLVPETYAPLLLRKRAARLTALTGKVHRSKLELER GKVTMTSAFGAALLRPWILLFAEPIVLLLSTYMAIIYGTLYMLFDAFPIVFQQLRGWS EGVGSLPFLGVMIGMMLAVALNMYDNKRYVAIHKAHHGFAPPEARLPPTMLGSIAIPI GLFWFAWTNAPPVHWIVSIIAAAPFGFGMVLVFLNIMSYLIDAYTIYAASVLAANSII RSCFGAGFPLFTTYMYRNLGVHWASCIPAFLALACVPFPFVFYKYGAVIRRKCKYAAE ADDFMRRLAEKTVSLGEEEKAVDEEVRVQAEGRGSADGGQDLGRLESGGRSSLSTMRD GNAGYEANPYDIDRVNTRNSAISRRSRSRSRSAKGRKRGFLGL AFLA_011550 MRQHSRMAVAALAAGANAASFTDVCTVSNVKAALPANGTLLGIS MLPSAVTANPLYNQSAGMGSTTTYDYCNVTVAYTHTGKGDKVVIKYAFPKPSDYENRF YVAGGGGFSLSSDATGGLAYGAVGGATDAGYDAFDNSYDEVVLYGNGTINWDATYMFA YQALGEMTRIGKYITKGFYGQSSDSKVYTYYEGCSDGGREGMSQVQRWGEEYDGAITG APAFRFAQQQVHHVFSSEVEQTLDYYPPPCELKKIVNATIAACDPLDGRTDGVVSRTD LCKLNFNLTSIIGEPYYCAAGTSTSLGFGFSNGKRSNVKRQAEGSTTSYQPAQNGTVT ARGVAVAQAIYDGLHNSKGERAYLSWQIASELSDAETEYNSDTGKWELNIPSTGGEYV TKFIQLLNLDNLSDLNNVTYDTLVDWMNTGMVRYMDSLQTTLPDLTPFQSSGGKLLHY HGESDPSIPAASSVHYWQAVRSVMYGDKTEEEALEALEDWYQFYLIPGAAHCGTNSLQ PGPYPENNMEIMIDWVENGNKPSRLNATVSSGTYAGETQMLCQWPKRPLWRGNSSFDC VNDEKSIDSWTYEFPAFKVPVY AFLA_011560 MQWQPPSAPRGPSKPELQPILRPDRVIQEDGLAHIKAGTWSPET PRRPWLRTTLITVLLFIMSIISLLAFFSLALGINGLPHARSSSPSYGKPVNPIKDTPI KNVVVLVEENLSFDVFAGGLTYNAKIDGLVNREYCNPSNASDPFSEKVCAKPIAKNVA PDDPDHSITGGNQQVYSTYHPNAKNDMPGMQGFVTEQIVSYGLGSDLSRAAEVINYYT PDHVPVFNAMAENFVLFDRWFAAVPGPTNPNRAYLTSGTSHGHGQNDHDFDISNLPQV SIFEQLSAAGISWINYSNTTGFLPDSLFYQWTAKSGKGTTNVKSIDQFFNDAKAGTLP QFTWINPECCSYMSFHPPSPINMGEGFIKSIYEALRSSPQWNETLFILTFDEHGGFAD HVSPPENVPAGDNLTYTETAKDGQEATFHFDRLGIRVPTVLMSPWVGKGVVQNSPADQ PNEFTHTSILKYVAELWNLDILTPRVDWSPSFRGLITNTFRETPEKLPEPADF AFLA_011570 MSSAMQQRKAEILAKRAKLAELKRQRELRQQEFSQSRANSGDAS EVVSPVPSRADSRAELDDLISRLVDRPGSASISHADGPSRKGSRPNSVISASQVSGDH AEAFIPAARPVSHSIAVQTVGAEPYPTAPAPEPKPEIVTYSKGVQTDDSKQPQGTLSV ESDDEDGQDPTGTGKRLSKKERERDEEIRKKLRAEIEEELLATQQKAEHDAEDDSSKL RYPLRTLDDDELKAVTSSEDFLDFVERSAKVIERALDEEYDVLADYELGGLDGDVEED DEHGKKRRGIREVCQFWDERWSKKRMISDVSFSPKFPELVLAAYTKNPSAPHEPDGLV QIWNQHLQSRPEYVFHSTSDILAAKFSPFHPNLIVGGSYSGQVLLWDTRSSRAGGGAP VQKTPLTGSGHTHPVYSISIIGTQNAHNILTASTDGVVCGWTVDMLSQPQEYLELSTP PPSKTEDLAPTTMSFPQSDPTFFIVGTEEGGIYPCHRYDRAGAKAGTDHRLAYRGHAA PIMSTAFHPARGPVDLGDLMLSSSLDWSVKLWRVRPPATTAPATSAIAATQVVSPILD INREDVVYDARWSPHRPGVFSLVDGAGNLEIWDLYTDTEVPVVRTTPSKGRGGILTSS LNKVAWEEREGRRIATGGLDGVVTVFEVGKGLSGTPEDVPAEEWAGVKRLVGGLEQKD RIN AFLA_011580 MATAQGVNVLRYSALVAGLFYGAYHQSSITASAKRAEADREYAR QERLIQQAKAEWKKKTAPKDTQNNGIITDPEDSRFDLEAYLKMKAGEN AFLA_011590 MDLPPLSKEQLSAVLKSSRSPTELYNILVEHEGEAYLMSTSDSN VSELLSLFYSTFFFAHLLTDQIYEARAMTQRMPQELSQNDPSLQNCLTLLRAVWQRNY ESIYKILRELPWPDFLRPAVESYETYFQEKTLKEVSHAYEAIRPATAANYLGLDTAAA EQGDPAVIQKFTAVGWVWDESTRLLHPKPIPTAPEKDTRLYDEVSQIMALIGKHGG AFLA_011600 MAETPVDALLKGNTGRNTRGLLRIIILVTIAAAAVSSRLFSVIR FESIIHEFDPWFNFRATKYLVQHGFESFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHILRFLTIPVDIRNICVLLAPAFSGLTAFAMYLLTCEMSISPSAGLLAAAFMGITP GYISRSVAGSYDNEAIAIFLLVFTFFLWIKAVKNGSIMWGALTALFYGYMVSAWGGYV FITNLIPLHVFVLLCMGRYSSRLYISYTTWYALGTLASMQIPFVGFLPIRNSDHMSAL GVFGLIQLVAFADFVRGFIPGKQFQRLLTAMVIIVFGVAFAGLVLLTVSGVIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNLLIWLFPAGVYMCFRDLKDEHV FVIIYAVLASYFAGVMVRLMLTLTPIVCVAAALALSTIIDTYVFASRGPSPQSKAQDE TSAEGLRSTRNPVVGISSYISKAVVTSSVVIYLLLFVAHCTWVTSNAYSSPSVVLASR MPDGSQFIIDDYREAYYWLRQNTPDNAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTH IATVGKAMSSREEVSYPILRQHDVDYVLVVFGGLLGYSGDDINKFLWMVRIAEGIWPD EVKERDYFTARGEYRVDDEATPAMRNSLMYKMSYYNYNALFQQGQAMDRVRGSRLPAE GPQLSTLEEAFTSENWIIRIYKVKDLDNLGRDHNSATAFERGHKRKRATKRKGPRVLR TE AFLA_011610 MASGIFNSTYYGKDYRAGAALLRARRPYLVKNALTGLGLVAFTI SVYAYTIRAVGQDEFSDVKVPETPAKPQQKQ AFLA_011620 MAQSTGSLVDQIAQLNAARTLVLGDAAFYPQIVNGVLPIIGARS RLELRRWGAEFLAETFSSPALAPAQKEQLAAIALQTLRETLELPEKDTVTLKHIVEAA ASLYPLVFRHIINHPEDSKVWENMTAIKDDILRRWDTSPFSVKVCCIKFVQRVVQVQT HGLTDPRVRTGKKEMPAVPKGKTVDDSQRPEQNETSLAIVPRNHSILSLPYLEAEASG LLDRLLSVFQEDASDPLLVNATLNSLAILIRTRQSIGNKIINAILSFVPARQARTPVT PAVRVGVKSMERTARALMINIMKRNPSHPLASKMQQHIERLMQSRIETVDDSSKKRGL PTEPTDGLDNSKRAKLDAESPPLIKVSPLPPGPVSYSQLYTLTEDAGLSSFDVKQLPV ELLVKIVVPVLARVDQSALQQAVDGVRMRYQTLQKQQAAQPPPPATGEEEDDDYEPEY QPMDVPEQAAEQEDALSAEPADLQPDLVSLGPFVLPQPPPLSENEAAEIGRSAVGRVF DMLASSGVAPNTGKGKSQQHLGFSRLAGSTFDRDAWVTLLTRLATRAPAGLEVDHKKN DQDPSSKRPTISDSIRETLYRYILEDFRGRVNVGIMWLNEEWYNDRIQMKFTASQRGE EDEESSVPLHYDQWSLRLLDGFLPYLDSRDTKIFIRFLSEIPEVTIPITKRVASLAKD PERVNLCIQSLLYLIMFRPPAREMCLNAVEDVYQTYEESRPAAGKVLARWRPQSTAVQ QAQPEQQSTLVNRTVESENNTPVANGA AFLA_011630 MGTELDEEDEPPQKRRGSLKSELSDPPAEDIVLTPEPIEEEPME EPSKPNEDDTPVDDIPESDLPAAPAKGRKSRKGKRKGRKARDVDEDADMALETGAEGG GDDALGDEEAAEREEADDGEAAAKLEEESAKRVSAMESLAVLEREFAALRDKIYDERV SKLNRELEMLNGPNPTHPEFLRQLECIQRYRDAKIKYEHTLYQYRIKALLNKSLAERA QAHSTYFQRARDVREKHSSAISKQFYAIQHDRFKTDEVSPQHYIPFPTRRSQQIANQT AYNQEVSILAGVAKYVGFPAAPSLSAARPAELEEDMEKMGISIETRVPISHHHSTLQR GAMSSMSSNVFRAAAEEAFLEQTPWANPQHPIHHQQQIPPRPQSRVFENPRAPAYTTP AAQKRVVDIHAPNGSASTIAENSSANNTPYDHEQPTQGLGPYGNPEYDADRRSGFRSL SSSPLDVRKPHPSSYNTLDNRSPPHRNAGYSPPPARLGLFQSKRDSSPPPLPSKSANS IHHHGSTGVLGGSGSNQMTAR AFLA_011640 MGKKSKSQSEKAPQTEKAETSLPFLAGNVGIDPTLASLFETSSG PVKAPSLPTTSSAVEKPAAGDDSSEDVSEPEDEDQVMQEASEASSDAGGEPAQAASEP PSRKRKRAAGEDLEESYMRRIAKEEQKEEQKRRAEKAKRQKGEGEEEDEATSTDKSDD ENEDESSDEDEEVAIPKHETVTGDAQSSDIDKSNRTVFLSNVSNEAIKSKSAKKTLLK HFESFLSTLPESTGPHKVESIRFRSTAFASGGKIPKRAAFAKREVLDETTPSTNAYIV YSTVQAARKAPVALNGTVVLDRHVRVDSVAHPAPVDNKRCVFVGNLNFVDQEGNADDE EKPKKKKAPADVEEGLWRTFNAHTSKPKDQATRRGNVESVRVVRDSVTRVSKGFAYVQ FYDQNCVEEALLLNGKQFPPLLPRKLRVMRAKKQLKKREAGGSTQGKPLREADKTLQG RAGKLFGRAGAAKVRADATKIISNNSLVFEGHRATDNGSSRIRVKTKSRGSKGKPKNR SSKRAAAYKAAGGKKRKTE AFLA_011650 MLPFRFRGLRRRQSNSSNDEPEPPSNMGNQNSTADDSHGPTDSE IFYPEFYDVLKVRYLLRWKIISEGLPVEIVDLIVDAAEYWPSIEATLDQKRIIHQDRD QVLVRTAPLCYDEKTLGSDSPKVLPHRTVHPCRKIVFSIASHDQGFANSGRGTFDGSY TWFDTEVVPFEKLPTPGDSSVPEQDANGVRFGPDHPLLLPSSHKLQANRTAVRGTQHY HITWHHLDNISADSPEAEEIQHNQGRGRATLDGSQVRNLQIGDTIAVWGRARFGAWSN HVERLSVRVFWAV AFLA_011660 MNSQRCAAVVVGAGPAGLAVIGNLLEKQLGGKIAWIDPYFQAGR VNRKYREVPSNTKVALFQAYATAVQPFRSVINSTRIPSPFSTMAKLDQEKTCHLHHAA DMVRALTEGITKMDQVYACRGYVTAANLVEKTSSWTVRIQRADHLDEVEVITPRLILC TGSSPTEVPIPVCGQHIERLDLDVVLKPSDLVSYLPRNEPQTVGVVGASHSAILALLN LVDLARSTHPQLRIKWFTRHPLRYAEYMDGWILRDNTGLKGSAADFARQQLEEDKLPQ SEAGRFITKVDCGGGQEAAQYERHLPSCTHLVQAVGFTRDPLPELSVNGRLLDPEFDS VSGGFHDATGRVVPGLHGAGIAFPERVVDPYGNVEHAVGFWKFMKFIKRVSPQWTA AFLA_011670 MDVDIGHVNISVRDDAAAAREPDSDADNKPAFGWHIDSYAFVCV TMLSDCAGMIGGETVIRTGTGEVLKFRGPATGTAVIMQGRYIEHQALKAFGGRERISM VTSLRLKSPFIRDETIIRPLLPTTPKSTLYYQYAEYRLENLEERVRHQLKVMRQHKKA NRDFDVASTRKFLLGEREFIDAMLEELEDP AFLA_011680 MCAGCYECSQRRIHCDRVQPCCGKCASRGIPCSGLGVRYRFRDG GSQGKIAWQGENSPQGGKWLGDLPQHKCSPSFVRGGSSEEQFDWTSNVANVPAEPNAL AGLGPDSPKTSSTGSPEAMATLPLSVDLVDPSNGFLLTYFSHNIAPQMVVMDDKYNGW RYLILPFALSDKMVMDAVLAVSTFHLSHKSGGTLPVRPDKLYAKAILGLQNRSSLDEY DMLTRQSIFVAIITLLVGVMVNGSSDFPILFHMLQSALDAVGGEGGLGNGDMANFLLR QIRKFRVYAAPLLSEEAGVRSIVAHAQESFDCLHYNGNLHPDHALTFYLNAYLRQQAY DIYLERATMGPHGTLDPNKIEQFKETLGMFPEGSLGEHSLVWPTFIAASESLKDEHRL YFKQFLEKQYHRNGFLNLQQALKLLDKIWARSSYTNWPALLPEPRVFIM AFLA_011690 MLSVYEWCTGRQCARLANALGMDVHAFTLHERPTPESKGDHSYV EAGMDDPNGDIPSRWYHGCDQLNEFLAGLDLLAITLPLTSLTWSMIAREQFQTLGKRN AFVSNVGQGPIVNNDDLVDALNNGKIRGAAVDVTDPEPLPAGHPLWKAKNVITTMSEF AFLA_011700 MRSIFYLTLSAMASLAAAATNSANPFNIPSEGYSFEAGEPTTLS WKPTTSGTVSLKLQWGAVMTSNTGTTIAQNIPNSGSYTWTPPANLAAQPDYTIEIFND DDTSEVNYLPRFTVAGATAAASTTASATTTAETTSATESSTTETTTNTKSATETHTTL TKATASTASSTSATASGTSTESTSASASATGTSTGTSTGSASTSTEASSTTSASASAS TTSVVNVNGGMVNRVSGGMLAIVLGAIAVL AFLA_011710 MQSGILLYVHFAVLILKLIPAVTRCIRRCTKRARSTTKIQFGQH HFSVLKSMLVEFQEAQCFFMLSFQCAALIALAAGPQVFEATSLLQLQSNISMAKAVAF MGILPITVTLHLCKVEPRADNLQEIATADRLDKCGFHPPPLIYCRSDSELGSSYSLVN NLTFGLSDNTANFVCIAIYGLLLLKRLAPYPKRWLGQKPWFQATYHRVHPWLVSRGIR ILSRAVNVIIETLLLVTNFFYSFMVIARSLPTISLDSWSFGQIIAVTIWSPIVSKYLY WLLFGTDSYSAIRFPSPYKIIRVKTINEEENPDDEDRLFIHLPSTSDLNINPNSKKFI VTDVELTPVNDSKTSSVSTRHSQFPI AFLA_011720 MNSQGFSFPPPPPPPPTQQSQYNQNQPATFPSQYGPGHHGQRGG RGGHHRGRGRGYGNRGGRGGSYMPHAANSSNMGYAPMNYPGYAGQPMANPQQSIPTPQ FPPSRTTNYQVPQSTTSFPSSRPFPQSTPTHGPPYQHQPGYSAYGPSTAQQAPTYHSV TPSHQNAPPSVQPSMMGPPMHWGYNNSASGGGYTGPPHGNQRGPRPYNAYGNQASRGG GAMPHMKRDHTSAFGKPQNITPRVPAPPPVPSFGNPLPSKPPPPADATRKPKKKKRKH NQLGLTPKTEEHESSEEEDDVDEESRLAQGGASAAAAVQITFKGRTTTLQSPADIAAW IEERKKRFPTKEKVEEKKKAMEEAKKAKEEAQRQKESRKQEMKKAQKDHQQAPADPID AAEKAKRKADKLRRKLMKEQKKVEKAEADAERARMRVEELQRGSTDVNRDVTSASTQE AQAEPGTEDRSNTAPDQETRSIETQGVPLASGHIPGEVSQPNNDVGPSSASESKSNGE GVIEDVRADAAVSSDISDSSDWTSSSGSDLSSSDSEDSDSDSAPEEATSRREGPERVA PPPREAKKKLCRHFARTGRCQRGDKCKFLHETPDRGAKTKPVEKKGRKGLLQALLARQ KGEDDRKVMEAIVWLGENGFLDETKSYEEPLEGANENSATDEVKLSQGLPEDPPLSEG IVSVQTGDSAPTTA AFLA_011730 MFILTTLSDLIQISPEDFSKYSSVAIEDNINEKYANKVIQKVGL CIGFYDLLESSDGLIGHGTGLVNVNVKFRLIVFRPFKGEIMLGKISSATEHGIKIGVE FFNDILVPPNLLLDGARFDYADQVWIWENEDGSTFYFDIGEVVRFRVEIEEWHDQIPN APDLGDAAAIERKPPYSIIGSMQMAGLGPISWW AFLA_011740 MTNSTNGAPAQDKRFGTLAVHSGAHHDPTTGAVIAPISLSTTFA QTSVGSPVGLYEYTRSSNPNRDNFEEAIAALEHAKYALAFSSGSATTAVILQSLAAGS HVVSISDVYGGTHRYFTKVANAHGVDVTFTPTIESDVEKLIRPETKLVWIETPSNPTL GLVDIEKVAAIAHRHGILVVVDNTFMSPYVQNPLDHGADIVVHSVTKYINGHSDVLMG VAAFSSDSLKERLGFLQNAIGAVPSPFDCWLAHRGLKTLHLRAREATTNATAIAKALE ASPHVISVNYPGIDSHPQRAIAVKQHRQGMGGGMLSFRIQGGEKAAHLFCKYTKVFTL AESLGGVESLCEVPASMTHAGIPKDQREAAGVFDDLVRMSCGIEDVEDLLADVLQALK KAVASSEQNGSA AFLA_011750 MASAFSFGFAGDDIDIDDSELNTVDEGYTSVTQDSSSTLPELVA AQRHELSDLLSSLPSQVSFNKLNINTTQDDQTSVKTLTLARREVFDIRTQLMAEDTAD YANEELISGLEKGDITPNIYEGGFKTWECSVDLAKLVANENILSNADAGDRHIIELGA GTAVPSLALFAQSLSNPKGSSQNIRFTFADYNSVVLRLVTLPNLLLTWSYIVMRQKSV SVAGAEDQVEEELELDITPELLEAFKKDIAERGISIEFISGAWSPAFVDLVFTSGEKA KHGTLVLASETIYSPASLRAFSETLLALIRRPIRAGGRSRALLAAKKVYFGVGGGVDE FLEVFNTVGGDELDVKERMDVKSEGVGRIVLEIAPKGLQ AFLA_011760 MEKAAHDRHLFQSQGPRAVFELKQPEVSFNSAPPFNVPAGSAMD MSHVTAHYERQNRELEEARVARMQDGKVVSIYD AFLA_011770 MAGAKKGKGKSGSKKNNKKSKAQEESEIQTPPPAAPDVTIPEPQ SEEQQQQEQQPVEQQPVEQQSQEPQLAESQLQEQQQPEQPSDQAVEQITDQQLDQPTE QTSEQQPEQPIDQLSEQTTDQPLDQPPAEQADQQLPDQQAEPLQDPSSEPLQEQPLEQ ELQDQPPLDQQLQDQPPLDQQLQDQQPDLQLQEEQPEQQLQEQEQQLQLQPEEAIEAE PQQPEAPVEAPVEALVENPVENPVENPVENPVENPVENPVENPVEVESPSAPEVAPEV AAEVVPEIATESIPETELAPAQEVEQEQLQPTTEEVSTAPTPEQQPQPPTNPEEDGSA QVTAPDLSSSQQLDASDVQRDPEVPQELHQEELGAAEHPVEKATETTPENIDVSREQV VEPPEPTPVTAPQTPLFSTDPTTASVETPSATETQFVEFASPTKTDAPEIESHTATVS DPSFEAVEAAPTSPTRQTTPPVSKPASPVPKNASPTPRAVSPAPRDAAPTPKAASPAP KPASPVPQAAPPMAETVSSMQEAELPAEVIPPAPTPPPVASVYQEPPSTLQHPSPVQK MASPVSKAASPFQKVASPVQKSASPKVTSPLARHAYMSPMISPHATPHATPPVQPAMA PMHHMPPPSTAPSVASYATAYSPVMTTAGFMPQYAFYPPGMQPTPRGSMEPSTATAYQ HLRDLTYANGNGFFAPQNGHHNGHNGHHKGMMSPPEHPVEEPIELLQRIQDAIPDINR LLGSYRNTKSKLQAREAEFQQMKTQHEQDLMHKDFYIEALQTQMRKTANEAAEESTKL KNTINELRMELGNLDEKRKDLEEKLEESERSNEELTRNKHDLEEEVRTLNTSMKEAQE AHEKECERREQEKVDALATQKREMTESFEEIKAEDEKAAAEALAAREKELLDQQEAMK NDYEDQKRQMQEAHDTLQSNFDDKVNELDSTKTDLENKHKELEETRVQHANEVELLNN THADHVADMERRWADERGELEQRITDKCDELAHCEREKQKVEEDNVVKEQQLQAASDG MRLTIDNLGKDCDRLRKTLHSLGEATDLKSTKGDPFL AFLA_011780 MLSMDIRRKSVRREAFWRQQTLKAAYTTSDAKQSINVVAAVIVD EIIDHLKHFADPKHMDTLHTSVRKIVKLAAETWRLARVERELIMASFPAPDSEGTSNE TWEEYGTPKEGCVGSKEDPTRHVILRTFPRIIREAAHEDFAEDEEKALSCTYTQGSVL YSDSPVVMARRQELARRSMDSLVGGEERPRSESRGSTPNSPRRGKASFDTL AFLA_011790 MSTLSPQFVPNDSPNPAKLNDASFPDPYLSAQLDPEVASFRSSA IRLTPVSGEDHGSRDASSSPIGSHVHSDSPRDEAHPASYSEYLSPTDDLSSEMSVHTS PTADYLSAAFSTDLSPDSNSWQYDGGLHPGMRSTALDPNELNSAQMIMDPSQLLTPNL TNKSSLSSETTSIKNQTGPVALQNQPQALTLITGPPDECLDVPGDLGSPRARSPIVKI ESFSRGDSPVRDMRRPSHSSTHLSPGGPSSESDEEAGVDNGKYLGSVQSVSRGHDGSW IPNAATGHAGVDPTSRKNVYVPSPNELELRRQLDEKNEDIRSWSASVSVANSENGDDP TPHRGRKHTGNRRRAKSAGDPSLQQDYFNLKVALIPGPGVLVTENSEEEYSDHESEIE GTGSETPAASVNEARWVLGEEEIQLSPEGEEPSPHQFLGRPPWRDLAPVPSPDMARTQ PASSTAAMVEYERRVRDLDNVSRAATWGTRDVDINSLLGGGGSFENLAISNDKSRKHE RRSSLRKLLHRKSSNNLKPPNNLKRHLSDLSISLPGSDDHSKGDENKSSHQRKNSFPH RKLSLGLSPRSPRSSRSPSLSTGGAVIAIAGQMAAIGGKDTLRVASPSSTSNPWPSLK VRGRSRSEIPRPSTPGLIDLMTSHGGPPVANIAYSHLQPEPRSAPPNQSRLGHGTSDG DENDDNVPDERGLVMDLSVQSRLPVPTLEGFRTQIAQLNPRLQPALLDRFANEQVRRY KKLVENKFTHTCAVSEKGCASGKFCFAQGGEALLLTPRAGPQDSETPHTQFQIPGHGE AGDDSPALGESAVTAAQFPPGVPLPPVKRLPAEFECPICFQVKKFQKPSDWTKHVHED VQPFTCTFAHCSEPKSFKRKADWVRHESERHRQLEWWTCSEPDCNHTCFRKDNFVQHL VREHKMPEPKVKKTKAKGLSKHPVDPNSPEVLAEIQREREIEQLWNLVEQCRHDNPKG PKDEPCRFCGNVCSSWKKLTVHLAKHMEQIAMPVLALVNERDLSSNLGPGSTGKGDLG SEPESISRETTNFAPNLNGVQARADMSIGYTQATYPGHDVGQSDGLGVPFSSTGVPHF TTMSGAFISAEPEPMDAYDEYSVQVGLQPGGPMDQSRLMPMHQNSVTYPPPFNAGPRP RVSNQDMSVLQESYNFSMSPTEMQPTYDPQYMSSTGENGYVCQGTVAPTMPFTAPEAY PNQL AFLA_011800 MSEPNPKRLAWDNSGDILQGMLDRQVAPTSPQLEFSSDGFLTSE YALSDPASFLGLQFGPDSAVIPHSHLSMLNQWPPHHQQASSPPPQPSLDVSQYHHPRL TSNQDAWNPLQVTGVPVSTSTWGFPNANKLQQTPEGGRKNSTGQHSAISESDSHYNGF HPSDSGYCSRSCTTRSVTTSSNAVDSVSSPFLAPHEHEQEDRASMLDLGPSHCGDTVV DALEIVESPSLMCHDLFKCDEPGCTRKEGFGTINDLARHKKCVHKQEPERGPKVLYMC FGRNCPRRGKEWPRLDNFRQHLSRMHNDEDTNELLKRSHDWYESYVKPRVEPSSFADR FSDEATVAASESEYVRRDSGPDLHSLRSPDTPVFRPSNQSGLQPVEDPQTQRRYSTLD STPSIPARDTTQRLDLPALTTLNLGSTTDLDPSSTSSRLGHAQPDRMENMVSEMATNM VNAMARMMNSSSNDNGNSQRRHSHHMGDKVETLGGNDGLSDQKREIMQKILSAALDQV SGNPEPSQADSQTVPDSKSDKKGWIQCEFCTKRTRLRCEMKKHKKRHERPYGCTFEKC SKTFGSKADWKRHENSQHFPLQSWRCTLPDATQGDRSCARLFYDQGEYTRHLKKHHHA EDKEVQAALAKNSIGPHGQSQFWCGFCRDIIPLKGQGLAAWNERFNHIDSEHFKNGER IEDWLLPSGHLTKGAERDEGKERISTHEDGDGEPPADDVSDDETVGSICNSEGENQRD ETPMAAPEQVQNPSLRQVNTHFQNNFPMFNSLPDQTNLRKRKFSAPQPSLDYYARADI PAMEKRYKTDDAIQSHYGNLVYCCQCTQGSFSWSYTAQCLYCPHSFCGSCGSERQPRP NGYIESS AFLA_011810 MATIKRDPTLKPFWHDTMARMKRSIEEIANKSDSDDDDYSDHPR SSRRSVSRSKSRKKSKPSKKRPRRRSNDDIVSDDDDFFSEDEELSYEESEEEPDINAQ RNARGLVARRAATNRPLYNEGDSSSFEDDDGESEQTSPKKRKTAVVTLKLGDALKRQP QQDQGNRRVTRRTRGASEDIYALTNSGRHVETVERGTHSPEAEVIRPNRRGSRSSKQV PTVMEEDDETQEKQEEYIEETTTEVKGSQVEIMESAQASFEEGPISAGDDQQGAAEAD EGFVPESENEDAEHEEDEDEDEGPVTRRRTRPNRSQQIEEPEQPDEEEPSGPRRSSRK KPKSSQRKRLNDEESDFEPEEEDSNDDDEVSQTGKSNASPRKASQARDDEEDSAAGRR PGLRKRASRSRGQSEAAADIAEELAEELEDLRGGRPRRRLQTDIVYEKPRRSRKDVDY RIIRPDLILPIEEAENEVNESPSRRGGRGGGGGGSWQRTLFPTYGPFGGGGPAAILAP PGAPAATGGVDSDSSDDEGLHHPRGSVAGVGSALGPHGAGLLPGQTQTHDAAQGLSGT PANLGKVKDKQALADADPLGVDMSVNFDSVGGLQGHIDQLKEMVSLPLLYPEIFQRFH IVPPRGVLFHGPPGTGKTLLARALANSVSSEGRKVTFYMRKGADALSKWVGEAERQLR LLFEEARKTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIG ATNRPDSIDPALRRPGRFDREFYFPLPNAEGRRAILDIHTKGWDPPLPGHIKDELAEI TKGYGGADLRALCTEAALNAVQRRYPQIYKSDKKLLIDPKNIDVTPKDFMLAIKKMVP SSERSTSSGASPLPKEVEPLLRHPLADIRALLSEILPQRKRLTALEEAQFEEPEGAGS FQREQMQQEFDRSRVFRPRMLLRGLMGMGQQYLAAAILHYFEGLHVQSFDLPTLLSDS TRSPEAAVIQLFAEVKRHRPSVIYIPNIESWSETVGQAVLSTFLGLLRSIPPTDPVLL LGVQEITGEEIDTGLLRQMFGFSKRNFYDLKAPGNEARYEYFSKVVEYIKTSPAHFPD PENRKKRELETLEIAPPPPPKPTTPLTKEQLKAQKKKDHQTLNLLKIRIQPIMDQIKK YKRFRTGVIDESQIRYLWEEDDPNIVTSDLPIEQRTTFRPFEKAYDKHGVPGLWETVS GKFFYNMEIVTIEKRLSNGYYKRPSDFLADIKRLAKDARQTGDQERILRANELLSNVE VDISTIEQAEPQLVAECENVYIRELAREKAAAEKAKRVEEAEKGGFLGHTANHNVPHG NTESGPSSGPVVLGETFPDLAPKEQARPVTPTRQSTASFLTNGYHQSGGSDLNDLSAR GPTSNGSHESRPDGDGDVYMTNSEDHSGTRDTQGSSFGPSAQPKPPYSHTAPSQQIRR ESGLSSFSQKGPMTPMAPGSQPHDYANEASTTQTTSGQKSSDQSSLRPNYTQSPIVGQ AIRHDFPDLTQYPDRVGLEEHLPDTQQCDSSQPSPRPRESLPGNADSQPEHHVNGSQS QPKTQPPVPLFDESTKPSSHPPVNLHSILNDEDHSPKLIVDSEYVQNLHEQLTQRTSG CSVEQLEQINTSLMDYLWRTRGEWNRSKVAAGIRDSFNEILEDMQAMQEIGPISQRTK EQLGSSFEHL AFLA_011820 MVNWLSLAVPFAYLGVLIGSLATFSSLYRKRKAQKAYSLEPWFP AHLQRDIYFSLLHIDPPATSSKEKKAPAVPESVLKAALLRRAEEDIKRVLALRSQKQA LGVLLQRGSVGDDLWQRFQRAEKEMEDEVRDVVSEANAYVPGWGQTIFQSANEMMNNT LYRERIAEQQAKLDEERQWWEKRRASIKEGFMKELDAEDSTSTAPPQKTEAPADTTPT TTSSSTPAIKTPESSGAPSSVTGSDDDAVLVEADEQPGSPASPSKKKKKGKK AFLA_011830 MAGVLDWGISSYAAAGKVLPATSQASEKSGAPDRFISYVWLTGD VFGGVAGYPSEQQGWQNTLLLPRELHKQTISNVVDNDLASETGSWCVKDSENSCLKLE TMGIKIARETYKAMTNKTSFTEPKRTFCEDGAVPFKQSPTTKFFVLNAQLSFPKSARD PGVQAGFKILSSELESTTIYYQFSNESIVIGRSNTSAAVQTTTEIEHYYRVRASAVV AFLA_011840 MTSTGECRDGPGAKGCGVGFSTSHTFGYTVSAGANIGWFAPGFS VTESWTVGETKTCSADINATVCLWYDMAYTAYTVQVVNRRLYSSVCSNQPTGPLIMKA PNVNNAGGGHWYCAYGDECRGEGEEYWCLTEDCPPKGKPKPQD AFLA_011850 MSTTEKPTPTTATTELPVQTLESLHGPELDSKDVCSYYIRDQPN EPEDLDTLRTCCKPNVIHRYNEHQYWCEVPERYFPPTLYLNVSNRTIEQWVDGNMTQC IRDAHPSHYAGSSTCHFARYNVRNEAASLIAASAPLYLLLLGGLWSFRLLLR AFLA_011860 MLRQAVRGARWYQHVALRTPSAPSLPLLRTFSVVALRSFQYGGP NDKVRFYEQDTRGSKKRRQVNPEAEENADREEVEGELARLEKELQDLKEGPYGPNSQF IRELPEKERAIALEALRKYEAEHGKDEPEIGLEQVFDNELDEMLKEEFEGLAKEEENW HSTGQDNSPKKPVRRPYEVAVTTLEHHSYIEKFNQCLTRIASDGSNERLGAELWRWYR RCKQMIPEFLESIPEEALTLLWHAQVGDYTRSSRTSHIQILAEDAISVGKSLSTPHLL SYIESLRKTGKTKEALDQWEAHQTGLSQNKQDLEAYWKLGVRLFAAENDPQRAQDIAL AFLANDKSREPRILLPVITAWGRQPGKEAEVKAWALYLQLKSFLENTLTMDDYDNISI GLLKAGRPNLAIAVFKDMMVTGQDPANDSTSLYKAAVGLVGHLQASSISEQDVNKVSL STLTVLPRRFQNRFFYASWMKKLIGMGEVDSAALVIELMYERGVKPDSKHLNGLIAAW LRDGNPDAREKAERLGWSMVQQRIDSIWARTNSTGSSPKLTVSPDPDSPRIPKWMQRE IPSANIETFSILLLHYTRRSDDGMIKYLVKCLGDAQIKPNSYFMNHILYAELRKQDIG SLWDKFRTMSASIQPDLETFACLWDCGKLQYDRGRTTFVADFPSARSLYAEMMQWHSH LPTRGRAAAQEEFSKELYNQIIRCFCLSKDLPGTLIALYSLRSIFGFSPDDVTARLII LQVARMAGVPPGTPKRRLRRLSTTPKSKENISHVNRLVEILSERKVSALQARGLTLET LEPQEREQYQLDIMTDLLRIIMGRAATESSRVEDQIASAAEEMNVLGVDLGSSLEDND LLL AFLA_011870 MPTGYCGQLRKPRSRQEILDDVDSEVLENVLSFVRSTSHLTYDG CIPTALITVGSNVSSLGRLLSKLNDQLAASEEGGVVILESGDAPNLKATLKNIIRAAV TNTEGNNGYQNFLTDREVGLRCPNSIWARC AFLA_011880 MDDLLKGLKTLDSSKLKEVLAFMPQDLTDCPDFQEIKKDFEALV QTYQGTEPLRSEYDSHNSIVAATVVQQRVKLSKSKARLPQQNIEYTKVIDRVYALSEK YFVETLVRPQDLFLHEAFLLDMKNPLKEIFSPRPRFAIERALANPFDYLMSMSDRTEA RISARQPATAILYQLYLESGALVNVYDLWQAFYAVFESEQGDACDERMTMTLFYRAVS ELKALGMLKSSRKKVDHASKSAWIGL AFLA_011890 MPSHMNDRLLWLCLGVSLFFAVRGIVTDLRRVRDLTEIKHVEKE DKMISEGTEDALKLDTLLKLSESTSYDLRAAALRIIAERSTKGETRDLLLRDLASTDT ERRSKALSAMYFLVSNRSLSRTSVCARLKDPLTYNALVDCLCNFLNEHTEETSTTVSP ILPKTRPLGEKKALGILNLVLPENVPAALEAGIVSRWLSKYPFPCALSEPSRRQDVVI LMKTWWSDDTLMSSIFSTLSSHSDGTKQLRKYGLMGSMIEENDQDDDDSDIWMVDGDD TAGSGRVPGRRLRERSAEEQAVRRRRREAMVLSDGGRPLGHDDIIQLPISE AFLA_011900 MPPSQLKQLKASLRESGVLGPQQSKKQKRQNAKSGAAAQNRVQR NAALQSIRDRFNPFEIKAGGTRTKFDVTTRDGNSGTAASRARPGVTKSLGEEKRRQTL LREMEKRNKVGGILDRRFGEDDPTMTPEERAAERYARASQRKMRKESMFNLEGDDEEE EFQLTHKGQSLNDIDQDDFQEGDLGGLEDDASDTEAARKRKRVFFDDGEMDGPEDLED GEEHPERKKSKHEVMKEVIAKSKLHKYERQKAKEDDDDLREELDKGLPDLFEMLRGVK PPPKPEPPKSDLASMNPDRAALMEGIAKGDTEKEYDQRLKQLTFDKRSKPTDRTKTEE EKAAEEAERLKALEEERVRRMRGEEVGESEEEDEEEEVEEEGSEMSEDESIPDDAKAF GLQQSSGQISTRPELSVEDEDDFIIDDDLVETRSDVSLSIGDSDEEVLSAEESEEEME EDEEDELINGFTLPTDNTGEAPAAADAPEANEGLAYTYPCPKNHEEFLQVIQDVPMAD LPVVVQRIRALHHHRLHPDNKKKLGQFSRILIQHVAYMAEQPEHPPFAILENIFRHVH SLAKSHPESVSQEFRARLREIAADRPLSLRPSDLVILTGIATTFPTSDHFHAVATPAH LCLSRYLGQGAVNTLADFATGAYAASLVLQYQLVSKRYMPELINYVLNALCNLAPEEP KSSLGLFPSRSPEESLRLKISKSLKSRKLQFWDITGPDSPKAQEELKLSLINTFISLL STASDMWSDISAFLEIFDQAQKVLRFLNRSCKGKIPSVVQDTLQSTLDKLDGHTSKAR LTRRPLLLHDHRPLAIKTAIPKFEDTFNPDKHYDPNRERAEANRLKAEYKRERKGAMR ELRKDANFIAREKLREKKERDAEYEKKYKRLVAEVQNQEGREANAYERERRLRQGKR AFLA_011910 MARYITFAQFFLLFCLAAAQEVVVDLEYARYRGKALSNGLVQWL GIRYAAPPTGPLRFSAPQNPSVVAGIQNAFEHGPTCIPTSEYPIPEDTSEDCLFLDVY SPYRAGNHSKLLPVFVWIQGGGFNQNSNPNYNGTGLIQASGMGIVVVTFNYRVGPYGF LSGREILQDGSVNNGLKDQIKVLEWVQKHIHKFGGNPKHVVVGGASAGGASITLLLSA YGGRDDGLFHAAAAESQSFATMLSLKQSQFAYNNLVIRTGCASDADTLECLRGLDAEI LQRGNINTPLPHAQQAPLYLYGPVVDGDLVSDYTYRLFHQGRFIKVPVIFGDDTNEGT IFVPKNVSNVGEADTFIQNQFPTIKLEQFAAINAWYLHENQTRQFPDAGPYWRPASNA YGEMRYICPGIDLSSIYARAGINSWNYHYAVQDPDLKESGLGVDHTVEINAIWGPNYV TGEPPSSYFTTNAPIIPVMQGYWTSFIKTFDPNPHRYPGSPQWSTWGNEGYQRIFIRT NETRMEEVPVDQRERCEYLISIGPELQQ AFLA_011920 MAPHQNPAPDVGDEEEDDYMSMVIEEPQQKETFTQKKRRQQREA EARAKVPSKAERAAQEAERRDAALATSTLNPSNKGFQMMAKLGFKPGQALGKSQGERV SNQKSDSKIGGRAEPLNLVFKEDRGGIGLDTEKKRKFREEAEEAVKKVKQEEGDYRDR VRIERETRRTEAQFHAAQKVAERLDAEAETGDGKTVQTKRGKTPTEHEEEESEDTTSG SNNPQPKVKVKPTSQVNILYRGLVREREEKERSIQARHLLQTSLPSSFFPNPRLPGYD DDPTLEREDKVALDNKPDISTILEQELDEEDPELDEFNALEPSERLARIVQYLRETHH YCFWCKFRYETGEMEGCPGVTEEDHD AFLA_011930 MAILDKESRSRGLRMPSLSAIKSRKKNAESPPRRDSSSDEVVIP ARMDSAPGRFLHSQEKDLPPNPLPSPPPFPSAPADSLSNQSPYHHINPTPKYEDRPLP KFPRVPVPKREEPPAPVPALVPAPAPVQQVTPPSSEDHYPIHYQEHIQPIEDPLESFI PEPEPEPGVDGTSASVEPVSSEESNGPWTPPDYEPIAAPLNQLHYACYQEHRSMPTAN NLWHPLPCMTCQKYDRKVRHRCVFCCLRICASCYQTLQKCPNRSLAQLMKTIPSHE AFLA_011940 MAAARGLPIPPPDEIPSELEYKNPPKITEGAKESTDTKGIQHLT VPITSRSQSYGSDASQSSTPAHSLPSPTSPLASGTSSSPLFRTRAKTLASLTTSKHGT QADPAPREIQLPRDPFVNGQPIEAYLYKDAAECPICFLYYPPYLNRTRCCDQPICSEC FVQIKRPDPHPPEHGDSDPNAPAAAAEGDAADNQDSQLVSEPAACPFCVQPEFGVTYA PPPFRRGLTYASDPSARPNFTSPVSSTSSLSSGNVTAVTGRRRAASLSANDPTVITTD KVRPDWAQKLANARAHAARRSAAATALHTAAYLMNSNGNGGDSRTFNIGRRGVMRRAG GSDPHSSSSRTGSPALQALAFLTDRRSATNDMDSAEEGSGNIAPPRNTSRRNRIDDLE EMMMMEAIRLSLASEEERRKREEKEAKKEAKKREKEAKKAEKTARKTGLYSTNASGSA LDVPTGLGRVASSSSSVIGEESTPAGKGKEVDRASPEAPIDATSTCSVTAPASMNAVY PPMNSADQHQSMQSSVPQTSPRELSKPSHLRHVSSASSSFSSLVESMSGDHTGTTEGN GSSTEPLFNFRSLAAVIGDEDKREESAEHVENTLSNPQAEGSASRSVLPVDHAHTDDS VFNADAATPAGSDFALQESQGYMIPKELETRSVEITDSTGNPQATS AFLA_011950 MEADKIKKKLNGSILKGRKFKVDVARPQKRQRDENEDESDKAVF NKVSPSSKKTKKQKDIGNVLEGYELQTDRQVKRGWTESTSSLKERRKEEKRNKKKDDR TGKSQAKSKYTEKAECLFRTKIPPNRASSAEVEQDKQSKKKKKKSLQESVVHEFSKTV TQPSFLRTDSDGAAPTFTFEEGKGWIDGSGNIKEQASDRIRSDQYTPGKIAGAKERPK SKSSLKAKASSQSLDDACAVRGGVDLKESDESDESEDWTSSSGATSSDDSATDSESEA SVTSGSSDTSDISNDQHEQGAQSTPQGVEKVPGPEAKADQDVAQAEKSDEPHSQEVHP LEALFKKPTPGTTDVKPDPDASAQFSFFGQGDMESEEEPQEVTEPQTPFTKKDIQSRE LRSAAPTPDTALAGRNKKWNSLEQHDSMDVDDEPYINTPVPKFGSALKDESEFTKWFW EHRGDNNRAWKRRRRDAAKEQRQRENRRKGMKGKS AFLA_011960 MTYQRVKMRKRRMYLLHVSVRHTPACLATCADQPFGYAERDSRK DEAIVFIFIIATSGLLAWAVFKPWVQKYLEAARERRSYIPVSENADR AFLA_011970 MVLTQQRRQPASDDQFPTTQLFLLAICRVAEPIALTSIFPYSWV MVKDFNVANGSDASFFAGILVSAFSLAEALTGMFWGSLSDRVGRKPVLLSGCVGTMAS LLIVGFATNFWVALFGRALGGILNGNIGVIQTMVGELVKRPEHEPRAYAVMPFVWSIG TIIGPAIGGLLAKPAEGFPSLFYREGLFGRFPYLLPNLVCSVLLLLSIFFSWLFLQET HPDMQPCTATENLDGRSAERPLLATAGATANAGADLRAESYGTFNQVHLYDEEDWLVR ADGSRPEKIPQRQTIFTKRVMMLIVALAIFTYHSMTYDHLLPIFLQDKTLRDVPTVGN SILKFPGGVGLSTRTVGLIMSTDGIIALFIQSVIFPALAHYLGVWRLFVIVTILHPVA YFMVPFLIFLPRSLLFFGIYGCLVVRNILAIIDYPVLLILIKQASPSDSVLGKINGLA ASAGAASRTIAPPIAGYLYSTGAELDCTALAWWGSTLAAIVGAVQLWFMERKKHSSAT IQPAAPCHYLPNEAHPRRDAVHIIVTGTDVGTPDA AFLA_011980 MSTPLSPLTSSRQNSQPFSPGISGLVAQEDVVDHIVAPKDSLEN GFSSPRKLVDDLSADTERRQSYTATQQPNEQDLDYDNTDPILPPSSPFQYEARDDTVD FQMLRSQQLSATPRKRSYEHVPEDDAFDDRYRKGTARRDMPDISVYADEDVSINNEQS SRESAGQEIGNSLMEEKHNEGMSTVIHENNSNDTSNDKEDTSLHEEDDDMIDDTNDSM DETCLSTFSAVTNVDMTTFAHLRGDSPFKAGQPSKLHGDLDGKDEPGTPTTTRKSPRI SGLVDFGSPTPRKRAARVSINPSETPNLLDLSDQPSFFPRKRYSMQNERYSPSRRSPL RTVREPTRSPAKVSLLDFDLPAAPTPRSIPSVTPRELESLKSGFLSEISSLKATLSGK EAEVASLKQAVADAERRVGETSEELRNEAARRETLEIEQAGWQRRGQEMEDVLQSVKA EMMEGEREREKLMRRAEEMEKSKEKLESRVVELETQLSSARKPASNDMGSSKSAQYGK TAEETAKEVQDAVEKVARELHTLYKSKHETKPDISMITREKDEHEAEKRVLEAQIKGL QQELGALKDDSERLHHELKIERAEKGELVAAVDEWLAIQQNQPSIQDGPQSPRPQEDN SPEPTPIEVAPEDFRQSISRSSSSSIRPPSTGSSNGEKKIPRIPAPGSRQARGNSGGK SGIAVFTPGRSGIMGSIERMGRGGV AFLA_011990 MDGVRWSRSGGGNTITVWIWGHPSPTPTPTPPDQFVGGRVAYWF NYLNIPFPHPQVSPLLFVPLDEKGPLHS AFLA_012000 MTNLTASPSSSNMAENEAKGKRKASTAGLPANARPVKRRASKAC CCCRARKVRCDVVENGSPCTNCRLDQVDCIVTESKRRKFVHAENMTRLPHSSQNRGLT SSALLCHFPGNHVLRLTTPTTSSLNRPRRLPKTEVFYGDSVNATGFRMLPQRRLPSVP AFLA_012010 MAPNPAVPATMPLHHVTSQIQQLLDPSFANARSGGIILPDYIRG LPPRLQKEDIDYLAMKGALTVPDVGLRNELLKAYIHYVHTYMPLLDLEDFLQTIAQND GIRRMSLLLFQAVMFAGTAFVDLKHLQAAGYSSRKAARKSFFQRARLLYDFDYEVDRI SLVQSLLLMTYWYETPDDQKDTWHWMGVSLSLAHTIGLHRDPGNSRMDVRRQRMWKRI WWSTYTRDRLIALGMRRPMRVKDDDCDVPMLTLDDFEFHPFSPEIVSMVGNSEVLQNV SHQKELALMFIEKAKLCLCVSHVLSAQYSVLSHKFGGTMETTMMLVPKKSAAETFEVR RCDQELEDWLAHLPSEIQYAPMAPAKLTEAQEVLHSHRALLKMVYLTTSSALHRPQVL PAMPFPSTDAELQDISRNKVRFAAVEITNIAQDLHALDLTRYFPTTGVTVLLPAVIIH LLDIKSSDPNVRMVSLQRFYQCMRILQRLREIYASADFATSFLEAAIRKAGIQLTVAP QDVQSRNNCTFDSVRLNTLTPPPDSLAQKIPDLTYPKTSGTRLAGEAAEASGFASTPP PSDGSENGSTNNINPHYHQDAFAIPNLDSDLSISELMDLANDAEVTQNDFDALINFDD TGAELFAADDGLDLNGNPKGQGYGFNIGTMDNVPDLFGTESKGVGLTGLGNGQLHEDR TSTTLGANEAPRATELDGIADLEAELGLNL AFLA_012020 MRGYEFLSVLPLVAASWALPGSTPASVGRRQLPKNPTGVKTLTT ANNVTIRYKEPGAEGVCETTPGVKSYSGYVDTSPESHTFFWFFEARHNPETAPITLWL NGGPGSDSLIGLFEELGPCHVNSTFDDYINPHSWNEVSNLLFLSQPLGVGMDCRTLPY CGFSYSDTVDGSINPVTGVVENSSFAGVQGRYPTIDATLIDTTNLAAEAAWEILQGFL SGLPSLDSRVQSKDFSLWTESYGGVNGVQLNFNSLGIINGIIDEAIQAPYYPEFAVNN TYGIKAVNETVYNYMKFANQMPNGCQDLISTCKQTNRTALADYALCAEATNMCRDNVV RTITRKIEGPYYAFAGRGVYDIRHPYDDPTPPSYYNRFLAKDSVMDAIGVNINYTQSN NDVYYAFQQTGDFVWPNFIEDLEEILALPVRVSLIYGDADYICNWFGGQAVSLAANYS QAAQFRSAGYTPLKVNGVEYGETREYGNFSFTRVYEAGHEVPYYQPIASLQLFNRTIF GWDIAEGQKKIWPSYKTNGTATATHTQSSVPLPTATSMSSVGMA AFLA_012030 MGNSTVGDDNPAEKEFAAATSDPEAGQDSVTGKPWMYKPWKIGP LTLPWFAHPMTQLVLVSFVCFLCPGMFNAVSGLGGGGQVDATDVNKANTALYSTFAVV GFFAGSIANRIGLRLTLSLGGFGYFLYVASLLSYNHNSNAGFLVFSGALLGVCAGLLW CAQGAVMMSYPREHEKGKYICIFWVIFNLGGVIGSLVPLGQNLHSTAGRVNDGTYIAF MVLMAVGFVLAWGLSDSKYIMRSDRSRVIVMKNPSWKSEFKGLLDTLRSDYYIVLMFP LFLSSNWFYGYHFNSVNGAYFNVRTRSLNSCLYWLMQMVGAFVFGFTLDMKFFSRSMR AKINFVLLFLLTLGVWGGGYAFQRQYTRADAPLDTDWSDSGYVGPMFLYMFYGFYDAA FQTCAYWFMGSLTNNARKLANFAGFYKGIQSAGAAGMWALDLDKAPFMTELASCWGLL LGSLLVASPIIFFKIKDHVDIEEDLKFSDETAHDVMGNIPMEEQTSGKKEEKRESVA AFLA_012040 MAYTDTPLLVPYEGSMYWYHEWYCCIHYKDIAIDGLSDDTSQWK ELLVLSMEPSP AFLA_012050 MPGKTATPPRITKFTNCRIIRGNQLVEQDVWIDSLSGKILRDQE AFYELHMSPDEVLDLGGRILAPGLIDVQLNGAQGFDFSVPKSSKEEYDEGLRMVNKGL AKTGVTSYLPTVVSSTPEVYWKVLPSLGPSGAKHRAEDGAESLGAHVEGPFISPGRNG IHKTDVLRAAKSFEDVVGCYGKENMFGPSKTVRMITAAPEVGSMVNNIPNLTAQDIIY SIGHSDATYEQAMSATKQGATMITHLFNAMRPFYHRNPGVFGLLGQNEHRRPFYGVIA DGIHLHPTSIRIAYNAHPNGLILVTDAMKLCGLPDGVYDWTNGERIVKTGARLTLEGS DKIAGSSATLIECVNNFRRWSGASTAEALNAATATPARLLGLEGVKGTLDCDADADLV VLTDAPDPYSGPTLTIDQVWKRGVKIYDAEKEATNSRV AFLA_012060 MATTNDFPASDVNSYDYIIVGGGTAGCVIASRLAQYLPNKRVLV IEGGPSDFNDDRVLNLREWLNLLGGELDYDYPTTEQPMGNSHIRHSRAKVLGGCSSHN TLISFRPFEYDCQRWEQQGCKGWSFETFTRVLDNLRNTVQPVHARHRNQLCKDWVEAC STAMNIPIIPDFNKEIRQNGKLTEGVGFFNVSYNPDDGRRSSASVAYIHPILRGEEKR PNLTILTNAWVSRVNVEGDAVTGVNLTLQSGVKHTLRAKKETILCAGAVDTPRLMLLS GLGPQNQLSSLGIEVVKDIPGVGENLLDHPESIIMWELNRPVPPNQTTMDSDAGIFLR REIPNAAGSDGRSADIMMHCYQIPFDLNTSRLGYDAPINAFCMTPNIPRPRSRGRIYL TSADPNVKPALDFRYFTDPEGYDAATIVAGLKAAREIAQQAPFKDWIKREVAPGPKIQ TDEELSEYGRRVAHTVYHPAGTTKMGDVYRDPLAVVDPQLKVRGLKNVRIADAGVFPE MPSINPMLTVLAIGERAAELIAEEAGWKREQPRL AFLA_012070 MAHTMSYLPLPPRQLFYDGKVQSASSGKTFQSVNPSDATPLAEI QVASHSDIDAAIAAADRAFPSWSQTPPIARARILQKASLILRERNDEIARVESLDSGK AFTETSTVDVVTGADVLEYYANLVGGGGLNGETTQLREDAWVFTKKAPLGVCAGIGAW NYPIQIALWKSAPCIAAGNTMVYKPSEFTPLHAQTLAEIYKEAGLPDGVFNIVYGAGD VGAYLTSHPTIAKVSFTGQVATGMKVAGSAAGNMKYVTMELGGKSPLVILPDAELENA VDGAMMANFYSTGQVCTNGTRVFVPSSMKAAFENRLLEKMQYIRPGPLFDEATNFGPL SSAIHLEKVISYIRHGIETDKATLLYGGLGKPQVSKELENGFWVRPTIFTDCKDDMLI VKEEIFGPVMSILYYETIEEAVRRANTTELGLAAGVFTKDVNLAHRIIDQLQAGITWV NTWGESPAEMAVGGWKKSGLGVENGRRGIEAWVKNKSTLVDMNGAVATVFAKL AFLA_012080 MRVIIRETALEASEYIADYIISRIKAFKPTEDQPFVLGLPTGSS PEVIYKTLVQRHRAGEISFRNVVTFNMDEYVGLPRDHPQSYHSFMYKHFFSHIDISPQ NINILDGNASDLAAECASFEAKIARCGGIELFLGGVGPDGHIAFNEPGSSLSSRTRVK TLAYDTILANSRFFGGDVDKVPRMSLTVGIQTIMEAREVVIVATGAHKALAVEKGLEG GVNHMWTLSALQLHQHPLIVCDRDATLELKVKTVRYFEAIEQSGTDARTQGPPLVYRP RTYVPAPMGASKTNQQPTPASTPPRVPKDLRINTQLNQTLDDEELTPDSMSSRMVDSA ISGLDSTLKGDLMFDRMGTRVISH AFLA_012090 MGFDGTSVDPQIRSLIENYHLGSILLTAKNLKSAEDATRLVLEL QTIARDAGHPVPLLIALDQENGGVNSLYDEIYIRQFPSAMGIAATGSKALAHDVAVAT AQELKAVGINWILGPVLDVLTNVRSQPLGVRTTGDDPQEVSQYGVEFMKGYKEAGLVT CGKHFPSYGNLEFLGSQSDVPIITESLEQLSLSALVPFRNAILHGIDSMMVGGVSMSS AGFHVMHACLSEQVVDDLLRKKMKFDGVVVSECLEMEALTHNIGVGGGTVMAKKAGCD IILLCRSFPVQQEAITGLKLGVENGIIGRARIEQSLRRFTPSGESREKPVVVIAASSP YDFAMDPSIGTYICTYDFTETALEALVKILYGEIIPMGSLPGSINRSQKLHQARQHWL VENWNEERDSHALDSLLDAVREDCTQTQRSELLGVTSCSFLLRREEIDEAHFVVRNSS TQALYGFCSTYFFRSTGTGVIGALIVDPARRKLSIGASLHNRAIRTLVQRKGVKRFQL GSRLPGIYLGIPSANPVERKRLRQWFANLGWNTALSRPVCSVVLRSLSSWKPPNGLIQ GLQNADVVYDLVYGWDYADTILDHIKTNSRQGVIDIYKVALGGAPNCGIIRATRPGDG AILGSVVTYNGRATLAEHMPALKAMHSSTGGISSPVISPSVGEYATVMQGLIFMGIKQ HRKQGADSVIMDCVDGDSNFDSLSGIMGFSTLHSFEEVNCDAATWTMMTAS AFLA_012100 MEGFDTMAMPYLASPLSLSNIQGADYLNSMPGMDLPDQRSNFES ETFVSGDDIAFPQTGLSAPLKRFHSGYDDPFSDMVAPFDPAPAEQQHSDSSIDHNNKL LSFSMPVYNFTLLDYSLRRTSLSLSAQLHGMFFLAESPWTTSPAENAPPQQGAELTCY RRNLFQITGSVTLPRSLRYIMTEQGDRIPILAQELTVSATESVEGNSVKIISVPWKTP AANANPSTEDSGHPTATNNPNNPKVEKEPPAIPLDIMAGQDLDTDYATFPIAWKRLQF RVATANNGRRKELQQHFVVRLKVVATLSTGTKIPICEVHSGPVIVRGRSPRNFQSRKD LPLSGSAAASRKNAQASHSASINRTPTSETAPRSGQPPSKFKTTGKSSSPETSASQTG VTAQRASPDWTQIPQSTGGALPSAALPRSSIYSQSSPEYSRTAETQQRRASAIAAPIN LSLLDDDGGGDPSRLNDSARPTSSYASETPQKIINTEGSAPPAKMRKLSHGIPQPPAR STSSSLPLLNTTNMQQPFASTLPFPNESADLLYEYFPLGLDDWQAPVDAVYRPHVVHH TNMPEMKFVATRGRSKRYFAAEDVF AFLA_012110 MQCYTELLPPTGVTHSLALPFISESANNLVVARTSRLQIFSLLD VGPRPGGIEEQGVPKLVLEREYALPGTVTDLCRVKLLNTKSGGEAILLAFRNAKLALI EWDPGRYGICTISIHYYERDDSTSSPWVPDLSSCGSILSVDPSSRCAVFNFGIRNLAI LPFHQPGDDLVMDDYGELDDERLGSHGLESGTDCDMTKESIAHRAPYSSSFVLPLAAL DPSILHPISLAFLYEYREPTFGILYSQVATSNALLHERKDVVFYTVFTLDLEQRASTT LLSVSRLPSDLFKVVALPPPVGGALLIGSNELVHVDQAGKTNAVGVNEFSRQVSSFSM TDQSDLALRLEGCIVERLSETNGDLLLVPTTGEIVLVKFRLDGRSVSGISVHPIPPHA GGDIVKSAASSSAFLGDKRVFLGSEDADSILLGWSVPSSGTKKPRPQARHTEEDSGGF SDEDQSEDDVYEDDLYATVPEVVVDENAGNDSGLELVASQGWDRSGGLAVMKRGLELQ VLNSMRTDLASCVWTASVAHMEEAIYPVWDEDTSEERMAISSSLVDPYVAILRDDSTL LLLQADDSGDLDEVELNEQIANSKWTSCCLYFDKTGIFSSISATSDELAQNSMTLFLM TQDCRLFIRSRHDDLAVYRPFISITKSVGEPHADLNFLKETNLVLPRITSGVEDQSST EEVIKSVPLRIVSNISGFSAIFRPGVSPGFIVRTSTSSPHFLGLKGGYAQSLSKFQTS ECGEGFILLDSKGVIHVCQMPLGVQLDYPWTIQQIPIGEQVDHLAYSSSSGMYVIGTS HRTEFKLPEDDELHPEWRNEMTSFFPEVQRSSLKVVSPKTWTVIDSYLLSPAEHVMAV KNMSLEISENTHERKDMIVVGTAFARGEDIASRGCVYVFEVIKVVPDPKRPEMDRKLR LVGKEPVKGAVTALSEIGGQGFLIVAQGQKCIVRGLKEDGSLLPVAFMDVQCHVSVVK ELKGTGMCIIADAVKGLWFAGYSEEPYKMSLFAKDLDYLEVLAADFLPDGNKLFILVA DSDCNLHVLQYDPEDPKSSNGDRLLSRSKFHTGNFISTLTLLPRTSVSSEQMISDVDA MDVDIKIPRHQMLITSQNGSVGLVTCVSEESYRRLSALQSQLTNTIEHPCGLNPRAFR AVESDGTAGRGMLDGKLLFQWLDMSKQRKVEIASRVGANEWEIKADFEAISGEGLGYL AFLA_012120 MALLQTSLIWAVYAIVVAILAAVASVFIYTYQTPRDRCPSVILT CIVAVTTLLATVLLVPVDVALVSSTINPALGRRQDWATQSEVDRILLCLKIVYYFLYS LDALLCLIVIPFIYFLYEEYDEVASETEQQSFGQRFWAAFKYTVSFLAIVVVLFLVGF FVPVAKDGDGGGLDYFKHLLTENRGERALTFALGLLITIGLCLYVLYTSTGLALFPIT LIKEGPSVISPTLKATTAVQLCSNRERQRQLEGRCRGNPGLLSSKDRRELDTLVREER TLIRRQRLADEAHGKHQNWLMQLWLKFGAIFRPFQLLSGVIFSLLALIIWISMLLTTI DKAKNSFCKQRCGYILGHINVFNPINWVFVQSAKIFPVDYVIFTLLVLFLFSSSIVGI SAVGIRFLWIRIFQIRKGHTSPQALLLATAMLMLIILALNYSTSMILAPQYATYGPQT FCDRELSFSEKQPDCSRDKHLIRPCSEVADSLAAKQVCTPSVVSTFLNRVTMNFPFFG AIFFWAQFAFLGIYLLVMVTALLHSPKLDERQLDEDAEEAEEESLLANTRGRAETTWE DITSRLRRQNEVDRAGA AFLA_012130 MDTADKNDSTQYSPQMLQGKRSISVYEVPGNLTPSFEGLKDYDW GRLQEHYANAMEKHGTAEEDLRAQISKLLEVSSSYPLRKDIL AFLA_012140 MSCHVCSRAPTSRLKYLCPSCARNQLYQLRIENARILLENESLG QQINETVSSTSILEALSERPDSGHLSLKNEGHIAWPIQIIANEKTRSSLRIKLLKSRT ENLRLEIKNKKRNISEHKLTLAQRRSDAGSAKYQLAEREAAILSGIHNNAKRTDHLWH SLHSKTAEARIFLCREAAYLYNLQQKVKKRDGEVKEAYTIGGIPIIDLRDMNGKLRSL FRATPSQISTSFSYIAQLLVLVSHYLSLRLPAEITLPHRNYPAPTIYAPSGSYLLREM LPASSTLQPSPSSSTPSRTADPRSCFPRPRPLSIDRSLPKLAREDPGTYALFIEGATL LAWNISWLCRTQGLHITSDSWEEICSIGKHMWQLLVAPPAQTSTLVRAFAGRDVQSKV KITRDPPKTIIQRTKSFPMLGHYSHGTVHSFLAASEGTEYTRTWRLPTPTKVADKLKS SLLGEMASAEWEVLEKKEWDNIPEAPLQSVHESSANREDEKDELNISNIEPSENNCAE PESPKEPVKSNRLKGTSGWTKLRNR AFLA_012150 MAGFVAMVSVFVVVVVEMFFAMKGAGHVHGSEYDHLIGDVGGDT ASVYRNEGPEYLQESTENIHLEGMPDGSCTSSLPQSSGHLLSDFTDDGSQSQSANLVA RKEDVEVDLEGPDSYDGSRTNAHRNPYSQSELARPSPAISCEHPDTMLTIQNPQRQLL QCLLLEAGILFHSIFIGMALSVATGTSFIVLLVAICFHQTFEGFALGSRIASLIPDLF APSSMKPWLMSLAYGTTTPIGQAIGLILHNLYDPTSTAGLLMVGITNAISSGLLLFAG LVELLAEDFLKS AFLA_012160 MSSLPPVYIVSSARTPVGSFLGSLSSLTAPQLGSHAIKAALSKA DGIKPSDIQEVFFGNVISANVGQNPARQCALGAGLNESTVCTTVNKVCASGLKAVILG AQTIMTGNADIVVAGGAESMSNAPHYLPNLRVGAKYGNQSLVDGIMKDGLTDAGKQEL MGLQAEECAQDHGFSREQQDDYAIRTYEKAQAAQKAGLFDEEIAPIELPGFRGKPGVT VSQDEEPKNLNPDKLRAIKPAFIPGSGTVTAPNSSPLNDGAAAVILVSEAKLKELNLK PVAKILGWGDAAQQPSKFTTAPALAIPKALSHAGVAQDAVDAFEINEAFSVVALANMK LLGLAEDKVNIHGGAVAIGHPIGASGARILTTLLGVLKARKGKIGCAGICNGGGGASA IVVESLV AFLA_012170 MDDLYDEFGNYIGEAADSDEDGQHDEVKPRAFAFNEAFGEEEDE ELYGQQSMEVDVVDIVEGVQSNTEQIIKHAVLEGLPLTMVVNKMDRLILELKIPPNDA YFKLKHVIEEVNTVIESVLPGQGEKWRLSPEKGNVAFACASMGWCFTLQSFAGMYAET YPQIETSDFALRLWGDIFFNPTSRKFTRKGVEENSKRTFVKFVLEPIYKLYSHAISES SEDLKRTLASVGIHLKPSQLKSDAKELLNLVCGQFFGPATGFVDMIVQHVPSPVEGAQ MKLDRYYTGPLDSKVAAAMTTCDADGPLVVHITKLFTSTDASSFNAFGRIMSGTAQPG QQVRVLGEGYTPEDEEDMVTATISDTWIAETGYNIMTSGVPAGNLVLLGGVDNSIVKT ATIVPLKLEDDEDAYIFKPIRHMTESVFKVAVEPVNPSELPKMLDGLRKVNKSYPLIS TKVEESGEHVILGTGELYMDCVLHDLRRLFSEMEIKVSDPVTRFCETAVETSAIMCYS ITPNKKNKITMIAEPLDDGIAEDIESGKVSIKDPIRKVARFFEDKYDWDKLAARSIWA FGPDELGPNILQDDTLPSQVDKKLLGSVRDSITQGFSWGTREGPLCEEPIRNTKFRLT DVSLADQVIYRGGGQIIPTARRAIYSSFLMASPRLMEPIYSCTMTGPADAVASVYTVL ARRRGHVLSDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQAAVSLVFDKWSVVP GDPLDRDVKIKPLEMAPAMATARDFVLKTRRRKGLAEDVTVSKFLEPELWRGLKESGV LDS AFLA_012180 MASEMQNSNPTILNAADLPKRLRPAPSRKPGIFGNGLFASALPF VYASKLPPEGFTSSSESESDDDDLLEEPIDEQEIYDLVSTISDPEHPISLGALAVVSL PDISITPTLPYVPASPLRTVTVLITPTITHCSLATVIGLGVRVRLEQSLPPRFRVDVR IKEGTHSTADEVNKQLADKERVAAALENGTLMGVIAKMLETCQ AFLA_012190 MQGYSFTPPTGPPREGHKNYVFVDEHNRHKRLKVMRACNGCRKR KIKCDAATTNTWPCSACTRLKLVCVPPTVGQDSEFPTGQGVEANPTNAIGASNTTESF SSFSMPQGYRDSGQATVGGIPPYSDGMGMFPQFTHSASHQTGMYEVGSPALAVSHQTY QQQQIFPSQTESLGTIESGVYGDHEQSTAEDLSEVLGELKIDETGIGMQIAPYIRQQK KEKGEPEIPIQDEVEEPLPPLSTGAGSTIRIPPELMPSDEEVTNYFKIYFDDIHPYVP VVHRSHLYYQWQYDRNSISPLLLEALFACAGRQSDDPAQGAQWLALANRHESSFMDVP RLSTIQAMLLLLKARESVPKKGYYYRSWQTVKTIVSMAKDLEIDEHYNTHAEHRLCDL NPIECLVQTRVWQALLVVEVMIGAPQGRSDYGVTPDTVCMDPALDIKDLDQFEIDRSR QYAYFVQNAHHIRIITDTYHKIKRQKDWGANPKFVEKNPLFTDWLQGLPSDLQITYPP DGSPPWIPSHFVANMHSHCHLGIILLHRPQLLASKSFAAGGGWKMHMALCYSSAKYLC RLQEAILQRFGLPGLLSMQRGINFAIYCIMTCTMLHLVAITSPDPNFHTDARDYFARH MRILERCSSAWPMPEMQAQIDSLRLAFSADVNRPFELKPTFPYGSPSEPYHPSPPPLD SQYQPHVSQVSGGVRGRVGYNPYPITPPISASTEDSKSDCSQLHSLGMMPPQPVSSQS LNAPLVDENSWDPTRIITQWDMAFSMAPSTVNTNSPPMAMDHSVQAPLAGQYTVQYGQ TTKVTPVTPPQAISPPQFNGQQVLFTARDWQQSVASVYDPNGLKRRWNYSVDIGTEHT QKRAR AFLA_012210 MRLFRGQFVQFLDEEEKAQAIAEAKKLSQQRADNYSQRKGDLVE PEDVGFIPLSVEDRKSLVQSFIQGAYPKLSTEKAASPILSEVKKNLRNNESYQAAARP VRRA AFLA_012200 MSDEVYEGAIGIDLGTTYSCVANYEGTNVEIIANEQGSYTTPSF VSFTEKERLIGEAAKNQAAMNPKNTIFDIKRLIGRRYDDPIVKKDVESWPFKVVDQGG SPAVEVEYLGETKTFTPQEISSMVLMKMKEVAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAISGLNVLRIINEPTAAAIAYGLGSGKSDKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTGKDLSGDARALRRLRTACERAKR TLSNATQTTVEIDSLFDGEDFNSSITRARFEDLNAKSFSGTLEPVQQVLKDSGIEKSK VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TQDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQTTVQFPVYQG ERTNCADNTSLGEFTLAPIPPMRAGEAALECVFEVDVNGILKVTATEKSSGRSANITI SNAVGKLSTTEIEQMIDDAAKFKSSDEAFTKKFESRQQLESYISRVEEIISDPTMSMK LKRGNKEKIESALSDAMAQLEIEDSTPEDLKKKELALKRLITKAMATRMQRIPVLSSQ IQRLSSTLTNASSPVNLQRGRCLLQERSLAVPNGRVGFSTSPLLLKKKDKTKNISSSS PDARPPKAGLVSEDPYDLAQLHDGIAAAVCRLKDDLSKLRVGGRLNTDAIASLRVQLS KGGKETVKLGELAQVVPKGGRMVTILATEEDHIKPITSAVVSSDLSLTPQPDAHNSLQ LNIPIPPPTKESRDQTVSVAKAAMEKATAAVRDSRGSVHKRLQDMQKKKIARPDDVRK AQEQMEKVTEKGQREVRDLFEAAKKAMERA AFLA_012220 MDIDMSRRNKKPRLLLESERERLDEFIDSIHYSARYIDSYTVDC IDVNADLHIDTLMINLNTVMFSSPKTCSKRSRPTTLTARRELLNCFGKRSGEPWGLHR AWVGSTTRFMSRNHTFCYSSEYLNLNMFAFLVLRVVSGAPSTTSHQCHSKYTSNSMVD I AFLA_012230 MALPPKFAGHRLRAGTDQLPQHTLELYLDYACPFSAKMFDTFYS SVRPTLASQYRGQLQVIFRQHIQPWHPSSTLMHEAGAAVLRVAPEKFWEFSAALFKQQ KDFFDVSVVNETRNRTYERLAKVAGHIGVEEREVLKLLTVSDKASDNGELNTGNFVTD DIKKMVKADRAVGVHVSPTVYFNGIEEPGISSSFTATQWGEWLAKNVI AFLA_012240 MFRLRRILPNSTFFRISPPLSQTSKLNNVRHVKFKRPWIRRLFT TFLIYGTAFHLWSSFILLQFDDTLDDADDIQEAPSGKIGVGKNRKNDTEGHLENPASV TIDTDPVFIPLGWPRLRKGELYAASDPEWQEFVKTSRDREKLQYLRDELASIVQKDAS QSDLLSRVLGGPLSVTGFWLVHHFPSRAPPDYRRSGLEFTDSGISWVSKPMSLEDGDR LRRCVQPLSVALAIKDAYMILVKRQLSRLNITGLEQEQAPGTSSLPSHKALSSELQPL DRLHPVHQSQAQLTPPTGSQGGTLRDKGGVDLHPSLIISTLQRLPLPKFGPGSDLYAA SLAFKIRLKNCLARELHRPRRGAFYFIGPVGLKGPRGFCRVEVKGEYDPATASWSLIS MQLKDVSIFNQKALGGP AFLA_012250 MHRLTSLLSLSLAIASGTKALDASIFTFGPSPPWQNIKTPVVTD DVARHILELRMNVPTVSALGKWDQDIVELLDRYGGARHSLFGGDIDYKDVTRSLVILE GIGAGLGSAIQQEYQGDLMIGSPSANYLIDGFLDSTSEANSDGYVTATKNYCKSDSGG GISFDVLQTIENCIPKGSAFEAVAHVFGKELLGIVKVAETWVDEQSLTAVLKISFQVP DEYVGSGSVVDSLTSVLHGLRALSSEVSQVTAVLLPTINQGKGLKRKVDPREAVKEEA SVRSSSAAVMTTLQRQTHPVCFTSNSSCNLATDSCSGHGFCYKKSGSANDEAASDCYA CKCKSTIVTKEDGTVQKIRWGGPACEKRDISSPFFLIAGISVLVVMAAGTAVGMLFHI GQNELPGVISAGVGPAKAQK AFLA_012260 MFRIIVGVKYCFRYNQRNRQLRNEETGEPIDLVTMPRTHRRRRE KKLMSMDEVNERFPLVKYKVWRSSRANEGLPTAGGISAPNSRPQSLKGEHNVLSTTVG APSYTTNSAIKGHRRCDSTTSQLSIPAEHAQTALVQPQEKENAGQAFAVLADGTHGPD AKQLQNCNSLEDEDVNNPIRTAVPAELLPNPGDSCAICLDTIEDDDDIRGLTCGHAFH ASCVDPWLTSRRACCPLCKADYYDPSRNGTQSSRLTAPRFWRIQAHHSQPANNPSSSA PEPSDRRDWRTRFTPTRSLNLSFLSFSARNHYSRESMPHPTDTARSAADRTPSQLEAQ SGA AFLA_012270 MDSQVTSSAKTPNPVVFFDITLGGESLGRIKMELFTSITPRTAE NFRQFCTGESKSPQGRPQGYKNSKFHRVIKDFMIQGGDFVNGDGTGSRTIYGTPRFQD ENFILKHDQPGLLSMANSGPNTNGCQFFITTTATPFLNNKHVVFGQVVEGMDVVRMIE NTRTTRDKPNQDVTIIQCGEM AFLA_071780 MCANYSLVRLLYYLLDYYILIINMASEENLTTGVNKDNVQGNIW PGLPKEFELFYFFKISKTDSFRRHLRYLIPHITTANSALKSRDQIAKHKAAVSDRLTH PATIPLAGVNIGFSANGLKKLVKNESDRLKAGPFLGGMLADLQGGTAGGEGRDNPDDW EKGFKDNDIDGIILVTGDSERTAYAKLYEVKSHFIGFFWFNSSIEDRFTITGYKRPGQ ESNKEHFGYREHISQPQLVGLDPSPTGDKEPPPVPPGYIITNTDQDPSPQPDWATEGS FLVFRKLQQLVPEFNKWLNETAPKHDLTADQLSARLMGRWKSGAPLCHTLWKDDPALA ENNNFDYLPTNTQEHCPFAAHIRKARPRGDLADKFSSAIIRRGIPYGPEVTKEEQDKQ TTKEDRGMLFVCYQSNISNGFRRIQEQWCNKNTFPSGKKRITGDPGPGADPICGQPNG PDPFVMGLCDGKNKNIHVDLHCCVIPRGGEYFFSPSIAALKNISNGST AFLA_071790 MGLKWASVLLLIGLSKAEKSIVHGSLISAVAQGASLGIEGSGAP VDTGGENTGLYQSPPYNSARIDRSSWIATCDSELVGHECINAIDGDNSTYWHSGDDTN GIASLPHNITINLGTVQNVSGIAVWPRAVEDGWIGTHDVSLSTDGVTWGDPVAHGAWW PDSTVKLAVFEPKAVQYVRLIARSSFNGDNATSIADLQIWSANSIPTAPQGKRLSEVG AWGPTIDFPLVPASAAIEPSSGKVLVWSSYRKNQYGGTSGGLTQTATWDPNTGVVSRR EVSDTEHDMFCSGISMDVNGRVIVTGGNDDTMTSIYDSFSDSWIAGAPMNVERGYQAS TILSDGNMFVLGGSWNGPQLQNKNSEVYNVTADTWTQLPNAGSQPMLTHDNLGPYHAD NHGWIFGWKNLSIFHAGPSQAMHWYFAQGVGNVTNAGNRSTDYDQMSGNAVMFDATGG RILTFGGSPNYEDSDATKNATLITIGDPNTPPVTVKAGGDMGYARTFHTSVVLPDGSV FITGGQAHGLPFNEDTAQLTPERYIPEEDRFVEHFPNNIVRVYHSWSLLLPDATVING GGGLCANCTANHYDAQIYTPPYLFDADGNRAPRPHIETVAPASLRYGGQITITADSPI SNASLIRYGTTTHTVNTDQRRIELVLEDAGTNMYTADIPNDPGVALPGYYMLFVMNAN GVPSVSKNVQITL AFLA_071800 MTSMNKVFSGYYERKARLDNSGNRFAKGIAYVQGSFVRLADARV PLLDEGFMHSDLTYDVPSVWDGRFFRLDDHLSRLEDSCEKMRLKIPLSRDEVKQTLRE MVAKSGIEDAFVELIVTRGLKGVRGNKPEDLFDNHLYLIVMPYVWVMEPAMQPTGAFS TAGLVSLKTEIK AFLA_071910 MMSTQQDLASQAPLLSDSAYVSYGSYQADGRDRDNVSEAGDSYS RQQPERWNEPSVNLWRVLATFYSFIVVGANDGAYGVSGSQKSILRELLGRYYDADYTT VSVVFLSPFLGYATAAMANSWIHERFGQRGIALLGTGMHVISYFATTQHPPFPLLITI FILAGLGNGIVDASWNAWIGAMHNSSQLMGILHAFYGLGAALAPLTATYVITQRGCMW YHFYYIMGIAATIEFVTSVAAFWSARGSLVEASELGVPGDNVQQDDRDSSRRNTTLKN PTLESLGLVSTWIISLFLLVYVGIEVTVGGWVFTFLVDLRNTPPSVAGVVTFMYWGGL TVGRVCLGFITPYFKRQRLVIVVYLLACVVCHIGFWLATELHLSMIAVTLLGFFLGPL YPEAVIAQAALLPKHLHVAAVGFACALGSAGGCIFPFITGAIAKAHGIKVLHPVVLAM LMLCLILWFALPGQRRGTKEAASPAWSSSPTRS AFLA_071920 MGSAAEPAYLYKNVTHDPTVPSVKSAEGIYIFLENGQKILDATS GAAVSAIGHGVGRVKKAIMSQLDQVEYCHPGFFPNTPAMDLADLLVESTGGKLSRACI LGSGSEAVEAAMKLAYQYFEEQSPNTRRTRFISRHGSWHGCTLGALALGDFKPRKTRF NSILTSNISHVSACDPYHGLMENEDPETYVARLKDELDNEFQRLGPETVCAVFLEPMV GTALGCVTALPGYLQAVRDVCDRYGALLVFDEIMCGMGRTGITHAWQEDGVAPDIELV GKGLAAGYGTISGLLVNDRVLDGLRHGGGYFVHGQTYQSHPLGCAAAVEVQRIIKEEN LVENCRKMGQYLGQQLKLHLGDHPYVGDIRGRGLFWAVEFMADPPTKTPFSPAFTISK RMQSRGMERGYDICLFAATGAVDGCNGDHVLLAPPYIVHKEDVDEIVSRLVRTIDSVF EDVAALVM AFLA_072030 MLQSVQMPLADCLRIVQDNIQLDPHFLDFYYWAKGCNIPIVVLS SGMTPFITMLLESVLGSNPENIFVVANDVEPHSFGDKTSGSGWRIKYRDDSAFGHDKS LEIKPYFGLPSGNCPLLFYAGDGVSDLSAASQTHVLFAKEGLDLVDHCKERGIPFIPF DNWSSILDTMQGIYEGLSRAGITGYSNVV AFLA_072140 MKYSLFLAIATSVVAVMARCDGSSQPGWEQHGFCDNGQPCHERC KDAGFTCGGCGVTSLSGEARCCCLGMKHRSSVTPSASNMKPIEWDEGLATAAQQLADS CKFEHNRAGQNLYEGSDPADLVKQAIDAWHNEHKDYNYDSNTCGPNAICGHYTQVSDI HHKIHCMALEVAYESFKTRLFGRILPKSVWLYPRGSVKVACIL AFLA_072150 MNIKEGNEEQHSLMDSTHRGMSANAAPETIELTVPESLKREVYW GMLRWLLSFILLGSTTAILVSKSVPGLVPFAIVNELCIQVYRAGGSGTLSKDNLLTHP ATLQALSSELITANLTLPYPKWNEVCDLPYLDACIQEAVRLHPPFALVLGRVVPAGGV TVLNHYLPEGTLVGGNPYVVNRHAETFGPDVEEWRPERWLEGEGRKRLEQSVPTVSFD AGRRVCLGKYIGILELKRLVPFLVLKYDVSSRFIEDIDPVLN AFLA_072160 MDSSNFAPPCRQLTPPFHQIPASCSTVDVRVIDTNTYLYLKPGA FYEPAIPSDGPRVPSYCFLLSHGDRHLVFDLGVRIDWQNYAPQIVRLLTATTEITLCD RDVASVLDSDTSGLTIAVLTLRPSDAVGREIREINFDNTGLRIGRLAAFDYFGDGSFY LLDAPGHTAGHMCALARTTAYPPSFVFMGADACHHPGVLRPSQYLPLPRPLPGGDPVG CGGCPGDLLMQLASWKSPNEPFYHLARGQFFPDYAEAIDTVAKIQELDAAGNVVVLLA HDNSLEEHLPLFPQLLNDWLVQGLRDTTIWSFCKEIGHDQWV AFLA_072170 MDKIQLSQDAFNRLARAGLHDSIVGCLVGSALGDAVGLYTEFLS GDMSAAAYPARKFVLSPQSQATPFRRDSHRGPHRPGEWTDDTDHAMLLLLSFLHTDLK TLDPTDFAARLHVWVQFGFLPLDTLPLGLGRAVGAIVRTKTYLDDPEAAARRHWTNCK YNVAPNGSLMRTHPLGLVCLDRNLDETFDLGAAFSVVTHVDPRCVASCAIGTALVRGL VLREIHTEADIDSMIGAAIHWYAKYRVRALQEHPERRDEPDLDVSELRRHAKVESLDD LELDDSGKIGYVYKTLGAGVHLLRLAMRDTATGMLTSRALAFEPLITDLIMRGGDADT NACFAGALLGAYLGYANLPLNWRNGLRHGEWLLGKAEGLSQMLGVADGEYVGSADRET ARDGGRGGMPSEADMERKVMVLQAWMAEQEQEAKRRASKLEDKGWFKWRK AFLA_072280 MPSTPCPVESDGSREGCLRLDRKERTKAETRGIHRISTSELLEQ ILLHLDMQTLLVSAIRVCRAWNSLITKSPRLQRALFLLPEDDRDASDTNTVVNPLLEK HFPPFFTPVDDIGCPFPIQGLAPDEGCYDYLFEDISYNGMSNFKSMPVYQLSMANNDF IDHDGQDPNANAKARENNPYLRECASWRNMLTSQPPARKLGYCMLSTGGNSTIASTKI LSIKCRKDGDDQGGSIEVNSAANQNPPIRMANLTSFALWDVILWDRTQRQAPSGGGAS LKTYSQGCTLSKSLKTGDKNRRWNQSYQAITAPERFGLNYEELLKTLQIHVPAQRIAM RFRES AFLA_072290 MSDDAPYEEEINKLVAEHGAVPPPYVTFPDIHPFEISWRIGSGE SYLMMYSAWSAKEGMGEAQWIEYFRKFPPPPIWLTWAIDCIWSVAEKVEENIGENSDD DHDEFNLDPLEFDYLCYFRRTAALGFGTESDCKRAWEEWVLEI AFLA_072300 MSVATAQMYQRPDTLSLSGTPNPVDANRSGPLGAVPDQTPVTTG QSSYGNQLAQSLEELVDSDGFQWDDLFSGMDGSTFF AFLA_072310 MATVVFVTGASIGREGCLTALSIPHLDRASIKPRAGPPPHRPLV QCGLCTHYRSRDGSSGVVVLDSDRLAVGREVWRSRRGHVPGQPASNDSEEAAQHHVDL LDAGLHLDSPISQPTEMSYFLQRLRLAEIPRGIVDHTPMAVTSAGGASYYTHVTAIDF ELDHMIHDIPSFFHLDTYKCSSDSTTSGIFIQAYLPSSVIHTQRCELYLTYSRILHPD RTTTWPTRPHETCLKSARQLIRAEAQLERAQHPFVQM AFLA_072320 MGAGRGSPTVAGGQAIAYGPTGYKGIIKEPRIFGLACFASIGGF LFGYDQGVISGVLVMNSFLVSIMTLGAMCGAFANGPISDSLSRRWSILCANIVFLIGS VIQCAAENVAMLFVGRFVFGCAVGMLAMVVPLYLSELATPNNRDALVALQQLSVTLGI MSSFWINYGTQYIGREEEAKQVLVRLRRLTATDYRLTLEFLEIKAARVFDEESRLAKY GDNSSRFQIAWNQYKELFTVPHLRRRTTIACLLQILQQFTGINAVIYYAPQFFEAIGL RAAGWAACVFVWVYIGTFAFSIACVNWVMPSEMFPPATRGKAVGVAIAANYLSNFIVA LITPWMLQSITFGTFYFFLVFSITLGVWTYFCVPETNGVPIEEMDTLFGGNEGEADLQ RIASIRARLGFETSEDRKMVLEETKHDSVEHRERVD AFLA_072330 MSSKEKVVIIGGGWGGYRLGYGIDHRKYDITLIAPDNTSAVTPL LASAACGLFDPRLAHEPIRRKDFHAKYIKAFVVDIDFSRQVLVCQPAFEELKEDRFEV SYDRVILTPGCRSNTFGIPGVAENAIFVKTVANANTVRTRLNDILEMASLPGTSEARQ RQLLHIVIVGGGPTGIEVAAELTDLFEGDLGILFPHLKGLTSVSVIDVAPQILAPFDQ RLSEYACSALKTNKVKVKLNCHIVNVTKDTIETRESGITGYGMLIWATGNRSIPLVDQ LQLRKTEHGLVRILTDDHLNVFSPDGNVIPNVFAMGDAADIEGGTLPTTAEVAIQKAD YLIRLFNSGLKDTRPFKYQQRSLVTYTGAWDGVVQGQREYTGYGAWLSWRSGNFFWTR SWRRRVLMCYAWFMDWLDGREIIRN AFLA_072340 MSSTVGKAAIAWAAGEPFSVEDVEVAPPKAHEVRIKIIHTGVCH TDAYTLSGKDPEGAFPVILGHEGSGIVESVGEGVTTVKPGDQVIALYTPECGECKFCK SGKTNLCQKIRNTQGKGVMPDGTSRFRARGKDILHYMGTSTFSQYTVVADISVVAVTT KAPTDRACLLGCGITTGYGAATVTANVEQGSNIAVFGAGCIGLSVIEGAVKNKAGKII VVDVNDGKEQWARKFGATHFINPTKVKNGKTIQEELIELTDGGCDYTFDCTGNVGVMR AALESCHKGWGESIIIGVAAAGQEISTRPFQLVTGRVWRGCAFGGIKGRSQLPGLVDD YVAGYLKVDDYITHRESLGTINTAFQHMKSGDCIRCVLDMKV AFLA_072350 MSRQLALLAASASLALAATIPVVSRGTGAGITPHEQYSSSVGVV GCKINTNRVAYWPSAVDCNDICVKVTYKDRSLHILKIDTSGGAYDISYDAWNYLAFGQ SAKDDPHTGGAIHMKYEVVEPSKCADLMDNGKLPLLAANSMDFLSSCLSQADSFVAQN YVLYNIADPVCHYGVDEVCSLDLSVSNQPSCPSGLGSTAPLDEPVYNIEFGTGKEVVA AFLA_072360 MDLLGLRDRSLLGQGALVAFVTYWAVWIIYTRWFHPLAKFPGPF WASVTRAWTLLHVLHGDTEKRQMKLHAKHGLVPPGDILEPYLSSIGSVVRIAPNELVT SEPEAIQTLYGVRSFTAKVWPPATLGYVRTQYYPQQRLIGVARFPDHFSSEGGKQHGE RRRIVSHVYTMTSILQSEKYIEKCIAVWLEKLGQMADRKESFDLWIWTRMYAYDVIGE LYFSKMFGFLQAGHDHLGYIDATEDLVPVQFLAANMPTYVRGLFMLTGILFPKVRRAL RALGDLTDATNAMLKDRLAAIQSDSEDKPQRHDILGKLLVISQERGKELDFVLDDIKM ESFGALYIRSFAGSETTALTLSGILYHILRNRSVYAKLTAEIDVAVQCNQLSTTHISY NEAIKLPYLTACIREGIRMHPITGVSFPRHAPSSGCTIGGYYIPPNARIGVNPRVMHF NKTVFGEDADQFRPDRWIDGDVSKMDRYIMQFGMGARTCLGKNISMCEIYKAIPELLK SYTFELAGDEDMQTTSYWLYKPVAIDVNVRRR AFLA_072370 MAIAAAVSSNEVLKGVPENVLREIQKMKSVFTINRETLRTVTDK FVTELENGLSSHENEIPMNITWATGRPTGQEQGTFITIDLGGTNLRVCKVELTKELGG YKITQRKFKLPVQHRQRSVDDLWALVADKLEESLESQHITKGKEALPLAITFSYPVTQ HNIRRGACSVGRRAPIFLALRDMTSLPSWSTSLHREDNLPVEIVALVNHTTGTLVATA YQYAQVKSFGVTS AFLA_072380 MSRQLCITSVDGHTGFLIAELILTDNKFKKAIGTVTGLTLHPDA PFCKELSKLGAKIVPHRPGRLRDMVSSLQEVGADTMCLIPPAHTEKFDITAELIEATK KANVPNVCFLSSAGCDLAERDKQPRLREFIDLEARFMASKGDPSTSTGHSPVIIRAGF YAENLLLYSRQAQEEGILPLPTGKDHKFAPIALGDVAQVAAHVLTGKGKHGFSDRHRG QLMVLTGPLLTTGDELASAASQALGENLKFEDISEAEAKKVLHAQSESDESEVQYLLE YYSLVREGKTNYISTTAFHDVTGGHPQEPPDFFKTYAQEFHAKRGHKKRKLSADK AFLA_072390 MGETLRSFGAWFAESRLPNSVMRRFTIQLLLVLDFAHEHNVIHT DIKPDNIFVKFRDHSLIESGYLTDVAIPQQDRFEEQYSVVPSTPLRQYYFNDADSRRV DEFDIALGDWGVSSWANRHLSETIQPVALRSPEVLIQAPWDASTDFWNLGAVVLEIFQ AVRMFSGSVPPDGHYELKEHLAEIVDLFGPLPNELLAKGDQNLVRDVFGDDGRIKDAP PMNRPGLASEAFMPGLDQELRDMFASFLHAMMKINPADRVSAEDLLRHPWLDAL AFLA_072400 MMMFFLPFLECPVEEKRTTGSIQRPFQWTDVVDGALLPVSLSLV ESHTDPELPLEKEPTFHFSGERFISNDLLVKPPDSQTMSLFRHYRQDLDELRIVSSRT VTVAEDPLLANAWLLPLWNVDLKGDVRGKRCLLVLQLKGPTAADQLAHAVFGGMIEVW GIRSETVEVKEIILDASA AFLA_072410 MEEIGTRYIWWDWMCVPQGLKHQLDPELFKAKGEEIGKQLHIYK NAAKSIVWLHSTSWEEDSALKELLLLEMSRPGFRDPTLVQDYTGKAGRWLEAAQADER WLKSGWTLQEGVLLGSTCLLNRDGMTLSDSKFYNSSETIIRDLSIPVSVLAHNLATAF FIQSEGHDSDTTRPRAGQFGHLPPKAPESVI AFLA_072420 MADQAIASDLAPGSEIKQELDNVEFEPLDKYVDSILRNAQVKFR DHAEEVPEGVPGLIYGDSESDEEPVMARGPMAKTALSAVAGPGSLRETTIGGAVVKNL TLLVPKDATGGYGDLPVLPITGVGQEKAELVAVVGKDPEEGAAPNIPILLLPDEEDDE GESQRASASATLSQLAAASVLVNLGKTAAKRRTQAPVKEEPKAKPSDGKASGKEETKD EETGEEETKEEEGKGEEGKVAKSELNSYVLSGDIEKLFGIKGLTGKLYKFKGPETKED KKKSETKKEGKKEDTEEGEDQSNENDEEEAGPKARDAGKDPLKAQADSNDSAPKEEKA GKEDEAGSKGDKKDKEEKKDKGKKEPAREKVKINPKSLKLLGKPLGKILPFLESEELK SLPIENLEFTYCEEESGHFFPPGLRLEVDVPLSGSLQWATDALQKMFATKAASKKPKD NDKGKDGKETKKKNDKGDDESEEQEQQSRDATEGSPTDEPKTTKDDKPGSEVTIRQVS SAQAEASATDSQQDDADVEHEEGDSSKKGEEKKSPSKADEKDDKKDGKDKKDKVKKSY NYGFGFFGTVSFIKIPHANSPLDLHIRIGRDFEVEKEKKDEKKEEKKGKKKEEEGKEK KKEIKEDEGEEKNEGKENDSEKKVEALEKKDEPSSDTNKASKDTVELAKDGEMSSEKS TEAGDKPKKAEGKKHSDGKHKRIWKLAIYCDEWKDIYGVKNVSLKKAELKSSFEQGDF KKTLEFNLSADIKLGGGSFKVKGKISKDAELGDVSLSDFKKIQAQMQGQHVPEEKKKE EKEKTAEEIKKEAEKKDEEKKDEKEEAQGHELIFKKIHVRISRQTIEKEQTWKGSLLF DGHVTFNGKSSARARLELTRDGLTISGGLADYQIPDTKVTIEQAQMKIYIGFKRSKKD KKIEESKTNDNKSITDASSEKGKSGQPDQDETTAVVKAGVDSKPEKSDTKNVEKPGEN EKKTKRESEFAILGVVKIHEVPVSVGFYMARKNDKEKRDWLAFGSVGNFTLSQLVPDL KGTDFDLQLDNIALIASSEDREVTEEEEDKKDDKKDEKKDEKKEDTEKKKKEDKTEEG TKIELEKIFDIDDAYSKIMDKKKEGKKEDKKKDKKEDKKEKKDDKKKEKKEADDDDAH AGVLKKVESYKYPIRKGVQVCATIRKFDTLDLLNNNKPMDGLVLIIAFTPNGLEITIN LPKTLQVRVRPDVILGDFGASILPAKGELELSATLTLLFDDQRPIRVTGTITGSATEA KAAIYMNPNDKWINPFQLNEKVVVSKLGLGAGITYATVCAMGPDELSLTGSVAVGSTL TADLVLSLGVKKEQVIYFHISELNISKLVKLAGEMTDIAALQSVNGGEDFLVFRDITF YMSTGAKVHGVYYDRGIHVKGMVELFGKKGDFDGQIRDDGVVINGGVDNFNIGGLEVR AARAGQERATMGIELTGDRQKVLIDGMIRFHALELSIFIDADVQERRLDADITLKFTE SIMLHLKANARVPDSKSLEGVVMNFEAEIRPDVLGAIFDAINQTIGDIGKLATETIEN AERKLQEQMEEKQSELEKMANELKAMKEKVDEENIIANKQKAELEWVHAQKAIATEAR HVGEAIFCNPLWIEIEKELNKAGEEIQKHADALAYFVNGEHYKALEALTRDKKRELDR QIASIQKLEEESKKIETKLKLARQELKKNKGRITEKETKLQKEVERLQGELKTRPFED AYKAKLQDHESIAAQIQWIQKKLDEIKTGIDQATQAAQESIRVLKQAIPAVERIVVTA STDVFVKRKPLTFKIEARWMGKLIHAEVQWAPGQDVNALYEQIGLKVIKAADEKA AFLA_072430 MYLPRARRATRACIWCQQRKVRCDASFGGCPCSRCLQDGQACTF RERAPRLSKGLTYESRHRAPYPLQGTETSTNLVDKQQRIEISPDNGNKLSSANLPISS TAVSMLASDVEYSAYPFLDFRSMSCLDKWDISYLASKGCFTLPRRRVLDEFVKKYFLH IHPGTPVLNEAEFWQLYSQQGDGGTSSGRSISVLVLQAILFRTCPYVSMEALRECGFN DRPTAGDTFFNRAKLILDLKAEDQPLERAQGALLLSYQASPDDPQIGSLLLANAIQNA LILGKPPKPSINVERSTIKRLWWSVLLRDRWISLALRRRSQLTPKDFDVENNPLEEAD FGKEIQESKVYDVHTKRILFTALQQQCRLAIIITDMVSLIFSSCDGFPMGLPSKEFPA CMSRAMKTRNRLRQWETETRTAQVHLAHYEALLLEANLMFVGHSYTVQLRETGSCLHK AVNELHDVLKYFSDTKDIEAIPLCILAFVGWPIVVAAIDVGLARNDAEALQRQRELNA LGTIYNILIDLYDVTKFVAVGTREILHLVTKMSERMGTRGRRPAQTPGAQDPYQRGAA FANATLKAFEDKHATGWFDLFLHYPRIYLLISTSTDYSLSSGRLPHENALPEVLQSVA SGFLGFQLPWVTKVSLGETERKPQEPNVRLLENNEVGVIGPRAQLSSTIETGRQMHAR QQVPEPLSPPYNEARNSRGVNGHREEYAVRFEFLQSMPSIDPTFCLPVLEDVVYHVPW QGPLNGSEMAFPVGTEIMGMQEQTSFRDSQGVPYGWKI AFLA_072440 MRHFRYVPLLAFIIAMGPESIAWTGQRANTRDFREQRSIIKDPS ILLEKTDSLNSLAFDLPLASDQILSILAARVLVGLINSQLPTILANHSQSDNTTAGYP TIRNDTRHDISSIRTNIRSPGVTYPMKLLSEANLGITTTITTDGAKATENAPSSFSPH RTPREMEAMVGHVLMALRTLGAAMLL AFLA_072450 MKTKSDAYIDQEDDYSSVDVEKGYSMPEISDLAMLELQMVARES ITFVGGPAAILLQIAHPLVGAGVADHSTFKTRAISRAEYTQMYIYCMIFGTTSEKAAM RAYVDKAHSRVVGQHNKQSYNAKDPELQVWVAATIYATMVNMYELIYGPLNSTRAERV YQAFSIMGTSLQVSPEMWPKNLTEFQLYWDDMVNKRLCVTPDARAVLHDIFHPAKGLP LWARPLAVIAMPFVKRLTIEQLPPRVRDQFFLTSTKSSRVISGLFITGMSGVYPFMPL FVRQFTKTYMMGQMRRRIKKRGGQLIKR AFLA_072460 MRAVSLFSPLLLAAIAVTSPDSDNNNATQGSAAMSAANILPVNA AQASAAAGDGNNSVTQEPAVMSAANILPDNAAQQSTAAGEDSNSATQEPAVVSAANIL PSSAAQEPAATGDGSNSAQSTVAESDQSTEGLNIPVPTLPLSLGDVLPTVSLSATVNV PTTSTVSEKTTVTEKSAGSTPTATTSSSGVDLEDLLSGLLGGSGSGGSSARSEIEDGI KNVLSLFTPSFITDATTLVSQASGFFDNETMQDTKAMISTLASIKPLLTSSISNNNNS SGISSSGLEGITSLFGNGTFLNDIDNILKDIAALPAIIGEIVNILTSDEVQRFLQELP EIMNKVLPILNPDLIKALESLITDNLTPELVNDLSSTLSALRPLLHDIQPILSNQTME PLLNLVTTIISPTFLNEIEEVLTALPPIIKDILPLLGSDIITPLVNLIKEVLTPDFIK QISSLLSDLPDLFNNLVPLIKPVEELLTEIITPDFINQIGSLIKALPPIIQELLPLLD PLVNLLKEVLTTEFVNDIKSLIQTLPGLLDTILPLVPKIEELLQKVLTPELISALESV LNAVPDLINSVLPLVPDLVNLIKKVLTPELLQTLESLLDAVPGLLNSLLPLVPKIEDL LQKILTPELISALESVLDAVPGLINSLLPLVPDLVNLVQKVLTPELLQTLESLLDAVP GLLNSLLPLLPKVEEFIQKILTPELISALESVLDAVPGLINSVLPLVPDLVNLITKIL TPELISALGSILDAVPGLINSLLPLLPDLVNLVTKVLTPELLQVIESVLNAVPGLVNT LLPLLPTLEDVLQQVLTPELISAVQSLLSKVPGMINTLLPLIPSLEDLIVNLLTPDFI SQIQKVLAAVPGLLNALLPLLPPIEELIPKILTPDFISAIESVLAAVPSIIQDVLPLL QGDIIKSLVQIVTDIVTPEFISDISSIASLIPPLVHDLVPIFNKDLISAIENLLAAII TPEFINDLTSLVNAVIPVVGDIIPIIGSDAVKNLIAGLPDIINGILPILNVDIILALE KALTGLVTKQFVTDLLPLLTNILGSI AFLA_072470 MAIGESERPFESSSVWRESMDSDSPGKEKQRDGEGAGPRGSTAL GARSKDLRYNKPKGFWPRIFKHFKRYWLCYGLLGFIFLAIFLPVFFLVIIPAIAQRLV NDASIPIHSAAIMQPTPDGLTFSLSASLSVPLGLSVRIDAFNLSLFNRGVKPMKPYVT VPLEGLRLKGKSDITITNQTTKIQDQDQFTTFLSNAVYSERFKLSAYGKATAHLGKIK VPLKLDKDIELNGKWCCCALADPLALTSGISGLNMLKGFSIDNAGVVLPPEADGSNLL GQATLPNYSVVTFALVSYTTGLSVGLQRLISRTGKCHSRS AFLA_072480 MAKTEVPRATTPDSEESKRDDTPEPWQSSISEKPTLNMVPDDEL LDSLQSTENVQKIVREGVLLATGAAAILLQVAMPGVAKGVDNHSSFAYRPLHRLRTTL TFVYCMAFGTKDEKRAIISMVNRAHAEVKGPDYSADDPHLQMWVAATLYASGIFMYEE VYGTMEPRNADMIYREYSVLARSLRVPQEIWPKDRKAFWRYWDKTVANLEVTDHARNI AQDLLYNKELFLPLRMGLPFVRLMTAQMLPQRLRVEYGMSDGRLRRSIYKSVILGAKI AYPIIPRFIRTVPMKYYMRDMRRRLRRMA AFLA_072490 MPKRRWTSRRPTTQVDSAGLNNHMHGHDSGIIWRESCAGHSRGL SSLLSSTCTWFPEAHTGCCLYSAVILGLALWVDSLSGFYQSGHKSI AFLA_072500 MYQTKGPRPTEKRIRRAAAACYRCHARKVRCDASILGYPCTNCV LDGRTDCTLRPNATTRFKNLKQSQRRNTVQGLVKPNEEEHTTLNSSGEPCETTPQSAM LPRASGFPELLESPRQTETIYECESNASTQILDPLSVSSPRMRLDSLGASSSGQHFID LNALSLLPMSDAHILVTGGCLDMPPKSAMDVFLMKYFLLVHPSVPILNEVEFWNTYLQ SEDTNYAPKISLFVFQAMLLSSCAFVPVEVIQQCGFNDACEARRTFYHRAKMLYDTNF ESDPLARAQGALLLTFHTTAEDPQATMTWNMCAIHNATATGLGLHPSLQDPNRCAKKR LWWSIFVRDRFLWLGRHRRPQFTSANFSLNIDYLQEEEMTNEITMSPFYEPNVKRLLL KVFQAQCRLAVILTDVITISFSASDGDAPRLSLQELDIYLTRIKQLRAGLAQWEETVY SPLHHTGIAELGTVGIIINLTALHYQTARMVLGNYETLLVESHLDMIQDRSASILLPV AKELKDAVFQITQKLGYFSSRNLTEHIPLSVSSLRSKSRRECRPDGPFSLN AFLA_072510 MATQQLMTEAPGTEISSVLEASPLAAFCVLANLDTLLLIGGIVD NSLIAGMAASTHLMRGNFVAVRRSAPLLGPRPGGNEGVLALLSELSAALGCIYGGNLD KVTNEAPWVTVTSYGILLCVWGALRRATTEIRDHLNTFNELPRTSEPSILIFNALMET TLLYSPATRHDRDSRDPRLWSTDLEAFSTLLEEGHLVFADLVKTFCQQRSVWSIGPSM LAVLRELPDDAE AFLA_072520 MTPSIPRVGWYGLGSMGLGMSLNLQMYFQATDLPPLRYSNRTIS KGDVLRDAGAVPEEFGALVQKSDIIFTMISTDDVLIDLLKKAASLKISLNGKVFADTS TLHPDTCEWAAKHLNDHSATFIAAPVFGASPVAAAGKLIFAVAGPAAAVETVRPLIMN IMGRSIIDMGEDVRKSSLLKLSGNILVISFMEVVAEAQVFAEVAGIGTRQMEEFIGNM FGSVLQSYSNRITSGAYAPPMDRAPGFAAALACKDMKHALSIADSHNVRLHTLETASR RLNAAREYAGECLDSSAIYGIARVDAGLSFWSEHSRQGDESLS AFLA_072530 MTTQSSEEKVSKVPSNHVMEQVDTEVQRGEIKHGDTRDFDPALQ FLAAEEIEYTPEESRKVLSKIDRLLMPLLCWVYLIQFADKTSLNYASLMGIREDTHLD PESQQYSWVSSIFYAGYIFWEFPTTYLLRRLPLGKYTSFSILVWGVVLLCHAATHNYA GLLCVRFFLGAFEATVTPAFVLFTSCWYKQEEQAQRMGFWLACNGVAQILIAAIAYGL PGVQEASIAVWKILFLVLGLPTVLTGFLYFWFMPDNQIQAKFLSHREKLIAVDRIRGN FQGVGSHTWKWAHFFEAFRDPRTYLYVLFSLLMNIPNGGITTFGSLIINSFGFSSRMS LMLNMPMGLVDIVCKLGLTYLSDRFLDRTIFAIIAILIPMVGGILMIVLPLDAKAGLL VGYYCIGAAGTSWCLIMVMISNNTLGYTKKATVNGLQILAYAAGNWIGPQTFRSNQAP KYFDGKLMVAIMYALAAATLLAIRLVNIMENKRRDRQALADPDSEGVAVGTEFLDLTD FEQPAFRYVL AFLA_072540 MTKTEVSTNIKVAFVGLGAMGLGMAIHLLGDGFPVTGFDINPMS LETLLAMGGTAASSPRECVYDASFFICMVANSKQIEQVFFTKLTGAVFGLKKDAVIIL CSTTAPGFPQEVLDQIHRRFSRPDINLVDCPVSGGTLRAAQGTLTIMSSGAHDALRLA QPILQSLSQTLYTIEGGLGSANKVKLINQHLAGVHIAIAAEAMGLAATMGLNTKRFYE TVLKSPAHSWMFENRMPLMLSNDWSPHSALGIFVKDMRIVTSEGLRKNFPLYIAPAAE RLYQFVARAGYEKEDDSGLVRIFIPQDLSLISKAAHQESHAADHEHKSNLIIQMLDIV HGVAAVEALTLGVKLGLSIKALTSMISNAAGASRSFEVVATTMMGGQRTSIRTLAQSR DILVRLFLIESLWSILIRNIAASHDPCSSL AFLA_072550 MGSISDHHEAKARKASSTRCQGPYDIIDEPSRSRRRIRIIVIGA GASALNFAHDVDQSTLDIELVLYEKNPEVGGTWYENRYPGCGCDIPSVNYQFSWAPSP EWTSFYSLASEILGYFKGIADEYGLRKYIRLSHRVVGATWDEHDQQWHVRVQRGGNPG DVFEDRGYILVNASGVLNKWKWPAIRGRETFQGPMLHSAHWDDQVVLKGKRVAVIGSG SSAVQIVPTIQPTVSSLKCFIRSASWVTAGFGQRFAGKGGSNFKYTAKQKDILRNDPQ KYLAYRKKIESELNSRFRFILNGSKEQANARAYAEKDMRSKLASHPEIAEWIVPKDFA VGCRRPTPGYGYLEALCSENTELVSQSIAEITPKGIKTTDGVEHEVDVIVCATGFDVS WRPSYPTIGREGRSLSEQWKDIPRTYLSITVPNFPNYLIFNGPFGPYGHGSFLPITET LSHHFLQILEKMSSEGVTSFEPKEEAVADFFEHHRKFMPRTAWTSPCRSWFKQGTVDG EVMMWPGSRIHFFETMKQPRWEDYNLRYTTTNRFGYLGNGFAAREFDGSDMSWYLGTL EGNERAYLPDEDFEDFMVH AFLA_072560 MPKSTATKPLRISIDRGGTFTDCVCRVVDEDDIIAKILTVDPKN YADAPTEAIRRVLEEYYHSTIPRGTELDLRDVEWIRMGTTVATNALLERKGERTALLI TEGFKDVLQIGNQSRPHMFDLTIRRPMPLYSEAFEVRERVTVHACSDSDLRVIHLSSP EPVESVTGASGEIIQVLQPLDIASTRLDLQRIYDQGFRSLAVCLMHSYSFPKHELQVR DLALEIGFENVSLSHETSSRPKLVPRGNSTVVDAYLTPTINRYLQQFTESFPNIVNSQ TRLEFMQSDGGLVPSSSLSGLHSILSGPAGGVIGYARTCFDTETRTPVIGFDMGGTST DVSRYDGNLDHIFETTTAGITIHAPQLNVNTIAAGGGSVLTWRDGFMSVGPESASSNP GPACYRKGGPLTVTDANLALGRLIPEEFPSVFGVNEDEPLDRDIVLARFRELTKVINQ ETGMSLTWAEVADGFLQVANAAMCGPIRSLTLEKGHDVAKHHLASFGGAGGQHACAIA SQLGIKRVLIHKYSSILSAYGIGLADVVHEEERVCAKAFDESTIDFINASLDNLVDCA RSNKTMEPFNNIQAGRFLSMRYDGSETSIMIPWDDPESDAKEAFVKAHHQQFGFTPVD RVVYVDTIRVRAIGCSVFHEISSSPQVKYPLNSKSATTTATPSSRVSTYFSSVGWVDT PVYHLDALSEGIQIQGPAMIIDKTQTIVMSPDSKATIAQDLLILDVDSPSPKSTSPEG IDPVQLSIFRHRFMGVAEQMGRVLQNVSTSANIKERLDFTCAIFTPEGDLVANAPHVP AMIGSMAFAVRSQIAEWQGRLQDGDVLLSNTPAYGGVHLPDLTVITPVFDSAGKDIVF WAASRGHHADVGGVLPGSMPPMSKLLAEEGAIFNSHLLVRAGHFDEDELRRVLCVEPA RFPGSSGSRRFQDNVTDLKAQVAANHCGARLMRRLIEEYSFPVVQVYMGAIQDSAELA VRNLLKRLAHERSGEDISAVDYMDDGTPIQLKVTINPTDGSAIFDFTGTGPEVYGNWN APIAICNSAVIFALRCMVNSDIPLNHGCIKPVQIIIPDGSLLRPSAEAAVCAGNVLTS QRIVDVIFKSFKVCAASQGCMNNLTFGNDGENGFGYYETIAGGSGAGPSWAGTGGVHT NMTNTRITDPESLERRYPVVLRRFSLRRGSGGAGMYPGGDGVIRDIELRLPMSVSILS ERRSFAPYGMAGGEDGQRGKNTWITKAGRCINVGGKGSIRVQPGDRFVIETPGGGGYG PPGELVWSERDESIVMPTFIPVANGSVAANRTLAEQV AFLA_072570 MAPSHSFMLFLSVICTHLCSLVVAVPWVVTDYYEQAVVTEAYYY GSEVITTIQEVSPTATSLPEAVSTITSIGTGYYGGDATVIQKLYPTGVGESLDDYDRY PYYRDNSHYTIFKVYLTYSAPTGCATQWTQTTAVPVSPPVVVQNLLPRTATETSISVD SSQPFQPTTYTYDVVYVDPTQVPSSSLDSLSYYNRPTSLYTGAQCYYTSTEGSYSRSS YGGYYGYDDDYNWFLDDYYMGISPLALTLILTIGWIGLFLILGFIEAFVRFRRLMTGW QTRRGLPVCWSLTVIPISLLLLCFFRKGYRARSQADAEILKKRWDAMGFWTKLRLFFV WGFRFKYPPMLGPAPARVKTSKQPGKNPGPRLLTPSPSQSVAPDSRQGSTAGRSAGDL EMAEASPEALQHPSQAAVPPSTASGALPPHQDDHIGRAH AFLA_072580 MSSTLVQSVEQRLNLRAYGGTIKDVKSLKPSTLNISLEELRRCF NEDGVLWVKGLISRDLINKCRRDYLEFVNQGSGMLKPGTDQEEGISSGSDWRNFILPG GTRLALGLEDEGQPIRFICQL AFLA_072590 MMSTEERIMDSTQQDEICSLSAQDREDTPMKWSWLRKHLILIQC SFLAVCPGFTSSILIPGTNAVALELGIPQQKATYFIAVHVLFLGLAPFFWIACMKAYG RRPILITSTLLSCFAALGGGFAKTNGGLMTARVFQSFGISAGFVLPGVIVVDIFSEEQ RGRKNGIWAQMVSIGAPLGGVIGGPVVRYAGWQWTLWLTAIMNAVQSIAFILTCPETS YRHRTSGNAKLRLQQVLEPFLILQAPHIVFVAFAYGVTFAIVSVGLATIVPIALEKIY GFGAVAQGLFFLGPLVGALIGEQLAGPGSDWVMKRERGNATVGDVGGTAQRLERRLIV GLPGFLIAVAGILIFGLTLQYRTHWMGPCMGFAVANFGLQMVTTPSKTYCVDCLPSQS GSVLQLINTVRQIIAFTVPFWSPNLVEHLGYGLGYGIEAIILAAFSVGCVLVLCWGGL WRNKRSIKGLEDTS AFLA_072600 MSLLKRSINILQVPTEPGLTTAELMLTNEDLRPVEPERRQWRWL NFVAFWIADSLNVNTWMITSSMIVDGLSWWQAWLCVWIGYTISGIFVIAMGRIATIYH IPFAVANRASFGIWGSFWPILNRAAMAVIWYGVQVWQCVTLMIQAIWPSYVNIPNNIP ASSGVTTMEFTSFFLFWLGSLPALWFPIYKIRHLFTAKAYFSPACAIAFFVWAIVRAH GLGPIIHQPNTAQGSTLAWAFVKSIMNCIANFAALIINNPDFSRYAAKPNDAVWPQLI TIPVGFAVTSFIGIMVTSSSSVIFGQAVWNPLTLLGMFLEDASSAERFGVFVIAAGFA LAQLGTNIAANSVSAGTNLSALLPRFCTIRRGAYVCAAIGLAMCPWNLVASSNKFTVY LSSYSVFLSSIAGVMISDYYLARRGYLELQALYSAERNGPYYGTWGVSWRGYTAYICG ILINIVGFAGAVGAKVPVAAEYIYNINYLSGFLVAAAIYWALAKAFPIPCTSETWNEV PYLGEAMHADGKVIAEATEVEDVKAKV AFLA_072610 MVIASFNCKPPFYLRLRILCLRLQTASYASSNVTIHDPDVLLTC YTITEGTISGRAKEKHFCKRCGCTVFTIPYSLDRQYIVIRPVLIENGLEIYKPGLECF AKRRPSYFAGCQSAKEYDIMPTDAGSSDTKG AFLA_072620 MEADLDLKMKEDPQLAETNVQDGQIDSGEGLQRRLENRHVQLIA IGGSIGTALFVTIGNGLAAGGPASLLIAYVLYCGVLACINNCLSEMIVLHPVSGGFIR LAGKWVDDALGFMVGWNFFLYEALMIPFEITAINLILSYWRDDIPVAAVCAACRFEGF LAAVWSAAFCIVGPEYIAMAAAEAKRPRIFVKAAFKTIYWRFGLFFALGALCVGIVLP WNDPTLQAILAGESSEKGGGASPYVIAMSNLKIRILPDLVNALLITSVFSAGNTLTYC ATRSLYGMALDGRAPKVLSKTKNGVPIYAFLIVICFPFLSFLQLSDNSSQVLTWLVNL VTAGALIDYLVICITYIQFHRACKAQGIDRKTFPYYGYFQPYCSYIGAVCMVLVLLFY GYTAFAPWSVEVFFQNYTMQLIAPILYFGWKLAHRTKILKPRDIDLVWDRPIVDSYEA TFTSPAPGFWTEMIQMFGFKRQQAEQVDA AFLA_072630 MVLADGSVVKASESENADLFHGAAGAVGSLGVTTLIELQLIEAK KFVKATYHPQRSIRDSVHSVHEHTLNDRNDYVDGIVYGPEHGVVVAGEMTDELPSAAQ VQTFSHAWDPWYYLHVQEKTRATQGPVSDYIPLAEYLFRYDRAGFWVGRSAFHYFHFP FNRLTRWWLDDFLHTRMLYKALHASGESSRYMIQDLALPYSTAESFIDYTSEKLGIWP LWLCPLKQSPAPTFHPHETTVKSEGFTPGQMLNIGVWGFGPKDPDTFVAANRDLERRL RELGGMKWFYAHTYYSQEEFWKIYDRDWYDGLRRKYNAETLPSVYDKVRIDVEADRKE RSESWSRKLRDIWPLGGLWGIRKAIQSKDYFIHRNSEWKWK AFLA_072640 MALEKDVVVVTGCGGMGIAIARRVGSGSLIILADYSQTMLERAV HALREEGHNVEGVQTDVADISAVKKLALHAAGLGSIRVVVHTAGVAMNQAPPSRIYHV NLLGTANLIEAFYPLATAGTSLVAISSAAGHRIQGSLSPGFERHLATAPLQTLLQHPD FPAGAFDSVAESTDQRSRTSAYAVSKRANILRVQASAPLWASKGARINSVSPGVVLSN MMKEELQGPAASMLRESIDRTPAGRMGTTADIANAVAFLCSSDAVFVTGSDLLVDGGL TGLNLWGNGGLDSSPKSNI AFLA_072650 MKVIIVGGGIGGLATAIGLRRAGHRVKIFERSSFLHEIGAAINI CPNAARVLSHWGFNVELARVVTARQSIVAAGSSLKPLAEVDCSKCDEVYGATWLLAHR VDLHSELQRLATAQEGPGIPADIVLNSKVVGYDASEGYVTLADGSVHGADLIVAADGV HTSAIHHVNGRATRPVATGSAVFRFLLSTEELPDDPSLEPHFGDGLMRIMAAEGVRRL VWYPCANNTVQNFVGIHPDQHTNGHEQESWDRSANVDDVLAQYHDFHPSILSIIRKAT NIKRWPLLYREPVPTWSRDRLVLIGDAAHPMLPHQGQGGAQAIEDAGALSVIFTQLPT EPTADEIRDRLAVFERVRIKRASAIQVTSNVGQDEAWKIREHAQKYMPEGVDVPTSPS EFMEHNFRYDVLQDSRQHLEAFLVKS AFLA_072660 MSTTFHLFPLLPPEIRHYIWHLSLTHARVIRISCDRGILPTSRR YARCFRADYPNPPQLQVNTEARHVALRLYAPYFRTKHKPHSCIYLSPDQDVVHLHEAV LAYLSSVEKAALQRLMIDVHDYGSFGSYWMDSLCGMEQLKEIVLVVLPGALSPYGVYD QLPVEDSEIVWLLKAAFVEGARTSPGWAMPRVKVISHEGAAMGDIVVTADDLEIN AFLA_072670 MSFDPNNVDLDTADPAEIICYLNASENDYDGRLGARISAIFVIL IVSTAVTFFPVLAKRAPRLHIPLYVYLFARYFGAGVIVATAFIHLLDPAYDEIGPASC VGMTGHWADYSWCPAIVLASLVGIFLLDFGAERYVEVKYGICREDPEPIMTSATDNSL RTTAVANQAPVEKEAQLESQSVNDSLSERSFKQQIAAFLILEFGVIFHSVIIGLNLGV TGEEFSTLYPVLVFHQSFEGLGIGARMSAIPFRKGSWLPWILCTLYGLTTPISIAIGL GVRTTYNSGSYTANVVSGVLDAISAGILIYTGLVELLARDFLFDPHRTQDNKRLTFMV ISMLWGVGIMALLGKWA AFLA_072680 MPSEGRGQAWMQGGIPISAMPVLIPRGQWEAHYSPLRNDTQCAT EHLSYSRLDFFPASDFRFDPAVAKICSNEYWV AFLA_072690 MWYRSWLRRPSSLGMHADAGEDTRPRFLIGNSRSSLSSLKYGPP SDVAVWTSVPSTGNPRSPPMDADQVD AFLA_072700 MHLRNIVIALAATAVASPVDLQDRQLTGGDELRDGPCKPITFIF ARASTEPGLLGISTGPAVCNRLKLARSGDVACQGVGPRYTADLPSNALPEGTSQAAIA EAQGLFEQAVSKCPDTQIVAGGYSQGTAVMNGAIKRLSADVQDKIKGVVLFGYTRNAQ ERGQIANFPKDKVKVYCAVGDLVCLGTLIVAPPHFSYLSDTGDASDFLLSQLG AFLA_072710 MREHIFAYTFNRTYSKEQEEPQPNDDPRISHHIRLGNGYDHQLN ANAWSHSLISRFGLGRKI AFLA_072720 MVSNIFTWFLYVANQVSDLSIQPIQPQARLRPGNQQVVAPPPST TEAFDTGPPIIQTPTTEPSITPSPTSPPPAEATAEFWDDIITGVYGIPPLLKVHDRAG NIKWSWGRDDVTQELPPYIRHCLYSDANDATEVKWIKNGTAIAAIYSDLVLMINHTPD NPETDKLITFAVCRQNEFLWNAHTLEPLPGDRVAVGTTGSNAWDGILVYNSSVDNPLV DEPLILQNITGLRAIHGMIWDEQEQMLWAAGTDAAADGSDPIPAYGTIQGYPYNATTG ELEDTDEFMYRLPEAWDQETEWGPGYPWWCGPHDLVPIPNDRKFLMSQDRGLHAFDLN TREFFLDSKGVIDTYMRGFEVTTNDRKGFNRAGEYLELPESDLKGFSMAPDGSFVYVQ SLWRLLRGNHTNLVVDGVRQQINLGDEIYRSRWFADIPGWPKPAA AFLA_072730 MSKTKEDPNELAPVPSQTGEVRDMPTYVTDDVFGEVTENGPNYR NVGWIGTVALMMKSQIGLGVLSIPTAFDTLGIVPGIICLIAIAVITTWSDYMIGVFKL RHRSVYSIDDVGALIFGRVGREFLGAAFCLYWIFVSGSGMLGLSIGLNAVSTHGVCTA VFVAVAAILGFMFSSIRTLGHITWLAWVGLVCILTAILMVTIAVGIEERPASAPKDGV WVSDFKIANNPSFSEGITAVSSLVFAYSGTPGFFNIVSEMRDPRHYTRALLICQAGVT AVYTTIGCVVYYYCGSYVASPALGSAGPTMKKISYGFALPGLLVTTTLVTHIPAKYIF IRILRGSRHLTANTLTHWVTWLGCTFGITLIAYIIASAIPVFNSLVSLIGALLGTLMS FQPMGCMWLYDNWSKGKQERSLRWMLMVCWSVFVVVIGTFLTVAGTYGCIVSVIDTYN ASGGSAAWSCADNSNST AFLA_072740 MISKGAVYIYRCSLTIRRDTIITIRSCWILSLWLGLHIPRTYQA MVLIHSSKAAPLATLGTFTSRCGVGTPLNNVSKCRLRVRSVSTSMLAETKGAPNVTLP PLSALPTSMLLRSLLVAAITSKPYLLKPSLSILSRLSKSGNSLLLNVDRNIILHTILK WTFYKQFCAGENGRETQATVQMFKDLGFRGTLLTYAKETVFDHSTKSQQGLGVTTDQG KGQDGNGKRYCEHIEAWREGTVKTVDLLGEDDYLAMKLTGAGPAVTEAFAAGELPPVQ MIEALEEICQKCKDKGARILIDAESQHFQKGIARVTIDLMRKYNRDGYALVYNTYQAY LKSTPTTLATHLAAAGEEGFTLGLKLVRGAYMATDDRSLIHDTKQNTDDAYNSIAQGA LRQELGEFGATNGRAFPSVNLFLASHNKESVMAAHHLHQQRLAAKLPTVPVGFAQLHG MSDDVSFSLLQLKGPDGSPQVYKCSTWGGMGECLAYLLRRAIENRDAVSRTQDEYRAL KGEVGRRLKSLVTFST AFLA_072750 MASSTLCILGCGNLGGAILKSLIDGPKDKHGSAPFTRFIACVRS QESEQRLTTQFSHQSQSLTVTRDNIKAVQESDVVVLGVDPAVVEKVLSTPSLTEALSN KLLISVAAGWTRQKLETTLYGSPTTTSNAEGRAWVVRTLPNIAASVSQGLTAIEISEP EVPEHYQKITDAVFDNLGKTVHIPPTLMDATTAVAGSTPAFFAVIVDAMIDAAVAVGV PRDLARTMTVQAMTGTAALLQSGMHPAVLRDQGTSPEGCTIGGLMVMEEAGVRGHVGR ALREAVTIARLMDGTRHLNDTRQ AFLA_072760 MQEGRTVSQPPQMSYTASQTLQGDWGSSVAGRLAGDLTAAAVSA SLIAPTVTIIDRALVERAASDRPLLQSLRSYTVAALKRPGAFVFSRPFGLVWTLYGAT YAVANGTETITKEICPARVDPITFATTFIVNVPLGVWKDIRFAQLFGTQIQSSAKEAL RVSSRIVSKAATATFLLRDGVTIFGSFTLASWCSSVIPDSLASQPSTKTIITQIAVPV LSQVVATPLHLLGLDLYNRQSGVTWSDRIATIRKHLPSATVIRCVRIIPAFGFGCLTN IGLREFFHEQCD AFLA_072770 MSSTKMLSMRLSNSARSSARSLRPFPSATLQIRTKATMPFALPA ARNEPNPLYTRGSQERAKVEEALKELRSQLPVQSEVFYNGVAQKVSQSIDQPMPSEHA TTFTNYPLASKEQVAAAIESALKAKKSWEETPFVDRAAIFMKAAELATGKYRYELIAA TMLGQGKNVWQGEIDAAAELADFFRQNCNFAAELMGKQPPRNTNGMWRYVLPGAAERK SLANSYSRMEYRPLEGFVYAVSPFNFTALGGSLVSAPALMGNVVVWKPSPSSIYASTL VYKILLEAGLPPDVVQFVPGDAEEVTSVALSHRDFAGLNFIGSSDVFRSIYGKIGEGI ANKTYREFPRVVGETSGKNFHLIHNTADIPSAVNHTIRGAFEYQGQKCSATSRVYLPE SRAEEFLTALKSGVKNITIGSPDKDFEAFMGPVIHRQSFNKIKSIIDASNKDPSLTLL AGGTYDDSVGFYVHPTVYQAHTLDHRLFDEEIFGPVLAIYVYPDAEWSSILKKADEQG GGFALTGAVFAKDRRVIREAEDALRYSAGNFYINCKTTAALIGEQSFGGARASGTNDK AGSSDMLRRFTSPRMIKEEFFPVEGYTYPSNH AFLA_072780 MVSKSTPIAIVGGGAFGLSTALHLVRDGYNNISVFEQDDQIPPR PSAANDLNKIVRAEYEDPFYTDLTMQAIALWKTPLFAPHFHQTGFLHCVSGKAPERAV DTLKRFQAAADDHPELRKHVVPLAKDEEIRQRFWQYDGQFPGWNGYLNTFDGYAHSGN ALKAVYQATQKAGVRFFLGEQHGAVAEVTYKNGRSTGLRTKNGQFHPAELVIVAAGAA AAKLVPAIGSQVVAKSWSVAHVHLTEEETSALRGIPITYARDLGFFFEPDPVTQLLKL CPMGGGYVNTDPATGVSHAPSVADSAFVPPDDEAKIRQLLAHTLPTLANRPLVRKSLC WFADTSDSDFIIDYVPHTSNSVVLLSGDSGHGFKMFPLFGSWVKALLEAKDQQQPVPR WRWKEPQQSGDGKWGDAVSWRIGSTREFKDIQPGKPKL AFLA_072790 MISVKHRSPSSKPMEASHNKENRVKKRSSNAYLEDLQRQLAQLK GGEDEVFSPQSMEQELRPTEGKASMPDATGRAIQTDPLLGGDDLAEGESEWSQNLEGA SQLTNPLSSGPSTFMAAASGRIWLTRSVEVYLGTSSNWSFARKILSMTHEHLYNAPLP TGSLYFDGSAYDLGWDGTRTTVTNDIPMAPPLDFSIYLINAVKFHAGQLFHLFDEDTF MGGLYAFYENPEHQMAHSGLWYIHYLLILAFGKAFVVQRNQGSRPSGCEFFTKALQLL PDTTNLCRDPIVATEILCCIALYLQSLDCRNSAHNYIGQAARIAQAQGMHTDMSAEHL GDAIVQRCRRIWWTIYILDRQMTSLMGLPQSIRDDQLHHQLPYFPGSPQKAIALGMQI KVCQIMEEINSSKLSTGTVSLAFADGPRCLWAGWAPESEFPLENQERSRQRRRVGHRA SKMLRLAAGRVLDQRRVPTIGAPASTLPSGLTAAFFVNCLHIPDRLQCIVLATRPVLF CFLKMRIQTADSSLESLNSSANVRKLLQVCIDSAQQILNILIVLQRQNLLGTDLADSG IDTADRLLDSFLPFDLEATYTAAVVLVTAPAADASLLDDWTPWFHTSVTVLDEMISRG NLIAGFRKSELQQLAGMLSHLADDGVIHGTDLARKGQLDRIISRLPSPSTPDRVFGIS EIPNLHPGLTTAEIMAVAESIDTGDVDWIAHAVTENHIW AFLA_072800 MNQPEGWSNNPSDLDEYWSTDDSPGSFIAQAYGINSPVGLMRTT ETGDALHLFTSGQTYYLWNEMDDTVLKIISPADLKGIVQLIDAESVSALEVQVLEPSD AFLA_072810 MKTSFISRVLSLTAFAISSNLSYGLPLSERDVRLFEERYINSLD YSLGNDTVKLVGYKNIKIPAEELDDLNDHYNNHPEVWKNLPNYFYDQGSGTLRAYFPV DGALVEHDGQYHEANSLGELELTKVNGDSSVLGRRQTESITGVEGNIIKDGIIYLEKA AKPHAQYGKVLVYDFGDKIVLDHDHGSHTKRDGKKSCMKNHGGPNCSDKFNIHNNKCK KRHDICMDYNGWFSNCKKNGSTWRNFPGSDCDKALGRGKCWNEVM AFLA_072820 MAEQPQPTVKTRFLVISDTHGRDSIPSSNEPADVAIHCGDLTMG STLQEFQGAIKLLKQINAPLKLVIAGNHDFTLDPPAFQNKIREARRLQNIDPLLIEKE YGYCGQVRELFDHVDAKEKSGIRLLEEGTHYLTLQNGASLTVYASPYTPSFGEWGFQY SPHEGHEFAIGNVDLVMTHGPPRGVLDNTISGQRTGCEHLFGRVARSRPRMHCFGHIH GIWGAKLVAWRKTISEKPSHLTDIDNGQSTVIEKLSNIKASGPRAYYLTSHCADDANP LQHGRHTLFVNAAIEGSDGFPSHPAWLVDLDLQRAL AFLA_072830 MGAAGGGFSRDAVKQIPAEAKRLYIWLAVIWASYCGGLHGFNTS NISGAMSLDPFVRDFHWTDLSDAEVSNNSGWAVSSMLLGQVVGILVSGPLGERRGRKP VIMAAAIFYTIGALLMCGNVGSFAELLVGRILSGIGSGFGMTAGAVYISEVAPQELRG MMTTFYNVNIMGGVAGSYWINYASQGVISSRSSWQWRTTFVLQAIPSVILFIGYPFFP ESPRYLMMRGRVEAAHNSLSRLRGGLEESSDYFAREWMELQSKVDSTAEASTQSALKA TLSLLKACISHAPTRRLLTFVTLIQTFFIMSGGNSITYYAPTILKSIGLNSKQVLLFT AVYGLIKVVSVFLYAFFFTDRFGRRPLLLIGSAINTVCLLYLAVYLGVADLSTSAAPS PAAWVSIVAICLFAVGYAIGWAPAFSLTASEICPTHLRGTIVMITFTYQNLLNFGITR GFPNMIISMKSYGPFALFTAFTGCATVWVFFAFPECKGRSMESASALFSLPWYKVGFQ KVPTLDETREIDDEEKGMSVHDEDVHAKEKDMRP AFLA_072840 MMGIGVGGSDAVDAMSGMPWELVTPQIVGVRLTGQLSGWASTKD IICKLAGILTVSGGKGRVIEFFGPGTETLGATAMATICNMSAEIGSTSCIFPYTTAMG RYLTATRRAHVAQAAQEVQQALLQADEGSDEYYDQVIEIDLSTLEPHVNGPYTPDLAH PISELGKAVSQSEWPINLSHAMVGSCTNSSYEDLDKTRQLVAQARDAGLPRFKTPFLV APGSEQIRATAEEDGILKELQEAGAVVLSSSCGPCVGSWDRKDVDVRGKEKNSVVSSF NRNFVGRHDSNPATHSFVTSPELVTAFAYAGRLDFNPLTDSIPVESVEEGKSFQFKAP VSRELPAHFATGEDTFQEPPSDGSSLSSGCATDMELLMKVKGKCTTDHISPAGPWYKY RGHLENISNNMLTTATNAFLPGNDPQMLGHTRNPITSDVEVVPQVARDLQARGIRWCI IGDFNYGEGSSREHAALEPRYLGGVAVIARSFARIHETNLKKQGMLPLTFDDPLDYDR ILEGDRITLTGVEDGELAPGRQVTMRVTPRQGASWTAQLNHSYHAGQLHWLRAGSALN HIKNTALAK AFLA_072850 MQRFLPPVLRTGRPQPRLVGAPVRRLATQNNSIPLFGVNYGEQL SNLDTVRQSSRRPLTLTEKLLYSHLIPSDDKVWSLQEIDRGKTILELRPDRVACHDAT ATMALLQFISAGLPRVAVPTTVHGDHLIVSEKGAEPDMKRALTEHAEVYEFLSSASRK YGIGFWKPGSGIIHTVIFENHAVYVFPPRSLEK AFLA_072860 MTSRSSAIRALQQFTSCDIGDALVKLKVPCGGYLSGLKMFSPGP TSPKAKIFGPAYTVRMVHANDKTAPSPKRHFVDDIPRDSVVFVSQPKGLISACWGGLM STRAKKQGAAGVVIDGRFRDINEHQELNMGLFARGISILGSNTFTRSSELNVPVAYEN SEIGEEVIINPGDYLLGDVDGMVAVPADKVEDCVRLCQERYEIDEETRLCLERGEEMG PTIKRLRK AFLA_072870 MSATSECFCSISVPALQGVLLLMVQGLIGPAAINIWTLSYIATS HCIDLGLHREPTDYSEFTPTALTIRRLIFHTVYSLDRSISTIQGRPLGIRDETFDVRW PKFDEIQEFTYPADQSNELNIQLPSPETVALCIYRFRVDSYISEIKLLFYRLPAQGDA MIWPTDPASIQQRIKAKLDDWLAQTASLRPTSNMQDIEDGEVKFHCEQLKLESLYHSA ITLLFQPSQVFRSPSRNALHLCYQSCRRRLRIYKYLNHEEKLHYTWRHVHGIFSSGAT IVYCFWASPDLLETIPFSEALSDLRTCSNLLSVGSQWWPSLRRGKDSFDNMVDLTIKR LSQLQSLSQLQPPSRTQRRRIVRSTDPPPLASNIGSMSDMVNTQMGVPVLDYHHAVVY DSVSSVDTTATAADTVRTESYPEHHVENEPDRFLSQVGSLLPAGSDQSTIDAAMEGFL VEYLHGDWGWDPFSSSMGNP AFLA_072880 MAPTEAEAAQPAPSKKVRLACRRCRAKRVKASNPQPISKDENYL TTPANVLPTSVMEVFQPVGTALGQVSRVLMSMAGIMDYPSHESIFITRCHARINWLEQ KLKEIDPQFDLSEGPAVDMTSADETTIPRRPAISTNGAGQERAHAPSPVLESAEHPLV EATTSSKRSYSMAEGPEMEQPIFVELGMLSLHSDSRQKHYLGSSSGLLFTRLIGIDSE RSSTASPELVSTSSRSGHRRRLAPGRSQSREAYQALYDRLREVTPQNAAVIFGIWGAN REQGITITGGSQCVLRGLFPGDPRGSPISSSSLNM AFLA_072890 MPKKQVVPWQSIVAGAAAGGAESLLTYPTEYLKTRQQLLNPNAP KQSPVQLLTATVRQHGIRHLYTGSMAFCVSNASKSGIRFFAFDSAKKWMPTDSSSKVT STGNMCAGLIAGVAESVLVVTPGETLKTKIIDDRAGAKVYKSASHAVRTILSTEGVSG LYRGTLPVTLKQSSNAMVRFTSYNFFLHHLTALTSTGAANGAPVWSTVVAGAMAGVVT VYATMPFDTIKTRLQALDGSQRYRGSFHCLRSIVNTEGTLALWNGTTPRLARLSVRLP IWFLSFLLLTSDTWL AFLA_072900 MTVASQNNAVAELFPHGCWDAHHHIFDPTQFAYAPDRHLTPPPA TIEQFINFKKSLGITNSVLTHGLSYGANVESLKSFVKLLGQSSTKGIGVIDPETVTRE ELKEMHNAGIRGIRVNVYKYKAMNDAELQKVALREHARMIGKHCPGWTMAFTQTHPEF WKELTPVIEQEITPAGIRLITDHFALLKGPSRLPPECEGDVTRQAGFEDIMDLVRKGH LYVKLSAPYRVSNEAPFYDDLKPLVRAYVDANPKQVLWGSDWPHTPRMKVRTYDEAMK ETPFLEVDDHAWLKSLRSWLSEDEWHTMMVETPKALYDW AFLA_072910 MDVYLNPLPPLLNNSIAKWAYISLAALANLPGQFNRTAFEAPWA TSEISDEGFSTALSYLNTTDFVAYDSRFFDIIGPNATVEHVQKLAYQVHEAPCYIKDT NQLFFVEWGPPGGDDGIHSWQYLLDVETNTLRNITTNPPTYNVHGCVYYNHSIHVVTD GYSDLQTGELAKIDPHSHEKTTLLNNYLVQPFAGFNDLEIDQVGNFWLTDSKSGWGRQ IVEFAPPTNPSVYFVERSTFRTKVVYTTTGNTNGIAISPDGNTLFIPETGVSKYFPKR TDPYGMRQLWAFDVSKSRSVLSNQRFLSNPISYFYDGVRVSRHGLIFCGAGDGVDVLD PDTGYTLGTIRVGGGENGAVSVAFGEHELWVVGKGGVWHVKGIQERLAREW AFLA_072920 MSCPRGILDANPGNGAGVGKMDTPKPNGWSPDSKVLPDALDDGE QQIIEAGEAKYHRLGWKRLTIMLIIEAIGLGALSIPSAFASLGMVAGVICCVGIGLIA IYTSWIIGKVKLAFPGVRHYGDAGGLLMGRVGNELFTFMLILQLVFLTGSNCLTGTIA WRHITDSNNVCSVVFSVVSAIILLLLSIPSSFADMAWLGYIDFVSIVAAIGITIISTG IQGTQSGLSKVDWSALPQGDPSFSDAFIAISNIVFAYTFASCLFSFMDEMHTPKDFTK SIWTLGILQIVIYTVTGATIYAFVGQEVESPALLSAGSLVSKVAFGIALPVIFISGAI GTIVAGRLIHGRIYENSVTRYVNTTKGWITWLTLITILTILAWVIAEAVPFFDDLLSI TSALFTSGFSFYLPPVMWFFLLRKGEWYSRENLLLSLVNLFVFIFGLVVLVGGLYSSI QDIRNNYRTGNVHSPFTCGAV AFLA_072930 MTGLKVLICGAGITGNALAFWLSKMGHQVTVIERHSSLRTTGLQ VDLREPGITVLRRMGLEQQFRARSVREQGMEIVDHAGKRKAYFAANRSGLGMQSFTTD YEIMRGDLCRLLYDATKDRATFVFGTTIESFTQREGHVDVQFSDGSHDWFDLLVGADG QGSRTRKLILGSEPDPFHPLGVHIGYFTVPQEIQPGGEYNAAIYIAPGRRFIFTRRHS PHAIQVYLACKTDSDRLVKARGNTEEEKDELAEIFRGAGWQTDRILKELQSADDFYCE RLGVVRMDSWSAGRVALVGDAAYCPSATTGMGTTSGLVGAYILAGEISKHYQADHESK DRLLLALKAYDDTYRPFISQVQKGIEKGSTFWDYTPSSWWGITILHILLWVASFLRLD ILSQWFIREDTTWALPDYKQMEQS AFLA_072940 MLEVCQTAHDLVVHLFPPTDPDTCHTIYLHDLNQNNVILDPISH DIVAVIDWEMICVLPNWASFFYPRMFLNVDPITEEEPPIPVDYNGENDYTIIRRDR AFLA_072950 MSTTPLQWHTSFAGSSPVWPSEPTIPTIASIALAALSAQHTQVG DLPSITVNFFAQGSFNKNYEIVVSNQKDKFLFRVTLPVDPFFKTESEVATLAFLRQKA SIPVPEVVAWSSTSDNALSYEWILLKKVEETNSSVQDSQQIKSMSNYLGKGVEVGQMG LPFFFSKRRLYIHSDRGPFANSLQYLTAKVQMQTAWIESGVEIA AFLA_072960 MPLKHLATLLCALSPTVALSQDAVQDILLSVPQAEKIREWSHYY TNGSHLSGQGLEQGQWTKDLWEGFGIQANLTTHEANLTYPGDHRVALLDLNLKDPLVQ EARRIEDTAPGAGPGQKPFIPSFFAWAGVGNVTAQYVFANFGLREDYDDLLNANVTVR GRIAVVKTVFGSSLLRKLHMGVHRQEQMQVAAEYGLAGLIVYTDPQLDGELTEANGYE AFPDGPARPPSMIERGVMSFAGSTFAIPAMPIAFADAIPILRALNGYGPSATEFGERW QGGGLNYKDVHYNVGPSPNNIVLNLCNKPIFPDGQVHHVIGTIPGSTFPDEVIMLGTH RDSWSPGAGDGSSGSSALNEVARSFGTALAQGWAPSRTIILASFEGVEFSLPGTQDWW EQNHWLNTSAFAYLNVVSAGAGSKFHVQGSPLLGRAMRYGTGLVLDPNINANNQTMLD VWDGEVSIGTGGDASVLLGTELLSSVDFGFSAGEGDPPFPYHSLYDTDEWMDCYGDPN REYHLTTTKIWSLAVFALANDVLIPVTTNEYAVLLQGSLESVDMPGLHISSMENAIND LHQATLTFDTYADNLGSQILAQPDEPKLLSKAREVNQKYIKIERIFADPHPQSSSDRH LIIPRVPYYFQSSAFPALQESIAAGNLSAAEIYRDRIVGRIQEVVALLALEGTKIRPK SLLHVTEPEELSIISRCCSLQFSIRG AFLA_072970 MRSLRKRIWWTIYTRDRHTSAAFGRPCRIRDEDCDVEALNEDDF NFDNDYDQTLIPAQTDYHVAYALEMTRLATIQTASFFYSDQRPLRIFPLPKPNAMFED AWRLTLSPVSQRIFLVPG AFLA_072980 MDSITELANEAKVSGANLDANAQHKLTLERQGHGCSSLSPPPSS ASATYHHSNSRSSWGSEGFSQDKCGEYPDAREEGTLPRADYGPYEVSFDGDQDPMCPR SMSSVRKWVIVLIVCTGTLCVTCTSSIYTTTYTQMDPEFQTSTLISTVGLSSFVLGIG TGPLVTGPLSEHYGRRPIYLVAWSMFLIWTIPSAVAKNVQTIIVSRYFNGFTGGTFLS VAGGTAGDVFSRNQIQAPMALVSSVPFIGPSLGPVLGGFINSHLDWRWTYWIMIIWSA VLLVCMIFFAPETYHPIILRAKAKALRQETGNDQYRAPIENNTKTWKQIIIVSLLRPF QLLFLEPMCLCLDIYSAILLGILYLFFGTFPMVFRTTYDMNLWQGGLTFVGIIVGMMI AAATTPLWSNIRERLLNNNKKEPGRSEPEYRLPPAIVGGILIPVGLFWFGWTTYSRIH WIVPIIGSAVFGGGLLLAYTGIFTFLVDAYPQCAASALAANGFARCTFAAAFPLFGTQ MYDKLGYQWATSLLAFLTVAMMPFPWLFFKYGKALRAKSKFALPD AFLA_072990 MSSICRKGHIDAAIYEHHLSRRAAENFKYRDVWGPREKSMANPW SPETPMGRPRGSRRLRRAAAAFLNEDFHSRQTITQDNIFVTPGLASAIDALTWAICND RDGILVLQPYYNGFDFDTLNRSNGRVIGVKYEGIEGFSELDDLFRPDVNKRALEVALR EAKKNGITIRALLISNMFSWSPHVLQDVWAAMLEDRQQLERFMIKKGKLMAENYHIAT SFSWERGISYYEM AFLA_073000 MEQHSEMGPPPTATTVDPPSYAESVAETLDLFFFPDWHYRGGCD DSMLKTLDDLLNKDLSFMHPGTDFYAFYSFLLKMGEQRLEVWSPEGLFQPIAVIELRG TYIRELRACEGRALVYLMHPKNEPYVKECYFIVQETISPLPQRSGVAGKLVSLFDKSK QVQRKYWVKATLHGERYVFREVASGEEPMPEECVGVRSICYQKFWA AFLA_073010 MQQRRRALWLGIPALILLIITYFLYLSGQNGPALLHTNIQSMPQ EPDSLEPEEVPKENTTYYPPGPRVERNATRTLVIAKLQEEDTVWVDSLPRDDPYLTSA VYVVDSNTSAPFTVPLNKGHEVMAYLTYIIDHYHSLSDISIFMHAHQITWHNNDFLDF DSAKMVRRLRSQYILDNGYMNLRCHLEPGCPDHIHPYIGKDSDDILNVPEAAVIGMAW GQLFPGSPVPSVLSQPCCGQFAVSADQIRKIPPERYLEFREWLLATELDDRLSGRVWE YIWHWLFTGQAEFCPVETTCYCEGYGICFDPNEYRLYFQIRGEARKLEGEVRELESEA TEADITTSERITELNSKVDELHGKMDEIKARTKGIGQ AFLA_073020 MHLQATLAVGLSLLGLTLADTLKNTIEWKASDFAITCGSEDCNY NFNIVSTATGPSKFKTSCSGVPAEEDYTPCQDKGTEAKLQAVPGADTMFSLHIQHTWM EGEARYTAHGDANITDTQKSFTVPVTRVSGVV AFLA_073030 MSMHELKQMAPPVLSEMEGQPIYRDKDDLYLTRMGKRPVLKRNF GLMSMVGFSCTLLVTWEGYIIGGPAGSVYGYLFVWAGIAATFVVISELVSMAPTSGGQ YHWCSMLAPPSAMKLASYMTAGMFTIRIGWLTVIGWQATFASAMYLNGNMVQALIILT RSDYVPHPWRKALYAWGLSVLSAIINIVGGKFLPRFEGTVLIFHVLGFFAILVPLTYM ADHKSSAEEVFTYFINEGNWPSRALSVFVGLTGPVFAFAGGDAAVHMVEEMTNATTAV PLSLMLTVLINGSMGFGMMIALYFCLGDIQTALKSPTGVPFFAIFLQATGSVSGTAIA GALVMSLGSCNTIGTLTAASRQFWSFSRDRGIPGWRMWSKVTERTSIPTYAVLLTAVV GCLLNLITIGSDVAFNSLVSMSISGLYLSYMTAGGLLLHRRCTGGIGHATAGEQTMIN TAGARLVWGPFHVPGILGIAINVFSLAYMTIATFFGFWPTTKDVNTQTMNYSIVGTMG VIILSLVYYFVRAKKVYTGPLIEIS AFLA_073040 MSKFEPGSWQPMVSVLTWLLMITAILVVFARLGTKYWIIRQWTA DDYLCIVSMSLSEMSLQNIMKSQYAATMLFISSMCFSKLSVIYFIRDVTPLFNPDRLI TAGLELLTILWAGIGILTAVFQCRLPRPWDYLHGQCIQHERWWTYMCVMNIVTDSGVM AHGIFIVVRLQMRLKRKLILTVIFGLRTCVIAASACQAFYANQVVESPDPTFDTSLFT ISTQVAQCLGLITCCSPQMKPFIESLRSFGFYVDGRPRHGSSGVRHDELLARPRKQED LFHQQHELGTISPFNSSYQTAVTASPSKRDWDAGSQSSQAHIIHEIRTWTVTESVKNT SPVIL AFLA_073050 MTYTTHDVVIVGAGPVGLFLACELRLAGLSVLVVEKRTNSDGMA ETRAFVMHGRSLEIFASRGLLDSFIEAGQKTDWWHYGVLDTRLDYSVFGRETDQNYVL LVPQYKTEMILFQRAVDLGAVIIKGVQVDSIDESGPYVVARGFYSNNKPFTASGKYLV GADGVRSTIRKIANIEFTGNPPVNTVMSGEATLGTAMPNPYIVHNEHGLVIAADLRVP SGRTRLNVFASDRGTVPESVEVTLEEMNQSLQKITGVDYKLSNPCMLKRFSNEQRLAT TYRQNRIYIVGDACHKHLPAGGQGLNVGLQEALNLGWKLAAVISKSAPASLLDTYEEE RWPVAKAVVQNTTSQSLLFFASSGPEWAVREAIGKLLRVPEANKRLAREISGFSVAYP KSLDMILPDGWRALPENIQGKRALNVKMRLPDGMVTELHDYTQDGRWIQLHLPGKHII ELRPPPAFGNWTTVVEVVDMPDEEEKTSLYMCGVREMLIRPDGYLAFGRMDD AFLA_073060 MAAATASPHRPREHGLTAGDWPDEHLSPRRRSVGGGHAHLREQG LDTGVRVMDDGRLDIKFREHKPWLLNLIKHLERQPKPLPGERRPSVMSMEGQDKFPLR LNIVIHVVGSRGDVQPFVALGKELQKHGHRVRLATHLAFREYINETGLEFFSIGGDPA ELMAFMVNNPGLMPDMRTIRSGAIPKRRREMKAIFSGCWRSCFETGDGTGMHHIKEDP WSDAPDCNTQPFVADVIIANPPSFAHLSCAEKLGIPVNMMFTMPWSATQSFPHPLANI RARNTKPSVANFASYAIVEVMLWEGLGDLINRFRKRELGLDPLDAIRAPSIAHRLQIP YTYLWSPSLLPKPQDWGDNIDVCGFQFLESDTNYKPPDDLDAFLKAGDPPVYIGFGSI VVDNPAKLTEIVFEAVRLTGKRALVSKGWGNIGEGRAEVPKDVMLLGKVPHDWLFQHV SCVVHHGGAGTTAAGLVLGRPTVIVPFFGDQPFWGSIVARAGAGPQPVPYKQLTAEKL AEAINIALEPSTLEKAEEIGKGMRTERGVQNAVCSFHQHLDLRSLRCAICPTRPAVWW HKHLHIKLSAFAAAVLVEAGIVDPHHFELYRSKQYDTNRDPRGPLSAGAEVLYGVVSD FISGLATVPTDLAGMLSKENRKRKRHHHHSHHIGNRDWVRSHCTTGLQQAKEKERERS SNEHTSGPSRQDRSEGDNRSSISESDIESESDSDYASAEEEQSDSSSTNTVVDDSDEA ANELDLERTLTRKRAKEQKTGAQEILAETGYHTSKFAKQVLNFAIMLPTDLTLSLAKG FHNAPKLYHDTTVQRIPRVRNVKSGFRAAGTEFTEGFYYGITGLLTQPARGFQKSGGR GLVKGVGKGVGGVFFKPAAGIWGLAGFPLDGLHKSLRNSLTKNKTKYILRSRLEQGIQ EMCAASMEERAIVMKKWRELEKNHPQNQNGHAH AFLA_073070 MTPSLSKLVALSLFLGTALGEPWKAIDADFPDPSVIKTDDGYYA FATTANGVNTQIAHSADFKTWNVLDGQDALPGPFPSWVNGSHPKVWAPDVIQRNDGKF VIYYSAATSGTGSKHCIGAATSSSVTGPYSPEQDVLACDKSKGGAIDAAGFKDDDGTY YVVYKVDGNSLNEEGSGYHPTPIMLQKLKSDAVTPDGEAKQLIDRDDADGPLVEAPSL VKSGGQYYLSFSSNWYNSLNYDVSYAVASAVTGPYTKASAPDAPLLVSGDSSNVGALG GPGGSDFREDSSAIVFHAFNNGKNMAKGRGMWAANVKIDGGKISIQ AFLA_073080 MRIFSSIFAVANIVSLSTGRVIPRQDGDSYVGYLLSTFTDANPQ VFWYLSEGSDPLAFKALNGGSPVLESTVGTRAVRDIFLASNAARSEYFMIATDLDINA DGFSWDEATRRGSRGLTIWKSSNLVDWSEPTLTTIEEDTAGMAWAPSAVWNDDEQQYY LFWASRLYDSTDSDHTGTAGLDRIRYSTTRDFVTFSSPADYVALDDIPLIDQEFLELG TPGAYARFIKDENVNQVYQETTTGGLFGEWTRIPGYIGDNPLSEGPASFPDIENSGLY HLLLDNYEEYVPFQTSDIDAGSWEKSSSSSYPTGLKHGSVLRLTQTEYDAITSKFGSN AFLA_073090 MFMIKSNGGDGYVRNLALENFIGHGNAYSLDIDSAWSNIDTADG DGVEFSNITVSNWKGTEEDGVQRGPIKILCPDENPCYDITIKDFAMWTETGDSQTYFC QSAYGDGHCLQDGDELKEYTTTLTATSAPSGYAAPTMKEDLSEAMALNTSIAIPTIPA SFYPGVMPYSSIAGGSAAAVTPSSSVASPSVSATPSSSFVAWSSSVRVSSPSRPASSP SSTRVSPSSSWIRTTGTPPTTASTDALPTIPAQKQPSPDTEVIGKCGFAPPPNQGGHH HVHHHTAPQHH AFLA_073100 MPLRSHAPEGNTRPAGAGIVSACWPCNGAMIKESQAAKTAKRAA AIAKIDFFPPHQSAVIFQLRSRNFPT AFLA_073110 MPATGRPNTDYERWLQDHNPDAELQPSPQYGPHHGSRSGKYPPF HTPKTDRPRIPYAEPQIQFSPTEKELGSSPRESSNSPTVILLLIFIVLVAIAYARTQL AHPSRGPQTARRGEKI AFLA_073120 MAPGNDGCNRGPAGPRSNCCSSDQFQGGIPKISTVSKLWSNPQR LELNRREVFGPDLLPDPTRNGPPNDMVYLEISHLRLAATRALQPQWPLKDFENMYFA AFLA_073130 MMAVTMPLPTLGSVLLGLAFLYFVKIMLLRKKTLAPLPPGPKPK PIIGNLRDLPRPGQQEWTHWLKFKELYGMLSLFHTTGAQSDKCFRQAQSVLCQCLAKQ SSSLMTTRRHLTSWKSAPLYTHLDLDWSLPRKCRVGWEDVVTLQGYTNRFRSYRKAMH RVLGTKELMSRFNPLQDVEVRRFLLRILQKPDELIQHIKTEVGAVILKIAYGYNIEPH GRDPLIDLVNESMENFSAVVKPGTWLVDVIPLLKYLPTWFPGAGFKRTGYEWRKTLLA TIEKPYQLVKQHMRQGSYPPSYLARLLEQIDGEPTAEEELVSKWTAGALYAGGADTTA SSLSSFFLAMALYPEVQRKAQKEIDRVVGPNKLPTFEDRDTLPYIDAMVKETLRWHPV GPMGVAHLVTEDDIYEGYLIPKGALILPNIWGFTHDPKIYRDPETFRPERFLGDNPEL DPHTLAFGFGRRICPGRLLADATIFLTIVQSLTVFNFSKPEGEGDLKAEFLPGVISHP APYRLEITPRSAAHEALIRSVEVEHPWEESHAKELEKWSVNG AFLA_073140 MATVDHYHHRLLRSNMNPYFAMTRIRKLEPLIQGLVDKLYDRLR EFKGTGTPVALQYPFTCFATDVVTDYTMGAGFHYLDEPDFVPRWSRTLSGVAKSGVYI KPFPWLIKVFNALPESWLSWLNPEMDLTFHFQRRCREVIASIMEEQNANGYDKVKSQF SHPTFFHDVLNSNLPPEEKSPERLWQEVQVVVGAGAETTGKALTWTMFYLLHSPDKLQ KLREELNQLDPDRTATLLDFEKMPYLTSVILEGLRLSYGLSTRLQRVAPDRALQFREW SIPAGTPVGMSSTLMHHDERIFPDSHKFIPERWLDLEQRKHLEKYMVAFTKGSRQCIG MNLARSEILLALPKVLRELDFELYETTLEDVTLAHDMFLPFPKMDSKGVRVLIK AFLA_073150 MIHHLPEKSNHATDSWRYYVSGQGLRKWFSLCRILASHVDPLGP FVIRHIDPIKHTMCSHANADLPIDPEADADLYSDEDYSSTAGSSTTSLSSSILNYQYE NGRRYHAYRQGEYVIPNDEREQDRLDLGHHICSLVLGGALYKSPISENPRRILDLGTG TGLWAIAMADQYPEAHVIGTDLSPIQPSWVPPNCVFEIDDFELPWNFTQPFDFIHARS VEGSVKDFPHLFRQAHQSLVPGGWFEMMEPTVDIFSDDDSVSKAPHLSEWRDMLIEAS GKFGKEMGAAKNYKKWMTEAGFTDVTEEIFKVPFSPWAKDPKLKELGRYQQANMLEAL DAYSLALFTRFLGWSVDQIQMLLVGVRQELLDRKLHIYSRYYLVYGRKEIEGS AFLA_073160 MGLSKTNRIIILLAIDSAFFLLELTVGYAVHSLALVADSFHMLN DVLSLCVGLWAVKVANQETNSTTSKMYTYGWQRAETLGALVNGVFLVALCMSIFLEAI QRLVEPQEVQNPKLVCIVGCFGLLSNILGLLLFHDHSHGHGHGHSHGEAHGVEDVDVA EQGYISHGGSDPARGIAVRSTLHNCVISDRILIYSMQDECTLSSPNTRRRRTLDSQHR GSPRYSNNVEDIQVHPATMRQEIIGRSRYVDEEQSSESDSDQTNLAETSERSALLSHK DRAGKYTDEANASLQPNATVDDDIHKFHNHAQPKSKDSKHGHGHGHGHGHGHDLNMRG VFLHVMGDALGNVGVIASALVIWLTDYEWRFYVDPGISLVITVIILASAIPLCKAASR ILLQAVPPGMSIDHIKEDIERLPGIISSHDLHVWQLSDTKHVASIHIQVDTEIKGEGS ERYMRLARQVRRCLHAYGIHSTTIQPEFAPGSDVEDNQPASSYSSNGPSSLREEDSRA CLLECGDGCTRGGECCPKTST AFLA_073170 MSYSYPNSTNADAYRPVRRDYHTDSSNDHSRLVDTLPPWLRQWL AELHAHWIAPSFSITENSRGSYALTARLWRIFRHIFTITNALAVLWFFTLWWGERAVF QDSLERCAWENWERWPRDATPHHVAFIADPQLVDPHTYPGRPWPLSTLTVKFTDQYLR RSFSSIQRNLGPDSVLFLGDLFDGGREWATSHSSSPEKRYQKYKDSFWKNEYHRFVKI FSNQWNEGDSHSGNTRGRRMIASLPGNHDLGFGTGVQLPVRDRFQTYFGQSNRVDVIG NHTFVSVDTVSLSAMDQPDPDTGSSGSGDGHPPNEHIWKEAEDFLNSMNVHRGKAEME ELRLMRNQSEGHVFDHKVVDLSQPTLHQRLKPEVVGFPAILLTHVPLYRKPATPCGPL REHYPPSDGEPEEDDRNALSISAGYQYQNVLTQTISKDLVTKAGPNLVHIYSGDDHDY CEVTHRQFSGSPREITVKSLSWAMGVRRPGFLLTSLWNPVDPATGKPTHSLSTGATLQ NHLCLLPDQLSIFIRYGLLFGLTLAVLLARAAILVLYFPAVDSSAPILPLSEFRPTLH VHTAKAPSSSTSSSTFSSPGGLASRAVNAPPRYPKVYDDTYPGAGHDDVDNAKWKPRV PASPGIWGKFMNSVKYVATIVFAWYFFLIWRW AFLA_073180 MPYWRKLFSTGYINPSDNYPDVTSSQSSMIVSLLSAGTFFGALG AAPIADYFGRRLAMIINTFVFCFGVILQTAATAIPLFVAGRFFAGLGVGLLSATIPLY QSETAPKWIRGTIVGAYQLAITIGLLLAAIVNNSTKGRDDTGSYRIPVAVQFAWAIIL VVGMIVLPETPRFLIKKGKHEAAAKALSRLRRIDVNDPAIVEELAEIQANHEYELSVG NASYLSILRGSIGKRLATGCAVQGLQQLAGVNFIFYYGTTFFEHSGIKDGFIITLITN IVNVVSTFPGLYMVEKWGRRPLLLFGAVGMCVSQLIVAIVGTATTSDVANKVLIAFVC VYIFFFACSWGCTAWVVTGELFPLKARAKCLSITTATNWLLNWAIAYATPYMVNSGPG NANLQSKVFFIWGGFCFIAGIFVYTCIYETKGLTLEQVDELYAKIPVAWRSHEFVPSV SYADVRDVPPAKCLATSLI AFLA_073190 MLIRSASALDPDSVYKVPLLSCEPTDSPAPFEKMSVSATTTSIN PDISPIEDKNVQPGVELEELNKTCDENQKQTSDSTGTDSLYEKRHTIEQSGSHTQPKN YAFSFQDHPLFPPLPSYGPPSFVFTIKCLVLQCVSFLLSLLFLGAVVVGALVCRTRLA VSHVKIRLRGQDPNEQRAFYEEERARELERQKNLQQWKRRQEKKEVDEEAPDECPPLE GGKDPIICDVAYYARRVGLDVETFRVQTEDGFIITLWHVYNPQEYMPLPADARAPRGP GVFTGKKDPGLSSRSNRKYPILLMHGLLQCAGAYCTNDEDSLAFYLCKSGYDVWLGNN RCGLTPEHTTLSTSDPRMWTWNIRHMGVLDLSALVSRVLYETGFEKLGLVCHSQGTTQ TFVALAKDQRPELGERISVFCALAPAAYAGPLVERSYFRFMRIISPNIFRIVFGIHAF IPFMMTVHQYLHPKIYGTLGYYVFSYLFGWSDTRWDRGLRDRMFQFAPVYVSAETMRW WLGRECFATQKCILATREVGLLEAEEDHRFEKGIDGGAPRSDTAWYGPQVPPMALWVA GADNLVDGRKLLQRFRNGREPHVHVVHEKVIEEYEHLDVLWAMDVIEQVGKEVRQVLW TTMPDDARAVCQVPKGVQ AFLA_073200 MKLMVNKLLEMNGPTKSIHVLTGWDKQEVCGAPGWARYLGQKTG VHGEEWLSNPAYLLLDEAQQTYWDSGLWASFFKSAGPGWSVFIVLFMSYGSPGEGLEG FESELYSSVPMHFGPDQEIFLRLEKSISDLYLAQKPVGLLLDENEAMHVVTRYASAAI QPSPSLTPDLKKGLFLTSSGHVGLLTSLTHVLHDVPELYDLVQRGVSIDWPTTSKILS SQPRKFFDLLSGYPFSKGLPTLNSLRDPVTFQVFKTAIAHDGIYKASLRTGSKEFKYA LRDIWRNGWLHAVESKWDIRYIFASQIHRW AFLA_073210 MKSILYYTSCFIAVLGTVNGAPARNTIRDSANPPLRGSEDLLGY SSDNKLSDHSTEEVKYTLLPGQKEDPKIGSYLDFEKADNPQPIRGDLGASDPGPRNYN YDRINSDKLAPPGTDNGQTINAQWPMGKPHDRYGSENSGWARQENTVVMPDATKMAGV DMRLEAGAYRELHWHVASEWSLVLNGSCRIQAVNENGETFVDDVTEGDVWFFPPGVPH SIQALDAGVEFLLVFDDGDFSEDNTFLASQVFSHNPRSVLAKNFDLPISAFNDIPEDQ LYIFPGTKAPKDIEEQNVTTAAGIVPLSQSYSYHFSEQPAHEVAGGSVKIVDPVTFPI ATNFAAAIVTVKPGGMREIHWHPTSDEWTFFIKGKARATLFTAPTTATTFDYRAGDVG YFPQSNSHYIENTGDEDLMFLEVLQTDKFTDIALGQWIGSTPKQIVADTLKLPESALS KLKTEKQYVVAGSNVTSVAKR AFLA_073220 MSKKTVKASTWQTSTVTENLDGDGRADYLCMDPDGRTDGWLNKG ENSFESIGQVKRSEHYDRASEFFTAYIVMVQTTLTNTDHRWADVNAGYVKVWINQGPV PTLDSKWRCGPQDGPRYMGADRGAYTWFNECPNEALDDDDSTEDPKLPQYPAPAQPAS INNNGANDAM AFLA_073230 MGRRTPVYRAAQTCILGLILLSFASASSYLPRESPTGIISNDGF SFLNETSQLTPRDEKPFTLRIMPLGASITYGYQSTNGNGYRRWLRQQLRHAGWWVNMV GSNPNDTSTMNDNEVEATSGFRVDQVTQQAERPIPQQPNLILINAGTNDANQYHDTTV DVYKTGERMGALLKRLFDAIPGTTIILRETNKWRLEALHPCLQEHWSRRHSGDGVYCC DIRGTGADDYVGNFGEGILRVLIRFV AFLA_073240 MDKKSAISNNSLPGSSTPSISRSSINHCSFNGLNAADSIHRSEL DSVNVFRKTFPESSRVTSISPANTTIRRSKVSHTVIANSYVRRCKLANCELIDVCSAK SLDANDSKFDNVRSIRGHTSVRNSTVTGQSTLNRSKVNGSSVTDESCLRRSHIEDVRI ARSRVKRSELRNCDVSDCVIIKTNFTDMILRYGVWKNGKLVGRVGDNEVVMMTQDGQN IGHVPSERLVTQDAKVWADDDPDSDSSDKESLDSDDLPPPYKP AFLA_073250 MSEQLHGNMHYLLTSETYNGILVRWQHLINDLQNDRSVDDEPGT YRSQIYSRVLQGCNIGRASISSSQEPTDGCTGGKVAPAQTPDPHSIDDMSIRQL AFLA_073260 MQELFSNVVAALEAMLLFPSLFRDSSGKRKSFHRASWRRRTLDD LADEIDHVFTAPLSLQNMAMMSEKIREQLRICLQSSPVSMLPSYNHALPSGTEKGTFL ALDVGGSTFRVALIELRGNGDMEILRVNSSPIDEQVKLLEGTLFFDWMAEKIDSMLSE VGAQYGRELAPLSMGLSWSFPVEQTSINSGLVIQMGKGFLCSNGTVGQELGDLIVQSC RKRSLNLQMGAIVNDSSATLLSRAYVDPKTRMSLILGTGTNMAIHFPVHAIGLTNELS MFGGKVLPMSRWDDVINPIEADTSSSFSSSAALLQKEHTFHRAPTVDDLKFLRRVCEI VSNRSAGYLATAIHSMWSLRNEAEFPEVTASATSSIKETQEVTVVESEHSQSLTIACD GSVINKYPGFRDRCQGYINQLLQETNASKGTLEATPSPSVRLDPAPESGILGAAVAVA VAVAEKTSA AFLA_073270 MSYNTPDLSSVLATLSALSNQSQPQAQAHPQHQQPYTTNKNTQN VPEDDTDTYEPSETINPLTPSPNIPHPKPQAHPQHPKPPTFHLPDTSTITTWPPALKS IMRTLSTNEDLQRKIRFLIQRQHDHERQWWAGREALVRKQKARVEKKKELDAVLRSVG APVDEKEISTAEEDRAELTNYDLKVYKASKQMADAMTGELRTLQIPFFSIKQSLVLDS AGSAHLPGIGRDELAVLRRRMLELLQDFCKE AFLA_073280 MSRSAADATRFTATGPYAHSKPGAAPYKLPGFMANAQSQGSGNG GRQETPKEKVERLRAQARAARMAQSTSRVDTMIDFGRRFANKAHKTMVYTLIAASGIC GALTVYSMVSLTLYNRRQRALWIEKELQTLQDAKTAFASGTATPAQLELLKNEKIGEI YEQKKQEEKAQRPWNKFKRYLFEGLSSEDAASKVEGAAENNKPGVLEALNAKAAEEAK AAAAVPAAVQQPGQLDALAENAETAAKQTSKSWKSWLTGR AFLA_073290 MPLVNTTEVNEDTRVLGYDPLLSPQYLQSEIPAPAEATATVRSG RNQAVEIIEQRDDRLLVMVGPCSIHDPATALEYATRLKELAGKLSSDLCIIMRAYLEK PRTTVGWKGLINDPDIDESYNINKGLRISRKLYADLTSMGMPIASEMLDTISPQYLAD LISLGAIGARTTESQLHRELASGLSFPIGYKNGTDGNLVVAIDAIGAAAHPHRFLGVT KQGLAAITKTSGNEHGFVILRGGSKGTNYDRESIRQAREALRSKKQREVLMVDCSHGN SNKNHRNQPLVAKEVADQMREGQDAIVGVMIESNIHEGNQKVPAEGPKGLKKGVSITD ACIDWETTVTVLEDLADAVRARRAAKASSA AFLA_073300 MQGHSAPMTKAKGDGRLEETLTNRVSGNNGDYSRKEVEGENGCS SGDTLSYTCIGPEPFSVDG AFLA_073310 MFGFSSKRPRDHEELADLDRSAIPERKKHRSLALRTSPHCSQKP TFTEATRAIAELGLSTLTPVESSDDDDGNDGHRKHVHAGLTHSKGELPHQAAHCHASN SAMDIDYDRDMLPSIGDRTHYPWPTSARGNEDIQPSPIPHSLLNQYLTISERHAATSA YGYLPPTTSDHGSTLSTCHPTSHDATPCYSDAQRLPSPVSDGEDAMRSIKDTTSDVDM TYNPSRPASFSPSTWLGTERSSPNMQETMPPMDSARGMLQEPKSKSASNKKKITISMG YRADCEKCRCKVPGHYSHIIRA AFLA_073320 MASPIPQGLRQVLQKSPNDIVILSSLRTPVTRAKKGGFKDAYPE ELLANVLKATLEANPKLDPALIEEVAIGSVLQELGGAKAGRMAQIHAGFPHTVPFHTI NRQCSSGLAAITAIGNGIRAGALNIGVGGGMESMTRNYGSRAIPTVLWPELKESPSKD SRDCIMPMGITSENVASRYGISREDQDVFAAESHKKATAAQNAGLFDSEIVPVKTLSF DPENPDAPPKEITVTKDDGVRPNISVEKMASLKPAFSPTGASTAGNSSQVSDGAAAAL LMRRSTATELGLTSSIKGRWVGTAVAGCAPDEMGVGPAVAIPKLLQQLDMSVSDVNIW EINEAFASQALYSIRKLGIDEAKVNPKGGAIAIGHPLGATGARQLATLLPEMERTGQE VGVVSMCIGTGMGMAGMFVRE AFLA_073330 MRPSLRLLNLEVSSLQGSRTLYVCSVCRQEARPRPLVARQFLRN ASNATPITERVRRKIWGTDNPPGLKDPYGGEGVLERKFKKDQPARQEEEPENLAQTSE QTQVENEAELASAEAYEPATTWEGLQRVGHLGRWSDLPPSEADAYESFMLKKKVTKKG QLSLAAHQAAVEVSLMHSLNKPLSKVCDVVEHDKSVFKMLWKCKIQPGEWKQAVVYPS KEAEKALVYIFEQIGGQPESAVAEETAEEVEEAVDESKWEDLVAEVNDSNVPFFGYAD VRDKGFLSLSLSDPATKFAFLKRFSQLSGHYLPDPVVHSVATVGQVVEYVQSVLNPKP KKLADYLANSQGLQNLPNVKVFAKKQKPMDRDEELGRKKVIEAELRSRGLIE AFLA_073340 MGNDGGSIPTRRELVREAARAPSTAQVKEAQRELQEHYWKTCPL SHKPLMRPIVADCVGNMYNKDAILKFLLPGEEIEGISSKADCEEILCGRVKGLRDVVE LKFEIDTEQSQHPSTKLDKQEGWICPVTAKQLGPNVKAVYLVPCGHVFSEEAVRQLKG DKCLQCNESYTEENVIPILPTKSEDKQQLMARAQKLAEQGLTHSLKKAPGSKKRKKQA NGDSAETEGASRSNTSTPKPSASNGIKHAATAMLTARVLEEENEKKKRRKMMGLNENL DSLFTKDSKDGKMNNADFMTRGFTLPAGSRK AFLA_073350 MILPQGSLFFVSLAACSTAVVAASNDGSARPRGVGPEFAKFYKD TDTFTCISHPAIKIPFSAVNDDYCDCPDGSDEPGTSACAHLSRNSPLTVADRPGSNDL DLTLSLPGFYCKNKGHKPSYVPFQRVNDGICDYDLCCDGSDEWARVGGTKCEDKCKEI GKEWRKKEEKRQKSMTTALKKKKELLTDAGRQQKEVEENINRLEIQIQGQEIKLKDLE ADLEEIEKQERSKVVTGKKAGKVNVLAQVAKGRVEELRTALAEVRKERDETRSRIKEL EEILSKFKVEYNPNFNDEGVKRAVRSWEEYAARGTSEGLENAARDRDLDEIVKPDDDK SGVNWEQWENEEDGCEANLVYQLAAYLPPSLVNFIEDKALAIRGFLEQNGILPTKDEG SASESKAVTEARDAVDAVRKSIEDLKNQVKDHKEDLDTDYGVGSIFRALKGVCIQKDA GEYTYEHCFLDQTKQIPKKGGSTARMGNFVRIGSVTIDQLNESGEIVPEERISLEYAK GQTCWNGPARSTSVVLQCGEENEILKIAEDEKCVYSMLVNTPAVCPGGEEDVHAASGR KDEL AFLA_073360 MKISYASIMMLLSMAALAIPNPEAYSQDRSAHALNMLEARKSCS GQRQHADKCSGKRLGPMNSFHNWSDINRPLMTSSTLTLYIHSKNMDGKCCALNKDGSG ALDVSRGQGREDCGFCFTGKCKA AFLA_073370 MKRSPWSTCFPPFIRTLARPRSSSCRPLIPYRRARSLHRTAMLS SKWTSTPREFPTSGFELLDTSSKIEEETLPTYSPEKYYPVQQGEVFNDRYQVLAKLGY GVTSTVWFARDLVEHELNVYKHIDSIETDHPGRKFIRKMLGYFYVQGPSGRHICLVHE PLGMNANELLRHIPGRTMTLESMKPAIRQLLGVLDFLHSVARLIHTGTSKKDIQLKNL LLPTPSSSALADFEEREIKVPAARKILKDRTVYTTSRFPAGDGLPLLGDFGEARFGDE ENIGDIMPDYYRAPEVILKSNWDYKVDIWSVAMIAWDIVSSQTLIRGETRDGIFDDGV HVAELVALLGPPPQEFLQKRELSSVFWDEPGKWKNLVPVPDRTLEKLAVNIQGEDVEG FLRWLRLALQWNPEDRPTALELLMDPWLMKGLNLRKKEPKNSEQKTQV AFLA_073380 MPNSNPVIVILHGAWHTPAHYAEFTSALKSKGHEVHIPRLPSMS DTRPPNADLHTDTDFIRSYVKSLVEAGQEVVVFMHSYGGQVGTNALVGLGAETRKQQG QTGGVVLLIYMSGFAVTENTSMMSVVKRFGHEDLIPLAFDIAEDYSTVSRDPKKLIIG PGRSDEETDAYVTAMLRWNGKTMYQDVERCAWREIPVAYIYATEDMNVPFDYQKWFVE TMREEGREVETFEVQTGHCPTFTKFEEVVTIVDGVVSKL AFLA_073390 MATSTSISAANLFDVSGIVAVVTGGGSDIVIPIQCDVTDQSSLK AAVARIEADTGYINLLIANSGIAGPSGSVPPGSSIAELQETLYNIPMQDFTNTFHVNC TAVFYTTIAFLGLLDAGNKNGSYSNGRSQVIATSSIGSFNRRISAGFAYSTSKAATTM MMKVLATYLVPYRIRANVLCPGLFPTDLTTDLYQGKNPTEEGAFPLDQIPAERAGTPE DIMGPLLYLASRAGAYCNGNCVLTDGGRLSVTPATY AFLA_073400 MDVSSDENDDDEPRPRKKRALESNKCNTPASAPTPPPAPKWSNP DPYTVLPPPDETQSKRVDVVKLIRKARIAASAQPAKTDAVADNEDFISLGGLVDEEES KYQPPQDAPMGPRSQMQGRDSAVGSRKRTHDDELKGVSKKTGKPLNKYYSDGSIIDEW RVRPSETGAPWFNHMTPTLHLGTRLHNEILSFYYWVKPVEYEQIVRADLIERLQTAFQ SRYYGVQLRAFGSFASGLYLPTADIDLVLLSSNFMRHGIKTFGERKGQIYAFSAFLRN LDIAVPGSIETIAHARVPILKFVDKMTGLRVDLSFDNDSGLVANNTFQQWKSEYPAMP VIVSVIKQFLLLRGLNEVPCGGLGGFSITCLVTSLLQHLPHGSMSQNLGSILMDFFEF YGHEFDYETVGIRMEPPGYFNKRVYKVYRDNKDARLSIEDPNNADNDVSGGTREIALI FKSFRDAYRLLKERMVYTAMAGDQNNSILESIIAANYDEYTEQRWQLRQIFQTHPRFA RYQAPPSPPPPPQSPPPADSAPPPLPPNSPPPSQEPKEKMTKLQRKQQASRERAARLK RLRPDLPSVPDSITNEQALIIGGYKSQSDMDKDLANREKELK AFLA_073410 MGRPSLPLSANLPPLVMGTATFNSQYNPDPYALPTTELVHRALT SGVRAFDTSPYYGPAEELLGRALATDFVQSNFPRHTYRLLTKVGRVASSSFDYSPEWV RYSVKRSLRRLHTDYLDVVYCHDVEFVSPQEVLEAVRELRRIRDTEGTIHYVGISGYP VDVLSDLAEMVLQETGEPLDVVMSYANFTLQNTRLLTEALPRLVAAGVDVIPNASPLG MGLLRRSGVPIGSMGDFHPAPDGLRSAIHRAAEWADTQGEKIEVIAIRFALESWLREG AKAGALGPPLARSADADPGFLSVVNMGTGERLGVSVMGVSNLDELNETLRVWHSIIEG LEYKDDEEIAEIGNSQSNPAAVPSAPQAPTILTPSEGIITDRAWSKSRRHRILSLAQE IQAILGSEWVDFVWPSPGTDFVNTLSAEHLALKTELAGLTAAGQEKAASATTDSQTMI TPPLDAVDTKIPVDATPSDVAL AFLA_073420 MAHRLVSQVVVTGARVFGRAFAEAYKQASATGKYKAVKGNGGSS FSSSGLTLDEACKILNVKPPQGGETNLEHVMERFKKLFDLNDPQKGGSFYLQSKILRA RERIEMELRQAERKAAQEKELREGWKPKVYKD AFLA_073430 MADDIPWIDSMAPSTSAQDHADSQPPTVAAFSPTTISGSSLASR QRSSIIVHRKSPLLVATPPPITRALAYSHPFILPLNKLVGLLTWTTDDAWQSFLLVSI FWTIVLYGDAIILWAGPPLVVVGLILGMYWRRYSPLSTRSISGEKPGHQRAPSESSLR HHDSLDEIVETMRAFTTRCNMLLEPFLELTDFLSTQRTATSATTRPALTTLFFRILFV TPIWIALTLPPLHLITTRRVILIIGTIILTYHSRPARVSRVILWRSLTVRRICSLITG LSFSLNVDKTPSTRTQSHGHAASVATRRRGESSGVRFTFILYENQRRWLGIGWTYSLF PSERAAWTDEHLNNVPSKDEFELPEVQSGNAKWRWVEGSEWRIDGADDASAKDGGGWI YYDNKWNDGRRGQDGWDRYTRRRKWCRDAELVEVSSTADLTSAEATSGLTQALEKERE KRRGSGTLDASTVDADSESLAGSTSKARRRRWFGNSKTISEE AFLA_073440 MNQLDTAANVSGRNPVQSTTRKRARREGSKWTRSGCLTCKKRRK RCDEAKPSCRSCVRLGLTCEGYGSMWAEPLKPSAEIFQQVLPPKRRRVSPSPSLSASS PAAPVEQLSPNSTVFSGYTTVPSTPSEYGAIEICTPQDEDNDSHGNDDLALDVTHSEN CAVLVPKQCGSLSHLSNLEMHYLHGLRSALSKAPVEGFPTDSILAVALLCKYEIVRGS VKQWAVHLSALEKLVVSRGGFSTFDQDTAEFLWGLYVVVSITYDAQLINTHRFMYAHN VARVTNRKQITNYIPGEEALSLRKLDIYIGYTEDIIRLCPRIADLPLLSHDPVALGLE IHTIDSSLRNWTHTSTPYIIPKGATDASLVRLRMVAECFRDAAYIYLHSTLERMSRGI VARNLPSLWSSFISRTKQVALRRCLDRIQSFPLDENCEYSALTFPLFIAGCESESPAA RELVILSLSKLESNFGIGNTKRAKELLHILWNGEKMHWLDVLEQLKWDLILA AFLA_073450 MSFTSQETTSTTSGKLHPFDPVRPEEIRLAVRILEASFPGVPLR YNRIDIHEPIKQDVIPYIEAERLGKPLPPRPARLLYSYFSRVDTGVCIKALMNADTKS LIYAKEFPEGVQQQPPMDVDEIAGIEEHCMQHPAVLAEIEKLKLPPGMTVCNDPWMYG TDDPNEKRRLFQCFMYIVEVDHPENNHYSLPCKFSPVFDARTKELVRMDYLPGGADHQ TVETQPWVPVKAVQYAPELLDEPLRTDLKPYIVQQPQGASFSVDGNSVYWQKWRFRVG FNNREGLVLHNITYDKRNVFYRLNVSEMTVPYGDPRAPYHRKQAFDVGDVGFGLNANQ LSLGCDCLGHIKYFDGYRSDSKGNPVLLKNIICMHEQDNGLQYKHTNYRSNAATVKRN RQLVLQMICTVANYEYIFAYIFDQAGNVELEVRATGILSTVPFDNLNGETVPWGTNVG PGVMAPYHQHMFSLRIDPAIDGFNNTVYYEDSVPLPEDENNPYLVGYTTEQTVIRKSS SANTDVNRHRVFKIRNDNVINPITYKPVSYKLMAAPSQMLLLPKHAVGHQRAEFASKP IWVTKYQDNELFAAGEFTNQSKKADGVETWVQRNDDTENEDVVLWHTFGLTHNPRIED FPVMPMERISVMLRPDGFFTKNPALDVPPSSQAFNKSTLHPEPAPAAACCSGVGGSKA KLYKRVD AFLA_073460 MAETKIHPQSPEEFEFIETPPASCTTPAEPCGVRTTSYPAIKNA PVPADSPGSDSFSNILLFSLLLLIPWYLARQVGGGFYTTIFFAIFTTIPILMAFWSVA SSISPRKTEKAKYAGRPVEHYLHFHSEHDRAAYRGKSKIPMEVFYEKYFNGEVDFKGD ALECLEFRHDWANFRFTMGLFKHFLFGFIPEMLMHTRSQDEEQVRDHYDRGDDFYAWF LGPRMIYTSGVISDPDREETLEELQDNKLAVVCEKIGLKPGDTVLDLGCGWGTLAKYA SVHYGAQVTGITLGRNQTAWGNNGLRKAGIEDSQSRIMCLDYRDAPRVDGGYKKITCL EMAEHVGVRHFTTFLSQVYDMLDDDGVFFLQIAGLRKSWQYEDLIWGLFMNKYIFPGA DASTPLGFVVDRLEAAGFEIKAVDTIGVHYSATLWRWYRNWMGNREKVEAKYGKRWFR IWEYFLASSTITSRQGGATCWQLTLVKNINSTHRIEGINTQYGLKGARQAAIDSVGHG AVPSAHVTPKA AFLA_073470 MLALRDQENLVHAHQTVAASKPLNQGVKQLQPKTPGARAPKTPF KVPLNDENDPLAFGKKTVKGAGKQNGAAKPSVKDAFVTPMDAIAETRQRAPLGMKTTN AKARGLQTPAPPAGTVKPEKTGKRASTQRVKKFAPLVEQSKAEVQDKPAEDDVPEIEY MPPKPKELPDIPDEITYDTTFPQFQPRNLALGLETVYGHTEIGPDGLTKRERKLQEDS IAYDKMMDDMILKQVESISFEDPSDSDQNEPCVDEAPPRRYETRRTRAMSSREKHTSN IPTVRARDAAAALSGTERTLRPRPVSIPKPKPRVASSLFSSRKPRTPTNPSSMHHAAA VVNSKTTVGYTKGRDVSCKLHGKPPSTTKGQTIPQGIFSADTYVRPSGTPPLETDTVP LAHDADHLTANSEEVLPVYEEDEESLNFQLTL AFLA_073480 MDKIKERMNALRLEADEAHEKTEELKNRVKTLEQENLAKEQEIT SLNHRNQLLEGEVEKLETALKEAKDAATQSAQHDTQNETLQRRLQLLEEELEAADKTT RETVEKLRQTDVKAGHYERKVQALESSRDEWESKYEEMAKKYAELQKDLHDLEVSISN V AFLA_073490 MGPQAKRRKTSKVEEITFDHSARHEFLTGFRKRKQQRIKHAQEI AEQKAREMKREERKRIREEREAEFKNALVEHQKQLKRLRQEEDGASSGSDSGSDDEDN EEWEGFEEPPAVDYEAEYIDEDKYTTVTVEEMDASKEGLLRSQEHSSDEEQEDEKKKA TSEADSKPKPVEKTKKASDKPKKKKKKFRYESKAERQLTRKKERLSNSRKAKARKER AFLA_073500 MHLSSFSLLALGAATANAFRDTSPFFLASTSEILSTSSQLKTAP SLLDDLSSKLSSCPSDYYVIASQPGVHSTDFATRKSAPRLGAKMTGKDKTIRSTATVN EVVGVLEAKQIQSIIEKECGAQTTVIDGSSGSYPSDFGADPRVLFINFPVLPLGKERA QQLSDNDGLLSDIIERIPSSKKYTILYVTSPREFGESDSVIYQSETDPYQDPVHMDLK RDFAAHSRRSEPASNKSLFQEYQYLTPGLFMGLMATFVFIMILYVGFSALASLEVPYA AFEKDTSASVQKKQQ AFLA_073510 MNLRAAGLRKARRQRSFTWLLLLIAVLMLAQISLAQDTTGNDPA STTDVTTKETTTATTKDDTTTTSKTTETSATDKSATTTTSESKSTDSSTTSTATNDYP VVTVPPLADAPYMQTSDTPEGTVFIAVGAVLGFVGLALLAWRGMVAWSVNRSVRKAAI MQSSEAKGLLRHRRKRSAHRSHGGPAPAVSLEKIGGGHRTNHRSSKGPRSNSGLFFSP TAGIHSGGNRGSSYLPAGYYAAGSAAAGSGSHQSMQFSASDLPGMGPQAQGYTRTKSG PSPPGTPTHAPGGVYEPQFNTSRYSHMASNSSVNLASPTQGRTPSAYLEDLFENHPPN NRH AFLA_073520 MLNWIASVSFFLFPIDVPALIRYPARHSSSQRPHHVSTYHLATL LTVPLLLSLLIFWAATHGSTEKVESLDFIPQSYLIIFFIILLLPFNRLARSGRHRLFV TLKRISIGGLAEAQDGKFGDILLADALTSYAKVLADLVVTFCMFFTSGVSSTSKPDRK CGHDWVIPLVVAIPSIIRFRQCLIEYVRVRRAGFKLENQGGQHLANALKYATALPVIY LTSKLRNYNPLESYGYSEMSLSRVLYLCTFVNSAYSFYWDVTKDWDLTLLTSARRDAH HPYGLRRHRHFADRQYYLAILVDLAIRFSWLSRFMPGFVWLCETEVGIWLLMFLEVAR RWMWIFLRVETESVRNSRGPAPNDILLGEFNGKLDAD AFLA_073530 MADEDFSLSPATSAPAPSPSAHFYPFATSPDIIRSHEKDLFLTS NLVQQAQNIIRSLRGARFAHTYSETIKNLTEILYFSLTTLIGNRTLGEEYCDLVQLED DTLQLPSFIRRAGYIVSSIIVPWILQRILPAFRQRLRAKLERSIARQQLKAQQAREGT KPSRKETSKAPSFFTKLRIQKYILEHLDSITSLSPIYAVSIATFYFTGAYYHLSKRFW GLRYVFTKKLEENEQRVGYEVLGVLLVLQIAVQSVLHVKKVGLSLQQEDLETEATHSR GPDDTLIHSIENPPTLPLLPASDARYDLSEDSTAIPWIPSGQQSRCTLCLEPFKDPSV TTCGHVFCWTCVRDWVREKPECPLCRQDVLLSKILPLRG AFLA_073540 MFRTTLAHITSLVKTGFKSQLRNRRIIDVADANKGKLRDINRKS FTHALVQREKLKGLERPKLSTVCCNSQRYPNSCRSSAICAKAQYPISCFSTSQHTRPR AEGASIRRGEPSIDSNTKRPCISSSAAQLTTGLIKDAEKITHIVNPKIAIPALDSRPQ LPKDSPTPKFAPISGAHKFWSHRLYKSPDGEEILVHYCKTLESAETISKMFSDEPILG LDIEWKANASAADGILKNVSLIQLASSRRIALFHIAMFRPARGAEDLVPPTLKRILES PDVIKAGVSIKADCTRLRKYLGIETRGIFELSHLYKLVKYSQSNPGLVNKRTVNLSAQ VEEHFGIPLAKDVEVRCSDWASALDYSQVHCQLYLFPNVKNRLTRIVL AFLA_073550 MASQLLPLELIDKCVGSRIWVIMKNDKEFAGTLLGFDDYVNMVL EDVTEFDYSGAQIKLPKILLNGNNVCMLIPGGEGPVGSS AFLA_073560 MEKDEIEAKAEPRGAAFESPPPLYGDSEPTQAGLGRRIWDSFKR DPNAHVTGGHGSSGADGKSFDIENAAQKTASSPLQRSLKGRHLQMIAIGGSIGTGLFV GSGKVLATGGPASVLIAYALIGCMLYCTVHALGEMAVLFPVAGSFAHYSTRFIDPAWG FAMGWNYALQWLVVLPLEIVAASITVDYWQSDISNAAWVAIFWVLIVSINLFGVRGYG EAEFVFSLIKVVAVIGFIILGIVLNCGGGPQGGYIGGKYWSDPGAFHNGFKGLCSVFV NAAFAFAGTELVGLAAAETANPRKSLPTAVKQVFWRICLFYIVSLTLVGLLVPWNDER LLDGSSSADAKASPFVIAIKNAGISVLDSIMNVVIMIAVLSVGNSSVYGSSRTLAALA EQGQAPKFLSYIDRKGRPLWAILIASALGLLSFLAASDKQEVAFEWMMAISGLSSIFT WGSVCLAHIRFRRGWKAQGHSLNELAFQSQPGVIGSWIGFLFNCLVLVAQFWVGFAPI GYATKTAGELVEAWFSVYLAAPVVLLFYIPYKLWFKTPFIRAKDMDLQTGRRDLDLQY LIEQERAEQAEWPAWKKVYKFFC AFLA_073570 MSGFAASFWTPDYATGLGVLYGKLQQGVVENKQIITIASMRADA EDQYGARLGDIAPTVDRLTGAGFAKDDGASVRKAYEGVRTEMVEAAKNHQKIASNIRE LVVSPFRRWCDQHEARIQNSHDDLQARIKEHTKQADLVKKLRSHYFNKCRVVEDLEEE NKLAFQAPEASPPVKAPPKIVLPEEAEDEEPMEIGDHVYTPEGLKQLLVHMLDNIKMG DVKVPIIGTYQNTSTGADIVEYTQKHMNATSVSYAERIGQDLVDNGLLRLVGNMGSTF ANSSKMRYQWRPKVFQIAGIPEKKTPLLRVTSMANSEDGSESPISTVSEMLAGWNPLN NAHPNETPAEKLRREAREADERYKAAVRKLDQIRCKLEEEIVENLRFMEQCELDRLKA IKAVVLDFSGAISNVIPNLQSTVDHMMLYQETIQPLGDLRYLLENYRTGGFVPRVQAY ENYYGSVEDQNFGVDLEARARADRKRVPVLVTTLLTYLDNRYPDLEGDEARRAIWLYD VPLAATHHLRNVLNNSKVDYQEVLEKYEVPIVASVLKLYLLELPDSLVSSQVYEIVKT IYSTTAHETTEEGRIKVLQSTLGQLRLNNIATLDAVMTHFTRLIDLTSADEAYISALA QALSPCILRPRIESSLTMNERHSYRLIRDLFAHKDAIFGELKRQSSALGVTGSISRPR AISTDESNRRAAMEARARAIMDRTRANSPAPPRKHRRDRSSGPSEAGRFPVNVSSPTE RRTVTRNSLDVPSSNSSPTAQEQLSNVNINAATEAAATEATPNGTSSDSSASATANET PSSGTSTPPPIAAPTAPEDSPTPTPTPAADGEKRTSIARASITRKPGLGNRSSFPVVP SGESGTDSKRNSLADSEPKGVTLEDKPMDDD AFLA_073580 MTASVLGKRQRNTLEVGVPVLPVRSASRRRTQPPRILQAENAPS APTTRQLRSKTRGDSAFQKENDDKSKDVINTNGGVKDEEPEHGVPSPTKAHSRIRTSK SQNGGLSTRNYADPQPVPTDENTTSVEFKTPSKSRFRDALDSPPITPRHRVQVGGISL TPRTPRQTSTPQATQTTQTIYTQARQLFARGANSGRLIGREAEREKLASFIQDGLESQ QGGCLYVSGPPGTGKSALVKEACDELDLGSVKVTHVNCASMRSARDVYSKLIEDLCDD QQIFKKSEAERLKAMFTSNKKQDEMFLVSLDEIDHLLTADAGILQSLFEWSLQGKSKL MLIGIANALDLTDRALPQLKAKNLKPRLLPFLPYNAGQIANVVTERLRSLLSPGQCDD PKFIPFVQPAAITLCSKKVASQTGDLRKAFELIKRAIDLIEQETLQKLEKQNENPESP SKTILVENNNLSSPRPKQNLTSAYTTLTAPRASIAHVARITSATFGQGTVQRLQGINL QQKAAICALIALDRKRREGEFPGTPSKTKHSAPTIKQIFDTYCTLCRKDNILHPLTAT EFRDVLSNLETLGLVGEYQGKGRGGTVAGGSNIRRSPSKSMSGPMTPSRAMDEQGLVC FVSQKEIETQLSGPGEGILRRLLMGQGL AFLA_073590 MNDDVVAQFTEITGSSPELAIQYLHLADYNIEQAMQLYFENGGA PLTDEPIPSTSDAPGARPTAGDSGAVHVGSDDEVTVDEARSTPRHQGPQSSTYEDDEA MARRLQEEMYGGGGGGGAAVEDDGVRAPMARTTETLVGPDADFDDGDMHTSILGQLRA RQQRNNRPGIFNQRDTSSIWTGEDEASRRQRLSEATGGASDASNKSNMLAEMYRPPFE IMSRLPWDQARQEGRENERWLLVNIQDPSIFDCQLLNRDLWKDAGIRDTVKEHFLFLQ YSKDDPRAAPYLQYYFQASDVSDNYPHIAIVDPRTGEQMKVWSGPPVVKAADFLMQLH EFLDRYSLNHNVRNPVAKRKPEKKEKSIDAMTEEEMMELAMRNSLGGDPSQPQKLEDP DDLTRSVEDVKGKGRAADTEDINMDEADQGGKGEVETSPFASIPDDKPHTEPPSDPAT TTRIQFRHPSGRVIRRFALKDPVRRIYEWLKADPPLPDKAGVEFELNSLGHNLLDSLD TSVEDAGLKNGTVMIGYVEE AFLA_073600 MLPANNLAFILSPVVTFELIGEVIALVSIAAIASWSYNVFFHPL SNYPGPRLAAATRLWYAWHCAKGSLPFAIHELHLRYGDVVRVAPDELSYIHPDGWNEI YGHRPGQSEIPKDPSFYSSALSSPEGIFRAPRDRHGYIRRQMSHGFSEKSMREQEDTI RHYADLMISYLSTQANGPKENVVDFTRWYNYFTFDVMGQLVFGESFNCLQSSGFHPWV SIIFDSIRYNVFVRCTQFWPWLSPVIRRFIPKSFQRRKIEQQALSREKANYRKTIHDG RNDLVANLLKPDSGVTDLEYQSTVQTLIVAGSETTASLLCGVTFHLLNNPEKLEKAVK EVRSEFDSADKISFVSVNKLHYLLACLNEALRVYPPVADGFPRRTGSNVEVINGQPVP PNTAIRMTHWATYRSPRNFVRPNEYLPERWLGNAPGFEKDHKNALQPFHVGPRNCIGR NLAYMEMRLLLALVLWNFDLELYPASKLWDKQRVYNLWEKPELKVKVLPRKI AFLA_073610 MAQSYPLLFLWLLVLSAVSSTAIPLRAGIFTSVKGGSVTTRADK VPLRVLPLGASITWGVNSASGNGYRAPLRNKLTSTGWEVDMVGTKQHGNMTDNDVEAH SGDTIDQVKAAAQGSLKYKPNVVTINAGTNDCRLNISISEASDRMRSLIESILDAEDT QDTTIVLSTLIPSVQKQTEANRPDVNRQYRSLANNMQKEGVRIVLADMDPETDDDKNR LVYPEDYTTNGVADDTHPNEQGYAKMANVWYKAVLEASDRGFIQ AFLA_073620 MSKESNTWEPIGNTIVNYAINKTSEPVIGFLLRNDDNCVTKVTA YVGRYEPTGVDILYGIELTYKDGKRSIRVGTRGESSKSLSLGHGEKISHMVIRATDRV DGLEVKTNRDQHSTVGGSGGEHHEQNTGNGLLLGFHGTYKYGQLWSMGGIFQRSSEDI SEDDV AFLA_073630 MEGLVDPESIYMKQNCIGGGSFGRVYKGVDKRTGASVAIKIIDV ENAEDEVEDIIQEIAILSELNSPYVTRYHGSFLKGSSLWIVMEFCSGGSCSDLMRPGT IPEDYIMIILRELLRGLDYLHSDKKLHRDIKAANILLTSSGQVKLADFGVSGQLSATM TKKNTFVGTPFWMAPEVIKQSGYDYKADIWSLGITAIELANGEPPYSDIHPMKVLFLI PKNPPPTLQGNYSKAFKNFVELCLRRDPRERPSARELLEHPFIKRAKKTNYLTELIER YERWHAVYGNKNADEEDEPAYEPPPKPTNAEDEDDLWDFGTVRPAGRGPGLKPMKEAD MNTRGHESSEWETKDRPPREPVENNSYTPQRPTQTKHIPTAASKPSSPTKVPLPPSPL KQGPAEVKPRTPTHLQRPNSQQLRESPGSEYDKALQQSLAQDISFLHIDPTPESPSPS VKNRNSVPVPQESRKANLPRPSGVPSHQERISAQPLRRPSDQSPRPAVESRPHHQVFQ QHPRPPPTPRHASPQPQRLPQTPMQPPGLGSSFGPLHRGIDPNLQPSVGIPPSNEITA LNSVILPALKAAVRRRSRRLELLSRNPSAENGHTRMETYELQSNREYVQQMMESLVND LGGIFTRIERWDSEAPVGMGADVSSFLEGFLEEVLVRIEPADEESPTPSN AFLA_073640 MPNAAAPAVSAQQTPPITRPFGDQDRDQSADESWSAVDSDNDLV TQNGNASRSLKRKRPLTVSCELCKQRKVKCDRAQPSCGWCSRNGQSCEYKERKKPGLR AGYGKELEQRLDRLEEVIQTQARLIETHILQSQPRSNHEFPHPGPHSYSSPSEPSAAH GPSPRNAAYFHEPSSVPAHPRPSDASITSPSDISVRNVMHSHLTSGLNPPIPIPQVSD TTHASDYTGNESSLKVPVNLFSNQEQSFADPELDLPPYDLLYALVDLYFDHINSWCPI LHRRTTLDTFFGPSPLEEADRMVLYAIVATTLRFSSDSRLNEQNRKRYHDSSKQKVLL YGLENSSVRALQALVILALDLVGSSNGPPGWKLLALITRSVVQLGLAVESKSSLIAPV YPSIYTLRAVTLPDSESWIEDEGRRRLFWMVYFLDRYSTLATAFDFTLDDKDIDRKLP CKDEFFMKNQPVETRWFHHSGDRADYLKRAENVGSFGLYVEILGIMSRIHTFLKRPVD IGALSDVEEWQATYRKLDGELAAWEFGLPAEYAYENSSRLFNGSKSNKGLPCDWVQLH ATYQTAVIRLHSSAAYPTTRSPIFTPSYSASQRCLFAVENILSVTRFVVDNNMLDKLG PPFAFTLWVSARLLLVHGSTIAHTVSPDIIFFVDTLAQMGTYWKVAERYSTILQRVLD EYGEYQQSGVEDSERVTPSSVKILADMRRCAFDLDFLISRQPRSSPAGSQPTVPTPAA HPRNLAPNELEYLDVFGFFNVPRVPAARAPDITDLDINETVNNPMSINGLTGSVVDGA APNANEFNITNYLIPTPETDWLFRSEG AFLA_073650 MGSISEFPRIKEIRTFIIDGVGSGGDYHNVKGGHWLIDSDISTP MTKWAQYRGSRTSWGINVLGSFCVEIEATDGTKGFATGFGGPPACWLVHQHFERFLIG ADPRDVNDLFEKMYRASMFYGRKGLPVAVISVIDLALWDLQGKIRNEPVYKLIGGATR TRLNFYCTGPQPASAKAMGFIGAKVALPHGPDEGTEGLLKNVAYLRKQRESVGPNFPL RVDCYMSLNVPYTIQLVKKCEAEGIDIDWWEECLSPDDFDGHALLKKAHPTVKFTTGE HEYSRYGFRKLVEGRNLDIIQPDVMWVGGLTELLKVSALAAAYDIPVVPHASGPYSYH YVVSQPNTPFQEYLANSADGHTVEPVFGNLFLNEPIPTKGYLDVSILDKPGFGLELNP AAPLIPASALLTPAPQKSLPPPTDNENGANGAAH AFLA_073660 MPLPQLLVGKVAAITGGLTGIGRAIALEYLRHGAKVAINHLGGP KEEPLLEALQKDVSEITGANANSFITVPGDVTQPDTGRDFVAKTVAAFGRLDIFVSNA GVCKFAEFLEFVIPYPPYFSLSLMLAEAGCVPLLKVRVYRVSRFANISISIPICRVDP PLLGHTINTNLSGAFYATQAAGRQMALEQSPPGGSIIGISSISALVGGGQQTHYTPTK AGVLSLMQSCAVALGKYNIRCNALLPGTIRTQLNDEDMSDPVKREYMEGRIPLGRLGQ PPDLAGPAVFLACEELSSYVVSYFFLFFLVFNVAGILGANCVFIDWCAAACGWWGFCK SSVGTRYRWIDGSLCCSF AFLA_073670 MPIPIVDSHIHLFPESHLPTLAWYGPGSPLGSQHSVDEYRLATS SISTTADTADPTYLRGFIFLETDRISSVEEAGGGWSHALDEVSLITRIITGTPVAGEG HRDQDRHLCLGFAPWAPVPGGPAALQKYMGLVRERTKTDDVWKKLRGVRYLFQDKPKG VMLQDDVVEGLKWLGREKLAFDLGVDARLGGSWQLREAVEMMRRVYDGVKEDEKVVIV INHLCKPNLRLPDPSHASVTTHPEFLEWSSLVTAMAQYPNTYMKLSGGFSELPPVSSD AEPDIASIVERIRPWTDVVFNTFGAERVMFGSDWPVCNVGGGGNEGTWRRWKNVVESI LEKRQLTAEQQRGIWGGVAVKAYGVEI AFLA_073680 MLPKIKGANSSPQACEDTSHNICLTTTPITYLSNTKHRSKFTHK SVMATSEIPQKQKAVVYDQPGTVSTKVVELDVPEPGAGEVLINLSHSGVCHSDYSVMT NSWSWLPHPTQPGQVGGHEGVGKIVKLGSGTESSGLKVGDRVGIKWLSSACTNCQPCQ AGAEGLCVNQKVSGYYTPGTFQQYVLGPAHYVTPIPDGLESDAAAPMLCAGLTVYAAL KRSNARPGQWVVISGAGGGLGHIAVQLASKGMGLRVIGVDHGSKEELVKESGAEHFID LTKFPMDDNGKAISDHVKSLAGGLGAHAVIVCTSSNAAYAQSVQFLRFNGTMVCVGLP ENNPQPIASALPVTLIGKHCYITGSAVGNRREAIEVLDFAARGIVKTHFRTEKMDKLT DVFEEMREGKLQGRVVLDLS AFLA_073690 MSAPTRQFRGLSIDDKMPQRPTQPEGTPHPDDDSALMETGSDES EVGGELPEFSTLQGKSGITYDLTKLDPESEARALVGLTSRFDVIGCRRTKTGFDFQFS ERPQVHIGSGGYTCTCSTFMGLPGIACPHVFVSSSTIYRNRQSLLTSEKWLVDQLHGC LIPQIPDYDVPLSSDGHPPVFTRIERLLDDKLETVAEQLSWQYVRSEVEGGMSRPQKV RDLMSAFDTAVLPEDFRLDLIDDDGQSRTPEQCVVQGDFEATMFRLAVHDDMVYFSLC KAMPPGACAAIYFDKVQEKSRKLLADFDRYCQTGQRPEGSNADVNSVIGELQHNVNRI QANIATRAPHGFEGAAKALVTLLEDICNRNKDALDGNHWGGVTFGGEDEDQRNLYHQL IGKTEETGECFILDALEQLAGSDLHQFKERLRAILQKNEVNRAPKAYILKLNALVRRA ESNSAGSGQKRPATASSGGHGQSKRTR AFLA_073700 MDTSAWGVKYNRQQYPIYAPPPLPPRPPSTPAHSSSAQSPIVSP LDNKPLQVNPTYGPPTSAAEYQPQWTTNAPHVSQAPVVSPLSPPPPPPVPPGYQSSIP QQGSQPSQQLSPPPYSVLPSGESHSSQNSSAQQHASLSSSNASSPQPTLSGQVPLTAA NPVPCGAGLPVTAPPVPPKTSHNALPTNTAVLGSGGPSDWEHLTPTPGDVDDVEAFGV KHGNGTHSSATSEPTPRYPAPIPTATSGSQTVISTPPADVSPISQPVHTQDTTAAQPF LSSSSATQGIQDPPRPIRTDTSGSTYSTASTTGTSESIDGVIEAWNRPVTTDLQPSIT QAGQSISSRTDSPTPMQKLSPLEIPKVKQDSSVPRKEVQSGPNTPPSSNTPNETSAKV DALSPQLKPLDPYEDLDPWSKSSLERYVAMLRKEAVADSDMERFKIFTAFMSKETKLR EILYNIEHEPKNEEAPSQKPTPASEESSQKSGKASPPVESGLIPVESEEGNESADASE DLEDGKYSPGGRPIIPRVETPSTLGLQRPASQPPGKGHSLGHQNQSQPLRATSVPPSM LDKQELSPLTTNPPQPIYTPFRYTEGPQRGSDHLVIDRPAYQAYSALRQASAESGRVM SNAPHSGFQEDSHSTAPLAGNDDETFIGLIREKSVAYRKASRRKSSPPPPLPMSLRKG RPSGPVDDLRSMVSSPLAKQSESSWHITTRKNLEKYSTDFDYIKEAVKSWETANKSRR EKVDKQRMHRQEESEKRIDALFNGREIGYADINVLEEEFRQTEARAQLDEERQELDDY IAKVFNPLDERLQKEISALQTQYDSALGQLDHENNKIKNSVTDKYNLSHTMKTVNGIY QKLEARYQKRLEIALDREHRRKKTERRPLVFMGDSVALKQLDREFDEMEKRNILEAAK DRDERANRLMDSFDDAIMHGLGENQSLLDDVSAKLSKIDAATIRSSNLPDSEIEHILR SVYNLVDSLRQDSESILHNFGIADSALNDADYGVSVAEARYSNADDDVFGRLEDDKKK EDAKIQADLKSKLDSVRAAPADITATINNLLKSLGKAPITKPNRPSESAPVGHPIDVL FPGPRPTASAGPKKTDEDQEHQERLRKALENAKKRNAARNTPQPPN AFLA_073710 MVSSFCWGCLTRLRPTPRAVLPPTVAAPRAAAFHTSTVRYALPT KKKNSLDGPPKYRQAKSARMKKKKPVERARPPPVGERKALRKRIVLSNPNALEVEGMQ DFTSETMVDARLRGSILGLPVPMLTQLRAVEAFKPKQGWSIFRRPGTVVRRETLELGR LIDSISNEGQDKGRSVKKIVTGVRGSGKTVHLLQAMAMAFTKQWVVFTVPEPQDLVIA HTGYAPLSDETPNLYVQNEATATLLSRTVVANEQVLKTLHVSREHAALKSSVKAGMTL EELAKLGIQDPAIAWTVFQALWAELTATSAASGFDKNFKPRPPMLVTVDGLAHWMKNS EYRSVEFEPIHAHDLVFVRHFLGLLKPGTGKPALPNGGLLLYSTSASNNPTIYSFEVA LKQIAARQAGLNASAPEFPQADPYSGADKRVIDAFDSSKPTVAKEGMLELQTLGGLTR DEARGFMEYFARSGLLREKINDEWVGEKWSLAGGGVIGELEKLGRRLRVTD AFLA_073720 MSETATTTAASAASKSKPERLPITVSKPTPYTFDLGHLLANDPN PLEISRSEPVNVSLKATARDGVQSLLNQLLTTCPITSSQQGVLLTLPAPTTVLPRHKP LPTPKPPTKWELFARKKGIGRFSGKAGAGLAEKERRKKLVYDEEKGEWVPRWGYKGKN KSDEDWLVEVNEKDWKKEEEAAAKGSSIRGMSRAERKERIRRNERKMRNNERKSRKSG GG AFLA_073730 MPSRQSCIPNLDLSPSTLQISAKTTSPHTPGASSDGPSPLTPRS PKSSSSSTFFKGTTIRSVTQESNSKANSPILPVSPGLTAESPTQGVTAIPQYPPSPRD SPKHSRDPSRSFFANLKAPKVSHRAQRSDSSGNSGDKPRSRGSSRDRRTQISSKLYES TPDLPGAIERAAQQENNSPSSDDRHTQQAEIKRVGTESESGHTLKKSKPRFANLLSRS RSIRLDDSSVNRIAHRRPSTSLMRLEESAKREAQTPPKAAEVKPTGNPHVRSHTIDRL TDVNSGSIRKDRYGGSMVPSASLSQVSGASAALFNNIKQSSSGAADRIGKAGKGFFGK ITRSGSTNERELINDDNYVCSVINLPLIEQARRTRIAKRLEDCKDKTEFWMPALPYRC IDYLNFKGCEEEGLYRVPGSGKEVKHWQRRFDTELDINLFDVSDLYDINTIGSMFKAW LRELPDELFPKETQAMIAEKCEGATTAPQMLKDELSKLPPYHYYLLFAITCHLNLLHS YVDQNKMSYPNLCICFQPCMKIDAFCFNFLVCDWKNCWQGCWTEKEYLEIEKGMDEKE RLAHTKQDTGASFPQPTSLEERAISSSGSSQPSIQEQPSRPETAKARKNRPNNIETAH TRSISQLPELGPPLSPIQI AFLA_073740 MSPVSGAGQSDQYLCVQKHVEYIKNLDSRRDELEYWLTEHLRLN GVYWGLTALHLLGCPQALPREDTINFVLSCQRENGGFGAAPGHDAHMLYTVSAVQILV MLDAVGELEKRGLGGKQKVGSFIAGLQDEKTGSFMGDEWGELDTRFLYGAFNALSLLG LLDTVDVPKAVAYIQECENLDGGYGIHPGAESHSGQVFTCVGALAIAGRLDLINKDRL GGWLSERQVDNGGFNGRPEKLEDACYSWWVGASLAMIDKLHWINGDKLAAFILRCQDP ENGGFGDRPGNMVDVFHTHFALAGLSLLGYDGVEEVDPV AFLA_073750 MDAAALLCNICPKKPKFSDVSHLLTHVSSKAHLSHYFKLQVRSH QEPQAVILLDEYDRWYKANNLAKLLSDRLASKDARRKRIQGKAAPHDKRCPVKEDHGY KSPPVPVRSPHDNALPDYLDPRLVTSGVNMEHDTSDRGTPVSADCVAAVASPKLGMHA NAESSHLLHPTSGAQIPTEAAAYQWKQERVFSPDDEGASVLECTPSWSKTLGHTAQMA SQLLNRHLSYDTFVDDAESEVDKERVDEIARLKGVLWPGMDIFDSATEQMRRKRNQKK DESALRMMEKTSMGVEPTELIFSPTGILRKQRVISGNVEECSPLKGETPIPRRRSTRS KRALSQTDANLQRGRDRKRKKKAVKRVPITAGQDFDHGDSNFTRPTSTEVPTYGGNQV VHGESADDFALTFNGHEPRARHGLKIFCDTPNENIPDQHCGDGLQHGLVAPSDALFLQ QEAMATRTPNSVLSSNYISEFAERLCRFTTDKENIEPLLDAHGRIDPLVGWHSPVIKR HLASDTGYPPQFLFGDSQRIELNMFDGHDSHVGYSYNPLAASFPKLSAEENPIYTMDT SNGLSFQGATRVTSPEATISDIEEDDFERLYLDGSSS AFLA_073760 MPLSSQPSSSNLKRKQPTISSFFTKKPQLSQESISNDVLEKEER DEEREEVAKQEEGLRENGGTRSNNVDDDEDDVVAPASKRARTNGSHSQNTIETPKEKH VERPLPSDSIQKTELSKFASSPATEGETKERTKERERLHQKFVRRLGGPDCLIGIGRN TAPEAVPEEVGEGDEDDEPSPPPAAKGKATAKKGARKLTPMEKQVIDIKRKHMDTVLV VEVGYKFRFFGEDARTAAKELNIVCIPGKFRFDEHPSEAHLDRFASASIPVHRLHVHV KRLVSAGHKVGVVRQMETAALKAAGDNRNAPFGRKLTNLYTKGTYIDDMEGLEGSTAS MSATGTSMATGYMLCITETNTKGWGNDEKVLVGIVAVQPATGDIVYDEFEDGFMRSEI ETRLLHLAPCEVLIVGDLSKATEKLVQHLSGNKTNAFGDEIRVERAPKAKTAAAESHS HVSSFYAERMKKVNATNDVQASSLLQKVLNLSEQATICLSSMIKHMSEYGLEHVFQLT KYFQHFSSRSHMLLNANTLNSLEIYHNQTDHSTKGSLFWTLDRTQTRFGQRMLRKWVG RPLLNKLGLEERVDAVEELKNLERVALVEQMKCLLGRIKTDLEKSLIRVYYGKCTRPE LLTLLQTLQMIAQEFAGVQSPADTGFSSPLISKAVASLPTILEDVVRFLDKINMHAAK NDDKYEFFRESEETDEITEHKLGIGAVEHDLEEHRSTAGEILGKRKVDYVTVAGIEYL IAVENKSPSIKKVPASWVKISGTKAVSRFHTPEVIRLLRQRDQHKEALAAGCDKAYAT FLAEISASYQSFRDSVQSLATLDCLISLATIANQPGYVKPEYTNHTCIQVDQGRHPMV EQLLLDSYVPNDIDLDSDKTRALLVTGPNMGGKSSYVRQVALIAIMGQIGSYVPARSA KLGMLDAVFTRMGAFDNMLAGESTFMVELSETADILKQATPRSLVILDELGRGTSTHD GVAIAQAVLDYMIRSIRSLTLFITHYQHLSSMVHSFPDHELRNVHMRFTESGPTEEEI TFLYEVREGVAHRSYGLNVARLANLPAPLIELAKQKSAELEQKIHRRRLAGLVRTVGD ILADSAKADEKGRLQQATLDLLAGVDIQFRRETRLDIALVKNLPIALIFLPAADIPTF VGEGRVDLGITGRDQVAEHDAQLANGETSGVEEIMDLGFGGCKLQVQVPEKGDITEAK QLVGRNVVTSFTALTEAFFAKLEGVDDTSKLSTKIKYVGGSVEAACALGVADGIVDLV ESGETMKAAGLKAIDTVVESTSVLVKSRNAQNPLVELITSRIRGVITAQKYVLCQYNI PRSELSTASSITPGKRAPTVTALEEDGWVAVSSMVEKKKIATVMDELTKVGATDILVL NIANSRTG AFLA_073770 MAVPAAPPASHDPVNAAGEPSQALSRPLIAGNTSSDSDEPVVNG VDVAREDEAAGMVGVSISRAQSRMKARSTPAEEKTRAMEHNGGYFDPIPDTDDSSGLY TSEITDEPEQLPQTSKPETSQPSASSQSVAHQSGTAFSKDGANSRTSFTRSILKNGLP LRPRAWSGDAMKKFLPDLGYLTKRTSLPFRSSQNRSRSQTLKPSSSKMALDQEGESHA KPRRRSWNDSDSNNGLVDEPISDLKPLDGATAAKHSFTRRPSATVSGAPLMLRRSSSD QSLYLRASSTASSLDQRPQYEHIHSQVNSRFKAIKDSLQDSSSRLLSMPSLHLQDLRS DWGYKPFLGDVTNRKGNNYADELPPTSDAPREQAPPPRSPRANSNTWHPVLNEAMSEL TGDVVIMGGYRGSVLRSAKPPQRQLWVPMKVGLNLRKVDLEVGLNPEDEERMEETIIP SGVLSHVGPVDICRRLMKHLRKSENAVKGDLRVWDYGYDWRLSPHLLSKKLIKFLEGL PCNAPGVPREKRGAWVVAHSLGGLITRHAVNQRPELFAGVLYAGVPQHCVNILGPLRN GDDVLLSSRVLTAQVNFTFRTSFALLPEDGHCFIDKRTKEEYRVDFFNVQAWDEYRLS PVINPALPPMTNRAFGLGGNFGKRFSAVLGSKDSLPSEDTPDDEQQDPNQPGRSNPNP ITDPAEKLAPSIEGVVGPTSNLRGSSNVKSTTTVTIPRATAITYLERTLAEVRRFKQE LAFNPSHQSSNSYPPFAVLYGKSVPTVYGARISTREQIKHQDAYDDLAFAAGDGVCLA SAAMLPPGYRIIRHGLVKSDRGHVGLLGDLEGVGQCLRALVRGRREGVGINNSPLST AFLA_073780 MSSTQPPYYSSTFGDPTQHPSSSQPIGTQVGGVDPSDPRTGPAP HTAGPHKSDIANKLDPRVDSDLSKEKKSSNAGVGGAGGASDTHTGSSQKTAGPHTSDT GNKLDPRVDSDKDNRARHAPGTAASDARTGPAPRTAGPHESDMGNKLDPRIDSDVDNR ASGAVSSNTRAGHTQRTAGPHESDMGNKLDPRVDSDRDNRARHAPGTMASDARTGPAS RTAGPHESDMGNKLDPRVDSDVDNRAQYAPETTRNRDTSHLAGTGATTSSTTGPHSSK IGNKMDPRVDSDLDNRAQYAPGTTKTGNENPYATQDTTFSSGSNAGPHESKMMNKLDP RVDAQTGDVSSKTTSQPHGSQFAGNTHSQYGANATGPSTGAGYASSGVGYRPAQSSGS APGSKSAQKGADIGSGVKGAFAGAHGMGESLRGGLNAAVDKTFGHEEGVAKNDAIASQ GEREMRTGNFGRGNAY AFLA_073790 MPITNRQAIYANTPSPAIDPSLSNGTFKISTTTISDNVPDDKVL VRVHYLSLDPAMRQWLTAKRSYIAPVERGSVMRGQSIAQVIGVGSNLKTQYKAGDWVI AYSGWQEYALLGQKEAQKVVIPPGCRPTDAMSVLGMTGLTAYFGMTEVGQPKPGDTVV VSGAAGATGMVAGQIAKIKGAKRVVGLAGSAEKCAFLRNELGFDAAINYKDKDWKKQL KDATPEYIDVFFDNTGGEILDACLARAARDARFAICGAISQYNSAKPQGPASFMTVIS QRVTMKGFIVFDYAKKYPIALKDLSEWLTQGKIKRKEHIVPGGLEAAPQGLVDLYGGV NTGKMMVEVAPVSEAIGAKAKL AFLA_073800 MLRQNILPRFLTSRCYNHRLSYSALQKPFLVYPRAQFSTSASNC FTTTTFRNVSEAIKMEAKASNENFKLENLFNVKGKVALITGGGSGIGLMATQALAVNG AKVYITGRTGEKLDRVAELYNKNIQGEIIPITSDITDKSSVDKLVQEISSREKYLSIL INNAGISSSTQTTEKEDPKELRKELFESSALDPKEWDDVYRTNVTQLFMTTTAFLPLL QKGSEQEKGWSSTVINISSISGIVKVSQHHFAYNASKAAAIHLTKMLAHEVASSGLRI RVNNIAPGVFPSEMTAGESDEKQKSEIPKEKYEGKVPAARPGKDEDMANAVLFSTTNQ YLNGQTIVVDGGYVLAAGTV AFLA_073810 MSQLQNSYGTCTTHRHQLKLKFPEHKSSLKLLSALTFPNVLARC WLPRESTDDEERQTLLTPSSTAPSTLTERYGLSTIILHYGVHSSVRVCTRKSPSAGAS RQLHVVKILRRSSDALVRATQRFEQSLSSAVSHPNLLQTIDVLQNEHGETCLVMDYCA GGNLNALIATAEDSIDALQADCFFKQIMRAVTYLHDNAIAHRGLKTENILLTAHGAVK VADFGSAEWLLDEVADGVHAENRIRLQLSSLYSPRKLRGSIPYLPPEEFSNYATVDPR AGDVWAAGLVYMAMRCGRLLWRMPCADEDGGYSAYLRGRQTYDGYPPIEALEEVRFSS GFQGLGESLFVLIIG AFLA_073820 MSMPLNWEIDSVYQLFLLSGRFLSDVLFKSFAPNTKSLRTAKVN WSQKDPGICTLQSNSLGVGQDEYDVYEM AFLA_073830 MAAGFYSATTIAMISRTASDTFEAVGWENSLAGRLQSNLIRNGE WPCFFRYLRQSMDYQERQSICHRILPSLEEVSISILRARLTYDLVNSFILSYSLMG AFLA_073840 MADSPSSSDPPEKDLETGEQRLGRPERRTTIKFDGMSAPDTQGG VYQPQQSNRPRSLSRDSVKSGRSGVQSSGTAGIPIEFRTLSIQISEAQRATEELIKER QEKEKHPDQDYFESLDFHILSTDRLSQQLNVDCRYGLSSSAAAQRLQRDGKNVIAHHR ENYLKKVLGYVFGGFCSVLWIGVIIFFICWKPLSNPPSVPSLAMAILVIIVIVLQASF SAFQDWSTKHVMNSILNLLPSEALVLRDGKQTRVPSTDLVVGDIVYIGIGNKVPADMR LFQSSGDVRFDRAVLTGESDEIDGAIDATDNNFLETRNIAFMGTSVTNGNAVGMVVLT GSRSVMGRIAKMTAGVKEKPTLIQKEITRFVTIIIGLTITLVLIILFTWVGWLRVDHY SFMNVVAMLNNVMGCVVAFIPEGMPVGVALTLMMVAKRMKKANILPKGLATVETLGCV NVICSDKTGTLTQNKMSVRSLGLLDMSLDVKQLPNIQRNDMSESLKSLLRGSLLCNDA FFDPATVALPVNERGVTGNATDAAVLRFAESIMPDGRNQLSTFERIHQVPFNSKNKWM LTIHRDPTDTDGYLVFVKGAPDVLLPKCTSYLSGIDSTVKILDETAKGLFSNFQAELS RRAERVIVICQRRFTPRASIGSNDFNDEVLAECVQGLTVTGIFGIIDPPRLETAETVS ACRRAGVRFFMVTGDFGLTAAAIARDIGIFSGTAEPDTVDDLKPFTDGEIGEKQSLHS RHSLLVDGKHISTLNDWQWDTICKYEEIVFARTTPEQKYRIVEELKSRDNVVAVTGDG VNDAPALRAADIGIAVVSGSDVAIEAADLVLLDRFDSIAQAIRLGRLVFQNLQKLIAY LLPAGSWSEIWPVLMNVFFGVPLPLSSFLMIIICVFTDLFCSLSLIMEKEEYDLLSVP PRNHKKDHLINLKIYGQSYLFVGVMEAFCAHSMFFLYMYKKAGIPFHALIFAFERYSD GFYGYTQDELTNFNNVGQGVYFVSLVMLQWGNILSVRNKRMSILQADPVRKQRRNPWL PLSMAISLVIAIFVTEVPGFHRLFNTAPVPIEFWFIPLALALGILLMDELRKLLVRQF PKSIIAKIAW AFLA_073850 MTTVCTTPNSPPELSGSKSSKSSSFRSSSQLDGPDGIFTDISNF EDIGLEDDADLAYNNAVASPYGRPGLPRSSTARMQSKTPMITTRELTTTSQQTKGLHK PPAQVNGMLSLQTRSVSRSKSGNKRDPGSTKSPSKTPNSQRARSTSPLRPASHLGSSP STHSLSLSPANARPTLNRKQSWQPNRKTLKELEEEYHDSDEELPEDASLWNVPISPRP LEDRSASRSTSPNGRSPGPRPLPLSHSVKDVSLPGVRSPSGSRPARPAVRSSSAGPER GQISPRNPRVYSYNSMMSDLSEEAKIITEALEHHADQRERKRGENLQSGLSSLRSSEE SKRGSRGTIELPPLQKSNIMIDPLPISKEKEKVLTRTRPSWLPPKDQKEEKKHLKEYK RMMAQSREADKRRAAKAASAKCEKDNTRETLQRIWDDYVYPNWENAIGETRTRELWWR GIPARSRGTTWQRAIGNELSLSEETYKKALQRAKDVRSRADGDAGESNKRMREWFEAI EADASKAFPDLKLFQVGGPLRESLIDVLEAYSMYRSDVGYITGLHTIAALLVLQFPSP SSAFLAMANALNRSLPVAFLTLDRGAIGRTYTLTTATLRYKFPRLATHLTETLQLSDE EIWEPMFRSLLTNGLDLERISRVWDCWVFEGDRIMIRAAVAVLGCLQAQLFGFTKPDD ESRATVREILGWGPRSAGAKPKERHSAPVTLAGGFGGQFSNAGVGDYWILTTAGDEDG FMSEVREAGKVRH AFLA_073860 MKPKLSLTDYATPASSVSAFCRAVLRSLIPPQFYGIGQHKLNNQ HVVFKHVDRFVRMRRFESLSIHEICKGIKITCIPWLEPPAVHDQNSPKNKVSLSDLQK RTEILHEIIYYIFDSILIPLVRANFYVTESQTHRNRLFYFQHDVWRHLTEQPLADLKL STFEELKSDKAERMLGRRSLPYGTLRLLPKSTGIRPILNLRRRMLVNNKWAGSKGRFF GQSVNSTITPIYGILNYEKMRKQDDLGSCLFSVGDIHLRLKAFKERLLLHYHEAGSLP VFYFVKLDIQSCFDTIPQDKLVRLIEDLVSEEAYHFTRHVEMRPPDEFGSMWPMREAR QSKAFRKFVARAAPAARPQHLTEAINNGGTTNRRNTVFVDTTGQKEYDTEDLLDLLHE HVRNNLVKLGRKYFRQRNGIPQGSVLSSILCNLFYAEMEREVLAFLQSDETLLLRLVD DFLLVTSNPDLAKRFLEVMIKGQPAYGVSVNPAKSLVNFAAAVDGTHIPRLVDTSLFP YCGSLIDTRTLEIHKDHDRILEGGDSAAETLANSLTVESARVPGRTLHRKLLTSFKLL MHPMYLDTNHNSLTVVLSTLYANYLTTAMKMYQYMRSLRGRAHPSSEVIIRIVRDTTQ LAHRLVQGKRCWGQRDATMESSSPSVCTVQHSQVQYLAAAAFRFVLSRKQTRYTLALR WLELVLKGSRPRSDAKAKRLAQVVQKGNLMYGSWRF AFLA_073870 MASPFTSPRSAPGTRPLNRNLYQCGTCSQSFSRVDHLSRHVRSH TQEKPYKCPVCSKRFGRIDLLNRHSSLHNTDGDGPVSKKRRRNGCNTTMIARASQACT ACAEDHLRCDEEKPCKRCQRRNIQCKVPPKQIDELSTSPTIPEMQNAGGDPSSLSNPT SKPDITNDQLYQMSASPPAEIPAADIWSQVPSHHAPTDPEIDVSASLTKAYPDLITPD SVDMLTAGLSMDSLSGVRTPRGLITFGLETDLDLSMVDLSFLESYNSRIPFQFDEHET PPSFSGSALGDCAAGTSYSTPNDRSMQRLRWHFVPEPQDHGYAEHNNLLLPTHADQNS TPRNFIDMDSYCNAESLDLASRDKILSIFLSQMKHPISQATLSFPSVELLDILIRYCL TSPRSNAKSWIHLATFTPKQTRPELLLAMAAMGAVLTPDPSLQKLGFAMQEVVRHQLP VVFESDNTMINNLELLQAYMLCLETGLWSGNNRKMEICESSRLPLITILRRRGLFHHS AYPPVLISPEDASDNLDSKWRSWVRQESFKRLVYNLLRHDAQLSMVLFTNPLLSYGEI SLSIPCSRDLWTASSAQKWKELYCGRLAMGTTRIPTLLECIANLDLLECSKAVADTTL SCLSILCAMWGMVWEYRQFSILLAAQSRYWDNGILMVSRYQELVKMLKYFHMGYKNES KLHLNLILMHMHMSLEDVQILATLEDPKKTSGFPPSIHSWSQSKECRQALWHAGQVIR ELKALPSQCLRDFMAVALYHASLTLWAYGMAISHATEDNAVSRPDSMGMNMENIVWLD GDDTEGVHRYIALEHGIPALHGAQPHIASASLAEPGAILELVLQIMHRNHYGEREPPL VENLVHLIEKLRDVSK AFLA_073880 MSPKVALITGASRGVGAAVARALAADGDTSIVLNYGSNPEPAQS LIQELNSLRDNNTRSTEKPRFQALKANMADRADIRRLVSQTVQEMGRLDIVVSNVGWT RMTDFANLEEADNEADWDRCFNMNVKSHFFLFQECRKYLEKTQGAFIATASVAGVKPS GSSLPYAVTKAALIHLAKSLAAIAVPNIRVNTVSPGVLLTDWGRQFPGEKLDAVREKN VLKRFATPEDVAEQVKFLANSKSITGMNVVIDAGFSL AFLA_073890 MQDNGLRPIKRVITDHDDQGTTGFQDKIPEPLEWQQLANGARFS LAYGTNEVPVNLSDAKDLDVYGSLLKDLPGVTIPGGTVLRVVDMNPGSISPMHRTVSL DYGVVLEGEVELVLDSGATRLLKRGDIAVQRGTNHAWRNTSETNWARMLYVLQEAQPL RVNGATLEEDYGGGMDDVKPSK AFLA_073900 MPARHLKSRSDNVAVSTTPQIDVSSVVKGVIDDVRQNGDAAVRK YSEKFDKWSPASFKLSQADIDAAIAACPQQTIDDIKEVQKNVRAFAQAQRESLKDFEY EIQPGVVLGQKNLPINSVGAYIPGGRYPLLASAHMTILTAKVAGVPHVVGCTPPIAGK VPHATIAAMHLAGADEIYLLGGVQAIAAMAVGTGTMKKVDFIAGPGNAFVAEGKRQLF GEVGIDLFAGPTEILIVTDDTADPFTVATDILSQAEHGPDSPAVVITTSERVGRKAIE IINELLKHLSTGDVASVSWERFGEVIVVDTIDEAWKLADEYASEHVQIFTKRPRDALD NMTAYGALFLGEKTCVSYGDKVIGTNHVLPTKKAARYTGGLWVGKYLRTVTYQEVESS KASGELGRLCGRAARAENFEGHARSGDLRAQKYLDDQYDWIKLYHDENPKAYRGNL AFLA_073910 MTQLNGTSVTPNSRSESCPLKVLVVGAGIGGLTAAIALRKQGHD VHIFEQSRLATETGAALHLAPNANGILRRLGICAEEFGANTFERLTEYTASGKVERSM ELSEGHKLWQHKWLLAHRIDLYNKLKSVATNAEDGRPAIPLRTSSRVVSVDAEAAHIT LENGAHYEGDVILGADGVHSITRRAVPGGDIKPFCSGKSAFRFLVSKQAALDDPVTAP LVKHPGELCMWYGTDRRIVMYPTSNNSVLNFVVIHPEAESADQAADGWDQTSLAVILP QGTPVEEIPERLQLYHEIRYERASQVQEYSRILGEDRTDGKELDMYGFVNFNCGHDEW DNSTQKLREWTWKRIPNPYWRMPIAFGPMPGPRQTHLGVPRDGTKSTFTTASIKFKTS RTVLQNLFPPGRRGWRFTSPGTVAYASFAKTTLNKMEWLGGSGYSHIGLYIHGVEYVK KDGSSVKGTYLPILFESLTDPIVSGREELGMPKLYTSIDVYRRATSYRIRTGWQGALW GNFLLEDLVEVDPSSESGGLSGEADAGMLAYKYIPKSGRANKNVPAEEHAVWDPFSEA TPKPSPKRVYTTKKASFQIDALDWEQLPTLHHIISRLAEVPVYEVVGAKLVEGEGVPD VSGARPIE AFLA_073920 MDGKSLADKVAIVSGSSSGIGAAIIRELSSRGANTVVNYPFAHL KNEADSLVASLPSPSIAVEADMSLATSPQKLVDAAVSKWGRIDIVVNCVALAVNKPFE SQTLEDWDLLVNTNGRSTFLLTQASLPHLTKGRGRIVNIVSISGRGPPPNQTIYAGTK GMVDSFTKCWAKELPPKYGCTVNAVSPGPTKTEGFSAAGEEQMKILQPIIDQTPVGPR MAEPEEIAFAVAFLCEERARWINGAHIVASGGLFID AFLA_073930 MFTSPHYMTNSPCPMARSAAFRYYIAVLVCLAVLLCNFLAAGPT VAIEQTTIDFLGPMGSNASAHIARVAFFYSTTSLMQGVGMLFWMPLIIKFGRRPIYII SFVLYVATGLWCGFAKTYSVELAGRIIMGLAAGAGECLGPLTITDIFFLHERGAAMSL YTAALSVGVAAGIIIDGLITISLHWRYIYYVATALIGGVTLLVIFTFPETTFDRNSAL ERDAASNLSVGARTASKSAVTSEHHENAEPETLQIRKKPSYLSSLRLFTGIHTSEPLF RIFLRPIVLIILPPVLWTSLVFAVTIGFLVAITSNFATAFSTTYGFAPWQSGLCFIAG LIGSLIGITFGGQVSDWVADWLTRRNDGIRQPEMRLPSIAIGGVCAPAALVLYGVGIN NNLHWIAPTIALGLLNFAIVQATNVAMVYVIDSYRPSVGEITVSILAFKAAFGFLLSF YTNPWITLEGYSKAFGEMACIAGVIILIAVPFYYWGRAVRHRTWKWRIMEKYGRWGAD RDVGE AFLA_073940 MLDGGLGKLHKRMKRFGDTLNPDDAYLSYYDIRLTREDMQSLKN DWLTDNIISFWEEYLEREFLVNYKSSNIVLLRPSMSFMILQTPNPHTLREALPDFTRT THVFLPINDCRNVTEAEGGTHWSLLLISIVDGIAFHYDSLPPGNVREAGTVTMKFGAL LNRPIRFIHLQDSPVQENGSDCGVFVCLSMRHLLLKRLLTANASEKVSMSLGGMKVDA RGGRKEMTKIIDGFRKEGERRRSYVAEQVHRRDYANLSLNRASLSPLGKKSASPGPPR IE AFLA_073950 MSGSDVEHVSCFLNGNSRELRRQSSPTTNDYFYDAPTEDSFETS LTASCSAAPPFASTTYSAQQGLTDSPILPATAGLSSNDRSSPDPDDYYRPHPHTLVAI GNTAAGSGESLMVEVDNAEVTEDHPTQFQRVCSVPAHPSKSVESSGPFRSLSDSSYGN IGFGSTIRVPPARASTARSRQVSFKDLVNKFNNNQDQVLPLPSTSTSRTVSPASPTDG SIQSKAASRLQQTHHSSIKKAPIPRWNTIGTFDPDIASERSLPRLRTSDIKDNGPSSS NLSQRPPFGQLLALDTGFDNLGYGTPSLPRRRGSEGTIPSPNPAFLDHPESALGLTPL TPTAWYLGRTPFLEAVHTTSSTPNHRRTRSDFAGDRPGGVAVCLSDTHMAVQPPLQPQ PGTSLESPHSKSRIPISSRRLNSASASGDSSPSSPTRTELAFGNRSAQQIALPPKGVS RLPKPSPKQASNPARELTYEGEATFATPPHARREVAPGRARQYIPEKGTLLEAYIAAP PPKKSPPLRSSRPRQPVSQTAQTAPRSKVVETVSNFQRQINCDREPRNSRLRERRLPE LGNVDFATRRQRIQQAFNRTVEENERKEEKAAELRRQVKAQEDTQQPVQPTTPKQQFT GLADVITSAQPEDNVTVIEELGECGHETKDMTPETEASKAHQQLHVDTDVSLTENSRN TTDYHPMTMDSPTLGHSGIILNSQGYESTPCDLQPDSAVTTGSNETHTTAFDPEPQDE LSKQNLHASHRTLLSQIMRIRDSSPSSSSCDEQDYGFSDNDEKESIPIVLRDALAIED SIDSSENQEHRDLYIKQGATDTDPMNRWSMSSWSSSLHHGNSSGGQSSEVTEPSKEVI SHTLPYGSSNTPSLIRLGGWDSKRVSQLYIEELASGRNHPLPMPAIRASPEPPHSRIE QRNEGRNDSLTDDPVLITETDRIQPSERMRHPASLFLRDDWEHASPSIMDWMQIAVDE GSAHQDPTIDNSRRNNGVPTSQLVTPNAHPKGADEGLGLAINVHPPQEFDNDDVPPPP IPRHDPPAPPSDTQESTVHPPPQIPVVHPNIFSNAIFAPLGPVQSTDSSEDSSLRRLE PTPSSQTVDSSATSLVPSTSEHPRPRTASPTPEQRQLKKRRHVIKELVDTEYTFGRDM KVVDDIYKGTSSSCLDLSTEDVKVLFANSDQVVQFSMAFQDTLKEAAKSVYIMPKSQR WTSRRNARNPITKTDQESSTETSTSDLEKDNATFIGQAFIAHIANMEKVYADYLKNHD AANKKLQTLQKNPKVAIWLKECRDWASDLTTAWDLDSLLVKPVQRILKYPLLLSELLE STPQDHPDRAALINALEEVTNISVRINELKKRADVVGQVVGRKRKESDVRAGLSKAFG RRTEKLKQQVGISDLVEDKEYDALSQRFGDNFFQLQVVMRDAEGYTREVQGSIDCLGE ITSAIEEFIKVAPTAYHEIEGKCDELRAVVQGVLTEALPNHIAVVRKSVIDPMVTLLK LHDGPQRVMKKRDKRLMDYARFKSIKERGDKPDKKTTEQGEQFVALNEALKDELPKLY SLTAKLMEACLKSFVHIQTSWFKTLQDKLCPLVDSFPDDIQKVIGDWNANFSFSEAQV LSLGICNGSLLADAVNLVNFNTPPTGANISSPRRPSTVNSASTRVGSTMDESPKASHD FGSGSHAFQSPSLDSQSQISLGRKRADSTFSGRAPPDSIELPRSQMLQQITGSSSASV LQPSSNRESCPSLPRLSLDSPFLVDVIGPSESSDKPTEEQPSSPGRYSGFFSSAMPMS DNPQDNPPQENEAPKEPAVLFLAASIYEFNIDRARREAGYPYLTYVVGEIFDVIAEKG ELWLAKNQDDPTHQVGWIWNKHFAKLST AFLA_073960 MARLCIPGFPFRRDDRPITRWRTPAFLSFPRTVHYRANPPVSPR SFLPVSSKPGVHSFSTSSTSSTGNRSFSQMSQGSHLPVRSVRAPSTPSTTAPAPTGVV DALKALTSRLAERRAARQARHRPWAQPSSTSRKPSPGHPSTAHRKPAPTKSAIRKPTK PVTPAPNMANRAQRAIAQIDLALAKLASASRKAKPVKRVRFGETTVIPVSRWINRSEH SFIFPSWFGHLQGWRVVALSEPNDDGETEKYISTWGSDQFDMLQTHTHSRIPCGREGC AWEAMRRIKRQRPGWTSQMLIKGFNDYREKMRQRGKFYL AFLA_073970 MVNQGTVLLTLPLLLQIVPGTVGHPAPSEDGTLCRNGNCGPDNT RGHDSTWGKGDSNGETCTPETVTLTAISTEQLPGPTTTVPGPTNTVTITTEGPAVTST ITEILTSTVTDASTSSQVVTDTETVTVTVTNPGTITTATTTATTTVTSCPTNGAGSGD GPDYGTCSDPTIRWADGLDGRTEYSWITNNQDDFPHGSSTTINTLMNFVCNRLRSPCN APQAAINRCYSAAAQVSNSGLKGEQASNLWNSLMA AFLA_073980 MGSVLLNHPRSDHIDSSYTMLGGAITPSESVYRPSSHQTSHPSS HLDTGSSRSTSTNTTPTSSTAPPSTSAAASARLHSHSSHDVPAYINRSSHTPSQPSTR ESSATPGSTYTQPPSMSSTHVPYADSSTYPAFHRSQHVSTNQAAAAPITGSHRESHSS PASPRLKPTHQSLRSLGGSEANSPSRIKVRDLSHIQSFASEEFLAQSQRDQVPGQWSQ ERQYEISSMPVTDIIEMVAGLLTKITTTNDTHHEQIHRHIPPPDGTASLSPQATSVLA FHGKNVPSISILSYLTRIHKYCPTTYEVFLSLLVYFDRMTELVNKGQLERLQRRWGHI QPDSASRPGSQESAVKPAHGSPMVTPPSSAGMRAQDPTSPSSISPSLHPQEEDDYFSQ FFVVDSFNIHRLVIAGVTCASKFFSDVFYTNSRYAKVGGLPLVELNHLELQFLLLNDF RLSIPVEELEAYGTMLVEFYAREIVAQQQQQQQIASQTGVPRAFGSSTETDPQSDAMY MRSYEKRRPDQPEIRQTPTPP AFLA_073990 MVGHGASGMLGEDGIHVDMNHLKSGEVNLGTSIMAINFKDGVIL GADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVSYHLNMYSITNNE APSTQVAASLFQELCYENKDMLSAGIIIAGYDPRHGGQVYSIPLGGSLHKQPYSIGGS GSTYIYGYCDANWKENMTEEEGINFVRGALREAIKWDGSSGGVIRLVVLTAKGAQRHL YLPDTDYTGPGFTN AFLA_074000 MSESPPPPPSWSITNMANSAAQYLRLPVLASSGLAVVASGLLYF KQKLVLSNSCARLVVSYIHKAHELEVDHVCSELIYPRNVPTDARTFVPKPRQFGVNNY EELQIPTPDGESLHALFLRPSKKGLAGDITVLMFHGNAGNIGHRIPIARVLLDILGCN VLMLEYRGYGLSTGVPDEAGLKIDAQTGLDYIRQRAETSNNKVIVYGQSLGGAVAINL VAENQDKGDIGGLILENTFLSIRKLIPTVFPPARYLARFCHQYWTSEEVLPKITKVPI LFLSGLKDEIVPPSNMTQLFAICQSERKVWRTLPNGAHNDSVAEPGYFEHIHSFIKEE VIDQD AFLA_074010 MAFLRPSTFQSLIRNTRTPFLRVQQRRWAQVHDVRFLATHHDPK LVLDRYRNKLDQKAQQEGHGSVESLKDAYKEKIDDFRRKASTIVTPEPSKPTPSPSTS ATHPPPPPPSPQANAASEASGKSSTGIKPLSSFIDVEKVLDLPPKEIEAIWRLRHASN PHSVCAVIPVETYQRIASAARQNPQFVLPLPRTQPEADQPEQQGDEAAGAGAEIHFLQ WAFHPAAPTVTSGPLATSHTSTVIFTQLAAYQLHGSYAQPHTTITHHLDLADEKGLVL MHGQIMPNSGITSTDATWLVSCVQRFYDFGGQASGRKGELLRSFTKGDTNVFKLEALL EEAEKL AFLA_074020 MSESSGNKDVAYCGDSLMGVSIAIGVVQILVVAARFYARYLQRV ACAVDDYLIIPSLVALPMHTTPCLTRPLTRFQGLVANQILDFPFTVTPAKISILLFYI RIFSTPKFRMIAYAAGFIVLGHGIGVFFAAIFQCSPVQYAWDKTIEGGSCFDQQAFYR YVSPPNILTDVLILVMPLPFVWKLHTRMTQKLALTGVFLLGGLGTVASILRMTIFFQE NALTDPTWASVNLGIWTVLEAGIIIISACLPSIWPLIVRILPRKLVSKHSSKTRGHRY TASNVKAKIGDGFSRLGENVTGETDKWPLDVNPLHESPLVSIHGQGLVKGDSISLQSL NGTRQEPRYSWVMIRPRG AFLA_074030 MASDQNKSNSPSLEEQIVSLTQTVQSNRESLDDATRFRTLKAAR GLLDALESPPETAMRDVVLNPVLLTAIRMGVQLGVFQMIRDHQGEGATTEQIASQSGA SLIVVGLQFPFSQYRSSTCPHV AFLA_074040 MANRHITRRILLGAAVSIFLLFVFFVRPQGPPSPAIRAPGHLEK ASHSTLTKDDMVKGEVVMPKLGNETAKAELGRATWKYFHTMLARYPEDPTEEQQETLR SFIYLFARLYPCGECASHFQGHLKKYPPQVSSRNAASGWGCFIHNEVNTMLKKPIFDC NKIGDFYDCGCAKDEDTEDENEELKSRSHVDSKESDDIATSQRFEVSKEPTTRG AFLA_074050 MTEAQKYEGALYKPKQTKNQRNQKGKNDHQQNSKPTANGHRAPY VEDAPESDKSKENVSALPTAPTPPVTSGTPQRNDPKQVNVFDFLVAENASKVSLAQPK EQMQMVDHAPSVFEASKALTNYETGGEDEDKKYDLPYEENGYSYGSGPIPPSAYPSKA ANASMEFMTPAPKKKKDRSRKTEAGAVTSEKKRKRHNEDQEIEDADTPMMEAPSSVVN HPGTPMLNHSGLTGGLSRMLRSPSLEAENDHADHPRRRYQDPSSPIKRTRRDDKDGSD AGLGISMKNRAGRFVSSMFGGPAESKRGSSDDGDARPKKSNRGASDSQKSKRKSSAQD GRPSQRLKQIEYANGSRTESPRGDDGRQVVIYGQPNIPSDLQRQMAAHFLSLVTKGPE SQRGFSVNKVLKRFHRDFTDEFDDDRGREQGRSRADHEQRGEDEKDLWRTLRIKRNDR GEIVLFM AFLA_074060 MLKCGRHTCPELCHKGPCNTCREAIFEEISCNCGRSVLYPPLPC GTQPPPCSAPCERPKSCGHPQTPHNCHTDEEECPKCPYLTEKMCLCGKQILKNVPCWL ADARCGRICGETLKCGSHTCQKNCHRPGDCEDTLKPCQQPCGKTKTMCGHPCTEPCHA PYPCPEKTPCSSMITVTCGCGRLRQERRCNAAKAVASKGQLQQPQRLPAVTPLTCDDE CARLERNRSLASALGVDINPSTTVAQNATASLPYSSETLDMYIQLSSSAPLSTLQSYE STLHSLAANPTQRSVRFQPAKSSLRAFVHSLATDWGFASESFDPEPHRHVFVLKPTVW NPPLLGMGNGTAIGIGGMSVSECVKLRERQRQKEREAQRLAAAEAKAQREAVKAQANA TNEGGWAQVAASRRSNASTRSTTPNPGTTSRSGSMFAALTGDDGSTWGAPKKEKLVLR SGVGAGKQLRTPQPAAEVVDSWEEEEEKQEQEERAREQEQGQEQDDGQGDVQQGTSEL ETAQTGEAIATSSVV AFLA_074070 MGVLEKFTGGTPAAETGDTEAHRPKNAAEASGNQILAIETDSSR LSLEARNEKEAQEHPDQVTRDALLGQQKAEAAALVWSKKAVRFTYGWIWVCFFMMALQ SALSSTVMLAAYADFQQAPQVATSNILYSIIGGVLKLPIARMLNIWGRAEGLLVFIGV YTLGLIILAACNGPDSFAAGNVLFWVGYNSVYLILDVFIADTSGLKNRAFAFAFVSTP FICTAFTAPLAGQSFVRHSGWRWGYGVFAIVVPVTLCPLAVVFKYYERKAIKMGVFKR EPSGRSLVQSIVHYFHEFDVIGALLLMAAWILLLLPFSLATYGRAQYKSAAFIAEIVV GFCLLFVFAAWEKWFTRSHFVSYELLKKRTVLGACGMAALAFFSFYCWDQYFMNFCIV VYNLSESMGGYMAQIYNVGSTFWGVGFGLWVKFTKHFKYACLFFALPLMILGAGLMIH FRGEGGGDIGYVIMCQIFIAFGGGSIVLGNEMAVMASADREGVPMMLAILGLFNSLGG AIGYAVAAAIYNNTWLDALESRLPSDMLSQANEIYLGGYTLQQTYAMESPTRDAINYA WGRTQMYGSIAATCLLALGIPCIAIWKNYNVDKKQNKGTMI AFLA_074080 MRREYIAVLCAQLTTFALGSHQARTPVADQDVLRFVDPLIGSNN GGNVFAGASLPYGMAKAVADVDGQNTSGFSTDGSNVTGFSALHDAGTGGNPSLGNFPL FPQYCPEDVLDNCNFPNAARAVHYLNDSVVARPGYFALALENGIHAEMTTTEHAALFR FNFPPATAVNGSELSPLILVDLTDLWKSRQNASISIDGQTGRIKGNGTFLPSFGAGSY VSYFCADFAGAPIRDNGVWVNNRGGTEPKELYVTRGFNNFYLEAGGFARFERPTNGSV SVRVGISYISTDRACENARREIPHPLEDFEDIRRRAESAWREKLSPISIQSGDVSEDL QTSFWSGVYRTMLDPQDLTSENPLWESDEPYFDSFYCIWDSFRAQHPFLTIVDPEAQS RMVRSLLDTYKHEGWLPDCRMSLCKGWTQGGSNADVVLTDAYVKNLTGIDWDLAYEAM VNDAENEPLEWSYEGRGGLQSWKRLNYIPYLDFDYLGFGTNSRSISRTLEYSYNDYCL STVAKALQKDDYTKYRSRAGNWQNLYKADQTSLINGTDTGFVGFFQPKHLNGTWGYQD PIACSALASWCSLTSNPSETFESSVWEYQFYVPHDMAALIGLLGGPDTFVSRLDFFHT SGLADMGNEPVFLTVYQYHYAGRPALSARRAHSYIPSLFNTSNSGLPGNDDSGAMGAF AVFSMMGLFPNPGQDVYLIIPPFFEAVHITHPTTNKTATIRNVNFDNTYTNVYIQSAT LNGNPYTKSWISHDFFTQGMTLELTLGDTESDWGTHEEDLPPSLSKSFN AFLA_074090 MLEMRLFHLYLTETYITLYPGKLDTNHFQSAVPGLATSYPFCLD ALLAFSALHLASKETGDNRQWVECALKYQNRSCSAMSRVLAEFSVEYSGPAFICSILI MLCSYAYPCVSKDDQPFDPLGQILEIRRLLAGCAFFFHQLGKMEHPGELAGWLRYKDA EDLEEELPKEEVNQDIWEFLNEAVKRPLGGREGGVIALPVRISDAYVDLLKEGDWMAR ILFLHYGVGMHLLSDRWFVRDWGRRLVSTVLQPLKEIPPEWKETVAWTRQAVDLDKWS RS AFLA_074100 MYRFLRNTLSLSFILVLALIHLPDVLSSPLEPSAHYDSRSANTV EHFEEGKLGAVASESAICSRHGTEMLKIGGNAADAVIATIFCVGVVGMYHSGIAGGGF MLVRAPNGSYEFIDFRETAPAAAFEEMFKNNTDASTSGGLASGVPGEVRGLEYLHKKY GSLPWSTVMQPAIQTARQGFPVGRDLVRYMNSAVGDGEDFLSKDPTWAIDFAPNGTRL GLGDTITRKRYADTLETIANNGPDAFYTGPIAETMIQALQAANGTMTLEDLRNYTIAI RDTSQIDYRGYRVIGTSAPSSGTVALNILKVLDTYDSFMVPDNVNLSTHRLDEAIRFG YGLRTELGDPYFLEGLDEYQKDMLEQSTIDEIRGKISDLHTQNVSAYDPKGLESLDTP GTSHIAAVDHTGLTISTITTINLLFGSKVMVPETGIIMNNEMDDFSTPGSSNAFGYVP SEANFIRPGKRPLSSITPTIVTHQNGSVFFVAGSAGGSRIITATVQNIIHAVDEGLSA AEALARPRLHDQLIPNQVRFEYAYNNETVAFMKSLGHNVTWVAPGDSTAQAIRVLPNG TFDAAGEPRQLDSGGFAV AFLA_074110 MNDPKPVSVVVSPPAFDLDSYESFDEDYFRRTVDEILSDQASFE NSFDAYSGTKMMDGTCERRASMARDSGLGSSGHKASPYFPSKVAKKRPVAHRVIQKME STEDEDDSEECQSGNKVVRREDPADSVVKIKLEQLEKRRLGDVGIYAPDNEVGSAARA SSVIDVDACDDEDFEEVSEERFVFASVSEEEHDRLVRFLVEHPFMREGAYPVKRSVRR RFISDVRREASYSGMDEGALGVLTKWIKKTYLEVCMVADADKEGSEFGDEIDDENVLE HRSRSKSKKDRKRKRTSIDQAREKTKTKKTKTKKSDISMVPSKQDVREVINIDSDDSA IAISKSPSTDIQVLEKAPVPQPDLQRTPTSHHIHPENAKHGRVVIEHATPKAPTTPSS HRGNERSISSKESSLPMPRYHNSTSKNDVNSNKRNQPASQEDNARKESSKKRTVSSAD LPSSHSKDELQKIAENRKKRKKKKKKRCDDKNKRRLDRQEKRRSEKSETIPESNRLSR KGDQQATHIAGPISQEHPAKSISLPRKAVSMDDPFWDMDF AFLA_074120 MIRSVCLSRLYFLTELEEGLLFPSCSRSLSDSLLSKQWLQRTLA QTWMSHPPKKDERYRKLHYPCKLDGRDVRPQECIDDTDPRVAWEVAHLPGVGAYSLDS WRIFCRDELRGLAKDWKGSGAATADFVPEWKSVLPHDKELRAYLTWMWLKEGWVWDRQ TGLKTRASEKMMRAARRGGVALEENGNWILETSPVKKATNGLTTLD AFLA_074130 MFLPIRLNDRDRDRDRERKVSSSSAVSSKTKSKPRSSHGRPNRS STKEHDRDAPTGRSSTPTTSSSQQQRRSSMPGVDSASRPVTASFLESRTSLPYPTFSK AHSRESVVQPDLPTPDPTDLTEENKDGGKRHSQHRTDNHHAPPSPPLTSVDQNSRKGS TVGDKEDKEKPKDGKAKIRIRAESTRSSSSLRSKRDDESKTSKTMRADTPSSRTKRSS HDKDIPARTASRNSTKSKIVEEAALPKRSSSSRAAPVVSPPRSPATVRDIGSESANGS DATIAAQQQSSSRKPKSPEKPPSRNQTRSSMSQRPSSRTSADFAFEYGRSPTSNSAYG APPPPPPPPEVPVTIPRVDYLLQNGGLDHRVPKALLLAAGTPDQPPYQPFQPQLAASK IFDPFSRILEDYHKVMAKNGSLAVATGYRSVARRLLDRLEAVFARDISSESCNCLMCV HEGLEPEEHPSGVSWGEVLELVSGRQDLPNWPPFMLSLSVNDAELSGDEHIPMQKMDI DIPEEYREHFMRQSRKTKVTVDKWLSEQVSQATNAPDEVDDETLTFAILTHLGPEQRP LFRALLGIPASSPTPQPDGKRPPRPSALISSSLAIQRLYRLPSPPRDPETAIYMLNHP ELHHVLATLAAISDDEWDILISGRFDGFLRSGAEDSFAGSTPQRWSSSRSNTPFTTGG ISRGPTPSQMDPNSRPASQPYGRVASPANFGGPIALDEEMEIAALAEIERDIYLGMEA LEDAFEALHCKAEVVRRALRERGAGLSVANQQRRGSYVEARLGTPASGLGTWEDSTED DGLDDDRSLAPDDSASNISSNRRRRPKRRTERRTPAPVEEEDEEQDTYDSRRDRGSKR R AFLA_074140 MDFHREEVPDDWYKVSVSIGFPAHPSEILLDVLHVHFYTVYPLL SIDLH AFLA_074150 MSLAQVLSVLASQDDEGNSLARRLAYTDAGPTLDIDPLPSAQRK GLIAVTVMAFLSFIATLVLLLFITYRLVFWRSNYARYIGYNQYIVLIYNLVLADLQQS LAFLICLKWITENKIEASSAACFLQGFWLQIGDPGSGLFVLAIAVHTFILVALGHKLS HRVFVCGVVGVWLFVAILVIIPLAAHGRFVFIPSGAWCWISEEYEPIRLWTHYIWIFL AEFGTVCLYAIMWFQLRRRIKQSAILGNSQTESLKRLRRVIGYMIIYPVAYIVLSLPL AAGRMATAQGQTPSIAFFCVAGAVITSSGLVDVLLYTLTRRNLILESEPSRDRSYNRF ASSVNRKTDHLTTITAAEGKHTRTDISVLRTHRHREDDDEFGHTVREGSTDNIVQPSG MELAPLGKVYQHTTIEITHEPAYPEAESSDRSSKGSIGDGKGPAQSARMWGR AFLA_074160 MAFRAPENRYYRSGLQPLQCWTLSKDGKDLLDRPVLRDLARHTT SGAIPHKYGDHDRLGYRLATHIYLTGERLSSKDECRRCKTTPIYKGCVIAFGVQHGAC ATCVHSSGAKYCSLSSRSSGPALDSTSDLSGVEDGESLANEASYAVAKGNKRQRVGSG KDAKITTTGASLSCLKESKTPVAISSTAAATQDNNPVLRNLLDKYTIERRIAAANGLP KQTVANNNTLAAYTAGPGQSSTSTLRDTVRRESGASATAKRSWAAATMDDSSYYTSDD DTSEDETCEYDSTDDATTKDDTTEDDTFEDDTTEGEY AFLA_074170 MEWQIYLGIAFALWIVQVLYTAFTSPLRRVPGPLYTVLTRLPLK LASLTGNRIYFVHELHRKYGPIVRIAPDDVDISSLAEFREIHRAGSPFLKSKWYEKFV PSKRSGVFTMRDPKEHAARRKLFARPFSKSELRRTWEPAVREKVQLAVSQIQRELKAV GKSDLLKWWTFLATDVSGQLMFGESFNMLQLGKKNQYINVLESTMMGSGIGAELPLVA WLGRHIPLSSFQNMFRATDYLFQYGQRAVTNSRTTSNASRNIFAGMVYESEKGDGIIT DEEVVLEAGNLIVAGSDTTAITLTYLIWAVLSQPKLQRELEEEVNSLSADFDDSALEE LPLLNAVIMEALRLYGAAPGALPRETPEGGAKFCGYFIPQGMTVTTQAYSIHRDGDIY PDPERFDVSRWLKTETSASELAKKAFSPFGAGGRICLGIHLAWMELRLATAQFFRECA GVRLAPSATWENMRPVNYFLISPRGHQCEVECNY AFLA_074180 MSSRPVAVVGSRLPKTSSKPGMSPTPGDEWVHQLGGLCGPGAGL RSVEMPFAEIDSIFDSIFGSNVDEINPSPNSKSVSSPVRLYGSNEDILDAYYVFIHPY FPILPPSEHQPVSSRPLARGPPTFEPTSPLSLAISAILSLIPHPNEKKPRTTEYLKRR REYAHCFAQTALGVIEKDYELLSMSQNSQTGLANTTRAFERAPFHPKLEVPLEGVVTL SMLSIYEYAQRGNIDGMLQRANQALALAMSMALHEAVEEEPYADSKRRVWWMTYMMAC QASAISGTSPAFDLYDPWFVTPYPEGWKLVVEAQQVLVESAVFASDLDRTMQEADDAS WVSKRMEELDRQISSLLFCSRDAPLVSQMAPQPESVERMTSKTMRDFAEIKLHTARIK LHRLCAFQDILSGSIRHPDVSIPTDTNSRMDMPLEMSSDPRLVEDLSLMTQIHKLQMP FTSEESSKICLHAALNIVTLLDNLLYPNHTSDILANTQYARGGCGSELPRTMPTVVCC GVQSSYAMLMLGLKARAIQHTTHDDINVLDTTSLSDFRNELYHNLRLIVKFLENYSIA FEAIQGISGILFHFLTRRWLTCCRQIKSGHRPGVLDVE AFLA_074190 MNTVRYIDPSYHSPAQLIQYHNSTQTSQIQDDHSNKKITIIKKR TNKMNLTLLTTLLTTLATTNALTPNTANSTTGQKILDKALTAAGTPYAWGGGSCKGRV FIMLACVSCRRRHLKCDALLPACTRCQSTNLECRYVRSRRGLRTKPTNQSPQRFHEQM LTADDFATWLNATTLATDLEVSSQTGHWVKG AFLA_074200 MVQLYYQNFHRSHPFLIPRKVLHSPLRHRIPTYITGIMRYIGAH YYPEARFKEEFRPAAYTVLSDVTPRDGFKVQGLLLLAVIEHAHGQEENAYLKIQMAID LALELGMNRTSFALVNSEGSSVLAESWRRTFWELYVVDGLLAAMRDQSSYRLFSHKAD VRLPCAEELYNSASEITPTTRTLDDLKQSWSLDQCSSSFTYRIEAAQNLGLVMEVNRS LDIDLEARVETVDATLVSSLMQIPSSQDSTSLDNSSIDEMLFQAEMITYLALIYLHHP RSSMRFASFHARTWCTRLRICNNNPPPTELDLHSQKFLRAADMLSNLVTLPSTIKSRT PFFTCALAMCVIVHTAACLIMSTPDKVESLKARIQLSIGGLNMLGKSWPLAKMVRQQM VNMYQEVGLR AFLA_074210 MALDFDQGPYRGSKKDPDLFTLPTTCLFPCLAMESGWSEDLTEM ENDVDMLLVGGNGAIKTVFIIEWRKHSDNYHVSGVVKVYKLDENGMPVHEGPDQVIFP KPADSQNQVIGVARGDIVGRTRFQDRNPFDTLEYPLDILREIATIALDRMGLVPA AFLA_074220 MPRKEFQRDLIQASVPGRFPHLKGVRAGEEHGSVLFTYTVPFST QTIDFQTSVLNTDDYPGHHTYFTFAASDNIPDDVSKAIERLQPVFAGLAIEPFLKCIS DSIDDALLGVDSALDLLHENRSESEIFSGDDMDFDADSVDSDAQFTPPTDQAKVMKQI RSDMSAVKKAGYRVAFLGQLTGCLIISISCRIAKLGISKVAMQAWNVRPSQYLVLLLR YPFGYRNLDQVISKSIPKTPLIQMYVGLCDSSKPSLAAATQAFVSTETEIPGNTQKVG PDLQSFFIGDSLHTLLNTHLIDLVRHRLQHGFTWTGAELYLRNNQGRIQDSEEVAREV YFVPEAWNDATPRFLRADHISEEKSPLSLPLIAIQFILRRFVKCTEFCLNCYCKVDAG FEALMPYVCSNSLCLYQYMRLGMGPRLEWEIISQPSCIRYEDDPEHKLPYMNGYAQFR LAQGAPDKEQRFVSAVKSLSSSHNAEYPTLFAWHGSPISNWHSILREGLHFKRVLNGR SYGHGVYMSPQFGHSMTYASSQNLARTWPNSILNIDKALSLNEVVNAPEQFVHNGNYY VVDKLDWIQPRYLIIRHKSASLMTADAGLVPSITYTQHPDYQALGPNHQALTLPISAV SSHWSQRYAETTTRSTCEGQLSHNVALVSDDDRDSVTTIADDDWLLDAGAIASTGTHD EVANEAFHDLSKTDFRPGSLKESTLQLLGPPKYATTLATKSLQRHLQATLKVQEREPL HALGWYIDPALVNNVYQWIVQLHSFEPSLPLAKDLKAVGLTAIVMELRFPPQFPMSPP FVRIIRPRFLPFSQGGGGHVTAGGAMCMELLTSSGWSPVSSIESVLLQVRMALTSTDP VPARLEQRRMQDYSVGEAVAAYTRVCQLHGWAVPDDLREVSW AFLA_074230 MFRVPSQETKARNVARKCVYNARQNSGQIADLMGVLGPEHGQDR APVVPAGNAEGSGGLGYSLVNGSNAFVGLKELPSKPVLQQLVDIFFAEVNWHYFILER FYFDDLFSRWQSTEMRPVDYLSNQELSIELQYFPALLFQVVALSLQFLPPDALALTQL SSKELSSSYKYSELGDELVTMLGRHGVALTAVLADFLRASWLKNYGRGIEAWHSAGNA IRQAQELTLHRQHDIHQSGPDRIEQTLSVIWYDEFKKRVWMNLFVWDSLMAMILGRPR TIHPDDCDVKPPIDCNIPKDPSKTVPMTVQPGESPNGPTTVSAGLFRYALACKFHEMR ALKADRPHLKDHNIIQGLHEQVVSLLENVPPYLRFKSPDTSWDGEYSFLPQLREEVFM TANLFFMTLHRPHILASAESRKAALEATLATLGSQQRFFGQTSEHHYPLFGLAFYTID ASILLSIIVASYPPHGHEPRQYVYHVLQQAIERLSYVQPYNPIARSGLGIVQRCYEKL KEACHSPPNTSATPSSSVVSPRFELQSLRQELSHRNSVPANDVQSPPSPSSGPEYLDL LAPAPSMIPDSFSEAYWLDQLNLIQPSSAIGQDPDMFWDSLLFDRNIL AFLA_074240 MKPSSIFFALFSLITVAVADKVCTPSFDYCSDELISKKGFTEDD LKSVLKGTEFEKEDLKNILFHCKNPGIVGSPKLCSSGCKDSEQEGSHSC AFLA_074250 MKRSALILSFLPLVFGCDNPKSPGHSCASVYSVSSAAASSFCAT FTASTVTATTGVPEALLSNCDYKTKHLSSACSCLGTAAVPTVATPSSVSSVYITSATA TPTSFTFKTSTAHIVKVAKAATSSTAVVTTPVSVPTASSSFTGNGGTTCTVTEYAAIS SAVASCSNILLSDIYAPPSSTIDLQGLQTGAAVIFAGKTTFGDTADSDFDPIVVSGTS VTITGVEGHVIDGNGAAYWDGQGSNGGSDKPDHFFVVKDMYNSRIENLYIQNWPVHCF EIESTEHLTVSGLTLNNSAGDAANSKSDGDPAAHNSDGFDIKESSYFTLENTWVHNQD DCVAVTSGTDIVVDGMYCYGGHGLSIGSIGGKSDNTVNGVTFSNSQVISSQNGCRIKT NSGETGEVYNIRYENITLSDISDYGIDVQQDYLNGGPTGEPTNGVTIANVTFVDVTGT MSDGKDYYILCGDDSCSNFVFDGVSITGGSGDNCNYPSTGCP AFLA_074260 MTTQNKNQYHELPIKPHGILWQEDFITPTHEAQLISIFQNQLEW PTRNGRISLHYGYSFDYKTFGIDPDIPYKEFPDWLQPLIPTTEGRPPEQVCLQYYPPG SGIPPHVDAHMAYDQLYALSIGAPIMMQFRRGEERVDVDLVPRCMMQMTGESRLFWTH GIKKRKNDILADGTVRPRGDRWSITYRWVREGECECGDVEVCDVAQRRMGVEKEKRSL KELAAKEDGGVSG AFLA_074270 MVCLLAVNSFSLGTIMADEIPHHVNDGRNSERNMEAQSAFASTL VVDVEKSLHSKGADPSKCSTSSNGISVSTPTNQAGSNAVYAAKAQLLNQALVDMKMGR YQWVLFIITSVGWFLDSFWIMSFVVIAPSASNEAQFFFPGDKSSYLFVSLAVGLTVGA TAWPWMSDILGRRWIFTSTIVLMGMGGLVGAGMPSFTGLCVVGFVVGFAVAGNQLVDA IILIESLPASHQFLVTVQGAFWGLGQLVSAAVGWAFIAGYTCGTGPDAISTSQALSTH SSRAEGSTQSSQSSTSCHYVSNKGWRYLWWTFGCITLFLYLCRFVFPFRETPKYLLSK RRDAEAAQLVNNIATYSKRGTWLSETSFARVDSTIDATESRRTPRLRSLIFALQPTGL PILCLLWALTGLTFPLHKTSLTAYLASTHNIAPITATTVTTPYLYTHYLYTSLCAIPG PIIAGMLIQTKPFGRKRTGSAIALLTGLFMLLATLARSRNALLAFECVLSFLQFADLA VLTTYTVEIFAAPVRGFGVGVMGFFWGVFGLVAMIANTFAGDVVAGGAAVWFCGAVWV VMSGAWLTLNETRGFAAA AFLA_074280 MTIILYSSGSSVTAYALDPSGVFTRRQTFTYKLDGPGTDPDRQD APHPHGVVLDPTGQFILVPDLGADLVRIFRINPSSGLLEPQTPLAVSPGSGPRHGTFW TPKGARPGRAIDTRFYLASELNSHLTGYKVHYPKNGTIAFEKFFETTTYGGPELPSGA TAAEIAISPANNHIVISNREDNKFGTNNDTISVFSCADASGKLSTNVTHTGLYPAYGS IPRQFEISGEDKAIAIALQSSHRVAVAGYDDTTGGVGPLLAQKDLEGEIVCAVWDD AFLA_074290 MKGLYLTAALFGASSTASKLYAASYAGAVTTLELGRSDAGYELK TISDTTDCGPNPSWLMLNDDKSLLFCLDEGLNGPNGTLTSFEVGSDGSLSKVHQLQTV LGPVQSHLYTAGDRTFFAVAH AFLA_074300 MKLSTITLVAASILLSGAAATPFESAEVCRKACYSHKPKCPRHW HRKSDYYEDDCGYDYEEFEWE AFLA_074310 MNISTVLAVATTILSGVSAGPLFSEADTTSAICWEACLHQDPIA RANGKRCCTCCKERQVLSYDYELFEWEE AFLA_074320 MKLASLPLTTYGFQLLMFGRRKEGLTPDQYRDHYENVHIPLMKN LTGDTFPLTHVLHYVKRDGPPDFPPAVLMGNQTDFDYDAVAVLTYRDKAHFDANWAFF EDEETSKLIKEDEEKFSAWVTGVLISTESTDTRN AFLA_074330 MASIFRLSSQVSRQFSTWRTLLQRPASPVRDFTQSNFTLLDSTE KLEEETLSWYTPDSFYPVKIGEVFQSRYHVIGKLGYGGYSTVWLSRDLQQHAYVALKV FERNSAEGQRETEIYHHLNSLNIVDHAGVKLIRKALDSFQITFAEGNFECLVHPPLGM SLYDFRTQLRAKVLPEKIVKLTLVHLLLALDYLHVEAEIVHTDIQEKNIMMAIEDTAI LTKFEEEEKSSPSPRKVVGDRMIYASRKLRKTKQHGRPTLCDFGQARRGPNTYCGDIQ PYIYRAPEVLLRMPWNEKVDIWNVGVLTWDLFQQGHLFYARDSDKKSSDAHHLAEMIA IMGPPPKEVIQNSVYATEFFDGEGNWKGPIEIPSISLEKLEGNLEGESQRLFLQFLRK MLRWNSDERESARELLDDPWLRSP AFLA_074340 MTVSNYIVSLAEAQTRLPPPVASSRLLEQIDQQVEQLPILVVLD DDPTGTQTCHGINVLTVWDDATLVEEFHTCDRGFFILTNSRALPTAEARKLISDICTA VKKAAVQAQKTFEIVLRGDSTLRGHFPDEPQIAEEVIRKVDGWILAPFFRQGGRFTID DVHYVADAEGNLVPAAQTIFAKDATFGYTSSNLIDYVVEKSNGSIPRHRVQSISLHDI REGGVSAVAERLLKFAQGSIIIVNAIVDTDLEIFVLGLLQGKRHQ AFLA_074350 MQYQRLGNSGLKVSKIILGCMTFGNPSWEGSPWVLPEAEALPLL KKAYDCGINTWDTANTYSNGMSEIIIGKALERYNIPRSKVVIMTKLYYPVLEPESNAR PNPAINDGVLVNQMGLSRKHIFEAVDASLARLKSSYIDVLQLHRIDDTHPEEVMRALH DLVQMGKIHYLGASSMYCWQLVRLQYAAKMNNWTVFTSMQGLYNLLYREEERETNKFC QAEGIGLIPWSPLARGLLARPWNVKTDRSVKDAKTAKWFSGEQDQKIITRVDQLARSK GCSMSALAIAWLLEKGACPIVGLNSIERIESASEALAVRLSDVDIRFLEEQYRPLSVQ AI AFLA_074360 MDKNLPEEVKAYLEEIVQRLTDYLKDQLVGVYLFGSAGYGAYES GTSDIDVQAIVRGPLDTVDKQAVISRLNQNALPCPATKLEFVVYAQSAVYPASRHPRF ELNLNTGAHQPDHISLDPANESSHWFLLDIAVGRELGRALYGPATTGAFGAIPRRWVL EAIATSLEWHQAKEHRSTNSVLNACRGWRYIVTGEFSSKLAGAKWAMQQQGCPDVVSR AISARKTGDELPVVQIMTLYDIVITANRAELAKDLI AFLA_074370 MILFPRYYLFEIGDQAWCPEWMRAYIQSYLTRVWNLHIPPFSNA PPAGVAADLILGHIKDPDSFTFVDLCAGAGGPTGTLEHVLNEKLRAEGKSAARFVLTD LHPRVEEWSAISRRRENISFVSEPMDAAKCERVAPTNRKECRIFNLCFHHFDDLLAST ILRKATESADSFIIFEFAQRNFTSLLNIPVMLLFPFWYTLCRYRHSPLHLFFTYVIPL LSLLISFDGLVSTMRCRTPEEIRALLDGPDLDLSDWEFRSGHRMLFEPFVHIYYYIGV RKER AFLA_074380 MKVIIVGAGIGGLTCAIACRREKLDVIVLERSSVLLPVGAGIQI PPNGLRVLQELDLKQEVLEKGAIVKSMDLRRYKDGGLITSMECGQTVAREYGGPWVII HRADYQQILFDRALLMGAKVCFGATVDDLDVENTQVILEGGETVAGDIIIGADGLWSK VRHAIFDRPVPLIETGDMAYRAVFPRKQLENLHNPEIDSLCSKTSVTAWLGPEKHAVF YPVRGGEEYNLVLLQPDNLPTGIRTNEGDLEEMKSAFRDWDATLQKLISCISSVVRWK LCHLPELDTWSKGSVTLLGDACHPTLPYQAQGAAMAAEDGAVLGMLMGSVVERIDTPT DSLDATLGGLISESLNVYEDLRKAPTTANVNGALRNRGAFHMRDGIMQWMRDFVLGYS GMTRETDWIGLMSRRQSHTLSADPMHECKKRIGRA AFLA_074390 MENTSSQSRFPRWDGLNLEDYADTPNPWSEVEEDAYYEPLEAWD ASRPIILPEPGEFRPHEETEYKRVDLRKEFPGQRIQVIVKLANIELTPESPDYEGGSC HIEGQLELGSVLCQEGRLLTFPNTVQHRVSSFSLADRSKPGHRRILALFLVDPHRRII SSANVPPQREDWLPEELESKAKRDMKPLMAMDEAREARLELMAERSLQSAEGNRKYET GDFNLCEH AFLA_074400 MAKRKRQWSATEVPNPEQSVTGRLSPNVEQHQSPFRVPQSNNVS ERNPQQRPVESTAVPPLVEVLPSITRKITACAACRENKIRCDMPEDGPPCVRCRRRSL SCVLNRSLQSLVEDVKNVELVQSDLRNLHDTVDIICQHLDLSRPKTLVVDRGKDRENI PVSGEPDREESEGCEVSPPDSPSAVHAPIDTFLDIAKFGSPKSVESPPGRRASRATHG DDLITKGIVSLAVAEQLLHRYFSRLDHYLYGICSEHHDLHQLRTTSPILLAAICTVSA LHDPQDQRYYAACNREFRSLVMRSMFEKRDVGFLRALCIASFWLADASRILCSDAIRR AADIRLHRSFDYLIGARDPESCSPSSANPIAAVDRVRLWYLLFVCDQHLSILHNRDSL LRSDKDIAVSWETYLHRAETTESDIRILSQVSLLLIMGQVRDVLGSDNQTPLPPTLTH HITNYSRQLDKWFAKFSALFITNAYIGDFPRKGLELHYQFGKLYLGHQVFKGLHGNPI PMHFVSAANMAHDAAVGIYEMILNDNQLTNSLVGMPHYFHIMIAFAGHLLLEICHNHH EQLSINVQEEFNLIGAVLGLFRSQNCIPQHPIRRMAPGLSRKLSTCAASLGIGSLFDG HQSSQNAYIATTGAQGLANPSQKFGGQPVQFPVDSTAPQMDDFLFGDIGEFTFPDLTS SFLP AFLA_074410 MTSSIAGKTRPLPPGIFCPVISLYKSTPRQEIDYEASYKYFSYL IRGGVDGLVLGGTTAEAVLLSPSERQELIKTARRAAVDLGFENFPLVAGISGQSTNES IRLAQEAREAGADFGLLLPPSYWAKAVTKDVIIDFYKDVASENILPIVIYSFPVVCNG VDMNSDVMSTLAQHPNIVGVKLTCGNAGKVTRLTQEYSHEQFSVYAGSSDWLIPCLSG GGSGCVTGIGNVFPKSVARLYALWREGKVQDAMKLQGLVAQAEKACKEGIAPTKFAAA HFAGPLSGVTEAEAFWPRKPYKLSPKGIQDWVVDVMQHMVEIENSLPEVSGPGRAK AFLA_074420 MEGKPDISIYLSRLRSGEQSWSEAIRMTHDNTRSEQNPVLFRTP TGDLWLLYTSQHAGNQDSAIVKRRVSKDDGITWGKEEVLFPDSGIFIRQPAIVLDDGA WVIPVFKCRVEPGERWLGNNDISCIRVSRDEGQTWTESVIPESTGCVHMEIQRLKDCS YLGLFRSRWADHIYLATSPDGLSWSPPQPTILPNPNAGICFDVLPSGRVVVVYNHSSK LDATGRRQGLYDDIADGVDERRDQSSTQDGRESFWGAPRAPLCVAWSDDSGKTWERRV LEDGDGYCMTNNSEKKLNRELSYPSMVLDEGKIHIAYTFWRQRIKYVQLSDDFFSA AFLA_074430 MAGGTSIWASKEARTDPKEIFNLRLLYLLISVAWGGWFYGFDTG NIGGILTLPSFENAFGLNNLPAAEIDNRKTLMRLQGTIAAMLAAGGSAGALCAAPTSD FLGRKWSVFLWGFIFVVGAAMQMVADYDVLLAGRFIGGMGVGASSMLTPQFLAENSPK SVRGSMTATYNLMILAGIMLAFWINYGVSLWSFPGVEHDNTQWRTSMGIQLIPGALMC LMIPFVPETPRYLINHGRSEEGIKNLCRLRKLPIEHPYVQTEYQEIEAQVRYEQECHQ GHSYWVVLQDIFLIKSNFQRFLLAIMLFLFHKFTGTDSLNYYAPEIFELIGVKGSSNS LLTTGVYGVVKFVVTIFYVTYLVDRVGRRLPLLVGASLQATAMLYLALYLRFAGTNTD TVGGTPAGGIVGIVWIYIYAFGWSFGHSVACYVVAAEIFPTRIRSVCMSICFFVNWIV DYGITRATPNIITEMGWGVFLLYALLTYAGVVFIFFCLPELKGRSIESIDDLFQRPLW SMWRHAYPTEEEKTRQGIPQLMKGGNEDNADGDKDRPVHIESV AFLA_074440 MKFTFISFLFVTTVIAVPQNEAGNVCASKQTVVCYGQGNGGLIT LGNVMPGALGENCAAGKVYCCSHDDVQASLMDMVTDR AFLA_074450 MATFTLNTGAKIPAVGFGTWKAAPGEAAAAVKTAFEVGYRHFNM SVPVSNPTRNTDGNEPEIGEVFRSTKVPRSEYFVTTKLWSSDHRRVESALDKSLQDLG LNYVDLYLMHWPVTLDPSDSVEYGKENRKVHAAGWDFNDTWREMEKLLETGKVRAIGV ANFSTVNLEKLLKTAKVVPAVNQTEIQPLLPQNKLNAYCREKGIHQTAFGPLGGSGST LHSHPVIVDIATKRGCDTGNVMLSWGIQKGWSVIPKSTNPKRIQANLRGNVELTSEEM GKMDALALPKGKRFNRPDWGTVIFHDDAEVDLEE AFLA_074460 MTHTGTGTRGDFIMTEQSFPWIFAAGKLTKAELAASHVSLILNK FPAHEEGHHVTGLKGMILNEAVTHPDTEKMYILMWEIRIDWDPQWGNHINCDIYRPKS TERLHIQSTQRTSISGFYRDKQELDTYVGGWDPQYYVWPSDTWEVIEQKKAIQQQYAE RLKMIWLSDLMD AFLA_074470 MSFTGSKFQLIIRQQPTRARVAGVKEKDRKPVDPQPIVQFQVVE RGNYLAQHYLQSPYYFMCCSLFDPLNDVPVPVPPSTALTGTLVSSLHRLKDEDNSEGG FFVFSDLSVKLEGSFRLKFTLFEMGEGSASHLASIISDRFTVARPKDFLGMTEATSLS RLFADQGVKLKLRKKSRAGIKRSLQQVEEYPRPAPRRSPDYSSIQIPGNPSTGYSGAV VGVYQDYSYYTGPVKRQCMSLDYTNRGTYNDGRTYPIEAHPQSPAQPTNQPRAYTTPI LQGHVGVRNYAMSDGIPPFSQVPESL AFLA_074480 MSPELGRLGISDEEFNLLRSSIEDSMKGQGNPSLGGHIIKPLEH AKCLGSNSLECEDKEMILLWTFLTYQEALVRGGFLFLEDVVEDAVEEPGAMARPGEAL ASDDNSICMVATLITGRKVVWRELQRYYMQRVQVVLHYLHSWPQGAPAASPLHGNNRR GFISRNQQ AFLA_074490 MPFGQLSISNRGIPQHDPPYSDATPVFDDVTVLTIQYRTSFKSI SHLIPDVIEVENEPLVTATLLNYGAGPAGPFLEFIHTVEAKYLGKSYDFCLSLILDNE MAVLAGREPCGFPKRLGQLSLTTRSSNRATGYVERPVGQKLVEFSFEGKAKQSPTSNL DRPFLNLRVIPSPVTGAPASLKELVPSNFEIRPVEVWEGVGKLTFPENCPQAEAINKI EIVRYESATLGYGSACILHPSEEVFQL AFLA_074500 MRLVKVCDGSKLRLTRYAAQITEGHFLKLGSDTTLPTIHESGNH LPSCRLDERSTHESTLSLDQPNQGSIPSSGDNPLPSPRDSLQIDCWTFEGRAFPLQTP RISYPFLELAPALWHIYTDNVAPLLPLLHKPSTRNLLLLGTQSKQSMTQNKKALILSI LFVAVVSMSPAKCGMVLKESRDNVVRYLKSEVKQALSDARFLTTTSLTCMQGLVLFLI GLYAENEQHAFWSMTALVLHRAKGMNVHKDRAHFGLTPLRAEMRRRLWWMICLLGVYS CEDHARETRINEEMYDVRLPLNVNDDDLFPGMQALPPERKGGTELTFCLLRFETISIL HSASSTPGSSFNDIKEVSNDDRLCKVQKIRQYLDETYLKHCDQNIPIFWVGAAVTRLI MAKASRPCREDCTRNHFNSKIHILVNNAAYDELREMGKLDDEYVRKVLMGNTHTLVML VEALYTRSMIQPDSRIINMSSVSAVCGGMGLPEDIANVAGLLASEKARWITGSVVCAN GGSVHVM AFLA_074510 MIVALVTGANSGIGEAVARQLARQPDHHVIVTARNLEAGEKVAA AIVEEGNSASAVRLDLSSDESISTVAEHVKEVYGKLDILVNNAGTFLDHRSDLSVREN LTMTFDTNVIGTAVLTDALLNLLRQSSAPRVVFVSSVLGSLTLSNDENWPLRHIVSKA YKSSKAALNMLVLHYSRALQDVSGLVNTVCPGLVKTKLNGYAEDGVTPDVGARRIVEL ALLGPDGPTATFSNRDGPIPW AFLA_074520 MRLITRWIPLANALASTMPVQVVASIENPSLLPTPPMGFNNWAR FMCDLNETLFVETTDAMASNGLLEAGYNRINLDDCWMNYDRAENGSLEWNVTKFPRGL PWLGQYVKSKGFNFGIYEDSGNLTCGGYPGSEGYEEIDAETFAAWGIDYLKLDGCNVY PKEGRTLQEEYKYLYGNWHEILSKMQQPLIFSESAPAYFSMTDNLTDWHTVMDWVPEY GELARHSVDILVYSGEGSAWDSIMTNYKFNTLVARYQRPGYYNDPDFLIADHPGLSLD EKRSQFALWASFSAPLIISAHIPDLSSEDLEYLTNQALIAVDQDPLAQQATLASRDGS LDVLTRNLADGSRLVTILNHGSESIETDISLDILGLSTDCTYKAQDLWGGSTQTIKDA IRIKLNTHATAVYKIDTDEKCSQVIPTGLIFNTASGKCLTGTSSSVGSESCNGSKSQI WQIDASGVIRTLSEQSKCLTADGKAISLQECSENNGQKWSYAITGNLKNADTGYCLTN GGGVSACGFETNSQVFGLPAGVHVAL AFLA_074530 MHPSVRYKIGILLLSALLIFYSLNLYWKADLNFAAAIEPATLRE RQTLLWQQLSSLLEDHAPECPPPERDDSSGAIPYNAVESVSRPDLITNSDRIQEPLQG AHDRFVDAIKTSNIDRAYSPGTSGIVSSAGKSYLPLFVTSLRMLRRTGSTLPVELFVK DESEYETKICEEILPQYNARCVILSDIEAGQGYSTEEIAHYQLKIFAVLFSSFENVIW MDSDGFALYKPESLLQNEPFMSTGLVTWPDFWASTASPLYYNISRQPVPSMTERASSE TGVFLISKQTHFLTLLLAAYYNYYGPSHYFMLLSQGAPGEGDKETFIQAAAAMGEPFY TVSEKVSAVGHPKPNGGISGSAMVQADPIEDYRLTTQGHWRVKDPSAAKAPRVFFIHA HYPKFNPAEHLFGNHWETAPTLKPDGSDGRAWLVAEKTLERFGFDAEKSYWEEIKWVS CNLEHVFESWKGKSGICDRVSEYWRNVFESSDEETPVFTQK AFLA_074540 MIGTVLDTVLGNPQGAVGGLFVGSFVIFWVVPFLYNLFFSPLRN VPGPFWARFTILWEFSQLMKGRSHEEYIKLHKKYGPVVRVSPKRYSVIDPQDVKKIYG FGADFPKSEFYDSLGDPKNIFTVRDNEDHKDRRRKVASLYTMSSMVAYEDAVDRMTTL CIKKMTDLAASRKLISIPKFMQFYAFDVIGEITFDQNFGMMENMGDTQGIIKEIHAMN NTIVYGPDADQYRPERWLEDKPQPDYRDSMMFAFGSGSRTCIGRNISLLEITKVLPQI VRKFDLKFEEKKDPWDAWCAWFVYPKYKCWIEPRKPVENVA AFLA_074560 MSDARTTLMETWFTPALVAAIVAARLLFSLYSAWRHAQRARSLN CQEAPLYPSRDPFGVATLLETLRADRDKFLPKLSQKRVDLISSQQNRYVSTFRVRQAG RENFFTVDPKNIQAMLATQFNDFFLGDMRRNAGAPVIRSGIFVSDGADWSHSRSLIRP QFTRTQINNLELEERHVQNALRAMPTQSNGWTSEVDIQTILFRLTLDSATEFLFGKSC NSQLTALEKDAGEMSDSFLNSFDRCAWYLAARLRFERLYWIVNNKEFRECTRVVHELV DGYVHAALQRAQQAEKPADPERGSHYVFVDALTATTQDPNQLRDECLNVLIAGRDTTA SLLSWNILLLARHPDIFQRLRKEIIERFGTYSEPRDINFSSLKSCQYLQHFINETLRL HPVVPFNRRCANKDTTLPRGGGKDGNSPVYLQKGQPVLYSSYVLQRRKDIWGEDAEEF NPDRWYGRKAIWEHIPFSGGPRTCIGQQFAITNTSFVLVRLLQRFDSIEDVYPEREIR YGVTLTNCPADRVTVRMHQAEL AFLA_074570 MADTSSSTTIQQSSAKEAALQKVSSGTPRSRDGIADWKWKGSLA AVMLTTVINGYDVSNVANIQPRLYEAFGDIALLPWIGLSFSLAVFAFLSFSRKIIYCF DMQWIYIVSVVVFMAGAAVAGAAHNLATVIVGRTIMGVGGSVIYQSNLTFVAVFATPA ETPLLFGLLGALWAVGLVIGFPIGSALASNPNTTWRWAFYMNLPWAGLVLVIAFICMP SKYLGPDIPVWSRIARMDPIGITMNIAVPALFSIALEFSGPVWDWGSGASIAVWVVFG VLLIGWIVQQYWCMGTTPDQRAIPLHLFRRLDLVPLWIASGCAGASYAGTLYYTPLFF AFARGHSALQQTVRLLPFVILFIAVVLLVGALLPLFGRYNLIYIIAGLATVAGAGAMA ATLSPDVPESQVMGLEALIGVGLGCSYQHGVGISNVINKDPRDKVDSVVMFNLAQMGG ITVILSIAGSIFQNVGFHLLKEVIGGNGYSEDDLRQALAGVSSTVWGSDDPDVLARGV QAVSEALAREYYLIVAGGALCFVCGLVMKWEKLDYGRGRGKKPEA AFLA_074580 MAFLYQMLGSKIWPPRDTKPDLTGRTLLITGANSGLGYESVIKF VRASAKRIIIGVRSIEKGEEAKRAILAQIPQSNVTIDVYHLDMLDYTTIEAFASRVNQ EVERLDYVVLNAGISPHAYKKSAYGFESGIQVNLVSTTLLSLLLLPKLLASKTDTFTP VLELVGSGTHQRMPQLLPETDNTEKDILEVYNSETSFRTIGFIQQYSLTKLFLMYVQW HLVKLVDDKVSGSPRVYVIVVGPGPTQSGLGRDFQEQSSLGVRVAVHTMNLLTKTAEQ GARTYLSGLMLGEKGHGQFWQWDSVNRS AFLA_074590 MATNTKPAIVICHGSYHSPTPYGPFMEKLQSQGFETYCPHRPTC DLSKLNVGDVDHPDFDLGPPAEGYPTDTDDVNVVVKLLDKLVNEDGKLVLLVAHSSGG WVATQAAIPELQANSRRSEGKTGGLIGIFYMGAFVVPVGESIHSFFQPKDGTTFVPPF MRFHKHGVKGLGTPVDAPRFFFNGLDAESAAKWTATLTASPVNTDRLTNDPYSALPCA YLVLEDDLTLPKEYQEGMIALQEGKGNKFTVYRATSGHSPHLTWTEDLVVKVTGFTNE ILS AFLA_074600 MLEEVQICERSRKNPHRNIAAYHGCEVKDSRITGIYFTKYTETL MQRVNPGKLGKRAFASQVRRGMSDEVQRWMDGVESEMRHLHSLGILHNDINPSNIVLD GNIPVIIDFDSCGQEGHSLGTVKRTYEWYDGNVKEAIPSNDIDALEEMRMWLLGDTAK FKF AFLA_074610 MAKMAPTTTTTMTAQIDSPPLASSVSSVSSVGRQTIKKTRLQQV VVIVQLVGVTLTASLINGLVTIALPTITKDLELPSSLAFWPSSVSSLATASTLLLAGS IADTIGPRWVELVGSFSSGALMIGQGLAQNGEGLVVMRALQGVGLAFHLASSVSIITQ LLPQGRGRNFAFSCIGLSQPLGFSLGLVVGGVLVDTIGWRAGWYIAGGITLFFAVIAV WTIPKNKTVQDESLLHNVRTKIDWLGAFLASAFMALLCYLLAIVSADPSRIKSVDSIV ILCLAAIALPLFIISSHHRVKRNKVALIPNSLWRNTSFSSVCATVALSNAVLNSMELF ASLFFQEVQYLSALQASIRILPSLIVGALLNLIIGLFVHKIRAVWIVTITSLLCAGSP LLMAVIQPSWPYWGNAFFAQILQPVSFDALFTVGLIVITDVFPDDTQALAGAVFNTSA QFGSALGLAVLQVISTVVTDQSGAAKERYALMDGYRASFWTMFGSMIVCTLVGFLGLR KAGRVGLKQD AFLA_074620 MAVLDEYTYVFAIGTIFALLDAYNNGANDVANSWATSVSSRSIS YRQAMIFGTIFEFLGAVCVGARTADTIKNGIIPVSAFRDDAGVQLMAFACALAAASSW VMWCTKHSTHVSSTYSLVSAVAGVGVATAGASKVQWGWNHGKGLGAIFAGLGMAPVIS GAFGAIIYMLIKVIVHMRKNPVPWAVYTSPFWFLVAATICTLSIVYKGSPSLGLSKKP GWYIAAVTLGCGGGVALLSAIFFVPFVHARVIKKDQDVKWWMFIMGPLLLNRASPNVG EQAKVPDYAVVQDDHDDMASVPSTAGSTTGEPGKDQTQTKEKALVMAETQATYQELVA QGEARFHARLMKKRGPLGWAMRTLRDNPMGAGEIYEWRNMLRLAKRVPAMITVGLLYG MNYDIHAAQSGVHGTPEGERMARVYAHAPKYPNEVEHTYSFVQILTACTASFAHGAND IGNSVGPWAVMYSAWKTGDAQQSKAPVPVWQLAVLALTISAGLITYGYNIMKVMGNKI TYHSPSRGCCMELGAALTVLVFSQYSLPVSTSMCITGATVGVGLCNGTWRAVNWQRVL LLMIGWVMTIPIAGTLGGCLMGLFLNAPHFSS AFLA_074630 MSNPSAFDPEKPSSSALENVAESSLEAVDEKRPHEEALPTYDVE MENRILRKMDLRIIPMLAVLYLLAFLDRGNVGNAKIEGLLEDLNLTGPQYNWCLTVFF FTYAAFELPSNLLLKKMRPSRWLPLLMVAWGIVMTLMGVVHNYAGLLSTRIFLGVAEA GLYPGVAYYITLWYPRHRAQFRQALFFSAASIAGAFSGLLAYGIAVGTKMDGVGGYAG WRWIFILEGLLTIVVAFIAPFAIHDSPETAGFLTEEERKFVIHMLRTQNTGGTAEATE FRMKYVIDALLDWQIYVSIIGMSPSTNCTTNADKVVYWGVTCPLYGISLFLPSIIKDL GYTSSTAQLLTVPIYITAAVVAVIAAWLSDRQKQRSPFVLFFMGLIAAGFIIVLASTG RGVPGVVYFGVFVSVVGIYPAFPGNITWLSANLAGDYKRASGMAIQIGLGNMAGAMAS NFYRSQDAPKYILGHALELGFCVAGMIAAVILRLSYQMINRKRDRIDLSGYDEAEMEK MGDRSPIFRYML AFLA_074640 MTRSKKLKKDLGGFWGPGTRDVLFLLRGLFAGGILVFAFGLKRW RVNYGLTSTREPSTKLAVPYRAKDSPTARSEYSHPDAVIVLTCLSWYYGGLSNEDLFS AFSHLLNTDQVDMEYQLWVKDAYQLPTKFQQLAGHEHIRFCIAINVHHR AFLA_074650 MKFISVIALLAPTVLAAGWIELIQEKCPDMPNRCLDIAKEAHDP VFDIVAATKATQTLPGCNPAYLECIKNMDDTYEPGATQGNPVTCVLQIAPDHFKYFLD NDSAEIPIPSNQNCPLRELHRVAHVELGPLA AFLA_074660 MTNMAMSEQRIFRGIPYVTGAACATLLAADLELSFWGGVDPRTG EIIDRFHPLSGRFMKDTILAIPGGRGSCGGSVIMMELILNGLGPKALIFERREEIITL GVMVAEELFDKTAAVVTLNPEDFCEALGWDGKTVHIRGELVSDAPLKADSANGAAKPT IDLNNFGVKLTDADRAILDGANGEAAKISLKIIIRMADMMGAKELMDVSQAHVDGAWY GPGSNAFGQRLRDWDGKFQVPTTINALNVDQKRWRLLGIDAEFGSACDELAKAFVDMG GKISFTCAPYLLETAPRLGDPIAWGESNAVIYANSVLGARTLKNPNMLEAFIALTGRA PKAGVYVDENRFASTWLRVTPSEGADDSFWPILGYALGAIATTHIPVITGLEHLKPSN DDFKAFSAAFATSSSAPMLHMVNLTPEAPTLEAACANGMVPKAIDVDSKDLYAIWDEF NHGSEPREIDLVSFGNPHFSCREMKEVARLCQGRTKNDNVSVIVTCGRAQYSLASQAG YVGELEKFGVQFLQDTCWCSIEEPIIPKNTRTIMTNSGKYIHYGPGLTGRQFAFGSLE MCVDAACTGKTTGDPPSWLQEVRSA AFLA_074670 MPLVTATTAVLPDERDDGLGLMPISVPYQSTRPTSEAKALFLRT GSSSPAAISERIYRACLERVVFLLTSDCDLPRTDLSLPSYQDVHVPHSASKITMDLDK LVQHLSANAACLGPVPGIRKAAVDSSIRESIITV AFLA_074680 MNNLPCQADPQFVFCTERERRAARRGRSPIEELEEEAADETDNK RIRMRRAQRAYRTRKEEILVSEKARSEQLSKALDDAMETFISFLRHVYEFHQTRHSPG LLFHLNQAFMQI AFLA_074690 MVSRKGDTVLTEGTGGVSIFAMQFAKSAGAKVTATTSTAQKAER PKELGADYRGEPAKNSTPNEERVSAVVEVGGPASLRQALTALKFDGSISMVRSVGGFV DPGSNTEEPTFFDTVIHSCTVRGIAVGSRLRFEDTNRAIEVNDLHPILDRQTFKLEEA REAYQYIWDQKHFGKVILEIV AFLA_074700 MMPNSAKESPRSTGRTRQARKRAPVACQSCHARKVRCSLPQTGS PCANCSLDELSCSTPLGDGGFPPGPERLVALKDLSVPSGRSPTTPAVGDTGNSIENSY RPFNEHFSHHNGGDTGASQLDGDATDECCSPLYGDPRGVGLVVDICEPEPREKTGHFL IPQIKPTHIDQDTIEYLRRKGVFDFPTPAACEMMIRTYFYYVHPFFPVVEVHSFLDTF ENRRNEVSVHLLWSMFLAAANFADDSTLLAANFSSRKQMKRAMYIRAKALYDAEYERR KITLIQAVLLTGFWYSDTEDRTGPWHWNGIAISLCQTIGLHRHPDTGRKRSKVIPTSD SSIWRQLWWSCFYREAWFSAGMGRPMRINLADCSTRMPHANDSDNLLAGIPEHIRKKY LPDGTKDLSKLWTELLTLTVSLAKILSWQNRADRTRPSRTEIQHIDDTIRQHCFHKDH GIGRGHSRVVSLHMYHLELYQDSVLLTLYRPFLFDKPEMNPLGLPADEWTSTVLRRAK DAATNTNRILGNMIGDDMISNSQAMVCIALVPALQIHLLDATSEKQMVQRMGRHNLEF CMMVIEELKSVYFGAEILSRMFSKAKNWILYRTVAPATAPREHMPQSSRDSTIGSIPE PPNDARQDDVEIFDAFVTMLSPFAPLSAGGPFDNDEYVLSIVLDPLVSRLPMTISAR AFLA_074710 MAEEDLVRLDINGIFAVITLNNTKKSNALTQSLYYRLASLLREA EDNSDVYVTVLIGEGAFFSAGADLKGKPPSMEDMLSRPHWLPKLVNNNIDVARAFYSH SKILVTALNGPVIGLSAALISHSDFIYAVSDAWLMTPFTSLGLVAEGGSSVAFVQRMG QGKANEALLLGRKIPVSELAQVGFVNKVFESKGNFREQVMGYLQQTFGEHLVKSSLLG TKALMRRRLVREQDEQAPLEMFGGLDRFCQGIPQAKMGEALSKSKTYRL AFLA_074720 MGYLTRRRSGYALQQRHIFLPAVVRSRSSATEGDFNIHKSNSTY ITDLDVSRAHLSGLLFAPILLQIKSSVHCNLIVSAISCTFHREIKPFQRYEIWTRVAS WDDKWIYMVTHFVDTSKSCPIVFASAVTRMVFKSGRLTVPPKRALEICGLLSAPAAES PMATSCPGPGAGVTESATGFNEWTVEEMAVYKETNLPIVRLERGWDAVRELFREDQSV LAEYRDFMW AFLA_074730 MACTRSTSRLMLTGLYGSTLMRTKSLKSRGRSTVVTSTNKSATR RVISTTTSSTTPIDSSIMPSARTRPISQVTDEIVWDRMYTVLIEVTPPPKPAPIPDQT IAEEAKTGNLAPMMLCIAHKCAFLGCSQAARLTLSAPTRTCICLVKGGPPSDQRSRLS KELKALIDQDLHYKCTPIGPYRSSAQDSKLHVPAADGLRMTVAVR AFLA_074740 MKSLFATLAAFAASTQALTGRTTNCCFHLTASGGASGTVGQLSD GQNRIGDHSLSTAQFCISPDGSITDGSGRGCILTPPTTQFQCDQGATPESGFSISPSG LLEFQGSSEFLACDTGQNGGRNIHVTPSKDLGQCVIVELKADSCAPSASTAPSASPSS SKSCPTTLSSGNFEFPHLIIPVDSKSPNTAFGTSFNGKVTSAISSIFNFDIPQADSGK MCNLVFLFPQKADLQTSSFSFSGDGKINFSKLSKAATTSTTFKNAPSVSQNLGDITIS PGHSFVVSTFSCPAGEAIAFEMKNAGTTDLEFFEDFNPSPIGLFITVC AFLA_074750 MAMTTYLNIKEGQFSGSESHNKQTSTYPTLSQSTHFKVTFEQSR MQFTTIINVALLVAGSLGYANNCKGSSNSPSITDCQEAIRRIDESSSYGDGAEFSVGN CYMVYATNGAGEHKVDGKTIRNTAQSILDSCGHHKGSYGTNNDCDSCHVTVNYRAPKK F AFLA_074760 MSRRAAYMYGAALERGPRGQIGADYIHRHRHPPNMIIKSTGEKT TIDGIQMEFQMAPDTEAPSEMLIWFSDLNALCAAEDATHTFHNILTLRGAVVRDPHSW AKYLTETIDRYGSKVEVVFAQHHWPTWGNDNILKFLTYQRDLYAYVHDQTLRLLNKGY NGPEIAEMLTLPPALDQSWYCRGYYGSLSHNVKAIYQRYVGWFDGNPAHLWEHTPVEK AKRYVKLIGEDEIIEQGVKEYLKGDYRWAAELLSHAVFNNPDNKDACNKLADVYEQLG YGAENGTWRNFYISGATELRSGNFGTPTQTASADVAGQLSPDMVLDTLAIQIKGPEAW DKKVLMDLVVSDQDNKTYHSWLSNGALVYSTAGQSDAAQVTLTGTAKQLTALAVYGPD PEALVNTGVVIDGDTTALDMLASLLDPGDPNFNIVTP AFLA_074770 MSQPTINPEDSHPPSLHIIEQWKEVKQNRAGEVTSTLTRSPMIK AWCGTTMSTIFLKEDGPFSANPSLWRQSQLTRKNGLYHVAGPIYQVRGLDLSNTTIIE GDEGIIVIDPLTSLETGAAALALYQKHRGSNRDVKAIIYTHSHVDHFGGVKGFVSEKD VEEKDV AFLA_074780 MDQLNSKQEINDAIAKHGSFVLMFTAVWSDVGNITKRNYERIGA KYPTVYMAWVSTDDHPELAEEWGFTAIPATVAYKNGTKVDYFIGPQYLDEQVEQFIKK TL AFLA_074790 MLALDVPPVDLVFRSFKLVEERFDGPAASDLNNWKIHIKADKLI STEEIKISNKSGVQYNLCGMSKNGVKKIRLLEDYTRVISVGISSSCTSVAARQMLSDG WTTSTV AFLA_074800 MPGGIRPPPEVIVSWPKPNMTDPESHGPSGIVLASVFGGIALTT VGVRLWARCVIQRRGGWDDLCAGLAVVPVLGLAIAFVLSSEVYGANLHTWDNTLPKLI AQRQVALAMEMLYVLGSGLVRISVLLFYRRMGFRSISRGFMLTTWISIFSVVGYSVAF LAVIFGSCRPLHAYWDQINPVWAASHMWKCYNEAVHIIVATGVALVQDAVVTTLPAIL CWNLRISFREKIALGSIFVVGYLTPVIAGIRLYFIVRLYYVSYDASWTSWYCWMLAMM ELLIAITCSSLPAARVFFNQYKPHVDFVGTARGIKSVFSRSTSRKSISAERSRRVSSS TVKLTEQAYSKNSNTFTDDGPEIELDRMQKMPV AFLA_074810 MLGGSCPGSFIVLDEDESFQECYKTLYDMLVKYKFDGIDLDIEE PISQNGINRLVDRLRADFGPNFIITLAPVATALQGKAHLSGFDYLRFEGERGDKINFY NTQFYNGWGSLETTKDYDAIMAMGFPPSRVLPTLLTHRNNGTAGFIEFDKLRPVLIEL RLRYPYLGGVAGWEYFNSDPGGQEMPYQWSVLVARALGMIPEITG AFLA_074820 MQRELVTFIVLIGNKLVMTRPRDTAILDRCGWELVPNEGCWPTL TDWAELNDAVSGKLIRNTPVAEPCYSYGDEDDQKLCDTIVDQWSNSTFQSLQPTGYCY PLDYSCPVVSTPIGKPQGECDLGPAPVYTINATEPEDVVEGIQFAKTHNLRLVIKNTG HDLLARSQGYGSLQIWLKYIQEGIEFHEEYQSPSQCKHTNWTGNAFTVRGGYLWGDLY SAAFERNLIVVGGQDPTVGVLGGYLQGGGHSPASRDFGLAVDQVLELKVILASGELVT ANACENRDLFFALRGGGGGTYGVVVSATLKAHPSRPAITHTLVVYPLPNRKDPLAATM ALIDAVADILSAYPALSDGGFSGYAGWGVDKVDSPIAGAPASVMENGGYSHAFAKLDD SDDALEEAQRFVSATLMQRLRKYNGSGLMISESWERYPSFEDYYMAATRAQQQVGFSK MTLTSRLLDKASLTGDPAQLKEMLRITSTNSPDVPEKATIWTMLFLVGGGKVLDNPAD ASADRYVGVHPAWRKAYLLAVPTSILPENADDRSFKKMQQDTTYRKADAMRALAPNMG SYLNDGDRHNPWWQTDFFGDNYPRLRAIKDEYDPDHVFYCPTCVGSEEWREIPVEGKV YGTLCWA AFLA_074830 MESLDQAVSEGDLILLYVLGQRAFMFLVESCPDSQISNPKISSI TVREVKVGYLDEPGRCVVSGPDVYYNEEEDDDTSEDDDSSSEVIYGLTWGRLSMYVAR FPADSKIEYRVNPHGRDVLKDYWRGKCPFCQTLGWYCPGCSWDFPDLRGGCSVDQSCP VCLGYDFAMDDKIRLREVGEYESRLSRGECSFGCYGEPEELKQEILKMVRERYEFIDA RRQEMGLSGYDVDDIVESWFADGDDE AFLA_074840 MITISGVLGVGLYLRSGSILRIGGPAAVLISFSAMGLLAWLVMQ CIGEFLAIWPVASALVEFVGTFVDEDLGTTVGIAYWISYCLNFAAMIVAAAGEVEFWN TSKAIQGTVILFIVPLFLVLLNSFGVQVYGFTEVIGGSVKILGAIVVTVSMIVINVGG KSTCGREGHIGTRYYRDSSIFQYDDRAAGNWVTALFISFSIAAFSFVGVDIAAATALE ARPDRKRISAEYPLNEELKQPWPFISIRFSATWTSFIAWIAYFVAGFVMTLNLPWDSD QLPEAGWLGHPGPKSDRPTDSGFVISAKQSGIKGLADLFNVILLFTALTCANTNLYVG SRTLFGLTRKIYGRKWRWLAFFGKTNSYQVPVRAMFLSCCFLWVPFLYLSPNNSKGTT IASLLEVLSQLGSVSCLIVWACECWAFIRFYNCMKVHQVELHNSPDFAHVCRFRRPGA PDYYPWRSHGQPVTMYLAVGGCLFTLIIADGAALWHGFQASLFLSAYLAVCTCKPRDI TVSL AFLA_074850 MLKRLSWTPTFPQTSTSNSSPPPRTRRQDAAGNYLRREKLQALL ERLFPDHPGLNFHIRLDDEIWSFDAPREVTRQELREASD AFLA_074860 MLTSIRSVESRCLPLQALFRSFDKTLQTLRKANNALKDCGGVQH LPWHKMDQLLDNYESYADAYVQAASFLQSRAATTAQLIADTFSFKNSHTAQEQSDYML DLTSSTVDDSSTVRVITVVTLIYLPSTFMALLNTQTLLGMNSFFEMDPQTHHLVVSPQ FWIFVVCAVPLTAVTVLYWWVRSKRHSKHAKGKVELLA AFLA_074870 MTDAAIGSLCERFTTRLKENSSTNYNRHRFFRNGTVKKVFESNQ QDLRELLRCLCQVNDTPLTRVPDILRRTLTDLSLTFAILLFARGRQEGDVLQQFVGLA LETGADHVAPHQFTDIDLPISLELATTRFPLQGVEFYHKQFRFCAVTLMKREEVVYEE HRFQCPLPYLKETKIGEGAFGQVWKVRIEQHHFQSRSEHTANTEALELARKDFQLDRE GRGEQRILNEILDQPLRHKNIMVALASLQYGPTYSLFFPLASCNLWEYLNGVHSEDRF PPSTWEEKKDIYLRGVKLAGALAFLHHEFRDQKLEVLGEGTYLAPECSLPSGRVSSAS DVWSFGCILSLVMSGVVAFARQRRRQDHGDRFYTIRHGKPKLSPVVIAWYEKLKHRAR ASSFAMEHELVYRTLDFLQKSVLHPIRDQRVTSKEVEVTLASISGVFHRSPSGTRRRG GLRPSFSSAYTPSYEKLPIETSVPILGTSFSPGGDVFLFQSQKKVQVFFLEEILSAQE QPPKPRVINIPRTSLECVASSSGFLCACLATACFEVLHHVPIPTVGGRDN AFLA_074880 MIDDAVSATGVPIFAATLFCFVDQGVGLCVCYHHNAVHATGFTE VVRLCSIRVLATSNEEAMRSLFKICARISESQSHSKINSRHIAELYRLVNCMEDYRSL FAGWDLGLGPVWVA AFLA_074890 MNSGSHGLVLVEIFASNDEPTSQSEVLTKCYTFRVNGCGAILGY ILHEIVEKIEWSDSWSIDHHQRTVTLAVPATATADMRSRVLEDTLQATRKLGLISMLQ SWRDETFPVYGPEGQLLLEIERCATALFGIVTYGVQLLCYVRDEQGLRLWIGRRSERK QTYPGMLDTTAAGGLVTRKLPIEALICEAHEEASLPEEMVRDKVKPISHLTYFHVRGS KAGGEIGLLQPEVEYTYELELDPGMTPKPRDTEVESFSLYTIDEVLCALKEGQFKPNS AIVIVEFLILHGIIRAENESGYAEILSHLHRELQFPVRILPST AFLA_074900 MIGKVEIVNSGLFMHLWDWAGQVRIGQRMIELLTPQGGLITGLH SGGREAGDHKAEGWVERCIHNEMSFRQMWIEIERLTGAHCKLEISAQEGKRYRLDDQP ALRLQWVVEARK AFLA_074910 MGEEKIENYHGVPMLTHGRNVSYDIPDPGLPEVSQWLTANPNRI NLGRIGLQYKGSLVSASQITEPRQELDLWKGVITSTFKIDGQLVNVVTQGDLHSDSVV FEIESSLIASDDLAVELDFPYPPIHSTKYKYEVFVGVYDFPENHTTNIEGYRDSMAHI RHELQETNYYLNLRWGPGQPLRLCRQHNATHRYTLKSRSSSSMAFTANFSPKKQTADL PAVVQQRSSSAWNQYWTQGGAAKGQSPQESGLMNNGWYELYDTLLPSSFARARSMGWD GARWPKMTDVETGVSSPGGINGLLLWQQPHPMYLATLAYQASPTHETLERWDRILTAT ADYMASYAWKNTSSGYYDLGLPAYGVTENTPPSESLNLAYEIAYWRYGLDVAREWKRK LNQPVPEKWTVVAEGLARPPQIDGLYTVYEGLNSSWWNDSSLTGDPRSLIMLQGILPN TPAVDPDIASKTAKKVWEIWADDKIRGWGRPVLAINAARVGDPDRAIYHLTAYDYWNF DDAGFAERGGDVAYMAAGWEGSQGDAPGFPQDGSWVVKHEGLIRAP AFLA_074920 MFAKLAFVLLAIEASAQSITSTATSTATSTLPTLQPDWYFIRAV ETPYYHSYLQTIPSATPGPAHLASNTNAGQFNIVSGQLVYNTGTEQLYMNVEDPTNKT QRTLQTWFYETENAYGTFAFQGDAVTWTVEDIDRQNTAAWLVCGEDKLYINTGAYGYQ TPDGCYDQTIHSYGGSTPTV AFLA_074930 MKFHLWAVALASALCVQANEWHAYYRLDSKAYQTKFDEMVSQGF RLNSVSGYEYNKEPNFAVIFEKRPSPAWKSHHGMTSDQYHQKFEDYLKQGYRVVQVNG YTVGGKDRYTAIWEKDSSLPWISRHGMNKEWMQKYFDKYLEEGYRMTHVSGYEVDNES RYAAVWEKRNDSTAWVSAGELNSTEYQATFDKHVKDGYRLVDVDGYQVGDEVLYAAIW DKSASGAWVARHGVESSKFQAEFNKYRDEGYVLRTFSAYNDGTADRYAAIWVK AFLA_074940 MAIKTRHIPVLPRPRWLDAAGMGKGIDHDRQHLSIVLAAGQTIK ARQTNTAITGELTLRLLNDDNQTEASKKVGSDWAELSASVVSVPFIDTLYTDTSNAAV EPVVEYEYPDGSKQLPVYRKGQSQSEFFNHWDNQGSEFALLDSSYTQVLVPVIDKEAL RHPQEVDNIDGLIGYYESVFSFYNALAGLSFEPERPSDLNSTNRYFMKADKHGAGGAY YGQNWTAASTNAIKEWWLLPSASNWGNLHEIGHGYQMSFRNDRYFWNGEVSNNVYAAL YQSAYLGDRKYQEGWLYNYGKQAQVEQGIISDITSHKSLNDWDLRAKLYFLVLMVEKA GVDSFADFNQQYRLVSNQPNFDSTNHLLLDMLSDSFSRIGHIDVTPFVELCSGYISPG QREINQFGQGRAVYPLNQLVDGDTLTQLQEQLKLQSPLTLVTVSQLLASGIKGDVSLE LKIDDFSQIYGKTITVLDGSRYVRQLTVETENIYLGDLPIGVYTLRLPLGRNSKYQVD QRYLVVKPGSAQVHVNFVPQTGSQVTNQEIKLLGLGDNLFSTILVDQANHVVQVAVTN KDPHSYFGNETYAEVVIKDNTGKEQFRATMPGVGATLRDDKIAFKPGYTLEVYHAEPS HRIQLRPDFDGVIDHNQKRNIFEITSSGLKNQSLNNDPLAALLARIAAAATALRSHPT RLHAECPSKVDIWLAIDQFTGSQREALLKEYSDCIPSDNNAPSEGLGNSFTAAFKGIG DWQFLTTELDLVGRKLTVALRDGIAHHYFNDTYAALQVLDADGNELLNLDVKGSTSQT ARNWTLPLSGYGGEVLNIRHEEAPTRLFINNNMRDLRLSGRETRQNYRVTSTGLELLT RT AFLA_074950 MGHWGKRDGSFILPHTKTYQSTPRPKIKLNINLLFESFYLVAND HTDREKGSKKH AFLA_074960 MQFTYTAIALALATTISAQSSYLIMSCNTSPIPCQNVGIPCNNE NTPWACPVSGDKVELFSNSCRNINGYPEVRGSGFTLSDAQQTAGCKA AFLA_074970 MVYPTDMSFNHSFADQDMMFVNQLVPIPGLDAISFDQTSFLQPV PDDVGLSGTSMTSTPGLEFIDGPVGNVDVSMVDVASSVQEEQVCFGMVGHNPLHKHQI LTFTQLVHEKVKLVGIGQDLETKILALKEANQHFQTLTIQPSSDGPLFLRFPDGTDLG YLSKKMEQALQELIGRPLFEIDALTNLNSLIDSLRRAGKPSDAAARVSINVYGRESDR DKVGRELSNKDLFLQHPDGCRVGVKYDNPHILHLDGMDETDTDEEDEEDVIEVDVAET TPEQEEGLRETLDEVFNSLTRGDHLRQLGGSETLNRTLYQHQAEALDFMIQRETGDIP DEYRLWQPKPMARGQLYFHVITGNEQHEQPDESGGGILADEMGMGKSLTTLVLMAKTL QEARQWVEHAKALPGASLAETPTRATLVIVPSRVLINTWEREIDDHLNAGIKMMRYHG RSRKDLISNIDRYDIVITTYNTLAKEHDAKILGKGQSPLHDFAWYRVVLDEAHMIRRR STTFHRAVVELRAKSRWCLSGTPIQNSLGDLGSLLAFIQLKPFHDPRNFSHWIANPFG VRATKRKAIERLTHLLEAVCLRRTIERVDLPGQRSEIRLVQFTPEERAKYELTRKDMK RFIHQQAGEYNQQAETFGMFQVFLQLRSFCNHGTYQPRFSWAKRNLLEDELDPVCSMT RDSLNRCSGCRQPLPVIPHDRRPKYVESCKHVLCDDCSWGSSTHPDPEERRHCPLCES LRGARYRGHIPGASNQRNRDDADFLNADGYSSKMRALISDVQRDIRTTKSIIFSCWTR TLDLIAKHLKASRIEFERIDGKTSTSQRQKILDRFDGTRTVPVLIMTTGTGAFGLNLQ SVNRVFIVEPQWNPSVESQAIARAIRLGQEQQVLVTRYRVENSIEEAMCSQQTHKLKI SQMDFKKDLEASPTGDEGASDQPSDIQ AFLA_074980 MVADSGRSRDYYRDERRERGDRGDRGDRRRSRSPHHSSRSSRRE YEVDTYSSSRDYRAREREDRYSSRRDDREWDRDRGGDRGDRRRRDYDDRSSRRDRERG DLFEERPRRDGRDRDRERDRGDRGDRGDRRERRRSASPPPRKREATPDLTEVESVLHR KRRLTQWDIKPPGYENVTAEQAKLSGMFPLPGAPRQQPMDPSRLQAFMSQPGAGTAES ASLKPSNSRQAKRLFVSNLPASATGENLLSFFNLQLNGLNVIHSVDPCISAQVSDDRS FALLEFKTPNDATVALAFDGITMDESEAAGNGAANGAPQGLEVRRPKDYIVPSGNEQE YQEGVLLNEVPDSPNKICVSNIPHYIPEEPVTMLLKSFGELKSFVLVKDGSTEESRGI AFCEYADPNATSIAVEGLNGMELGDRHLKVVRASIGITQAAGLDMGVNAMSMFAKTTS QDLETSRVLQLLNMVTPEELMDNDDYDEICDDVREECAKYGQVVELKIPRPSGGSRQS PGVGKIFVKFDSVESTTNALKALAGRKFSDRTVVTTYFSEENFDVNAW AFLA_074990 MTVGAFSEWRRLPVSLSELCINTTLRCGQSFRWHNVPDSDEWRC VLYGRLLSLKQDPTDLYYRTYLPAKLSNPIPLPTPPSSHPPSRADSNKPLDQPQSDKD DILPILTHYFNLDSNLTSLYSYWSSNDPNFKKKAPQFTGIRILRQDAWEALVSFICSS NNNIARISQMVEKLCTNYGPFIASVDGRAYHDFPPPEALTGDDVESRLRSLGFGYRAK YIYQTAVIVSTQREKGWLDSLRNPESPALGVEPAPGGEMRPEGREGYREAHEKLLELQ GVGPKVSDCVCLMGLGWGESVPVDTHVWQIAQRDYKFGKGAHKSLTKATYDAVGNHFR KLWGKEAGWAQSVLFTANLKTFSDRLIASKKEEVEVKVKDEADEDCKVEVTTKVTTST AVGVKRSASVHKVKTELSDEKDVKAIVETQTTRRMSKRLRNR AFLA_075000 MTNQTLHGLCPEPFYQESFFPSTGGFTRGRYCAQLPLADGNVSC CIPCPLSDWMYGEDITTKAQAASWLSVGVLPLCIFLLVSYAVLPAKWTHRHYLSICFT MGICFMELAFIIPLGAKPDQCYNQITPNDMHSNLSCAWSGTLLLFGGWAVVTWSFIRT LAFHLQVCWEVILGNKFMWGAFICGWGIPGIGITVMLILTGVSFRFGDMCHINIQYGT KDYWAPVTAFAGASLVLQLATMAYCIHVYVKSIFDTADSSTNSSHLPSYTSSVRTVTA RQAYRRIRRVLKLQWRGVALVLIIIGNVIFFAVVFIKVNNKTNLTPENLQRAQPWLLC LVSTKGDVKKCQNLAHAIGPNEDTILALLILLSLVGFWNFILFARPGMFLGWAEFFKI KFGQNHEFVSADARAKTPDTRTYEMLESSGLKSPEPIVRAPSAARTMSPEGEHYGREA RYVRPSMSFSSPRPPSASQGRDWDPQSTFAQSSHQHTLSK AFLA_075010 MVTGSFRCDQLDWRRTYGDHEDGRALATATLTTVQRAPIGHSRG WARLTIDHTNDRPGGTVTGICYDRVVRLNWRRRMVFSKAWNFSRFSDAPNSCLTWSRT MARICGNSIFLGN AFLA_075020 MERDSNSLVNEVLRHSLALCRPEKLLIVMIPAIRENRKDWVTRQ RFKKLQSTAQDVISPRACIATLRKSQTTDEGTYNDTDNIVKISRHPRKSIPFIEQVTR TRPKIRGTRKNKKSDTVREKAGNEVAKSSIKL AFLA_075030 MGRLHSKGKGIASSALPYSRSAPSWLKTTPEQVVDQICKLAKKG ATPSQIGVVLRDSHGIAQVKHVTGNKILRILKSSGLAPELPEDLYHLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLSRYYKSVGVLPPTWRYESATASTLVA AFLA_075040 MSLLDYWDTLRPSVKRRKTTADDTDDATGLSDAVTGRKDGLEIP ETPLVNPDVEDTASTPYLGQEPEGDELPFPGSQTELESSLPAIDTDSHAIEEYEASQS ISDHEEEPGLRERLQDGKWRKGKSSIYVDAFNLALETVLDEEAHLFDDAEMEVFKQWK ELSYESQYLYVRLFLRKTSAWHRINRLGYYSDISDLTSAVNDLQRTRTLPINPPPATS PPIDTDHPNTPEPITYFHFADKTDQITTLEEASSLLLLDELKTLAKDAKVQGKSKKDL LTALHRASQQQTGLDWTTTSTNKTSANRDTHFTQKILDYTGDCIRLATAPRELFERVH LVFYRSTEWTEKSLTTIILAKISRRNFPEYVVCRSSSIFPSRAFLLEFESALRTQFDI DHILEFSGTPTVELLTQVRDHAYKVYPRWKKLCQQEQQKEDSVYECGEGAYLRRFSPA WVYTRIIHKGLYPLGRFKEYKEEHRLLCELLGQRLFHAARRGSWYQRKALLEEHYMWN LMSWEGRSEDAQRKHWRRIALQTCEEGLQDPDCHLIYHYDLQKRIMKLERALKVVKRE QHDFSHVMLARPEERTVEGIRIEREDTLAQEDMSRRGRATVWVDERDGGECRVESMCL SWYRDQGWKGYHSEGGIVRTLFGYLFYDILFTYVPNVFQTPFQTCPLDLHTDSFYATR ASEINHRLVEITNGESERILRAVHAREAPRQPCAIGIDWTFELDDLVDIVRCFRGEAL AAICKVLAQEYQQRGGGIPDLFLWRVESREVMFVEVKSENDRLSDTQRLWIHVLGGAG VRVELCNAVAREVRYV AFLA_075050 MANDSTPNTAAANTPTGEPTPTTQLRPGGAPARVYMNEKIVPYL LEGMKHVTKEQPQNPLRVLGEFLIQKSNEVEGAKSPE AFLA_075060 MSTEYDHENGRYDDEPRFSRDERSASPRDEPRTDRARSRSPNGR ADDRAPIEPRKPLEDEEGAVNTGSNLFVTGIHPRLTESDISRLFEKYGDVESCSIMVD PHTKESRGFGFVKMVTAEQADAAKEGLQGEVIEGRTLSIEKARRSRPRTPTPGKYFGP PKRDFRGGGRGGRSDRYDDRRAPYGGSWRRNDDYRYGRYDSYSDRRDYGGRGEGRGDY RDYRRDYRDDYGSYRGIDRYASGGREDRYSRDERRDDRREERRGYYDRDANPPSYSHG GAPPPPREAYSGGGGGGGGRSYEPREDRYGSR AFLA_075070 MAPLTSNKYRSSPGRPPKTQSKAVLKGTQNSNATPGDGPPLKKR KYVPGGPGGGGRYIELDVRETKPPKPAKPARPPKPAKPSPVARNSTPRTRHAREAEAQ PMQLPPPPPPVPTTPPSARLTRDKSQNRGGRFGSSTAAALALQQGDGYKPREERGWEE FHPDLDIETKFVVFPAEEVDKPAPSAHVAHILSPNGLNLSNDKDPLAELIRAHANGTS PTPIKRRPGRPPRRPEAILNALGITPQPKVVPPPGPNPRERLTLPKPSFRLRDPFVFY DHPGVGQQNYVDRTMASVGYQESDLFLRHDRRLIRVTEAPQEDDLDAVNPVGTEEEPN TSVGRVEYDMDEQDEKWLEDYNAKRREDQLEPIKPAVFEITMTKIEKEWHALEKRIPK PNPKPPQTQRPRSSSAAAVNGETTGPGEDQDSKCAICDDGDCENSNAIVFCDGCDLAV HQECYGVPFIPEGQWLCRKCQLVGRGAVNCIFCPNTEGAFKQTTTSKWSHLLCAIWIP EVSIGNPSLMEPITDIEKVPRSRWKLHCYICRQRMGASIQCSNKNCFVAFHVTCARRA QLYLKMKSGHGSPAVMDTHLLKAFCDKHVPPEWRREHGTDAATAEAIEFYRNTMQGRR WGDSQAAALALEPSQPLGYEHGDDEALRTHTPRITLTVGGNKRKRPTVPKTIWKLPSG APVIPHVVLNAVAASLQRFGVRQRKQYAEDACKYWTLKREARRGAALLKRLQLQLETF SSMEMTRRDYVAMGAAGGKRLQRRIEFGERLYHDLDRLRTMCDEVKKREREKLKDAET LRSIVDTVYFPIFPLLWPIFEKAQGLDGKGIFRQGLVSIRTKLEERRYTSVSAFSADL ARVFTSEIGVQPAGDTAELQMQISGRAPELSLEQREKRKLAKRIIKFIQPALEEAIKK ESELNRKPFEQELKELDLMLENSVMSRRGSQAESPAAGDEEQGKREVPLENAEKVNGD VEVTAKSEPSDGAEVTAIPQQSIDSAMPDADQAQAPDGASVSQSQEAPGAMVATPAVE HESDKQENPSANATCTTLDAPPVPNGVSDKSEENDLGPADQPTEPQKEPLTPPPSFKG DQQLPLAQGGIQWYMQPFDPVGTTIHEERWTGRDVMRGMSEELSELDEDELKDLVDDE LEGEMGTAIEGSTDTRPDAAPEQAVKVYRTRRRWRGFK AFLA_075080 MSGPGDDRWRGGRTYDQNRQSGQRHSLHRTMSAHSGSRSGQNQN NWGESRDQLSTGPAQEQHVPVRGFNAAEAKAALKRNSREPKPFFYKPGGKDTNRSGPW GSKPNTMANGKDFFLELRKQITNLRQGDNVAGG AFLA_075090 MLNLRTPVASFRKAICSTARRSLLPQNRGLLTFAIETSCDDTSV AIVEKNEATNAAKIHFLENVTADSNEYRGVHPIASLESHQENLAKLVDKALRHLPVAL GNAEGHRSITLADGSQPRYKPDFVSATRGPGMRANLFVGLDTGKALSVAWQVPFMGVH HMQAHLLTPRLVSSLRQDQETSNHTSPSPPVTPEFPFLSILVSGGHSNLVKSSTLTDH KIMASTADIAIGESLDKSAREILPSSLLQSAKNTMYGKMLEEFAFPNGSADYADYRPP MNRGEELIKRETPWGWSLTTPFANTRNLQFSFSSIASAVKRIITQKENSGQKFSHEER VDMARESMRVCFEHLASRTIIALETLRQQKPEDEVKTVVVSGGVAANRFLMTVLRSFL DVRGFGHVDIVAPPPYLCTDNAAMIGWAGLEMFEAGWRTDLSARALRKWSLDPQADDG GVLGPSGWQKA AFLA_075100 MIPTARAAARPKLSLSISAAQNTSRPTLSLKSPGPLPRTPISPS AASPSSARFSSLQVPSYGYVNSCSSKSILKKQSTAVAAGTVNKRIQFKCTPTVHCVTP IENPEEYYGTHTKMSREERRWMVRE AFLA_075110 MPRTETKHTTTFTRPGEVTYILPEPSSSTVTIILPPKSAWTSGS HWHESHTEYLRVIQGVAKVTIQNRTCTYRPSDGIITIPKFAVHEWSRVLDLEDSDVNN EELIVQEWTDPADGLKEVFFRNLNSVILERSGKGWFGSVVFMLQLWTIFHKLDNWPVI LDGPFYVRWLLTHVVVGVGARLGILCGLRAVYDEYTPRELIDRRSR AFLA_075120 MTVKDAVKESLVGSSTDQSQPSSQAKTNFLRHARKDEGTGDLYM TEDDFINAIAPKHEDYHKIKREHYGILFKVADRKQTGKLSLSDWATFENLLSKPDAEY EIAFRLFDTDGTGAVKWETFKNLYNVNKDKDSIPFDWNSDWASLYTGRTKSRHDMTYP QFAQMLRGLQGERIRQAFHIFDKDGDGYIEPEDFQRIILETSKHKLSDYVLEHLPSLC NISTGTKISYATVRAFQNIMREMDMIDVIVREATQKSDDGKITRADFLNEAARITRFS LFTPMEADILFHFAGLDAPSGRLAQKDFAKVIDASWRMPLAIAGQAAAATAHKAADKT KSVLYNVLESVHHFALGSLAGAFGAFMVYPIDLVKTRMQNQRSTRVGERLYNNSLDCA RKVIRNEGFTGLYSGVVPQLIGVAPEKAIKLTVNDLVRGHFTNKENGKIWYPYEILAG GTAGGCQVIFTNPLEIVKIRLQVQGEIAKNVEGAPRRSALWIVKNLGLVGLYKGASAC LLRDVPFSAIYFPTYAHLKSDFFGESPTHKLGVVQLLTAGAIAGMPAAYLTTPCDVIK TRLQVEARKGEVGYTGLRHCARTILKEEGFKAFFKGGPARIIRSSPQFGFTLASYELL QKWLPMPGHEEVTPSGQIEPGVGLQGAKAPLPYLRSRNALKLILDLDENIGRIKIPQA DKWPKFMQTPSTN AFLA_075130 MSFTRLSTRLLSNTRAAPRLIGNIPIRTPQQIRLESTRVPRLAQ ASVWNSMIPKFIRNRGSKETTAESAKSKEWNPASFYIIIFILIGSQAIRMIALKNDYR AYTRTTDAKITLLREVIERVKNGEKVDVERLLGTGDHGKEREWEEILREIEEEDSLWH QKSKDQEPDQQAQSDKRQVENSQKKDPAMPAEGAGNEEPPANSPSRRKINFF AFLA_075140 MAVTTLLSDSSVESVKQAVRSTSTCSNATVISLQTLFRGLSKTA PESDKTTVKRGTRTTKTTATSTRPKSSRGTKISAQEAAINTVMEMDAARLSFQEKLVL ATDVFNTTLKTLSDASKQCAKRDDARVRTACASPSTSHGVKSPRKLKTSQEDELDAGL VAVAECASTALSSLRSLKGDQVDQQDALPNMQLEQGACVLAGRYLSLGLNDMASKELR GLKRRLQQHLDNQATVRPKTTSRRKNEAQEEENTKEWMSDFLTFANFDHAGPVLSVLV PFQSNALRLIASEKKLATVQKVASSLQLAELSSPANVIVAATESGALTKDKAALQLQL LSNTVLSLAGVHQPSTSSTTRDRLKPFTSLSLQLLSLEIRCMSWKLSGHTCDEGKEMW DPLTRYLANYSHHSKSIEKAEFASIYKTIVRLQTAVANTQKRTTASLRDNVSVARIAT ILGQLAQEAGCFDEALKLFTEAVNPLSSGQCLSLATVRCKIASLHLQASKSSKPSLDK VTNVVSDATSSLSMPLKGSLSDLDELLVEAAKLKKLTMSAYGDLATKAGEKNGIDISQ IYEYLPAFLRFLRRYVGRPSSSDNESKEDDLFLTRVRACRNIVLSAVDSALAVGKFSV MSQRPPWEDVLSALTDCQRLLTAIDIADKEADPSVLEQIGAAFVRLSNLFWSRYLKEK ERGKGYRELVPLVKHSANLLSSCSAEQRNTGFAALKYERLAYLYMEGNRGAEAEQAFS QAIQEHIDTGVLDGLISSPNFPYRTSQDPKSSGFMLGRVLTAYLKLQLKLRGKSKSNG FFDDESQSLLVRGHIMEWQMGILTELHSHASSDEAFRSHFTCLVSNLLGLYDPEVYPI RRLRVVLGGLRSSLERPNCLESTVLQDLLEEALEAIELGDYAEDGALATLATHLHNSV RLSVGLLQGDLQPESLNLMISSWTSLMRNCNDGVTLIQYVGDVEHYLLQLKAVVDYTE IHGLWKLQLSTLELVLRVTELQEHGDFSEAIIVIARLVQQNCRLGYCKKAGDLLTRAE QYLGPNVSCLATLSHKLARVAYLLETGETDKAAANLSTARILYEKNQKKQDLSSCSVL AKIAWERLVADAAFMSSQLSFAQGVIKDALFFAKLSVRLNCRIWAKVEKISQRKQEKS LPVPVISVGDSSELDNVVETMAKLDVSQANHTTTSVYTQGAPFWPHIGSHHTSLLNLA SLSAHHGLFQDAIYYGEQALKINKTLNAPVRLINSQAQLGSHWILGGHISEGQELLTA AEKLSKQLESSVELASLQMGLASLYRAQGHYRDELQALLEADRIMADFGSLDVSDVAS VSSGVLELEDKMESLRIRPSSRRTKQPAATTRRTRATTSARKPTKVDATPSKAADTVP ESKSLLQIRSDILRQQAACCRSLRDFERASCLLNNARQYAVSRDSQISVHLGESEHFL AEAIRHFASHAVYCVLPESTISLPSLQSPSKTTSTSKAATKSTARKPRAPARGTRSRA QTASEDFAVMLSKAGDCLNNVFATATTVGSTLDSHSASRLMSRISMLSHVTAAESISA WSQPPANVNELGRIGAFAREHTAIDLDKQLAEYNDPLLWPTPSPMMAESEDLCSSRFV EEYIDILPDNWNVLSLSLSADRSEFIVSRLHQGRSPFLLRLPLKRGNSEEEDEQFTFD DGREEMQELIKLANESSHAAKAQTDRQMKKDWWKNREALDRRMETLLQNIENVWFGGF RGIFSPVPHDTTSLTRFASSFQSILDKHLPSRQKGGRAEGPRLTLHPNVLELFIGVAD LDDQEDPEETLMDLLYFVVDILQFQGERNAYDEIDFDMMVVETLDAVRAYHDATSNRR GKPTPNHTVLVLDKSLHLFPWESLPCLQGFPVCRVPSLECLRDRVLQFQNKRNSDLAR GVGIDRNSGTYILNPTGDLRTTQSTFEKDLSELQGWTGIMQREPSEEEFKRGLETTNL FLYFGHGSGAQYIRGRTVKRLEQCAVAFLMGCSSGTLTEAGEYEPYGTPMNYLHAGSP ALVATLWDVTDKDIDRFAQSTFEKWGLFDAGSDGATLDEAVSQSRPACVLKYLNGAAP VIYGVPSVFLE AFLA_075150 MSVTTQATIASFGGKLLKLSHAATSTRCEMSFNLYLPPQAIQNP SQKVPVLIYLSGLTCTANNCSEKGFFQHGASKKGIAVLYPDTSPRGLNIQGEDDSWDF GTGAGFYVDATKEPYKGGYNMYTYVTEELPKTVFAAFPQLDESRVSITGHSMGGHGAL TLFLRNPGKYKSVSAFAPISNPINCPWGQKAFGGYFGEDQQEKWKEHDATELVKKWKG PLDVLIDVGTGDNFYKQGQLLPENLEKAAKEAGVEGLKVRYQPDYDHSYYTMATFADD HVEHAAKYLFA AFLA_075160 MVLITRGISLTNFLVASSALAFQVFVLYPWHKQLDESFEDLKKE HLKVLQVLDRVEHGKTGGSGGSIREQIELKRQGL AFLA_075170 MVYTSTLLSLLALSTGTWASYNANLNYRSPSTRHTGMGINIDSV HRRSLAKRDEAPFHPSQLNFTHGVASGDPYADSVILWTRVAPSLEADRSNVTVSGTVG LYNHDTESYIKASAHPICVDYRVYEDKEGRRVVDKGRAYTTSDIDYTLKVEASGLKPF TTYWYQFQICNSNVTSPLGRTKTAPGADDATEEINLAVYSCSNYPNGYFNAYGNAVRK DSVDYVLHLGDYIYESKKGVVGQDERAVRPEREIFSLYDYRTRLGHYRTDLDLAASHQ NFAWIPVWDDHEIADNGYRDGFSRLNNTEESFRNDSPQISVDQRKMNAVRAYFEWMPL RQVDMDDGLRIWRSFKMGNLFDLIMLDTRNYDRSITDLCMYVPLSEDEANLSDWNTDY IEEIHNDAGRTLMGGRQESWFEKQLTASNQRGAKWRIIGSQLRFARLGRETNGEVTYN MDSWEGYRANQNRTLKHLYDNNIGNNIMIAGDTHVNWVSDIAWIGEKPYDGNTGVGAI GVEFAGTAVSSSGFGGTINSAEQTAASYARNEDLQWNEGYYRGYFELRMRQDEVEAKY FGCPTVATRNSWEIPLANFTVKHDDNHLSRPIAGGQVEAGFVHQGEVKHSNLTLNTDT GEWQVIGFDQMFVKYQ AFLA_075180 MGFLEPEKPSDGELSPEAPRSPINERKLMAKIDWHVVPCLCLMY LLAFLDRVNISNAAILGLQEDLNIETGTKYNTALTIFFVPYIIFEIPSNILMKKLKPH LWLSLCMFGFGLVMVCQGLVQNWGGLMTTRWFLGMFETGLFPGCFYLMGMWYKRSEAQ KRFSFFFSSTTLAGAFGGLLASGLGKMDGLRGYRGWRWVFIIEGVLTCVVAIICYFLV TDFPEDAKWLTEEEREFVREKLAADTGKAAPDADLTLRDVLSVFKDYKIFIGGWMYFG QVVTAYGYAYFAPTIIKTYGYGAIKTQLYSIPPWAAAFGFSMLVAFLSDKFRHRFAFA FIPMLIAMAGYGILLNIHGEAQRHVQYAALFLVTMGCYIAMPVLVCWFSMNLGGHRRR SVGTAWQIGFGNIGGIISTYSFLSKDAPLYRNGYIISLSFLCFSAAMAALYFVAVWFD NRRRDRAMANGTVDAQNNGAEEQEEQLGDMALTYRYNY AFLA_075190 MHFTSKSLLLALSTQLLAVSAAPVSSASTSEVSQPTPPAGVAQY GNYGDYGKYGNYASYGTYKRDDVPEGDGEDTEPSTTTSPTETPTQTPINYGNYGNYGK YGQYGSYGAYNLEKRDDSSSTTTSSTTSTPTETPISYGNYGDYGNYGGYGSYGSYKRD EVPEPEESTTTTTSSTPTSTPVDYGSYGNYGQYGSYGSYSEAAVAQE AFLA_075200 MRHSVSDPDVDEKLLSDEEATWPREQKKNESLFKKCAKYFLFVA VAVFSCLVGVVLGRQQHNLDKVCTRQLTQYSPVIPEVGIEYHQEQFNGSFLKENIYRQ EASPEVDAAWEALGVNYRSLRVPAEEAQKSGLAPDQVKINEKYGGGYPANVEGLHHLH CLNLLRQSLYYNYDYYHEQGQGAFVNEDYIVRRHVSHCLDIIRQQLMCSVDIGVLGQV WVHPENPEPFVDFNTQHKCRNFEAIRQWAERNQLPETVPQDFLQPPKIEDRVYNEIP AFLA_075210 MDEFKFDGFNFAYTWRDLWQSNSRQRPILNFLLIAPEIDDPKNS VLFLKELRKSFGDKYGLAAEFRATECALRNIDLPGMFEHLDHANFVSYDSSIDVACKD EEKWLSFPAALNIERSARLFRQANIDPNKLSPILVLGGQEWKLLDPKCKTPECPAETR PSRGKCDTYTNYQLSNYDVDRLMRSSYPEEPEVHYNISTAYSWFVYNDSLYQSPSKFG ITKTLIHTRVTFESARSLKAKADLANENCLGGLAVEMVYTGGPATLANPNDLDPINTS MESAPPTNPLQDFDPNAQLTVTDTPSTTSTQSASLSTDTSTTDTDTNVNTEGLSCHPA IGSVHVPIAQQDCDSNSTSTASHSPDTPVNAEEHTPSPTLAAPHDASTSDEPNAMGPK PTLTLAYRIGTPTDAAEKANDEGTTPGEPANAP AFLA_075220 MEGFCWPFDQPSQLAIREADIGETANAPRTQQRCHLHAHCGPTG LCIHGWCQYPFNVMSRDNTESVGVGDEATEEGDILGPRKCTSDRECYPRALCVNGRCN HSHGDDDS AFLA_075230 MTDHPDQPFTGQEYALDDIVKLIKTGKATRIVVLAGAGISTAAG VPDFRSPATGLYDKLAPLKLPFPEAIFHINYFRHTPEPFYAIARARNPKNLQPTISHA FLALLAKKNLLDFVFTQNIDGLELDAGVPAEKLADSLGWREELESLWTHAVAGKQAEV NTDDVKTLDECIEELAERMRIAGKISDGHKRMLEKHLEEKFANVLPKPPIS AFLA_075240 MVLEPALTGGLKGVPEKRSLTWTETEHNDTLFGRVIILSHYISG EKLSDGRVRPLVELQSKITGPDTISMLTEPITMTQDVEAGAETVEKAFMHDFVRSVDG GWTAEQIWAVEVIDGEKLLTRKVVVLKGSSIESARVFYRLK AFLA_075250 MDLHSQLQAAWDHVRTTYKPGTIELTGVIVTQIVGFIIPATLYM LIDVLFPKFSQRHKIQNIRRQPTRQQILHCVQVTMLNHVWVVALYALLVYLTGLDYAF TNQDPVVPPWKTFIVDFTFGLLAREISFYYVHRALHHPSIYAYIHKMHHKYTAPVAFA AEYAHPVEHILANILPLTLPLYLKGAHFLSIVFFFVFELWEAAADHSGYDFLKLPPAE LHDLHHEKFRVNYGTIGLMDWIHGTDVVGWDRPKARKVKIAE AFLA_075260 MAGSGLSVAVPNLMREYRKSESAAVQLLTYNFLFLSIGNIFWVP LANKFGKRASLLLSMALQTGALVWCATATSFSSLLAARCVQGFAGAAGESIVPELAAD IFFLHQRAAMMSIYVILISGGTAIGPLVNSLMVQYLSSTWRAFMWLCFALAVADIFLI FFLCPESNFRRPEWDLISTNGLDTTEAEKANGDNEIFFENVPPEAGYTVRQPSLADMI VPIRADRDLNFFRAMVAPLRGLTRPAVIWVVLLYGCALSPQIIFMSVSPSRCLSAI AFLA_075270 MHLPWKRLIRFRALDGRILRGEPILPAENKIDLGLITEADQLQA RVIQGQDIYDITGETIVTDEVVIVKQILSPLAPGDVPILRCVGLNYAKHIKEAGRKPP PFPFIFFKPNTCVHDHGKPVVIPRIAQNDQADYEGELCLIIGKDAKDVSPEHALEYVA AYTAGNDISSRKLQRDPAYAGVVPQWGFSKGFDTFAPLGPVLVAASEIPDPSQLHLKT IVDGEVRQDETVGDLLFDCKYLISYLSQGTTLQKGSVIMTGTPGGVGAGFKPPKWLVP GTQMDVQISQIGTLRNNVVYA AFLA_075280 MSHSNIIILFADFTMSDIRVCFPDRPQFSGFMKPCRVEGDVSQL EVYGEIPKEIDGVFYRVMPDPQLPPFIDNDPWFNGDGNVSAFRIKDGRASFRQRYVRT EKFVREREAQRALIGKYRNKFTDAVEFKVRSTANTNVVYFNGQLLALKEDSPPYAMDP VTLETKGLYDFEGQLPSLTFTAHPKFDPVTGEMVCFGYEARGDGTPDVCYYSVSPTGK FTEVVWLVAPVVAMIHDFAVTENWNVFFWWPDAQGSAPEPSSIVSQLVRFTIDPQSEK RALSKPEILQHGNSEFYRIDDRFATCPYRHCYFDLMDPQLGTDLERIGPKLGGGFPLY NAVAHFDNVTGETEVYFPGDVHLVQEPVFLPRKDSTTEGDGYILVLVNNYETMASELH LLDTRDFTRAQAKILLPVRLRQGLHGSWVDGRDLNP AFLA_075290 MSTIPLIIDGEDVLLPHDRHGTVANVYAEGPTIYQGATKELALQ AAQSSAQAFAAWSKTTPIERRTLLFKLAEVLRSRAEEIKRVCDQEISCGPLWAEIITD GAIGMIEEYGALTTSIATGSLPFIQNGYGLVFKEPLGVVLGIAPWNAPIILGLRAVVA PIAAGNVAILKASRSLTTTDRRGIIDLLTGGSELSPQTHYLLASLFRQAGFPRGVLNF LLHRPEDAPEIFDVLINHPDIKKCNFTGSTHVGRIIASQAALALKPVLLELGGKNFTV VLDDADLDLAAQEITKGAFLNNSQICMSTDKVLVTTAVAPALEAKILAILRSINTTSV LISPSAKAKVESLVSDARDKGAQIHTSPTTVNHVSNRNYPPTVVTGLTPEMKLFEIET FGPVVGIVVVETVEQMTAIIQAANYGLSSSIISRNHYRALKLAGAIQAGAVHINSMTV HDEPTLPHGGYGDSGWGRFGARWGLEEFVQTKVVTLHQ AFLA_075300 MPTYPSNCLQFAKTLDGTLPTLPNPTSGPPALNILVVGAGLGGL VTAIALTQAGHKVTIYEQTAVLGEVGAGIQIPSNSARILFKLGLESYLKPYVTTPESI SFRRWQNGKVIGKTRLIPDFIDNFHAPYYVIHRADFHSALCQKAEDMGIEIRLGARVV DYDSVLGSITLHDGTRHTGDLVVAVDAKRMTGIKSVARNIILDGKRMPFQKPGFAAYR AVVDVNRMRNDPEVSWILERPALNIWIGDSRHVMTYTIGAGRAFNMVLSHPDTSDPAT WDAQTALQAMKTEFQGWDSVTHRLTKIIGMVEKTIKWPLMSGSLLTRWTRGKLVILGD AAHAMLPYMSQGAAMAVEDGVALARSLSYMQSQEQLTEALTIFEKVRILRAGQMQEAS LLNGRLWHFADGPLQEARDAAMAPEVEGLSFSHSPNQWSDPATQMWCYGYEAEREIDQ AWAEREGSRKK AFLA_075310 MVPSMDNTLAQSTDSAAQLLRDLEDSKTMPLWTQMTRLNPPEPN PTAVPFVWKYDNIRPNLLRAGHLVTEKQAERRVLMLVNPARDAPYTTDTLYAGLQLVM PNETAPAHRHTAFAMRYIIEGNGGFTAVHGRRIRMQKGDVILTPVWNYHDHGKDGSGP MIWLDGLDLPNFRHFPVHFVEHFSKPRYPAEDVDTSASPIVFPWNQMKERLDETEGNW ATQRYLKADGREVSRVLGGCAERLDAATSSPRRQDTLSAVYHVITGEGHSEVGGQTLE WKAGDTFCVPSWYPYQHFADAGETVYLYRFDDKPMITALGFYRSVADDTETLVSD AFLA_075320 MRSDMTRLAAHMSSKSPPSDGQPEPCHQPVVDLAGPEDPTDAMG AVTFADEEECGYFGPSSNIAFLRHLSRAVSHSESNQQEISSPRMDKIAYDGGFVSATR PPSPVSGRTPTAVHAGLATDPLLPSSEETLQLIRRYFYDTGLLFPYIHPPTFFETYHQ FKNNAKKVRRTWLGLLNIMLAMAKVTAVSARAQAELRIKESTRYYRQALNLCRGEILR GTTLEVVQYLLLMGQYLQGTQKSVQAWTVHGLAVKAALQLGLHSKDASQAFPPLEREI RKRAWFACVVLDRTLSMTFGRPPAIPDNYVQLDLPIVPSISEGQPVVDDETTRQSVYF FNHTMLVDTTPSPFDCQRN AFLA_075330 MGNIIDRIYGQNLGCEPSLSVGETMGRLLSIENQLLSWVMALPN NLRQLSLQDLRKEVGQSDSQPRLFPLKFRVILTLRYLHIQILLHRPILVKFLDASHAF GLEPGEERVLNEIGYSSMKKCVESAMGIIDMIHELVCATGWQRDLLGAWWYSLYYSKL PSLAFLWICEYLITLSPGIAFNAALVIIGATWVQRTRQSVRDFPSHQLANIELYPGRA VATLRQLDMGNRMVDRCRYYLEQLISILHLERKGSVHSVDSITLIRLHSGGFNRHGPH AFLA_075340 MASEKQLPWGYRWRSSRTFIISTIIIALFAETFLYGFVVPILSF MLESRLNMDPSKTQRMTTAVLTVHGFVSLIFAPVIAHFADKTPNRKAPLLIALAGCVA GTLLVASTFSIEALFVGRILQAVAGSATWIIGFATLTDNVDLDHMGKAMGTAMAFVTA GQLSGPIVAGALLEWVGYWPTWSAPLLVLCLDIIARRLMIERRELPLDDRPRSPKLAA PHDPEESERAPLLPSTPTNESPDYNAVPTEAEQVGPSRSFYRIMIGDIGVVASVANTL IFATLISAFDATLPLHLRDTFHWNTLSVGMIFLSLQVPSMCLGPLVGWLRDRAGARWP VTIGWALSAPLLWLLGVPGEKMFPWASPETNGEAIFITGLVTIGIVFTLIRGAGTMQL VAATKDMEAKNPKIFGEFGGSSRVSSLAEVAFSLGSMLGPLISGTLSETVGYFYMNLV MGAICLPVALSCFLFFTV AFLA_075350 MRLLLFILLSLCTLAASTALPPNVRREADEVIEDYDPASEMDSR DTLETRTYPHCLSYKECKGGWCHKGNCLDNVCKGANMCPKGFLCDGANCYKHRPPWAH GPD AFLA_075360 MSTNLSIPPPPAVYLLIGSLPLLVISESVSRSHTGTALFKMLSS VAFLSGPLFLTSGEWTSTRSLITAGLLFSLAGDFFLIPSRSEFHNANSNPQQEKKISI SFQLGVIAFAAAHIAYILAFFQDNETISWGTFATTFLVTMAVAKWLGVIYPPPHSSAG SNVLDLDLPADMKPLVLVYATIISVMFATAASTTPVDVSSRWQYQRVFGAAMFVASDV YVAKDAFKKSPGSRGWVQSTFGYGLYFWGQMVIAGLV AFLA_075370 MIRTISLVGAWLIASGATLVQADSSFEPANFNVTAALKGYGCAS LESVFGSEKVLDQENTSYTTFTDAFWSEQQAEVRPSCIFKPSVNTDVAIVVLLSQLTR CPFAAKSGGHAAFRGASSSPGGITIWFTDMNEVSLNEDHSIASIGPGNLWGRVYKALE PYGLAAIGGRESSLGVGGFVTGGGISFHSNLYGWALDNVESFEVVTASGRIVTANATN FPDLYWALRGGGNNLGLVTKFNLYTIPSSTMRGTTRVFEEARLPEVVSAFASVSRGAT ADGNAQQYVAFARMQGVSVASAELSYALNITDPPIFKPYRDIPALADTTESRSLVQYC EYINDQNPSGHREMYWTIATQLDESFALWAAEYYFKIVPQAANITGGNPVIIYQALTE PMLANVTKFGGNALGLDTSQGPLILFNMAFWWDNAADDNAVYTFIHNYYKVITDEAKK RGIAHQYIYMNYGSQFQDVIAGYGADNKARLQKVAATYDPRGVYQTLQPGYFKLNGAP VQY AFLA_075380 MTKKGSEEPMGSDTKQAEEETIAPPETAQPPTTPEPEPRAVSEA PSTQGEKGQVVRWLAPYYQTFIVLMQVAAQERRRSARLNKRSISPTEEQPATPPSPPK KPKVEEGEASNPAPQSPTPEEEPTQTQREGSDKAPSQGDDKSQPSDPTGEESPSRNED LSQYPDPIDCPGYFLRGGTWLPYDDEDIHKKLHVIQERLQEWSVEWASLEKQLSDEEK QKLIAGLEGYCLQADWDSLVEKLPSNISELLPLILSQALVAKDLFQNVIEDPFFYLNE DGVKVTGEHGQLNLPASEKTGIQESGSK AFLA_075390 MFTKSSFSRLASLRHSWRPRYSVPPRFPLFNNILVRYSSYSPMG KTQSDSRKKVTIQTLQSLHKKGEPITALTAHDFPSGHIADAAGMDVVIVGDSLSMVAL GMEDTNEVTIEDMLLHCRSVSRAVKHAFTITDLPMGSYELSPEQALQSAIRMVKEGGM QAVKLEGGEQMAPTIRRITQTGIPVLAHIGLTPQRQHSIGGFKVQGKSVAGAVKVLRD ALAVQEAGAFMVLLEAVPGEVAALITERLRVPTIGIGAGVGCSGQALVQVDLIGNFPP GRFVPKFVKTYADVWGEAVRGIEEFKRDVKSRAFPSAEYTYPISEQEMAEFRSVMGEV GQ AFLA_075400 MKEAIVRKDTSVEIVDSPIPKPGPGHVLIKVIVAGSNPKDWKIP IHFGREMNTGDDISGIVEAVGEDVVGFHKGDRVAAFHEMMTSHGAFAEYAIAPYYTTF HIPDSTSFEEAATVPLAAYTSACALFQELELPEPWSPRAKAAGKEGIKRPLIIYGAST ATGAFAIKLAAAANVHPIITVGSKRSDFIKPFLDENKGDVLIDYTAYATEEELIKAIQ EAVKKGGAPDGRCWKAYDTVSEDKTIRLVSKAIAGPPNPAGQKPKITNIFLKTEVEGS DPSVEIVFSMVGQVHYEDENDKLIGVTWGAAFSRGLREGWLTSHPYTLGNNGLEGLSE GLKGLRDGKIRAQKFLTRPNETPGARD AFLA_075410 MATITQSVSTAIELQDRNQVGGRLTKHTGDPTPPENSDAVLQAS LAADAEVPDGGYGWVIISACAVVAWWFTGTSYCWGVLQAALVKEGVSTSSTLAFIGSL APACISFLGVINANVIRRLGTRISALLGIFLLGLGQVLSGFTTKNVGGLFATAGVLFG LGLSICFMVVSAIPAQYFRAKRGIANGMVYAGGGLGGTVISFIMNALLGKVGIAWTFR IIGFMIWGTGLPAACFIKERVPIQRTQFVERLFRDIRFALLFAAGAIATFPLFVPPFF LPLYTESMGMNSGVGAGVVAAFNFSSAVGRLMCGFCSDRLGPLNTLFMSLLLSALSML ILWPVSTSIGPLIVFVIINGMGNGGFFSTMPTVVGNVFGSARVSVAMGMIVTSWAGGY LMGAPIAGYILNASGGEDSGISAYRPAIFYAGGMALGASVLAMFIRLKTDAQPFKKL AFLA_075420 MEYAHNDAPRYCEDVLLLPPLSLDNALASDIDYRWFQEEIAAHS GQPSSHASIDELQPETAAHGSSIQSQLPTEPFESYSDLNYHSQDPLSGSFLDFSTFDY SGLADRITSDVISERHSHISSSCESLQPDPIFNTNIGSNNTRRARRKSRRFSTTAVSI MQSWLAQHQDYPYPTEQEKEQLGRETGLDVTQISNWFTNARRRRTVGTGPTPQAHHAD NSLLSPLERWQNSPPESEPAATSDILRALEDIPHTSDGSVTYPAHRNAVSSNSSSASF VIGAPSISSYEHSQSSGSDISFKRSNRTSQRPPTPNINARPRRRRRKPPHPNETWNKR KLKGQRPYQCTFCSDTFNTKYDWQRHEKALHLPVDRWICAPQGGLVEVDGAHVCTFCQ APDVDFNHLETHGYLACREKSLDQRTFTRKDHLRQHLRLTHNVDYHPSMEQWRDSLTR IKSRCGFCEARFETWPERVNHVADHFKKGADMIQWKGCWGFEPGITKLVENAMPPYLV GHERQTMDPWKTTDALATGGNEVLPVINDVPNALSRYVNLRRDLIVYIREQAALGNHV TDQMVQDKAREIAYGSNDPFDQTYADNPKWVTSLRQEAELMSVPESNCLFPYCDDPPL QYPGPSNDVSQPRSSEFGLIWDNLLKDTSLAI AFLA_075430 MAGKLDSKLLQALKVMEFEYMTPVQHRVLTELPSWRSDCLVQAK TGTGKTLAFLLPTLHCLLQGHSAPPRGQVAILIITPTRELAQQIAKSCDQLTSQLARP LECHIAVGGTARASALARFMKGAPSILVATPGRLKDYLSEPSTAEKLSNIQTLILDKA DTMLESGFLADVKRILQLIPPKSTGWQGMCFSATVPPKVKDVVSVVLKPGYTSISTIE KNETPTHERVPQYHVLIPSVADTFTTLASLLNLEIKNSSKIIVFGVTANMVALFAAAF SQGLTPLKVFEIHSRLSQSARTKTTALFKEAATGIMFASDVIGRGMDFPNVDLVIQVG LPSNGEQYVHRVGRTARAGNDGRAIILLTEAESFFMKVNRHLPIQPHPQTDAINAGTS SCADAVTKAMYSIGEETKQRAYSSYIGFFAGSGLLKQVRLDKPGLVQLANELAIQGMG CPEPPPMDKKVVGKMGLKGVPGFNYATGNDLNGDRPARPRGRPGNKTRDVLSPGAGQG DRRGSVSKNRGGRRGGGRGGRGGRGGKPRAA AFLA_075440 MVALYLRLASNKTHIRFLYGVGAVILSHGIAATLVACFICEPIS VIWKPEFPKGCLDMTSFNYFNGAFHVTTDILLALLPIPIVKKLQTNNRRKRTGPPPNS LPASK AFLA_075450 MALIMPEQDQPSRSLQPYANTAGEIPIDEELSIYNETLQSDTTS LASSVLNYEYENGRRYHKYGQAQYIMPNDEAEQDRLDLVHHMFSVMLNGELFLAPVEN PQTILDLGTGTGIWAIDVADQFPSAKVIGNDLSPIQPSWVPPNVEFVVDDFEDVWMHD RNYFDYVHARTISGCVQDWGRLMKQAYDHLKPSGYFECAEFVIDAFSDDGTFKQDSPY REYINNLNKAGEITGRPMNVATSLKTWMKNAGFENVTEVVYVIPYGPWPKDPKLKEIG KWQYVQAPEGVEAYGLRLYTQVLGWPESEAKLHQALVKQQLRDKSLHIYGKLCVVRQF SLTIPLQVILLLTVAQDMWSMVGSLEGNERKKSGARCLESM AFLA_075460 MRANGFDAGTERPLRVLSLDGGGVRGFSSLYILREVMQRLSAEG KPRKPCEVFDMIAGTSTGGLCAIMLGRLEMTVDECIEAYNRFMKKVFNVSSLRKNTRL VWKGSRFSADNIEVVIKELIKERLGDSEAPLLNEHSQCKAFVLVVRQDAANSKGPVHL RSYVNTQQKSLLPNVKAWEAARATSAAPTYFLPMEVSTDKGVKHKLIDAALGANNPVG WLWNEVLSVFGAGRPIDCILSIGTGIPKNQVFGESVKGAITGLGSAITNTELANILFR TLIDAYAPESRRLKYFRLNVGREIEDWPEVAKEKENEELAEMDSLTQIDGFIERTEQY IKEQEPRIRRCASTLNRNLFRR AFLA_075470 MAQDQRTERVPRGKYARLICQGCRSRKIKCVLPDLGDMGPLGVP QPPETSCERCRNLNLECIIERTTLGRPPAKSSQRKPPQTKRSARLEKSEEREDEVTAA LSDLEIKEYLFSEAATGEEQIPSQGGSNLQPPQRPGERAIFRSMTQVNAFMSSVLGKD AAFGCEITHATSRWSQPLSDLISDDMAILLDKEVSSTNPATNLLFALLCLTSFDIAEP FAQRYPHLKRSLQLAVSSYGQEFIFSPPTHPDSVAACLFLAEFRPTLLATSQFVAHKA VSPEVYVNLAYRIAERLNTLPTRDASFFDEVMNPQSLEFERRFNDSVQELKILSLDFG LDGFLSKTLPAMRGILGHMQPHIDAYQHVLKYRECSPTVIFHIQWNMSFYILLEGLIH AKQCWSNPESLFLVVEEVERKCQEQIQISNSLLLNATGQGRMEELSAARSLLEMKFHW VFAGICGLGLLYTSVLRTRLIEGKNDGDPDIQSNESLQIVDQVAGSVNSPPDAPGQYL SGFLKRFGEVYPQQLVRMLESFLECAEVQIDGIAFNAPLQQVVYGIVFVCKNLVENNF VQVRVFGRLAHNHEKQLALFPKCARCIRQMAVEPWKSTKSAFATGCVYAASSKIIYGL HNILDRLRTELAKGTKSNEALDFFNIPPDLSSIGVDLDMPFWDAWNLWPHVGSFSPFD NSTDLFDWGPGLNYDGDFEPMNISGMR AFLA_075480 MHFTSLLLLLGAPCVSAKCRCTPTDDCWPSASKWNGLNSTVHGH LIANEPLAKSCYDGLGKNSTHCQRISKIYRDAFFREASPIGFTYPVIDTCAPINDSIA GSPVCDLGSASVYSVNATEPADVAAGIKFSKENNVRLVLKNTGHDVRARSQGYGSLSI WMKHIKPELQFQERYEPSNGSCQLNWTGSAIVVGAGYIWDEVYTFAAKHDHIAVGGSS KTVGAVGGYLQGGGHGPASHDFGLAADQVLEFKVVLASGEVVTASACEHVDLFTALRG GGGGTFGVVVSATLKVYPTRPILKHSLTITAPSTNMSALIDASVAVLSKYPILSDAGF SGNGQLNRVLGTKSAYSHNFIKMLSKNSSSSKSSFQVEDAKRLINEQVVEFLRPLNGT QLSVTSTFEQYDTFQNYFDSGIHESPALNNPSPVMVSRFFDKESLVNNQKNLTAMFHT IFPQSVSKVQAVASLLEFCLVGGGEVLKAKPHTAIHPAWRKTYMFAENFDVPPSDSGM QGVRQIRDYGTSKKLQAMKAAAPGLGTYLNEADPYDPDWKEDFYGDQYNWLKSVKQKY DPDEVFWCYRCVGYEGWEEITGPTLYGPLCQTNNAL AFLA_075490 MLLGALHMSIAHPEDPRCHCVEKGKMDADSGPYLRLAEQSQQSD TPVVSLELKAPETLFLESPLALEVILRRNDTDPRSCIFYWPPDIAARFVLLRHTSHGL ERVEVAERRSEAPDVLYVGDWRQYLFELQPHESSRYLELLHSYRRVLRPGERYELLWP GAKTCIWDWGDIQDHLDMELRRRESCISIVGGSNISFTVEEGERTFPSPEPSPPSEPL SRVYVCTLSTQVLLPFFFGG AFLA_075500 MTAITKQVVQKAIDEYIAGLQPSLRELNLKIHGNPELAYKEYQA HDVLCKFLESQGIPTDRHAYGLKTAFESRVGDPAGRCVNFNAEYDALPGIGHACGHNL IATASVTAFLALAFAIQKFNLPGQAQLLGTPAEEEGGGKIDLIRAGAYEKADVSLMMH PISDEELTPHNILGIAGQASISCYDITAVYHGVSAHAAVSPWEGINALDAVVAAYNNI SMLRQQLRPDERVHGAILQAPSITNAIPELTRTRYTIRSRTMERTRQLGARVRQCLEA GALATGCKIELEEDQIYGDLVVNPPLCKGFAECMEDQGVTVLATHDDLMAGSTDQGNV SQIMPALHAVVGIPVSNGAKNHTRDFTAAAAGDEAHGRAVLAGKAMAMTGWRVLVDEE FYQDVKGAFSVTKGGSR AFLA_075510 MTIPDWQQKAAAKQAEAAAKIPKEWRVSASILENLDNEQEVLTI PQRCGILSPKELEITETVDATTLRDKLAARELTAVEVTTAFCKRAAIAQQITSCLTET MFPQALARAKELDEYLQTTGKPMGPLHGVPISLKETFNVQGVHSSLGLVSFLDRPEAS HNSALVEILLAAGAVLYVKTNVPQTMMTADSENNVFGRVLNPHRRNITAGGSSGGEGA LIALRGSLLGIGTDIAGSIRIPALCCGTFGFKPSVGRVPYAGQASAARPGMAGIAPVA GPLCYSARDAELLLRVVMEAPVDDLDDNVLGFPWIEPAPLAAPTLTIGVLPEDPQVPL HPNMQRTLKTAVERLAAAGHRIVDLSGQIQCIKEASDISFRFFRIDPDQTQVKYVSSS GEPFIKSLRYTYNLKGDDPEPTLRDLFDLNVERAKVAAIMRRLYVENKLDVIIGPGNQ SCAGPHDTYGIPVYTVLANLVDYPACVIPFGKANEVADVEYVRDVAYIPPCKNFNCSY EPLRNPILTIIDCPKEVENAPCHVQLIGRRLKDERLMQHAKIVESVLASKST AFLA_075520 MINGIPGIDIRYPTFRPEEHIDMYTHFATVVLPGMVRQNCATEY NDQTYMLHLALEFPPLMAIMIGIAAVYREDETLALESYLFSLHGLRSRMAHAVDAANE DAILASTILLCVMENLRPGATPNIGLHATAAGVILSRRSSSGLKQVDIFERVCVESFL YHSTLMMLFEPSLDTLQRVNPTMDLARYFSELPPPTLQPILDASYPFFLLIADVTRLA RSIRPLNNTEIQTYSHLLTNLLHYDRHLNDGSLTMNLYLLTMRILLLKVDPVLSTAEA TEQISHLSPAGFSILDSLNVNQYLLGFSLWPVAVLGSIATTANEQYIAESKISSLAHR QHGQAMRLRNRLKAIWATPKVERTTSLVHRLHMLVKGI AFLA_075530 MSQSSKFRVLDLERGTASEVRYENKREVPADQPQSKKSRKSPRK EAEGQPPRRRQPPPQSSLEGLFHSEPWKQDPKTDKYCKAFRKYVEDKRSGKGEEQPST NEASQYESTKAPQIVPQTSKKTNKRGYYEEGSRKELDKRVKGKRRAV AFLA_075540 MATSHLNDEEMIDQKLAIETTTSNTKQTPSRQALIEALQAEKPS PWSPNLRKLYIFCVIAFLCSTMNGYDGSIFGSLPALESFRDQFGVEKNGAKIGYISAM YTEEQALEFLAKYHGEGNPSHPLVQLQLQEYREFISLSGSDKRWWDFRDFYKTKAGRW RFINALITGIWGQCSGNAVVTYYLPAMLLTTGITDSNQVLNVNLGYTVVSTVASYLGA SQIERMGRRPTIIWTAVACSICFACITIGSGIFDSTQATPAASAGIAFIFIFGFCYNF GMTPLQALYPVEALSYETRGKGVGLTFSIAHGFTLLNQFCFPIALKNIGWYTYIVFIV WDLFEATVSYFVSVETKGHTLEELSEIFESPNPVKASLQKSI AFLA_075550 MSPLRGFSDNPFSDKGDVIVATTALVQALEPYFSPGQARVRLPI YSGAHFDEVAADLEGFARPIWAIAAVFAESATTTDPTLQTYAERLVSGLANGVDPGHP EYWGAIDDWDQRMVEAEAISFALLLAPKTFYEPLSAHSRSHLVEWLSGLNGKVMPKNN WRWFRIFANLALHRVCGVPYEDVKHFIWEDFALLDTFQLGNGWAADGPWRKDATDGEE AYGRQADYYSGSFAIQFSQLLYTIFAADLDPGRVSRYIHQAREFAGQFWRYFDKDGAP IPFGRSLTYRFAMGAFYAAFALARAYDSSIPYTSPGFVKGMLLRHLRWWATHSDNVFA LDGTLTIGYLYPNMFMCEDYNSPQSPYWAMKSFVMLALASNDEFWQADELPHPLAAIE ETKSLESGVKLLSAPFQILCDHPDGQHHFMISGGQFCVWPLKATQAKYSKFAYSSAFG FSVPTGLLLTQIAPDSTLAISGDDGETWVTRWQTTINPTIETFTINNQDVQCLRSGWK PWRSGSIEIDTLVIPPCDKWPDWHVRVHRIRNTRAGSSNIEKLRLVEGAFSIEVKQPR RTPTLTENWGATDGLDVVHGGRMECGTSCLVIGNGDASGIKNLFASECSLEGKVLKPD PNTNLMTPRTLIPTLEHEILSLPGRDIVIANAVFAISKGKIQFSQQELMKRWYLAPRL PEEVFIF AFLA_075560 MESPDARAVPLNGDTETPACQSCRSRKLRCSRDLPSCTRCQRLG VDCYYDYTKNKPGVKVGIIQTLTQRVESLEAQLEALSAQNQAVSGIPSPASTVQDVNA LRNLVSSLMEEWRENNTPPNDAPDQRLNSPPDRDVQDSTTSASGPETSRKRPCPDPGS SVDYTSQVDLPPDNLINGILDAYFSVVHPFIPILHEPLLRSRLRDPAERPKLIPLLHA MMVCSLRYVANERLAAEWSTSHPGALQKSRDFVVLSSIDNLSVESAQSLIIIAFVHIC DGNGGKAWPIVGALTRAVVYMGLHIEPDEGQQGDPCIEPIRCLPPARVWTEMEERRRV FWNVFLLDRDDVKLRLPSDGTYWAKEEPVTTPFFNIWDTTLAKIGKSVSFLPGHLSSL ADKEKYVDNTPSRSERVSPVLQASGTHTVDLSTIGSFAYCIEATESLNRIVKFFLQRP VNLQSKQEFGAWLTRFKELDLQLIQYAVLPYTTKVYLLWLMIRNISSWKMFLPRRWKD SNISKEPAFVHMDPNLTLAHITHNTSMILLHQCIAYPRANLVDKLRQASISSAETCQL AASETANIVQKYLQYTPFVGLVNAQFVFCAFVSARVMLELPEGYNILLWSLEQMSARW VSSNPNLRLAQGNDNFANALLSQLQRLQERCKYIEPSELDALGYAVEVSLALGIRRPP DSLGESQWVRERRAQQRHSIIPVNGQRSHYMNSSPSVQRSNQRSIHGHAYAAQQDVAP SVNATPPGPQVESVEAVRNMSLWNGNHTPSQERMGTFRTEGVYSTRTGPQAPMDGLFP AAAPSPFDGSDSFAALSNFLLDPQYLDMDRIISFQDSFTR AFLA_075570 MSPVSHGSLLIICFKDPPTRFPETVPQTGAEKGVYRCRDAEFWT CGFFPGSLYCLLERVRKYPAASLPSLSGRSEDSQGKFTEDTRAVYNHDILISHLTDLC RKWSEPLHAMSFRKDTHDIGFIIQPALQRDWELFGNKRSLDSILNAAESLAARFDARV GAIRSWDRFSNANHNINSMDDDFLVIIDSLCNLDLLFYAGNYLHSERLLSIASTHATT LLSTHLRPDSGLNGDKRYSTCHVVNFSPSNKGKVKQKLTAQGYSDNSTWARGQAWAIM GYAQTYSWTKKQEFLDAAKGLADYFIQRMESSPVIVEQQGRGRYVPLWDFDAPITHTG ANGDQGPLRDVSAGMIAANGMLILYGHIAGMGELDAAKRYLNYALNITKDTVDLAYNR DQMRLRICQQDGVTKMESCEATSGHRFDAILEKSTANFNGNHADRAWDHGLVYADYYF LELGNRLLDMGLR AFLA_075580 MIASRALRHSSFIAFVACLLQLSSAKDLYLDCSSSSSNGDGSKS NPFSSIKQANAAVLSAGDTLSIKSDTTCSGTLSPQGSGTQEKPIILTSYSDGPLPIIN GSGAAEAVSLTNQDYWEISNIAVINPAAKIAWRRGILATSSDGTVHRGLYIHDVTVYN VAGETNKATQSSAFIASGGILVNGTEHNSRYDDVQIFNNTVYDCGGGGIKVRVGQMDN RGEGVHVFSNNISYVGGDGIVVSYGVSPLIESNVCGFLGHGKYPWTGGNFAGIWVLGC RDAVMRFNAVHDSLMSEVDSEAFDCDWGNEGTCTVEYNYSHDNAGGIFLNCDGCGTPG GARQVVRYNIFQNDCRMYSNGDNVELDFYNNVVYCPDKKFEIAVPPKTNMSNNIWVGT QDSMLPTGDSIEWHGNLFQTVPQPGDTAGIYGDALFIDPGTGKDTIDSVDGYKLRSGS PALLKGVVVSDNGGRDFWNNKVSSEQRPNIGSYNGKGL AFLA_075590 MAASQNAILTRDQLAGLPQSFFNAVDRKDLDAVVSHFSQDAIFT IKTAQVTFTGPDEMRHSFADFFNNTKHMLHDIKSIVVDEINGKVATQQRYTGELLDGT KNEMHTCNFFDVGPNGKFTRVVVFMTGTSPLK AFLA_075600 MTAQLWMAILCVNLLTYRSLVQNALPSRLRSWWTGSIPVSGLYE MWAKGRGNNRVLTNGLTHYWQKDQP AFLA_075610 MVHKTYIGRYILILRSALSVWTKGNWQDASRLPIGFAAHYDLVR IAAKRRGREVLEFKVQDGWGPLCQFLEKEKEKPDHPFPHVNEGDFITKFHYIIFWMRL AGVLKPCLTWVVLPVAAATATWWWWYRF AFLA_075620 MGDAQKASRPGPNVLSQVLFPTHPHKLSDEQVWAIVCRWHGMRS LFALYSDPTIHNIYLNDWVPWWHTQCPLLLSNNPLPQLSEQTIHSTPNQKPRLYHRFY QALTSHWLSIECLALAKATVYPSRTSRNQWHKIISAQWYSRGHLNLREKLDILHVTVF VWEFLARKAFETIPLIPRLQEFRTRKVFLRPPSVIQAVILQNWPDPDSMCDLMEYFEH LTPEYTIQQAIAGDEHEGDEEPGNLVDENAGAWDMGLQDLEKCTHDYLRQVKTIPRAT WRWYWPASWGGNFGKRPRLGHPGDGRLSISVLDRLFLCPETDERSLVEMLYRV AFLA_075630 MGQRTHLDVTPRWTAGTPLHSLTAETRSDCLEQFSTYPLLVAMP GMLMEREASQYNISIYDYYYISVTRGLLKAIISKPGDVKKYHDAASREDAKTFFRGVE KYAKLKDANIVSVKAINLAWWTYDISGGDGWNIMRLVQNIDQMNASAYGDIDELHNSR GKILISILNDADGGSRSWPILPTQDVSLSDYQHG AFLA_075640 MPNWILGNKFDTIYPHKGSIKTLWETRWKFACQKGVYPFHDGSF EDFEPIFQKLISENINDASTDEYTSTFFPIASDLESQASQALDSGKPDDAIDLLRRAA VVYRISRFPYVDITQPNSIKRAAFERQKQVYLKAASRWDPPIKEVIIPHSSRGRNDGQ EIPIYTRIPRNARPDNPVPVVFIMTGLDGYRPDNSQRTHEIIGRGWAVVIAEIPGTAD SPADPADPSSPDRLWDSVFAYMATQPMLDMSQVVLWGLSAGGFYAIRAAHTHASRLRG AIAHGAGCHYFLDKEWLSRVDDHEYPFSIMPGWTKKYGYDDTEVFVQEARKKFSLVET GIVDRPSCRLLLLNGVADGITPIEDSLLLFNHGSPKEGRFFEGLPHMGYPDSLPVAYR WLEDLLPCEPKQKN AFLA_075650 MASMHFMLATRNGGLALRRPDLGVIAKGAKADIVVWDGMSPGML GWDDPVAAVILHSNVGDIKHVLVDGKFVQRDRKLTVENYSSIQQRFPVTARKVQAEWK KRPYPVLEGKYSLFDYRYEQVPYVDTVRGDGNGYGNQYL AFLA_075660 MPYLLGLSPCQVSSFDTTGRHIHSTQLMPANTGRICHNLVYSQE SAGRTHLKNNGELVLSPQPSEDPMDPLNWPSWRKFTVLLLMSLYAAIGNFTSGSISSA FPLYATPMAFNPPVSIGKLGHLVANSCFGTCLNAMAKLYQVNVLMMGAANILWVPLAN TFGRRPVTLFNILLLTLCCVWAARATSFGSLLAARFFMGVGVAPADTIAPNVVGEIYF AHQRGKAMGFYTVFICIGPVLGGIAGGYIAGNLGLAWIHWINVILSGILLVACFFLVP ETLYVREVPTQATDPALDNGGKDEDAASSTKIEDITRNRTTSGSYSELTFLRSLKLYT YHGNLFQNFISPWLTLRLPGVWLVMLWYAGLVGGVVTISTVGPTLAAQPPYLWGNNAG LILVGGIIGALIGAVATALTADRIVTTKKTLQGEENMEPEARLPIALPGLVLATTGLW TFGFCAQASNPHMWIGMQFGLGMLSFGLMQAPSIGFNYIIDSYASVSADCFVVVTCMR AIISFSWTFFASGWVESAGPAIPFGVFGGLMGLFTLFTIPQWLWGKRTRIATAAWIPN AFLA_075670 MASTIRGQYPPSGLSILIAGGGIGGLTFAIEAYRKGHDVRLIEK RANFDGYGDLLLIECNALHSIKHWPGFYERLQTISYKTQRNIKKYDGTWVGTWPLGDG KDISMAFNRSELHNALWEYVQELGIRVDFSTTVEDYFETDEAGGVVLADGKKLTADIV VAADGVGSKAWSLVLGKKDVPISSGFACYRATFPSGPALENPIIAKEFEGYVDRACIH VGPGAHIAVGKTTRQICYMLTHRDDHNAEEDWDKTVPVEKALTYIEGWEPFLTELIKA TPNSRCTDWKLLWRNPQPKWASPKARVIQLGDSAHSFLPTSGSGAAMAMEDAYSLATC LQLGGKSNFALAVRIHNHLRYALYILDIFERVSCAQKMGFHHREKFHNTDWDAVAKNP DIFSKTTANWIMRHNPEQYAYDNYGKCANHLLTGAPFQNTNVPPGYTYKPWTVKELME ASDRHEPVVDEGDWS AFLA_075680 MNPVAPTSRSSAAYPRKRAVTACQVCRSRRTKCDQKKPRCSFCE KTGAECVFDPTALSTFDPASLAILDRLEALEQKTDSFQQQQQPVPALVPFPTLNPNND SSTAYTIAKEPQHPESLLPENLDTVLKWPILQDVNYQMTQSPDIPNPSSDNASPAQPS PDGDELNPKITKAYLDNFFAHVHLKNPVLDEPYIRRLVRKVSLEGPSWDSESCLALLV CANRAITGPLLAPSISAEDLRASPGRRLFTAALKRIGATLGSAGIVQAQCLFFADDEE ILRARYFYLSEIWLWRLETEIRKDMTTRLSELSNNSLSDLADISEIYKQHLVACLHSL PSTVSISDPPCQTPETDVLRFILQGRSIYVNELITWPYIAYAVNDVHLGHTAHGWVSK GLQTHLERLEVNQAGFYHCHHGTWLMIPTSGRIACILLAVARSSMRDLLPGGWKEAVE ATVKMLEFWQSDVEGLAALASFLRYLLSHVA AFLA_075690 MGSHGEYTFNDAITDVKAGQSLVETTQILLSQLTKEERLSLLDG DVEFWPGLRSILCDRYNRTPYVHGAIPRKHIPGIKFTDGPRGVVMGSSTAFPVPMARG ATWDVELERRVGDAIGREAKAQGANYFAGVCVNLPRHPAWGRIQETYGEDPLLLGEFG LALTQSVQKHVMACVKHYALNSMENARFRVDVSVEEAVLHEVYLAHFRRIVEGGVAAV MSSYNSVNGEWAGQNRHLLTEILRDQWGFDGLVMSDFIFGLRDAAASVKNGLDIEAPF RQQRARKLPRALESGELDWKYVDRACERILRKQIEFTVRTEDSQPSRDVVFCDEHRAL AREVAARSMVLLKNDTVDDKAVLPLQAESLSRVAVVGRLANIANTGDKGSSQVFPPGV VTPLDGIKAALPGTEVLFADSVAKAEQLASQVDVVICIVGYTHEDEGEYVVPALQDNP ALRDTLPPATTAEERETLDIFEGNSDKGGNGGIKAGAGGDRNSLRLREEDEKLISAVT AHNPRTIVSVITAGAVIMESWKDRVPALLISWYSGSEGGHGLGDVLLGKVDASGRLPF SIPTSEAYLPFFNRNAAEIYYDRWFGQHMLDKLGVKAEFPLGFGLSYTTFAVDNIIAE SVDKESIQVAVNVQNTGRRPGRFIAQAYAVTNIPDFPTRVLLGFAPVDLDVGQKTKMR FLASTRPLQQWKAGTFTLRTNQIQLQVASFAGDGGAVSTNVSFV AFLA_075700 MKLGEYMKRHIFDVVSANDITFHLDQREDLRARKAKNWQRVGQS LEEEKNPVMPNPVAEGQGGGGLYATVNEMLKIYHGVLTEKLLRPETIKIMFQPQLENN VGLDKPDEYPVFVRNAIWNAVPSDVSVSFGLGGLVNIAAVPERRGVNSLTWSGIPNCY WVSQSVLNEMKVLAHSWVNLVDRY AFLA_075710 MTSFDETVNSLRHQSAEDKQPLPRVTLGAINRDGSFRYTKAFGD DTADIADTDAVHWIASLTKFVTTIAVMQCVEKGQLDLDSDIGKVLPEWQDPQILTGFN EKDEPIFRPATKAITLRQANVDSLQWHGICVHAPASYSLPTTAGRTAVDPADSCK AFLA_075720 MANFPKYPDLQGKVVLIMGAGQTHVPGSEAWGNGAAIAQCLAQN GVQVFGCDVNLQAAELTASRIQAEGGKCDIAQADVTSEKDVRRVVDAVMSKYGRIDIL INNVGVTVAGDPASMPSDVWDKQIDLNLKSVYLACHVVLPIMEKQGSGCVVNNASIAG LRYIGKPQVAYSAAKAAVIQFTKVTAVMYAPKGVRLNTVVPGFIHTPLVDNFKFNGQK EVYDKITRQPVPLGRMGDAFDVANSTVFLASDAAKYITGQILVVDGGFTSSAASL AFLA_075730 MHWNELKQEILERARSRTVIITGAGGGIGAATAREFNNYGSNVV LADIPALESNAKELIVSFAYPDNAVFIAVDIRDWQQMQSLFKKTMETFGSVDTVVANA GVMESEHVLDMENVDGEGNLRESEEASRVIDINLKGTLNSTYPAVDDALRLAMHHMRF SSTTKNGQPSIVLVASTSGYFGGTGVAAYIASKHGVIGLLRASQLAAKKYGISITAVA PFFTPTAITSGLSERWKAAGLEANTPEMVGKVILQSALEDNNSGSCLLVAGRFLRELE LTRGDLIPQWLGRDVKEFMDTAFNVIQETGGYALPKIRAKV AFLA_075740 MPSSDVEASLDGDVKLEHAEELENVHSIDPELERRLTRIVRKID CRLLPTTAVIYLLCYLDRSNIGRGNAKILNSSTNDTLLESNSISNYQYTIAMMVFLVA YSVFEAPSNLALKIFEPRRWLGFLVIAFGAFCTGIGFTHNFAGLAALRFLLGAAEAGA FPGMIYYFTFWYKPAERASRIAVFMCSATLSGAFGGYGYCPSFRIAIAYGVGHLNGAR GLEGWRWLFLVEGVPTIAAGLLVFLFLPSYPDKVGWLTDEEKEAQALRLEDGGSSG AFLA_075750 MVELRSEDKLNWKDAKETLLSARLYAHYLTYLSISAGVASLSLF APTIIAGLGYTDLQAQLFTVPPYAVAYVVTLGLAWLSDRLKCRGAIACGSQVMASVAF IIQACLPAEAYTARYAFLVIATTGAFGGLPSLNAWVGDNIHTTTARSITTALNISFSG PGQIIGVWIYRAQDAPAYRLGHGVNAGMSFLAAVLAFCLTLYYRQQNVKMQGTSQTRW VT AFLA_075760 MNPLEEETGTAIADQERSRKRRKIRKGTRSCWECKRRKIKCTFA STEDVTCIGCQRRRAPCVSQDLPEVLSPARNGNRYLVERIARVEKFMQDFIASKGVSA TGHSEKEARPDRCSTSDVIQARPSDSVPSLIRASPTFAECQESALGSSPLMLDSQHVS GQTYIERCRDHLLEAFPDEGDAKLLLRESSRVSLYIDSINNQPHSRLTYETLAAPCSM ARLPRPNTHPIILAKHMLLFAITLQSPSGEKITGLSEPPSVLMRRLMTAATTWVTSQE DMHGTVESLICIMLEGVFEINCGNLRRAWAVYRRAMTVAQLMGLHRSPMPPLKRLDPG LDAKPEFMWFRIVYMDRYLSLLLGLPQGTTDKNMGMMSTLRHEPPLGRFERLLTIIAS RILERNERTFVTSDYTTTQSIDAELLRVSESMPTSFWRPPNFQNLTPGSPDTLLETVR LGAQVYYYGLLIQLHLPYMVQISDNTHQGYSKITCVNASREIMTRYITHRSFNPMSSC SRPVDFFTLLAAMTLLLAHLNEHSHPEAISLFAHQRLNDRGLLDQALETMDIISNMKK DIIAEKSAELIRRLLEIEADTAEGKTYITGSLAGDDIEENRKQGEEVRLHIPHVGVIK VARGRPVSRQPSDGNTAACTHMSHGELESCVTWNDAIGPSPTSKHSLSNMHDVAPGSV LSLDLAESESLSEHLRSPRYEPSILQNTGFGQDGIALQLPVGHPPTAAGVDDWAFQGV DMAFFDSLMRETSSVDNGRLGQ AFLA_075770 MSFSKCCIQGFSWQGTPTGRTDKLSNNDVYITGDKADVAILFIA DLFGWTFPNVRLIADHYAREVGATVFVPDFFGGEVLYFDLVAAEKFDQIDLPGFIGRN GRDQRESEIFDCARALKQELGYKKVGAVGYCYGGWASFRLGAKEHASAPLVDCIAVGH PSLLTKKDIDEVAVPVQMLAPEIDQAYPLELKLHTFETLQRLNVPFDYQHFPGVVHAC FVRGDENKPGERAAMERGKNAVVGWLRQFLKDT AFLA_075780 MLALIFACNPIQRNWDSSITRGSCIDRGGLYIATAVTNIVSDFA LVLVPVPLVLGLQMPRIQKFGLLCMFLVGCGIFQYVHYEHLATRHVDSYPYGHGHYMG NRRGTTLDFLRTYSPAWIGEASKSGRRYTGYYGSGTGPRSRRKLGLTRLQDDIALAES TVASTHSQSHIVKEVQWQVTEERRDVESPPSVGLSSHAV AFLA_075800 MPSLSTLKLGAFLGLAAIAPSIEAADSSSCRCFPGDDCWPSVST WDAFNQSVDGRLVATVPLATPCHTPNYDQTKCEALKEDWLLPEDHYQSSSSLMAPWFT NGTCDPYHPVSQPCTLGNFVRYAVNVSTPAHVAKTLQFANEHNIRFVIRNTGHDYNGK STGAGALSVWTHHLKGIEFKDWKDEHYTGKAVKLGAGVQGFEAYEAANAQGLRVVGGE CPSVGIAGGYSQGGGHSFLSSMYGLGADQVLEWEVIDGTGTLLVANRQNNTDLYWALS GGGGGTYGVVWSMTSKAYPDSQVSGLNLTFTTTGISDDTFYKAVELYNARLPSFVDQG IMSLNFMTNVSFSLSPMTAPGMPLEKLESLIKPFLNELKALGIKYQYHAESFPAYLDQ FNAQAPLVEIAVAQYGSWLLPRSVVENNSTNRELIQSYRTILSTGANFTNVGLKVSKE VAGDVDNAVNPAWRNAISHMLLYTGWEFDQREKMVEAQKLMTEVLVPAFSKLAPESGA YLNEADFHQPDFKTAFFGNNYDKLRAIKAKYDPNDLFYALTAVGSDEWTVSESGRMCR V AFLA_075790 MFYSTSMAASVVGVVGCVFIRETYTPVLLKQRQHHVSCEETSGS NTSESLATRLRLTSFRPLQFFVTEYIVMVVAIYMAAVFGVFPGIGALNYISLGLGYVL GSQINACI AFLA_075810 MCNALEILGLPCWHSTQFMSTRFGDIEMWQEAVDRKFFGAGPKS GRQEFDQLMHDFGAVSSDTPAIAFADDLIEAYPEAKVVLVERDVDSWYESWMNTVIKN TYDPFVTVVYHIDRFFTRPIARIHITTFQGWLGISNPEDARLKSKAKYRQHYELVRRI TPMDRLLEYKLSDGWEPLCEFLGKPVPDQPFPHLNEKKWVDEKLQLLLSQGMKLLAYK VFLYFLAPLTLAGLMCFVM AFLA_075820 MDPLQFDKIGHRYNDVTNLPSVWLLEDILKSQIGPIQGLDVLDL ACGNGYWSRRSIDWGAKKVVGVDISKVMVDNAQLQARAEDPSEFHVVDCIAPFNMGAF DLVLGIWLLNYAKDITELLTMWQNIFDSLKPGGRFVGVITNYEILQTTACGQEYHFGG VTYKVLERITEGTRIQVILDTTDPIASICYMLEPGLHEECAAQAGFSNLRWEPLPSEL DVDLGPLFFQIVTASC AFLA_075830 MGKVARTKHSRGANGPYQKPDQGPLGKATSAIFKFNTDLGQHIL KNGAIADAIVDKANIQQGQTVLEVGPGPGVLTNRILAKAKKVVAVEVDPRMAAELTKN VQGTPAEKKLQIVLGDFVKTDLSQLPPFQICISNTPYQISSPLIFKLLSMPNPPKMCV LMVQREFALRLVARPGDSLYSRLSVNVQFFSRVQHIMKVGRNNFRPPPQVESSVVRVE PKSDRPAISWDEWDGMLRICFVRKNKTLRAGFMGNKTRAMIERNWITFATMYPEKVTQ ADIDFMLGNGEPEPMEDVEMDTNNDADDDVPEMDEDDILMGDMGFEKKNVPEIPKGAL LTIGNNKVSRVMVTKLIQVKLQRILDRTELANARAQKCDENDFLRLLHACNKEGIHFS AFLA_075840 MEWTAEKLAARLPVFQQRGPDVPVWRQFLNFLGCVLILPVYYFI TGYSRHPLTLDILISIFVAEFNRYSNEGRRRRLYGLDAPTKTKEDPEKALMSPSLHTG PECLAVVVGYREDPELFRRALDSYKRADGCRFILVGVDGDEKADMEMVRVFEEAYPED SAIVHIDEPFGEIAMRTYKKITEACYETPERCQEMTIAHCCQLAREILAEHDLGLGEL GGVSRLCLYQPHMHKKAIMFTSFIFSIVISDILGIEYMWSSDSDTIVFTDSVRRTVET IAGDPTAGGGSSGLVVHNEDDSMTTKLGSAVYWCELYMTRSTSASSGTSDCQSGPSTA FRVSALPSILYPWYTQTVFGRRMIINEDRHLTTNLLLRGWTVTYASDTLTATDTPTTF SRWLLQQVRWGRATHIESFQQPRVYLLNHPVFFWAAMKKEVGPLLVFLCVLYYLVTGQ RFAYFSSNDAFLRVAYTIGYNWLRNPDRGPRNAWVWIAPALVFYNIPLPSIHLWSMLT VFDGGWGTSMRSNAELSKREQFWKRAYDLGFFVVWMGIVGGTAARMLSGYAGWDAAGT AKAIFLGVFFPSAVSFYGLLVRG AFLA_075850 MARVLFHRENRIVPLGIMIFVLFLFFYHLDLEHDDPVIRGLPDL PQDLSSRQSPLREESKQQPLPSAQPSPSAVPVAPLVPSSEDQKPSTHQQEKPKPKQQQ KPQPKQYGDLSPDDVVLLFKTGASVLWRRLPIHLSTSFEPSRIPADNILIYSDYPETI GSWQVIDVLENSTETVLKTDNYEPYRQQPDYEARQVYAEMANVEGDGNGPAGGWKLDK YKFLPLIQHAGRAKPEAKWYIYIEDDGYIFLPNLLLHLEKFSWQEPWYFGGLAWKHGD YFAHGGAGFVLSRGAWEQSFGLEEDMVTKYADFTEAHGCGDHVLGHVMQDYGINFGQT HGKSEYSWGFNPEPHWGGWFRRASWCYPLYSWHHTHSKDVARLYNFEQSWDFEKKGQF RYRDFFKAMVEPYMRRRVEWWDNQSSRYELRSDNIADAQPPEGVSKQVWNSAWKSVDA CEAACVAWEGCVQWTFYEDQCRMDENFMLGMGIPVGDLRRQTSLPRTSGWLPQRAEKW VCDA AFLA_075860 MLLISILSILTFLCSAPVSASRVIKSNSLDLCTDNKNFTATFFN VTFTPDDRLLSIGFNGTVAISGNVVADLSLKAYGKEVITKTLDPCQMKEQRLCPMNIG KLEIPAIQTTLPQSVINQVPNVAYTVPDLDASVRVYINSTDTGAPIACMEASLSNSKS VYQQAVGWVIALVIGLGLASSGIASILGYSHAALHVAAKSLALFGFVQSQAILGMTSV HMPPIVESWTQNFQWSMGIMHLGFIQKIANWYLRATGGTSSTLLSDLENTSVNVLKRK RSLDFGAGALMKRESGEGAAPDGSKTIYGIVRVGFKASIERTDIFMTGFIFIMVFIGF TMLIVGLVRLVSGLLAKSGKTDSTKMDSSTWAVTMKGILLRLILMCYPAVCVLCLWEF ASHDSPAEVILAVAMLLSTTAILVTAAVRIIRKARRSVEIYKSPAFMLQNDTVFLNKW GFLYAHHRSPAYYFVTLMVVYIFVKGIFVALCQPSSSVQIAALFVIEVLMLVAVCVIR PWMDKKTNIMNISVTSINFLNTLLLLIFNFRERDMATSILGVILFVYNAILTLILLIV IFIASIMALVSKNPDNKYQVMKDDGSSIMSRTHMTELDALGAAARGSPDQNQKQNPFV HNEVPPNHANSLQASDRTSPSHMTGANHSPSSPLDPSVPLFPSSDTRSTSPYESGDHT LSPSEYSPPGVSPVNRGYNVSPIRTPSPHAYRAANNASPWQRGAGYEH AFLA_075870 MDRSLGPYRFGYPDDGLARYILGDVLEQYLSCDEYFWWQNLRVG KKVFKFQAFLHTREEEQMKIWKSFRQMRTVNRPMEDTIDIQRPRLGQFLLTWMKESEL RQAKNTLEEQCDRRYENAIKAIEAELVNKKGEDDVKHGSSCFPTGCFCCADCC AFLA_075880 MIKEEGIREMSSISGLTKRPRRSAPKVKTGCLTCKIRRVKCDEQ RPNCLRCTSTGRHCDGYPPDNAALVQKWVSRPASINSYCIPFKVPGSQADRQLLHYYC CQAAESLSSYTDPTLWTTLILQRSHHEPVIRNALVTLSALYQDHLSGELSCYNNDNLP PLRTLQRIAKCHRQLRVYLSSPGALPEVALTCSVLFYVFEALIGNAQQALKHLDLSLT LLQRCQKDSSPSMSKPDDIVPHLAALLSCLDVQASIYDQQRGPPRLILTSPSETCGTQ SIVPEAFVDVAQSEAALLKLQNWISRHLHINAKIKHKPLAEIPPEVMHERGVVEEQLR RYLIAVDKLYQGSEEKVAQRILLLRIQARMYYGILLQRFPCTSSGQSSIIIHPLSPLN VQSYPAPEDWIDTALSEISILLDASKSPACRSRPFTLSTQLVGGLLHAGLKTTHQHTM KTALNLLQHPNFPSRDGLWDAKATESAIRSLLAQVSAEQDAGDRVLFVSTLDEPGQMV SYGR AFLA_075890 MSKAQYRDHEDPIPSYEESVRSSTTQNPPYNMRSDIAAPLHRHL DASRVQRVHSLLEQYVDPLLAEQGSSGLYRTTFIFIPSNVTSLQPREKTSYSAPRTPE PIGFPTSTVVKLVQLEGEAHTMEFWRQPAVLRELESSLRARLAASGHHVEGGDEVPTS TKETKSLKSNEDSKPKQKRSFWSRITGTSEAVIVDRKLGWRAEQEETAGRKLGRDQVR VVVEWKEVCLRVENDLGLYDNCNAPGICLSVEVGE AFLA_075900 MSRPILIIGGGLGGLCLAQALRKHNIPFKLFEKDAEINYRPQGY RLRISEDGIYALQYALTPEIYTLFEQTCAAGLAIGVQVKPDGSPVDAARRIGPPPMKG PKPYTVDRTIFRKVLLTGLKDDVFFGKALDHYTLHDDKVSAHFTDGSVEDGAILVGAD GVRSRVRKQLIPGAKVVDTGMRALYGKTPITPEFLARFPEQYQRGMNIAIDDSVPEGQ VYLLFEATWFPNADTVSEPELPSPYVYWVLGAHSSRLGVPDEKLLSLSNDEAADLAIQ VTESWSPGLRAVFEMQGKGQASTLRISSAPLDLPVWEVSPRVTLLGDAIHVMPPTGAL GLVTALRDSADLARKIVDAGGIENVDRSVIGNYESEMRDFAKMALGRSWQSGLKTFGL RPVEECEMVDM AFLA_075910 MALMLWVIAGMISTCGALVMLEFGSGIPRSGGIKVYLERSFSPR LLQTCIYLFYCVFLQVSASNAITSSSYLLLAGGVESTTWKLRGVAIAAAGFAVGLHTI APRAGRGLQDLLSAVKLFTLLFIVCTGFAALAGHRRVPDPHNFDIHTSFKGTSNSGYN IGTALLDAIFSFQGYDNVNAVLSEVKNPQKTLRIALPSAMGIITVLYLLANVAYFAAV PTEEFTNSNITIAASLFRNVFGDSAATKALPALVAISAVGHLLGIAYTVPRVLQELAK DGITPFPNIMMQNRPFKTPIVALAVHLGVTILFICAPPAGDAFNFIVSLSSYPTTFLL TAITVGLVKLRLTKDEGWASAFTAPWAMIAFYLVGNIFLLIMPFIPPPNGKGSTNLPY WLSPVVALAILSLGIVYYLLRFILFPWVFGYTLQLLQ AFLA_075920 MSSDDLVIPKGSLVVVTGANGFIGSHVVDQLLQHGYNVRGTVRN IAKNSWLNDYFSAKYGPGRFSLAEVPDMSHEGAFDEVVKGAAGIAHVATPVRQFHDPN IAVPMVVNGTVNVLASAAAEPLVRRVVITSSSTAAASPQPNKVFTMDEKTWNETAVKA AWAPPPYEGLQRRLDVYSATKTQAEQAAWKFMEDKKPDFVLNTVLPNVNMGTILSPEN QGYPSSGGWVKAVWDGFPGEEGEKLKYNPPQYYINVQDDALVHVAALIYPDVQNERLF AFAHPYSWNDILDALRRLYPQQKFIDDIPNIGEDKSKVANQRAEELLKRVSGLPAWTS LEQSVHDATKAWV AFLA_075930 MATGGIAVLLYNTPHQFTGLETIGKIVYVFNLVLFLSISLCLSF RFLTKSSAIKESFQHSNETHFVGTCLLAFATIIIGAESYGTSACGPWLQVALRIVFWI YVAISIIEAIFHNWYLYHHSMASEQPFALVRLLPSFPAMLSGTIASVIASNQPQKHAL PIIIGGTTLQGFGFLMSLFIYGEYFYRLNKSGLPKPSERPEMFIAVGPWSFTALALIG MANAAVEKFPVRYIISYADSSHSETVTVTTGDIALVIAALAGIFLWAIAFFCLCIAIA SVLALCKAFGGAGAPGMSLAYWSMVFPNTGFVIATIRIGQVLQSEAVLWVASAMTILQ VAIWLIASVATIWAVWTRRMLWPEDAEKEEGEKEC AFLA_075940 MQLAAQQVNAEEFDFCIAVGDLTNSRHSYEITAFQQTYPNFTVP VHLLPGNHDVHDLSTLKQFTQDFNTSDHSSFTHNGYRFILLNSITMITDLAEFKNHTA YEWSWFEHELKTAARAGERIIVAHHHLPFEGSEDEPDSYWTFPKRVRRKYIELIRRYG VRHILVGHRHETKNIYPADGFYTIYVVAGTARFFDGNGFGINYFNVSSWDSANDVTQK YVHLKGVTHMKRSEGQPTGCPDIFHHAQ AFLA_075950 MGITWIIEKSPEPDCYATNDAFLQTLAYCIYSHCRTESNSTLQR YWEMNVAGSEKDQPLPNQAYQQALQNIRFRPNITANASTALESASLVSEELYKLNWRT LTVFEEVEATHEKFGLVLLLTGAIIPIGLSFARFIPVPTRLKTTFEAYVITPPLIGHR HKVPLFNTFNMPTRGQALFIAYLIFINVVLCAVGFNSADPSAWYTSNHLEILTYVSNR AGILSFANIPLLVLYSSRNNILLWLTNWSHSTFLLLHRWIAAICVIEACLHSAIYLHI YSAQGEHSSESQRAYWYWGIVATLAMVLIVPGSMLQVRRRFYEFFLAWHVIFFLLAMV GCCLHIYYRYNFQWGYENWIYMALAIWGFERGMRILRFARHGIRTAQVSIVDDEYIKL EIPGIAADGDVYLYFPTLTWRVWENHPFSVMTDVCQGSDTGSSTTRITTDKDRLPISW TEKGESLDKISLAEISNAPCQRGLVFYIRTQSGITKYLRRTKSKFPVLVESSYRPVVL SKPNASGMTNIIAIAGGVGVTALAPILMRHEGWHRLFWAVRSKPLADSVAASLGADRF NRLNAVVFQDNRMDISRILQEEVSRCVGTEVAVIVSGPARMADEVRLVVATLMRENPA VKLTLLEESFSW AFLA_075960 MRQIFILIALFLAGFAASQGAAGSAQAGMPGSYPESPPQSRPQS PPQSPPSSPKPGPKADTDKPQPALSLKAELEKRCREISIHEAPRDDYRGSMYAFVDTI CDDDPKNYPKHYQNADHRDPHKPKELKSTVNLDRCLGWDKKNGGFIKEIDYKRGTKDG ENNLSCWCQYGKGERVQDPIRKKMAIKMEFDLGPLLKVFPSGSVGCSHWDYE AFLA_075970 MPVLAAPDRQLSYRPRNKRGCLTCRPWYSNSNFDHVERRIKCDE ARPHCLKCSSTGRKCDGYEAPSPPPPQRNAGLRLPYVYPQTLQCSGSTRETRSFQFFY ERTVPSLAGLCGSEFWSGLVLRVSQHETAVYHALIALGSLHENFENGHWLTRRGIDTF AVQQYVSAIRALLGSSDSSPCVSPGQSAGSPRSLTLDIMSGHYVSAVGHVRSGIKILQ DVYEDPHSGTYHHPYLKPSTVTNLEMDYLRKILNRLQDQALTLTRNATDLALRDGLQV VGSRHAEIPECFLSISDAHECFQYHVARLYQEYSAIEPRKADMALDEYTNLMQQAEAL MDKWSTALHRFEESRGSRLTYRDSIGLKILRIYQCWRLVMLDRTKSEATDLQSWDKYN STFQLIVSLAEAVINTADSDAPLSSTSSVPSGTFNEDRRPSFSLDMGIICPLYDVATL CRDPSIRRQAVKVLRSASRQEGLLNSHLCAIVAERVIELEETAALQGGIDDQKCLASV ATHPLGPEPSSQAEASSQHITRSSEVPHAARFIYAYPNFDPLYKQAFLTLAVDQAGER HTKIPLPAVTAMLDME AFLA_075980 MSFLRNFFGTSATAAKDDQNTPIRALPASWYTSQAMYQLERRSI FSRKWLLTTHKARVPNPGDWVQYDAAGYEFVVMKDHQGNVKACHSSDLAPVHTHIDRN GFVWVNLDASATPEVAWKDDFEGVDEQPRFQHYNFDEYNFDHTWDMEGDFNWKILADN YNECYHCQVAHPDIPTIADLNSYYVKTKDGHIQHYGAQRQDQIDKGFRIATTYFWPNA SFNISPHFFFMQRFTPMSPTKSVMRYEVYRHKDASDEEFNLISDMYKRIMSEDKYLCI HTQKNLNAGVFVNGQLHPEMEKGPLHFQKTVREVVTEHNKKEDAAGHEIWPAQRSAPQ DSTVSQDTFSFPTPVDSYTEKDDLKDSLNANLATAIAV AFLA_075990 MPWQAQVCTFCQKQYVHKTGLRDHLSCYTGDVRIPADGIHDVLK IEKILDGGNRRHCQYRCPVCSKTINGRYNFIEHVVYSKHHDVLNGDFQKGTIRRYRHQ GWPFQFEKKTVKVWQRKGKFPFLRLPFGL AFLA_076000 MVYKFFLCFGKITFGERMLTSSVYPNRQGKWFQHNPKNNLLALL AVNHQVYDEARRVLYSLNSFIFEMRNKIPVFFIGIGRDNAALLQSVRWMVGPQHSENQ IGLIKRYLTRTEEEHIWNDEKSYLNFLAMLFNETPDDDFIARHDHRLVRLDTDCTSLC GCRVRYRLDAIFGDNDGEDSKKIDTKGTISFELYKDHR AFLA_076010 MDERHRPLRRNDFEVAIICALPLEANAVLCSLDEIWHDAHNMYG RAVGDGNAYDFGRSGRHSVVVVTLPGMGKVPASTAASYLRMSFSSIKLVLLVGVCGAV PRTDRTEIILGDVVISQSIVELDRGRQYPNGFKRKDTILDSHGRPNEDILGLLQRWKA TLHLKNLQKKTLENLKTLLQHPYSEARYPGETEDKLFEPDYIHRHHFGCGICNIPAAP DSVCEAALTAACDELQCDESKLVPRTRLHERDTDGEIPTPLIHFGLIGTADTVQKSAA HRDKHAESEGVIAFEMEGAGVWNKFNCLIIKGVCDYADSHKNKNWQNYAAAVAASVAK EILGQYVSHDQPSQPGTPNGSSGYSTQLSMISRGRATKQFKEGLAKKQLDTFKATDLR ALKKELKKIQDEQGQSHSLRNLRRLERFIQATEQIGTVLEDILGTSEEMCLVWGPVKA LLQVAKGNVDLFDTLLEAYEDIGSELPNLGVYRELFKHHVGLQRILARVFALLLEFHE NALRLYSGRALRTVFRPLWKDFETTLFDVIVRETGSHRIMIEDKTMALYSHPGHCTMD AQEVRDHLESTSNNMRLSKQEHQKAREKMYDEVRCWIAGAAGVSGVEDVADVVGAETE SDHNNICRDRSFYPGSGSWILENEKVKKWLSPEPEQSSNSMLWINGRPGTGKTYLASV VIETCLEDSSSVTCYFYCKEKVKSRNSAIAVLRGILLQLARQHRELIPYCYAKIKSSG SLMLSDLSTANGILEVFCERIPRLNVVIDGVDECEEQRKDLIDIFRILVRKNEIYAKG KLRVLFLSRPMNEVKNALPEAEMLALEPDHNRQDIQKYCERRKREFQKFGFDNEYLKD VVQIICTRADGMFLFAKLVMNNLKEQPTREDFRIETTAAILPSEIDQAYARIMERLAR DLGSKQYEYTELLLGWLVCSKRPLKWTEIQVALSIDIKTWGHTSEVNPDRRLEDDVQE LCGSLVQVLKGNRVELVHSTARLPDISAQRLRENALRGDFGFQDYAVSAWFLHVGTLI EKKHDLLEGIFDSQDRVARISRELEHFASFYQESFPLYDNNILEQAWIDCEFFRQYHF HNNLVRIWNHICCAQREDLESRNSVSIPLLKETLARNRQLLENLSTEDTVTLSRVYDE YPFRCPKVLCFYFHEGFKNALARDNHVDHHNRPYRCTVGTCEMNGMGFAEKSRLTAHM KRFHPEERDLGETFTPYNRTRSVGARYECPVCNKRLVRKNILEDHQRIHTGEKPFRCS ECGKGFARNYDKKRHEKIHEKRRR AFLA_076020 MKSLQTEADTAQAEVEKLTAKIQELEQDNQSKDEEIATLSTRHQ MLEEQVEETEILVREVKRLSNEDDIQAGHYERRAQALQADCDQWEAKYNDMAAKHAEL QKNLDSLLGEMGEV AFLA_076030 MFQDNLPVEGDDTKIAVQCKKTIPDPDDLGRYDVIPNLEEYWVP GMLLITRGN AFLA_076040 MLHLHRRRYHPVCDPAQAGDEQKPECSQCRKGGRECRPSEGIVF RHQQNASMNKDPDDPTGGRGTLKGFYSYKNTFDEDSVWLDIPKHVIFVDNSDPYVEDL EAALGESEAAILAANAQSRDWNAQQTISLDGETQGLKALSAAAIHDQLPYTSLNMDQQ PLPTPDSGVAFNAVPATTVTTGPSPNPTSSRGAGPAESKPDVPAETDREIMFLLRHFS ESPGLWMDLFDLGTYFASYVPAKARSNPLLRYAACAYAAKQLGRVKGARPPTGEFAST QSLMRRGPDAKNVDWIWHGAKYYEKAIQLLMKELQPDKGPAPLSTPEAFGQWQAAELS ENNDPNNPRKRRRRYSESRFSNGVHSDEVLAATAILSVYEFLDATGPAWNRHLSGVKS LLDVAEVGMMPLEQHPSPGEALPQPLKRPGLSKARRATFWNFARQDYLAAFINECNTR LNTDDLVLWTEAGLQIDNMGFIIPSNSNAAGYPEGDDVMKEDLIGNGLIWILSKIINF ISSGDNLQLGSGPVNTGPLGISQQVLLERWYRLETELDVWYNGLPDTFRPCARLEHPL QKDGDGDGDGCALSEIWYSIPVCSSAMQHYHMARILLLINKPHESTSRRSTITNRLNS YRSIESEIRFHSREIVGISMAHPEGSVRINSLQPLFVSGQCLTEPREREMVLQLLRGI EFDLGWATGYRVKQLLREWGWDEQAKVGAP AFLA_076050 MYAGGNFSSSGFKNILSLKGETNATGLQQGGLNSEVSSMTVLDD ILYVGGNFTDTSDRSNSDLKHVAAYSFGSKAWTALGGGVNGPVHKVTSLTLNVSTEIN ETTIGVTGDFDQLLAFDDNTLVNVTGFAIWVPSKKNWLQNLNVTQMAFAGQLSACTKV DNTTVIAGTLSSAGIAAAGAAALLHEGPLSIKPLFANANFTGETYTGIYETKSNRNLT IVGGHFTTTGTDGSSVKNLALLDGKAGTVKGLGTDIDNNSTFLALTAWKDKLYAGGNV SGTFEDYILNGFVVYDLENGTIARKQPPRFTGDAATVNSIAARPDSDEIYFGGQFEKA GALPCPGVCFWDAADQQWNRPGILSGKVLALKWISNKKLLAIGNLTVDGNSSAIATYT PKQQTWESWTSASDLPGTVTAFTPASVDVSTFWLAGVSKNGSSYLANYDGSKFQYPGD LFDQGTTIRNLEVLSLTKDHSGVDVLHNDQVLLVTGQLVIPDFGNASAALFNGTELTP FMLSSNADGQPGSMAQLFYENDNPYSSEVGNHHSNGIVVLISFCCALGAVFLIVIAGV IFNKIQRRRQGYMPGPQAYGTDRPSSMRRLPPEYLFSTLKSPNPGTPAI AFLA_076060 MQHLSRSSSSVIPVALSTSNFQATNHLEYVHTLYGKARLDTSYT LTRRTPLTGRLESFSKMESFDNIYLDLSKQPGKCKLAESGLGWKPSGGGETFTLDSSN VGAAQWSRAAKGFELKILSRSSGVIQLDGFDQEDFERLSKAFKIWYGINVESREHALR GWNWGKAEFTKAELSFNVQNRPAFEVPYSEISNTNLAGKNEVAVELALNTDGADANAQ PAGSTKNRGRKAASGPDELVEMRFYIPGTVMKTEKGIKEENGEEENGEEEEEGEEQNA ANLFYEMLMEKAEIGDVAGDTFATFLDVLHLTPRGRFDIDMYESSFRLRGKTYDYKIQ YSSIKKFFLLPKNDDTHTLIVLGLDPPLRQGQTRYPFLVMQLKLDEEISLELNMTDEL METRYKDKLEPRYEEPIHQVVTKIFRGLSGKKVIMPSKDFVSHHGHSGVKCSIKANEG LLYFLDKSLIFVPKPATYIQVENIAIITMSRVGGAVSASRTFDITVSLKAGMGEHQFS NINREEQQPLEEFFKAKNIRFKNEMSDDAGALLAAALDNDVMGSSDDEGVRADRGSAD EDEESIDEDFQAESESDVAEEYDSAHESSGSGSDAEMNDASDGGGDDDDEDVDMSEEE RPKKKSKVGK AFLA_076070 MVHTRRRSAEGHSKVDSQRFSASPSLKHHRSKSFTSCSPTRGTL STENQSDITPVTDLTLPVVASPPPTNGSPTPAVESPESSKPSSPFVERSNPMGSGNAQ TVSSSDPKAVAQAATDMKNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESG LGKSTLVNTLFNTSLYPPKERTGPSHDIIPKTVAIQSISADIEENGVRLRLTVVDTPG FGDFVNNDDSWRPIVENIEQRYDAYLEAENKVNRTNIVDNRIHACVYFIQPTGHSLKP LDIEVMRRLHTKVNLIPVIAKADTLTDEEIAQFKQRILADIQHHSIQIFEGPRYELDD EETIAENQEIMSKVPFAVVGANAEVATADGRKVRGRSYPWGTIEVDNEEHCDFVKLRQ MLIRTHMEELKEHTNNHLYENYRSDKLTQMGVAQDPSVFKEVNPAVKQEEERALHEQK LAKMEAEMKMVFQQKVAEKESKLKQSEDELYARHREMKDQLERQRQELDEKKSRLESG RPIEEKGKRKGFSLR AFLA_076080 MAPPPPSNLPLAERLKALAQTLQFAWFAGHVTLLLSVFRYLLSY ITFNYYSSSAQVSYRLAFLSAAATYGIVVYKGHIARGRLQGSVPNIAVKLAGDENVQY LGMAIVWLYSRQVPLALLPFSVYSIFHVATYSRAHLIPTLQPPAQGAGSASPSSPGAA KPAASPLADTIGRFVKQYYDASMDLVAGLEMALLFRLALGVLTFSKGSILLFFIYVAF FRARYTQSSFVQQAVRHFTARVDASVSHQNTPPAVRQGWETFKGVVRQAYESTDLGRL TSGAQGKKPQ AFLA_076090 MSLTSRVFGLFSTTETTVPDSPPAASYSKPTNTGDHAFHATGPI RAGSGHVQTTPLEDEEEPRPPYLRAMLAGGTGGTCGDMLMHSLDTVKTRQQGDPHFPP KYTSMTSSYATIYRQEGLLRGLYGGAVPAFCGSFPGTLIFFGVYEFTKRRMIDSGINA NVAYLSGGFFADLAASVVYVPSEVLKTRLQLQGRYNNPHFNSGYNYRSTRDALRTIIR QEGFSALFHGYRATIYRDLPFSALQFAFYEQEQRLAKNWVGSRDIGLGLEILTAATAG GMAGVITCPMDVVKTRIQTQQNPDVQSSASSSKPAAEHASIKESPRQHTSSQTPSSLR KHSRPISTGGASTSVAPPGAPRLDTSSFLTGLKMIYQTEGLAGWFRGVVPRGVWTSIQ SGTMLVMYQYLLKKLEAYDNLGEMNPL AFLA_076100 MSAALPYLQKLRKSQLTDWAEATDLHNYEEYNKPELATLLDQHL QANQSIFAKDARLTDYYRRLSQTPRKGSPVKRDPRVEISPLAKETPRSVLRSARRRQT RALEEEVKEDEAKEEANEEPKEELKEEPSAEPKEEAEQLQEESDASPPPVFQTPGPSP LNFQSALPASPALIADVVDRESVAWRKKASDWWTGSGVPDSTQSLRSLLSSAKAVQIL TLLLEGCSIIYEILPLRFVSTISIWAIEISIKVPDVFVLVDSVFWAPFSLWLLTSILL PLTVAYFFNISLNITQGSSAASHTRRSRASPDNFDPLSFNIAKAGLSYLVYARQFNFF NLYSQFSIARVNAAVPGQWAGLVTGSAIGLVGTLYEAILRK AFLA_076110 MSGHLDDYPLDTARREIRLLTIVPALSSKARIKCSLQVASLDAF PRYEAISYVWGDIQEKQDILLDGRTVQVPTNVRRILQRLRHRMQRRVIWIDYVCIDQE NVAEKNTQVPLMSAIYANATSVLAIISLDNLSDNATDAIDWMKTADMEKGKWKEACRR VNCILSQLSMRYERRLAVSLRNVVSFYVNFLFAEYWTRMWTFQEYQSSQGKPPICICG DVEFPAPDESAFGSVFVSVFRRFRKMSSKAEERGRRPQLRNHYKEIERLTIKFHNTLS KVNYMWVSDRQEFFVQDLLRQTSGRKCQNPKDKIYALYGLLPSLREAYPPDYNKSLSQ VIFETAKYILNKEHGGLSMLDIFCLREDRLENISIPSWVPDLTATSLVSTGTLGRHSS RFGIAYKIRETVPGRYLEITEDHSVLCLSGRPIGKCRPVFQFASDVKSVLAQIMGVIQ MHGYGCHVWNNVWEPENIPLRFVQGCCVFSNHDDAEFDRSTLGSLRTIFETLDMTHQD ADTVLETFAEAGFEFLRDLVPRLYNIEVFTIHHMSSVSFGFSEQSVKKDDQVFVNVSQ FNMPFVLRHGCGTDYNRGQVYHKLVGFAYVDGICPRTVESNPYLDYMCTVPHEELLLK AFLA_076120 MRSLVLLGMSSFATANSLWSSKAASWDTTNEAYTLGNGKLGVMP FGEPGAEKLNYNHDELWEGGPFEVDGYRGGNPNSSMTEILSEVRDEIWKKGTGNDSRL HGDTDGYGSFHSLANLTIAIDGIHKVSDYTRSLDLGTGIHTTTYSTGKGKYTTDVYCS YPAQVCIYKLNSTAALSKVTIYFDQLVEESSLWNATCDSDFARLRGVTQEGPPRGMTY DTIARSSIPGRCDSSTGKLAINARNSSSLTIVIGAGTDFDGTKGTAATDYTFKGEDPA EYVEKITSSALSQSESKLRTEHIEDYSGLMSAFTLDLPDTQDSTGTELSTLITNYNAN KTDGDPYLEKLLFDYGRHLFISSSRANSLPPNLQGVWSPTKNAAWSGDYHANINLQMN LWGAEATGIGELTVAVFNYMEQNWMPRGAETAELLYGGAGWVTHDEMNIFGHTGMKTY QTSANYPAAPAWMMQHVWDRYDYSHNKTWFIKQGWPLLKGVAEFWASQLQVDKFNNDS SLVVNPCTSPEQGPTTFGCTHWQQLIHQVYENAIQGAEIAGETDSTLLKDIKDQLPRL DKGLHIGTWGQIKEWKLPDSYDYEKEGNEHRHLSHLVGWYPGWSLSSYFNGYNNATIQ SAVNTSLISRGVGLYTNAGWEKVWRSACWARLNNTEKAHYELRLTIDQNIGQSGLSLY SGGDTPSGAFQIDANFGYLGAVLSMLVVDMPLDSTHSEDDVRTVVLGPAIPAAWAGGS VKGLRLRGGGSVDFSWDSEGLVDKASATGVSSNVRIVNVEGTVLV AFLA_076130 MTHPEFFDGILKSHPILHTSSTPIYSNPSFQILGYALEAMTNQT YKSLLQRDLIKPLGLSRSSYDKPEDDTAIIPGPAMSSFYGVDAGGETAAGGLYSSTKD MSIVGRAILNSTLLRPSLTRRWMKPRAHTSSLEVSVGAPWEIFTLTNPRLIDLYTKQG DLGMYSSMLALSPEHDVGFTILAAGESTTEAVTLITDLTINTLIPALEDAAREEANTQ FAGEYSSANASIKITTDDQPGLKVTEWTNESVDVRQLLVSKLGLQNASDLSVRLYPSG LKAPGRVGFRAVFQDNSEGDSGIGPVTRACNTWSSVDSIIYGNVGADEFVFGVDGSGR AVSISPRALRVEIPRVEE AFLA_076140 MRYPHFILLCTLSPLALGKLCPIQGPAFPAPKDVASSSSFTQAK NQLLSTLDKAVHASNASEVTGIDPDSISFSLQVFNTKSDQPLLEYYHTAPSIQNSTVG VREVDADTVFRIASVSKLWTVLMLLIEKGDASLSEPVAKYVPELRDAAKELSHNATMR DDEIDHLRWDEVTIGELASHMTGVIREYASIDIAALSASTPGGFPTLPKSDIPPCGTK VACTRSGEFKYF AFLA_076150 MTKQRRSSSKRSRSGCITCKLRHIKCDESKPTCLQCQQSDHKCE GYDNASQSQLRRRIEAVQNVSRRPPLSGDHRIILRPETREERRWADFFQAKTAVAFSG FFDSMLWSYLIPQISEGEPTIRHAVVAIGAIHARYQMAADQPLADPSSTTQFVLQQYN KAIRHLIDRMSTIDSQNWEITLTTCCLFACLEILRGNKTEALDHIDAGLKMLYQHEQE GGATGRTTELYKELRRLYSKFNLEASFMGRTLYPLETTSQDVATSELALTNLSHARSY LDNLMNKGLAFIRAVDLDRKPRDSLLQQRLELEQLKLCYEFDNWLVGLNKLIQRMGPW IQQVDQRASLILKIYHHTSLIWVKTVLAGDENVFDLYISDFDAVVSYAGKVIQLTVEI DKRTNNQSMFCLEGEVIGPLYYAAIKCRNPAIRRKAIDLLLRYGKIEGMWNARRYAAV ANLVMEVEESACSGVIESERDVDLHARVYESLQPEVMERNPCQVLLLFKPDGVDSDFQ QRMEFVRW AFLA_076160 MLQKSRSKGSAVPYEAAEESLITVGGNVNSNILKLGSPFLGPIG GPIAALAATCVLFAGGVCESALDPEGSVSGSDITAYAHHAILAEAAIQATNRLSREAL NKLNFEENVREEYSTLASQVYGIGSKLFPVLMESALRLALEAYHISESGQQSTPSAEA ESLPKLGTLQYTKEGSNQDSQTEAFIDSLLQNFWPTQGEERFFDQLGSVIVNGLRTSK TIQTMAPTGLCLLSSLLAPTQSRSQSTELDIYFEDLLDRALMAEATLRAIIFAKKTVR SQTVSISEDEKKAVLQREGFYDLLKAGVQGIGRKVIESTPSVISKLQPLLMELLSPNK ATKQLDIPIPGNPTQDLETNLAFARKSVRLPEGPVVQRSTRLGAVASRYGNNHNLDGP SVAFSSLS AFLA_076170 MASTIDLGKLTGASLDLEEIGLPSYESINAASADAPLPDKDVAT KAVQDAKEVPSIWFVNFPTKQAAAEKKVLADFMQSVQDYRCWASLIWWRTVYSNPNIP QDGKKESVAKRSAYAAKVGVKHMKTTPWLGMNVDHDVSKDIECSTSEFHTQLLEAVLQ GFVGVVPAVLQALEGILKSLAKTVEQSTTNTDSKTVVCERYEYIPEADVIRSYVRLIS FTVTDSMRNVEKAKKTERRVKCTIAYNEYEAVFNRKLWDANSEQIEAEQKKAADEFRK QQTVDCPP AFLA_076180 MSTSYDISVQEPVHGQNDIKNAEEAIKRFREDDDPQTVFFLNFA PEFDGMEDLHVTKYSWATKIEQTVQAQYGKEKERHAIQLSWDRASYRAKLVDYLLRTT PWCIFGVDRVKDAEKHDLTGDYHHDKEVYKGATSDWLQASLPEVPVDRLQVIVKYIAE TLALSQGRLQGVQRFAVALSVESENEALNQPVLAFDRKAKENQVEVTGTLYEAKVNNK LLHQQKFDQKMLDLGKPLVHEWTLDIAA AFLA_076190 MPGDIAAQAANVSKDFPSVWFVNFPPSLSGDQKQRLEQYQQEVL DYRSWSSLQWWEAVFRQIPPGPDKLTKMMQSRELAKVAYIDMKRTPW AFLA_076200 MAIREKAGFPAIRACIFDLDGLLINSEDIITFSTSQPLEKYKST PFDRTIRAQLMGIPHSTNGDTFHSWAKLPISSEQFAHESTENMRQHFLACRPLPGAER ILSTLSQARSAASGDRIELALTSSTKSRSYELKISRPETKRLLGFFSPDRRVLGDDPR VRQGRGKPAPDIYLVALQSLNLAAAASDVKPILPHECLVFEDSVIGCRGWKTGWDESC LGSASRCCG AFLA_076210 MDATRKQLMCDQVIRILWTRWLSAMPKPSKEPQLLQPRSGGGRL SVGRWPFVQRFTPTFLRVYQLWSAIQNPSEATSLLFAKLPTEAAWGRGRTKHFDGFFE TARGICESSAHPDRDSLLADIYFCLGSIAMDTNDFNGSRIFKELSLDLVSSICNELGT VGERLYLAYEE AFLA_076220 MSKIDQLKPTAQQVKNALDKARAYWHDLAEASMEVFRWVLTNRE GRPTEPIHDDEWLDGCESSD AFLA_076230 MSVFFLHREYTPFFPHRVSRPCGPIDPPLLEEAPPHDWWHHSAQ KLFEAASIIIQLMQDLETRDVQFQTPFTAFCMFSAASAVLYADTWPYMAPGLENAKEK YTWSLDWLRTASEKWKIAKCWCEILGELSGIFTRLKTDGHDFPHLGREEFQDLHDNLH RLAEPESTTVNALAVLSQGAPLDFIGAACQSRLEDTDAGNSGLQSLVTSDTDRLHEVH NATSEQNQANLLVSNDDPQRMNDDTGIDTDFLMAMLSDPSGNWSHVL AFLA_076240 MTASSEASKCALEEAQRLLISAEKAFIEHNPKSKAQHKVASEVL PGGNTRSILHTDPFPICMERGEGIKLVDVDGHEYLDLVGEMTAGLFGHTNPIIRDTII STVSKTGLDLGATTRAESLFAKAICQRITSIEQLRFCNSGTEANLHALSIARGATGRS KVIVFEGGYHGGVLTFAHGVAENNVDKEDWIIGKYNDVEGTRKLISENKGIAAAVLVE GMQGAGGCIPGTAEFLHTIQNETRANGMIFILDEVMTSRLAPGGLQSVIRNPYDGAPL KPDMTTLGKWIAGGMTIGAFGGRKDLLAAYDPRPSSGSSERKIQISHSGTFNNNTLAM NVGLAALERVFTPEACMELNKIGDWFHRGLEERCQGTKMTVTGIGAVCNIHFTSNVGN AGITSVDDLATESNGVEPILKDLFWYYAIRHGYWIARRGMLSLILGTSKGDLQGFLDV VEGFVREHREFLE AFLA_076250 MYIEVYPHITDYNRGHPSHEGYGHDRSIQLRCHHEAGGDAKRKD IERKLLVQKIEADNSKLGDLVIFSGVQPSIIETILKEPSSCRTRSACTVLPFHFNTKP VTVLHLQNNRGSQ AFLA_076260 MATKDPSNKEVLLQETAQHLGTQALDTVDISRVSAPVPQGTGKL PSSPVCITQEPVPQNFKHDPAAIMVGLGTEVPRWRPGSVIKWTAWRMGYDSQDDANYA AAQLQQAAEEWNKLNIGVTFEFVPLAKDANFVLSHGGDKGTVLASAYFPNNKDLNFVY VYSFAFQPDWKPFLWRVFTHELGHVIGLRHEFAMNPGPYFEGDAVQINERNPLSVMNY RREPPEIQQSDVEATKKFYSFPAGTEISSTAIVDYVPR AFLA_076270 METVRVYDISEQKWYTQQTSGDVPGWRMSGCTVVAPAQDLSSYS IIRIKHKCVRPQNNTMIVVGGNTPVTNREYDPLPQNCDSATFANGIGIFDLHSLSWMS NYNASYNDAYRIPSKVSDVIGGSETGGATVVSPKDGFNSTSMESLFKKRNNATDSGIS STSTSSTTGQSSSGSKKSLSGGGIAGVTVGCVAGVGLIAGLAWFLARRHRKAKAASST RLAWQSDASAIPPLESPQKELYGSGPEVYEMGVRDQARFEMPAENMAMEMPAEGIKR AFLA_076280 MAGVVLFTLYLYTLTQYALAAETNCSRIFHSAVISNGKLYIDGG EMHTRWPNETITTKPIDDLETIDLTKSWVNSDTDLYTYIPKPLANNTAIYLDEGAAWS DGDNLFFYGGYVSGVNGPSVSPLGTWKYNIASNEWTHSGFSGAALVRLCQGGAVQSSS KAYYLGGSLNP AFLA_076290 MIPLPQLLKRRYIFLVVSGIVLLAIVNGFYLNLLPVPSVIRGSA ETEGAQRPDFDEAAPHPIDGLIRDAQAKWDHLLSKRVDSLADATKQYRDRRGRHPPPG FDQWFTFAKQKKAVLIEDLFDQIYDDLSPYWGLDPQVIRRHATHSDPRIIIRNHTLSS VGHTGVNWLEVWMDMISTIQEFLPDMDIPLNGMDEPRIIVPWETVSEYRAKDRDLQQQ LDPRMAVDQYMTLSSEEQSAEYSPPAFQGADKPFWDIMRGACPPESPGRTSNIQKVDF ANPPAEFFNYRNSFSENGYVRDFERSKDPCWRAELQALHGSFIEPTTTSTTHELIPLF GGSKLTVNNEILIPPAVYWEDNPTYSGGKSNHGGPWSKKKDIVFWRGIASGGRNRVDT WTGYQRHRLVSMLNGTEVALTNGSSHGINFRLPDYQYYQVTAGLDGALPEFLNKHCDL GFLDLCCFPLEGSPHCSYTDPYFKLVKGMPMKEQYAFKYLPDVDGNSFSGRYRAFLLS TSLPIKATVYKEWHDSRLIPWAHFVPMDSLYMDVYGILQYFMGYKGRDRHDKQAEKIA MDGKSWAEKVLRQEDMQIYVYRLLLEYARLCDDQRDSLAFVGDLMNI AFLA_076300 MSFRTTPVFLTTTKGWEQARIHPALAWMEKCTIAWDTRESWSTP WSDWVTDDYTYIKPNGERFTGGETAWEASKADYSAFKLSHHEPKWVCVAEKVDGWEFV GEATLFIDLPKEGIKKAVDLEGRRWDLGVECMFRFNFVKDWTAKHDGIRIRSMQIYSD SGPVVGEMLRRGIMKTEDLVR AFLA_076310 MGTMTFFTRLAFFFYFTFATVYAGDYKFWISDVDVVITRSPHKD TLLGAIYVNGTGVTPASVIKLLGDQGGSDPVSFQPDNFAIEFTAPDSADIEISYSLVN KHDAAGADGTKLINAIGTAIGAAGAATGTSSITSILVAVGNALGALDGLCDGAVVGQS IFLTPIDLQKLAPFANIDCFQFSAILFCFKLFVYFEQCLGPRCDIDIDYYPSLHEHRV IYSS AFLA_076320 MNQMSLGPGDENNDDEDTDENDSNHPQEDVHEDVVNKAWDQIYQ SHEQNVLFGSCNTNVDISTLHPDQIQIFRLWQVYLDNVNPLLKVTHIPTLQPRIIDAA GDVANISPTLDALMFSIYCVSILSLGEDECHAILRTPREHLLKRYQFACQQALLKCGI LCTGSVECLTALYLYLVSVRPSTDPRSVSSILGVAIRIAQRMGIENETTNARCTALEG EMRRRLWWSLILFDNRISEISGHKTTMLTPTWDCRVPLNANDFDIRPEMKILPQSHDE PTEALFTVVRSKMGDFMRQSAFHLDFTNPSLKAIIKDTPHGADPEGDRMTAFERMIEN RYLRLCNPENPLHFMTIWTTRGQLAKNRLLEHYSKYASVQQTDEQRDIAISHALIIIE CDTKLMTSPLTKGYRWLTDFHFPFPAYIYILKDLRKRPTVEHADRIWRTMSDNCEARF KDMQKVNPFFESFAKIVLEAWEAREAVFRPINKPLQPPLIVLNVKRVAQTTGGIQRSD LKQPIVGSNVNTDHLPMTMPMDFGAHDLLYSTEGHDFPGPDLGGYPDIPGQATMDVEV NQSDWTTIDWYPMHSQNW AFLA_076330 MSTQDCMTLHSLAAVSPRSATRPPRVLSCVLCQQRKVRCNREFP CVNCTRAGVQCIPATGVRQRRRRFPERELLERLRRYEALLRQNNIPFDPLHTPIGEGP CQSENPRGSSTPDGTADSTVGTNQLSNEQKTVKCEYSNEAK AFLA_076340 MHSLFSKEKCFLTSTDWQSVMKQQFDSTFPAVIFAQVEELFAYY TTIPCFIHQFFDLRQADPTHEKTQLKASKLLNDALDMQNKLSTWYDKFSQTAPLPTEV LSSMGDTLYPIVYSFTDVDTATIFAAYYSYMVIIHAILGACHYPGEHAAMVVYYRDQI CMSVEFNAQGMLGPSRLGFPLLVVNEFADPPTKLWVQGWLQRLSKTYKVMLPQNYER AFLA_076350 MSTLIFSPEKRHIAIAEVVIFSLIQIIQCTTRFMQEWKYWHHDK QKSVPRCIFYSWYGLIGLVAQLRIAGSGMVLSGSNDTKVIITEAILQGIGLSPLLFEV SLVMLRSGQTGRTGPGNSRYPKTIRFLLHFFRFPVFFGIVLIVVGESAAVYACKVVGS VLLVLIFAFGCGLFSWLAVAYRSVLPRAGHRCVLLVLAALPFFVVRIAYMLLAQYGPR RFDPANGDGGVMVGMGLLMEIMIMIILLSARAVAEPIRGKVDSGVDEARV AFLA_076360 MLDPCYNWLSIMRRPIASSVLSKSGISRIREMVEGTNFRSDLDT EECYLPTRLIHVGDEQHEPRLVVSRMHPPFLLKNEGWNSRYIALSYCWGSRTEAEQQL KTTTDSFKSHMQRIPIEALPQTLLDALSVCRALGVPYLWVDVLCIIQGDKSDWERESA NMANVYSHSFLTVCAAQGDSCQSGFLKRCPPWRTSVFPARYGSQFDKLFSVFSFYRPL PKANIREQDSLWSLKVTFAESSDVRDFSFRWTDIETEYTRRELSHTTDKLPALAGIAR RMHSLRANDTYIAGLWRNTLCLDLLWRGNRESELATWQAPSWSWASIKGEVSFLINEQ NVYGFRPMFSYITHNCTPLHPTNEGCFGGCNQRP AFLA_076370 MATPAGVPLELLYHITDYLHPKDLISLLFAFPEFANRLTYRRLS ATDRHQRTVLHLLADPEVGPDEPELRDMIITHLIQFRAIPVNIRDIYGYTPLWIAADR GNEEMVKLLLETKDLEPDIPSLSGVLPLGQAVSRENEVIVKQLLAHGGVNPIREHSPN GKQVVLAPLATAAMAGRAAMVKLMLGHVPREQLEPAAAMAFKYAANFKHKDVMEVIIN SVGNVNFQIEKGQSVLLYTYSRFYRGIAKQLLTKDGVDAGCKDNIGRTLLSIAVDRED TEMVKLLLARRDVEVVFKGSNGGES AFLA_076380 MFRPASRALLRAPAAARGPASRRLISTAPAESKPRSWKNTAVRL GLAAGAIYYYNTSNVFAENPSFSLNNQLKKNSAEEPLPTLDSIKPRIREERESAAPKP NAEQAPAQELSLGEGAVKSPQELEEEAGQEAAFNPETGEINWDCPCLGGMAHGPCGEE FKAAFSCFVYSEEEPKGMDCIEKFKCV AFLA_076390 MQDCFRKYPEVYGAELEDDEEGAPAPAPEGEAQNAAPLATEIDA ASHPEEKRARAKETTAQVKSELSQKGELPESDELLPKAWHDTENKNETQSEK AFLA_076400 MKTCEQRHSHCPYLWQRLRAINVLSHCLRRFHWGKNRSSLLWAP NAHLPECPLNHRILSSVLPDVWIRRYLIPGSFLLLDIFPVSRSLDSALKKNTAFIKRL RTGISASAQQTFLADIRTLSLHKYLSEIISACYEGLCKLKSPGEIATGVEIASALHQR FGPAEFTRQIGWLLGRGLSTPDKGQLKALSQEVREREEKERLSRHRVLLRVATELWLV GVLRTLDDIERPEDLGAKGKDGVVGIGGKASENPVKAKVPSAVRDSDKEAEPFPLEVL KDLLGHDRDHTNLPLAVLFVKSFSWDILGAKTVEEGRKTVEADGATTPAEATNGEEGA GDVTTVENDPPLIPEKTQARFKSILNRYLEDVKAHVVRDQRALAAQSRRNAEAYVKSG EIFEDRQANFEKQSKSLEKLVANTQVLCEALGVEMPALAEQESADPASSGGIGLVKTS EYLRGHGDGAGIWEDEEERRFYENLVDLKGKVPAVLLEDGKKKKTDSDEAGKKKDGED LEKSESAQGQPSEEKAAADADDQSMAIASKTVGAQVDALLAKLPDLQTKDHVDQLALD FCFLNSKASRNRLIKAVSDVPKGRIDLLPLYSRLVATLGQYLPDIPQGLITYLDEEFR SLQRRKSKEFLGQVRMSNVRYLAELTKFGVVPEHIIFHCFKVSLDDFSRMNIEIIGYL LENCGRYLLRNPETSPRMASFLETLGRKKTVQHLGQQERMIIENAVYYVDPPPRPAIQ QKERTPMESYIRRLIYLDMNKRNYTRILKSIRKLHWEEQEVVDIIERVFSKPVKVKYG NIHLLAILVSALYRYHQEFVIGIVDNILEQITLGLEQNDFKFNQKRVAEVKYLGELYN YKMIDSPVIFDTLYRIVTFGHEGGTPIPGKLNPLDLPDDFFRVRLVCTLLDTCGHCFD RGSAKKKLDFFLTFFQYYMTTKDPLPMDIDFLVQDTFSMTRPQWKLVTDLEEATRIFG EAVAQNYKTQDAERPAEPDDEEAESSSSDEGFEDDVMPEVDEDGESSDEAEASGPNAE QNGDSDSEDEQIFVTRQEEERDPEAEAEFDREFEKMMAESMDSRKFERKGVFDIPLPM KRAPRDAAGESAPETSQPQPQPQPQPQPSTMAFSLMTKKGNKQQTRTIDLPSDSSFAV AMRSQQQADREEQQRIKNLVLNYEMSNEAETAEALEKRSPRDSRVDKSGGNRTAFRSR KLQLSDVNW AFLA_076410 MNKTHINTSTSSPDDQTAGWSKLWDTDSSNLWDRGMPSPALIDF IEERVNPLTEDGRRKKALVPGCGKGYDVVMLALHGFEVYGLEVSETGASVAREYARSE LHNPQDYNFGSHFKENPEVGKGEVTILQGDFFKRDWEGGMQFDLIYDYTFLCALHPNM RRQWAGRMADSLAPGGQLVCLEFPLFKDPKMLGPPWGLKGVHWDLLAEGGDGIVGGDV GEDVKGEQKGAFERLLYLKPERSYANGKGTDMLSVWIKKST AFLA_076420 MINRGMSVDVPWGQQHITSGAQRKPQEVRQGIEKKISPFVGMPR HAMRVQKKKEKEKVEKEETNETCDCKRMNVNASPRTKSRWLGGTELAYKRMKSIEEKG EG AFLA_076430 MKFNAVALTLATAGSLVAGQHHNAHRHHHKRTVDTQVVEANGAT VVTYEFQGQAVSSEFVCNGIREGKLRYKEGQPAVDACQSSAPVSSSSSTAAAAPTEAP AEFVETSSSATPASSSSASATSSSAASSSTPTKSSSSGAKGLDSDFPDGEIDCGTFPS DYGAVALDYLELGGWSGIQYVTIAGEFVSKIVTAVTGDSCTSGAMCSYACPAGYQKSQ WPSTQGATGQSIGGLECKNGKLYLTNPTLSKKLCIEGVGGVHAQNNLGEEIAICRTDY PGTESETIPLALGDNELQPLTCPDGETYFKWEGKVTSAQYYVNPKGTSTKQGCQWGDG SKPIGNWAPINLGVGQNNGKWLSIFQNSPTTSVKLNFNIKIQGDNLSGSCKYENGKFI SETGSNDSGCTVEVLSGEATFVFY AFLA_076440 MVSSTAVTTKVASGSPYQLEKSQVSKASSALLRHIKSKQVEKEK SATKKTLIGDNDESDDETPLNNEAVWLVVTTKKHVVDKNRLKPGKITVPHSLNDSSNL SVCLITADPQRSVKNIVTDPSFPEHLTSRIDRVIGYSKLKARYQSFESRRQLLSEHDV FLADDRIILRLVNTLGKIFYKSSKRPIPISIAKVEKKDGKRVKKDPKQKSKEEDSAFA SPAIVAKEIEKALHSAPVQLAPATTASIRIGSSKFTPEQLSENVDAVVQGLTDKYITK GWRNIKALHIKGATTMAMPIWLANELWVEEGDVAEDAAEDDAKALEGAKNKKRKQSAE DEKLLEGTKKSKKPKAADEDEDAAARKEKLQKQKAKALEDGNVAKTAESVTKAGKKKR KSTS AFLA_076450 MIPIARHSALRAVRSQLAPRAFNQSALARLLSTLAVLEQRDGKL QASSLSAIAAAQKLGGPVTAFVAGNGVKGTSAAEAAKIKGLDKVVAVDSEAYEKGLPE NYAPLLVENIKKGEYTHIIGGHSAFGKSLLPRVAALLDVQQVSDITGIESEDTFVRPI YAGNAILTVQSSDPIKVLTVRGTAFQGVETEGGSAEVVEGVDPKSPAQTEWVSEELAK SERPDLGTASRVVSGGRGLKSKEEFDRVIVPLADTLGAAIGASRAAVDSGFADNSLQV GQTGKNVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDPDAPIFQVADVGLVGDLFE KVPELTEKLKSQA AFLA_076460 MPFISSPVVFPSSAPSPTDPQKRKNGEETQSGEPKRQKIMGGFL DDDDDEDEMDAFGDAHLRSQFEIEDQLIEQPPVAPTEPVNLSQVAQTSTSTFTSIQPQ TTALVTSLPTSFSLPRREPLSIQIKTCSGKTHNVALRKTAAPVSYERLVAGRSTTAPG RAKKSYYGIDIHRLMDEAAQEAEQSKTSRPPPVPAVRQSIEAAEGNQRDRKAATIMWT EKYRARRFTELIGDERTHRSVMRWLKGWESIVYPNLARSKPKKSGNEEERPHRKVMLL CGPPGLGKTTLAHVCAKQAGYEVLEINASDDRSKDVVKGRIRDALGTENVKGMNVEVG EKKVRKAARPVCVVVDEVDGVVGGSGSGGEGGFMKALIDLVLLDQKNAARSSEQNTAG RKRKGDNFRFLRPLILVCNDVYHPSLRPLRASSVAEMIHVRQAPLENVVSRMRGIFNL EGIPADNDGVRRLCEASWGVARRKQANFKNSGTAEGDIRSVLVAAEWVAHKLKYESVE SMRLTRRWLEQNVLSEAAGGNSFFKGLNRGGVRDIVERVFTEGAGFADVPINAESFRD PFDDNAKTPVGVADLKKRYAINRLREMVDASGDYDRCVTECFTSYPLQTYQDDTFLSK PNNAYDWLHFHDTISSKVFSNQDWELTPYLSQSVVAFHHLFASAYGKRTRNEPDDEGE EEHPFSGPRAEFAANEALKQNRAIVNGFQSSLTAPMLRLFRATDCLVTDLVPNLMRML SPDVKPVVVRGSGEQRSTASVRKESERALVQAAVRVMTGLGVTFEKVRVEGEGGAHGG WAYRMEPPLDSLVTFSKTKGHSSTGTTGAAPVRYAVRQVLDQEYRKETVRKQTEALSS TTGPKKSAGKSADGQTQDEGQGAKRSGREAGVKRDFFGRIIREPSPQPGGLQEGPVQN EASKAGRKVWVTYHDGFSNAVRKPISMSELLAGL AFLA_076470 MEEGSDCIGRVYIDRVRSCLNKIESLLTGTTAISTEYSIKILSK LYKANQRTTTNIPLHQPTFPKTSSSRETMAFKSPKSTITVSDDAASTHSYLSTTTTLK GTDAEPTKKKWLSLKKTEKTGTSTPKNTARAEKALHYEAMAFYLGHR AFLA_076480 MSLKPIILHGHSAGPNPWKVAMLLNELNVPYEYKYLQFAEIKSE PFFKLNPNGRVPAIEDPNTGITLWESGAILEYLIETYDKEKKFSFEAGTPEYFHAKQW LHFQMSGQGPYFGQAVWFTKYHPEKVESARVRYVNEIRRVSETMNNVLADREYLVGDK YSYADLSFVPWFGIIPWITGDAFDLEKDFPHLNAWLERIKARPAIAKALAEKAEATSK MTHP AFLA_076490 MEESNVISSGAVDDIHSATATQDAPGEVINPSDAPASPKAQNTE GEPEDEEMGGTETEPKKESEGADENADGVAQSGAEGATEDQSGQGKSSIESSARSHLV SQTHAIILPSYSTWFDMHTVHPIEKKALAEFFNGRNRSKTPAVYKDYRDFMINTYRLN PIEYLTVTACRRNLAGDVCAIMRVHSFLEQWGLINYQVDPQTRPSNIGPPFTGHFRVI ADTPRGLQPFQPGPNHFVKPGKPLPATERAASAAPASKADLNLEIRRNVYDDKGKEIT PAAEDKEKQTNGDGSTNGATGDAVKAMETASKEPRKKSHCFSCGIDCTRLRFHYAKST PATANASAPDSKYDLCPNCFLQGRMPSSHSASDFVKLEDNAYSVVPDKDAPWSDSELV LLLEGLENFDENWEQIANHVGTRTKEECVMKFLQLEIEDKYVEDLPDMRTAGGREPIS QSENPVLSVVAFLAQMAEPAVAAAAAGRSVDEIRKELRKQLEKGSDKSQEKGKEKEGS GVKTEDSMDVDASTAEVVETSSSDKQSKASLPTVALATSAARAGALASHEEREMTRLV SAAVNVTLQKFEIKLQQFNEMEEIIEAERRELELARQQLFLDRMAFKKRVKEVQDTLQ AVSLKGPGEDANNMLGEAATAGIGNRFNFQPAGSDARAGVQPLSAEAGADYKTLDL AFLA_076500 MSSSSLYEPEEDDEASDSDIETGNTQFTEPPSSPPSHRTRLSSP PKLDGRSSSRPAQTPRRVSRMQMLEHLQMLLSKESVEAYSDLLAQTTDDHMSVESKPG SGEYLPTQAGIVSWAPKEKEIFFNVLDRKGKDGIREIAGAIGTKSELEVQEYLRLLHM RLEWQHREETHSRAIVLGDVPAAEEVSSECCDFLDEYSKLLVLKEQQDEDVAGRQRHH DLWIIDRDKAELVEELESQDQKSPPDSSIYHTASLLNIPRWIRLSERLFMNFGGARLE DNWTNIAYAGEAPAITADALAEFYTLTVSVTRRLIQSTLFFAMSRLRNMRDTGNSKAK VVKPRDVRTALDVLKMKRDGFDYWTGVARRCCLDVSDSRHRKGWKAVRLSHDEVEDML SNRVPIDAESNRSTSRQMAQGNTDGENTDGDEDNASDSGSESDPKRSPSPSALLSPEI SSDEDEIPSDAENQHAELEDQKASCLGELELWKALDRPAPAFLVSIKDENQEKKTRKP MGARKTQEELTDWRERTLYRSDWEEYGHEVYDIYEEISENRRKRRRLDNSRDPSPVPA DDENNQADGYKVEPTAGEYDEDINPGADDHKDIEMDDATAPDQNTTIPQDEEDPEMNN TRITQTTNPKTNQKQTNESDSSSPTNTNLGYRSPILTRRKRIQPEPKQEEESSSSSSD DDLPATREYPSSEQDDGDGMPLYSQPMSPANWPSD AFLA_076510 MECVRGKLGRVPVSRLLLRPHRTSWRPGPSQPHFRLSSSVPAAR ESPAIVLRDYQEECIKSVLDNLDQGHKRLGVSLATGAGKTVIFTQLIGRIPPRNEKDN KTLILVHRRELVEQAARHCRLAYPDRTVEIEMGTSKASPAADIVIASIRSLTNGDRIA KFDPKQFKLVLVDEAHHIVAPSYREVLKYFGLNETSHDSPVLVGVSATLSRADGLKLG AAIDHIVYHKDYMDMIDEEWLANAVFTTVQSEANLSRVKKDSFGDFAVGSLSKAVNTD RTNDITVRAWLANAQERKSTLVFCVDVEHTKQLTETFRAAGVDARYLTGKTPKEVRDD QLQRFRDQEYPVLLNCGLFTEGTDIPNIDCVLLARPTRSRNLLIQMIGRGLRLHPGKK DCHIIDMVATLETGVLSTPTLFGLHPDEVLANANGKDLKHKESDPSPSTDLDNSPGPD TLDDINLTFTKYDTIYDLIMDMKSEKYIRSSSRNAWVRIDENKYILSDSTGWITIDKS TDEHLKSPKDDPQRPLWTVQYVQVYKNPTTEKNTYTRPRLIATGPDLESAVHAADTFA ASEFEDLYISALQPWRRRPATWAQLNFLNKAKIRRDALRPEHLTKGQAADLITKLKHG GKKRFEKQAGRRRKEDEKAKELEAFRARERVRVGPVLM AFLA_076520 MHRRSSGSPVEDDAEDSLSSRIPPEPSNGPNVVDTPEKSRSQVA RTGTSIDLRRDATGASTPRSRNSSMWRTPPSSSMTSNPPDCKSSSVMMPLASQRLPIE ASPDHQRRYRPSRLRSPWPCSILTAFTTLVASIFLFFILRSFALRQTGGDGCGVPVMS PTFIRMVGFDTEHTRFASKYNLYLYREGGVDPYSQENLGLNGVPVLFLPGNAGSYRQV RSLAAEASRHYYDVVRHDEDRLNAGTRSLDFFMIDFNEDMAAFHGQTLLDQAEYVNEA VAYILSLYHDPRRSRRDPELPDPSAVVLVGHSMGGIVARTALTMTNYQANSVNTIVTM SAPHAKPPVSFDSDIVQTYKQINDYWREAYSQTWANDNPLWHVTLISIAGGSRDTVVP SDYASISSLVPETHGFTVFTSTIPDVWIGMDHLSITWCDQFRKAIIKSLFEVVDVRRA TQTKPRAERMRIFKKWYLTGMETVAERTLPRKEPNTLLTLEDQSNTILSQGKRLILRD LGHRRGPNVHLLPIPPQGVSGKKFTLLTNQQFDKSGDHGSLEVLFCSVFPLQNGKPAT AFSMNMDFSGGTSGSTRLACKNAAEDGIHLPASTPSSKRPYDRVQPFSYLQYDLEDLA EHQFVAVVDKANSPTKGFVLAEFSDSSDSVIRARLGLGSLLSAGLKVRLPANRPMLTE LQIPAVHSSLLDYRLKIIRKNHGQQQELFAPLLRQSVADPHESKFFVNVKNVNVNLHG LAPFMPPPLREQATLGGVSFHLWTDPSCDSTIDISLSVDIAGSLGELVMRYRTVFAAF PLLVVALVMRKQFQVYDETGYFITFAEGLDSALRSSLPMLLLAMSLLASSLATSTKLP PTDDPFHWRTNSTESPIDFTKNDLLLGSQDAFFWFLVPIFGLISVGVCLVINYVALAL IFLLTSIYGFLRSKSGYIRRDEKGNLPIFSSASPRRRLVNSAILLALVSTVIPYQFAY MVACIVQLATSVRASWHAKEAKSTTHYNFANFAYSIFLLMLWILPINALVLLVWAHNL VVHWFMPFSSHHNVLSIMPFVLLVEAMTTGTMIPRVTTR AFLA_076530 MGAEAHEKRPGGNHTPPPDNDEMEHMSIGRYLWTRLPTLVPPMN PAPNPFKALALLNRQQWLFFTVRPPTLSFAGHKAFDKSVSDITWGITLVLMLRSVGAI TFGIASDRWGRKWPFVINNVLFIVLELGTGFCQTYKQFLACRALFGIAMGGLYGNAAA TALEDCPLEARGIVSGLLQQGYAFGYLLATAFARGLVDTTPHGWRPLYWFGACPPVLI ILFRLCLPETNTFLQRQATREEVRGGVASSFIAEGKTALKRHWLLLIYLVLLMAGFNF MSHGSQDLYPTLLKSEFSFSANAVTVTQVVANLGALTGGTLCGWASQIFGRRFSIIVI SIVGGALLYPYTFVTSTNVMAAAFFEQFCVQGAWGVIPIHLMELSPGSIRTFTVGTAY QLGNLVSSASSTIESTIGERFPLPPTETEKHRYQYGKVICIFMGCVFAYTIIVTFLGP ERLGRQFDVAHDTDMAEVAAHRGTTTVGEGAESDVEKGTVSRIEG AFLA_076540 MDIKEKPTLSLYLIFTHLLGLYSSLVLYRLLYHPLRHFPGPFLS RVSVFWLSVQLRHKTLYRKLADLHNEYGDFVRVGPSDLSIIHPKAVNTIYGFKSACTK SAWYDSSAPLRSLHSHRNRAAHDKHRRTWTPGFTDRALHGYEKRIQVYRQKLINQIKS MEDSKPVNINTLFTWYGYDVMGDLAFGQSFDMLVKSESHWAILMIHSMLKPMEYLMPI WFFRLLLSIPGTTKAFWKFNEYWGQLFKMRMATKQEIPDISACLLEPLKGRAPTPDEF NVLLGDASLIINAGGDTTATTLTTIIYELARRPGEVQKLRTELISCTTDPNGEYTQES LAILKHLNGVINETLRIHSPVPSYIPRKTPPEGINIDGTHVPGNMNVSCPQWVIGRSE SVYQNAQNFIPERWYLYPKMIKERSAFAPFTTGPYTCVGKPLALMNIRATIARLITTF DMELPPGDDGRALERSMREHFSIYMAKDIQVHFQKRAI AFLA_076550 MSSGILKVKGNKIVDNDGNEVLLRGAAIGGWMNMENFITGYPGH ESQHRTAMKKVLGPEKYEFFFDRWLEYFFTEADAKFFAGLGLNCIRIPFNYRHLEDDM NPRVLKESGFKHLDRVVDLCSKQNIYTILDMHTAPGGQNGDWHSDNFTSYAAFWDYKD HQDRTVWLWEQIAARYRSNPWVAGYNPLNEPCDPEHVRLPAFYERVEKAIRAVDPDHI LWLDGNTFAMEWKGFDKVLPNCVYSIHDYASMGFPTGERYKGTAEQNAHLERTYLRKV QPLNEKGTAIWNGEFGPVYADPRADAEASTINQERYNLLGEQLRIYDKYNIHWSIWLY KDIGLQGMIYTSPDSKWNKTIQPFLEKKNHFWLDCWGRRPSAEPEAALKPLVEWIDKV SPQAKETYPTPWNTERHLLRNVFQTFLAASFADEFAELFRGMNEAELDSLARSFHFEE CVQRDGLNEILREHAHARQA AFLA_076560 MSFTYSNGLPHGARGSFNGFEDMNGYGAMLTYQEEIKPQIYRAV YSNVAVYEMEVNGVAVMKRRSDSWLNATQILKVAGVVKARRTKTLEKEIAAGEHEKVQ GGYGKYQGTWVNYQRGVELCREYHVEEMLRPLLEYDMGPNGTTGSAQDSLDTPTKEQA MAAQRKRLYSGMDNRNMSQPQQGTFFQNISRTAATAVNAMSKARFDSPAARAMLARMP LVHALVEKGVSIFRLNGAGETALQKSVGTRNNYDYRSFPRLLQVLAPTIDMVDYSGRT ILHHIAVMAATGGGGHVSAKHYLEGLLEFIVRHGGSALSRQTPNGLENGANTEQPGEV ITLGRFISEIVNLRDDQGDTALNLAGRARSVLVPQLLEVGADPHIPNHTGLRPADYGV GVDMVDGNSQSQQSGTKNDSFMDQLAKTKKEILDATLAQISTIVQETLGSLDKELATN LAKKHEKFEHWHSKIRESAKARQIEQKQLDELRRKASDRIGLSRRFKNLEMSSEDLLV LLKSIHGDSYDASRMMSVGDADKDSGIDIDKFEVIFPENFDPTSGFSEQQTAFLNSLP SAAALQQRIQGYEGFNEEIMNEIDRLKSKNVVLGQSYRRMVMACTNWTAEQVDEAAEG LTECVKELNDNPVPEDEAIEILMKDRGQDW AFLA_076570 MGRKEAVEAAKLALENPWKQHSWRHYFRALLRQCSYLPDPVAKC NLHDHVVRRFRRYVIEERRPWIRWNAERQIFLFKEVKDTLSLLQRANAGYSKPLEKVI RMAYGRKGRRRKELMSALIAPDVPANHTAVEEALQRPENYEDGWEPPAIVMELLKSQQ NNGILSQLNIRQVKHLEPPIPKKAIWGDGIAKSRRRNIRKDWYTDMIDHLLPPLPDPD LSILKGLVSGEMPWNPPEWRTPVNLSERQKKIRRLTRSYLRTLLKDGPVKGPTFKPYT KGRPHVITRRFMQRIWRRTLCLIPHMEWDETAKKHIFTWDSAKPTLRLGIPGGPEIFE DVDEQGKVTTTPTGLLPGGIRDKGRKPYRETPFELGLEPKHELNEQRSNRPHDLALFG VKSKRSSSKSYEKGSRMLSDKSPRKRDR AFLA_076580 MSKNDWSATQYLKFEDERTMPARDLLARVPLQAPRRVVDLGCGP GNSTAVLATRYPDAHIVGMDSSPDMIQKAKATLPAYEFSVEDLRSYSPPPSVDLFFSN AVFQWLKKEERIPVIKGLMETQPSGGVFAFQVPDNLMEPSHVLMREVASNGPWASTLS NVGRDTFQSPQEIYDQLKDLSSEVNIFRTAYHHSLENHSAIVEWVKGTGLRPYVDPLS PQDKEAFLSEYLKRLESAYPKLIDGRVLLPYPRLFVVAVRK AFLA_076590 MSDQYGGHQYNQYGAPPHGNNQYGGYGGQPGNYPAQNQYGQPPQ QGYYQSTPAYDQQPHTQHSSYGQQQNYPPPYSAGPQQGGYPGDYGHGQGSYMNPPQDG GHSPYPQQQYQQGASASYYGASSQSGYASHPGQAPGPAGQEGERGLGSTILGATGGGF VGHKLGGGVLGTAGGALVGAVGANLANKASTRKRKSTRRIRNITSASPVPVHRARARV QAPVIRWLKRSKRCGNAGDTLFSHYHDRKSRSRILSVADVAVNVDFLLPKLSVFCTSL SLAKPISQFEITHGGIPWLPIIMATWCLHSPTYTHSTV AFLA_076600 MPAAAASSVPAVSSKETAQSLSVLDDLLKNLNISSTQDEVNAAT DNIAHLLSGPIPEQALPLKLAEDLKKQLSNKKDANARQRACDAIRAVASHATIAPGVE PHLVTLLRPVLAAVGDKMTNVKDAAQSAAIAIVKGINGNAVKAVIPPILESLESAQKW TEKLCALECLNSLIETAPAQVSYRVPALIPAVSEAMWDTKAEIKKAAYSTMEKVCGLI VNKDIERFIPELIKCISKPENVPETVHLLGATTFVSDVTGPTLAIMVPLLDRGLVERE TAIKRKSAVIVDNMCKLVEDPQIVAPFLPKLMPRLTHNLDTLPDPEARGKTEQALATL SRVGDVKDGKIPEISTAGDISTVAGILKEILSPKFDEQVKKSEAIINYVAAIAGQLVD EKVGEVESWTQNALPYITAIVGEEEAKTVAETLRKRASPDAAAEDAVLSDEEEGEDLC NCTFSLAYGAKILLNQTHLRLKRGQRYGLLGPNGTGKTTLMRAINNEQLEGFPKKDEV KTVYVEHDLDSADTEQTVIGWTMKKLREVGLDPKQEEVEAKLEEFGFLREQLNGPITA LSGGWKMKLALARAVFEKPDILLLDEPTNHLDVKNVAWLENYLCTSPCTSIIVSHDSK FLDNVIQHVIHYERNFKLKRYRGTLSEFVKKVPSARSYYELGASDMEFKFPEPGFLEG VKTKAKAIIRVSNMSFQYPGTPRPQISDISFQVSLGSRIAVIGPNGAGKSTLVNVLTG ELIPTTGDVYQHENIRIAYIKQHAFAHIDNHLDSTPSEYIQWRFQTGEDRETMDRANK IVTDEDEKAMDKIYKIDGTPRRVIGIHSRRKFKNTYEYECSFLLGDNIGMKSEKWTPM MTSDNAWIPRNEIIQSHAKMVAEVDQKEALASGQFRPLVRKEIEEHCAQFGLDAELVS HSRMRGLSGGQRVKVVLAACSWQRPHVIVLDEPTNYLDRDSLGALSKAIKTFEGGVVI ITHSREFTENLTEEVWAVVDGKMTPSGHNWVQGQGSGPRLTEKTNEEDTFDAMGNKIE APKKAKKLTSSEQRKKKKERMARKKRGEEVFSDEDDF AFLA_076610 MAEVSIAYPTSLNTLYDEASSLKQLYEPIQLADAEIVPILQLPL AKVVFGHDSADESTLARVSSGEISYTTFLANKTKSISQTQLDGSTPEQRNSQLLHIGL AALFSFLQSNVTGPPLSFSPAELIIPSILRTNPTSLRAVRAHIIRDLSVDGEAAYRLT PNVELFAVAKAILADTDFLLTNGPLVARTARMRVNFLHQKMLSEITGTLQDAIYSDME QISSALLNGNAAGSETAKFLLERALIHTHHGLDSKARADIDKAAAARNFKFALTGKLG KRTKFQERDISQLVIIAKSADNATDTNVNSTSDSEPSGPKNLDLNDDTLLESISFTKD EKQQSQDNQVTIQDESTLPPALASLDPGNQPKLSPVDSAILLALAAAITNTTPEDGLT REETHPYATRVLEGGSSNWQIYTQGLLARSRIEGYRARTVERSVLQMQALVDQVIADT ATLDSQATSTVEEPTTFLPRPEKSESASAAERLEYVWLLNFSTRWDLEAELASRWVNL GGLRTALEIYERLQMWAEAALCYAATEREEKAKSMVRKQLYEPTNRELEDENEKFEGP ELSPLPADAPRLFCILGDMDSDPTMYERAWEVSNNRYARAQRSLARHYLTLKPPALEK AEEAYRKSLQINRLNHGAWFSLGCVQLELQRWDDAKASFTRAVQLEENDAESWSNLAA AILQTPQPDESKPTSVEQLPDEEGELETGKAPEDPHKHKREALVALSRAAQLKNTNSR IWDNVLTVAASIPPPFTPFRDVITAQKKLIEILGDKKGEKCIDLPIVGMLVDYLTTAY EYEDLVIKVDNGSEPPQQVVRSGTIAGQILSLVDNSIVPQITHSASLWLLVARVEQWR GQPSKALEAHEKAWRATVASSTQGAFQMGDEKKWMEIVRATEKLVRNGYSKYGPMDKE GQEDAGEAELVAKDWRFKARSAVRGILGKGKEFWEDSEGWSQLKELQSEVGST AFLA_076620 MSRKFPEVQGGGSLIVAWQVKSKHVLVVGGGEVAAGRILHALNA DAKVTVVCPVSGLNDEVAFRVSEGQVSHVDRNFEPTDLDGADMVLCAIDDPDASTRVW KLCKEKRIPANIADVPSECDFYFGSVHRDGPLQVMVSTNGNGPKIASMVRKKIADTLP DNMGAAIENVGKLRKKLREVAPNVEAGPKRMKWMSGVCESWSLDELVQMNDKDMDSLL AHYESGKIPTFDEVRSN AFLA_076630 MQGFFGKPVDNLFAEPYIARWIRMNVPGWKEAVVVSKNAGGTKR VTSLADTLKLNFGIVTTDRRRPKMNMAMTDSTVFFDSIDEDCTPVQKEDDPFVLHVQT GKHDDSQTEEVHRLSDNMESLLSANALLPDLLTGARRPSELEAAHGCTDVRVHDVITG RLVEGHLVDDDYHPIDSTPASGDTTPGQTVADHSDSVPDPMTESFISSTSSLPGDHAL GGSFDAAESSDEEGSVGRAVEREKTITFVGDVRDRTVFIVDDMIDKSGSWIAAAETVV KKGGAKKVYCIATHGLFGDKSLEQMEECDVIDYIVVTNTFPISEQMVRKSKKLVVIDI STLISESIRRHHYGER AFLA_076640 MDKFKERIQSLEDSLKDTHIVEEAHHFKHKVGKFFNIVNPNHRH DEAHEQETDRKRTEIAKSHRFESFAPIREGNKVKWYVDALDYLWAVSIALENAKEVIY IEDWWLSPELFLRRPAYQTQEWRLDQVLKRRAEAGVKIYVIVYKEVNQALTCNSAHTK HALRNLCPEGTPGHGNIKILRHPDHNIFENAADMTLYWAHHEKFIVIDYALAFIGGID LCFGRWDANQHPLADVHPANMRDEVFPGQDFNNNRIMDFQSVAQWQANELSKADYGRM PWHDVAMGLMGDCVYDIAEHFVLRWNFIKRDKYKRDKTVDWLMLEGRLGEDEDLVAVQ RPKYPCGDYVQHPYEPLTSKPCGAQGSARAQIVRSSADWSSGILTEHSIQNAYKEIIS KAEHYVYIENQFFITATGDQQKPVLNTIGRAIVDACVRASREGRKFRVIIVIPAIPGF AGDLRQPEATGTRAIMDYQYKSINRGEHSIFGQLRHHGVDPEQHIFVFNLRAYDRINK TPALEALEKEAGVTYNDIQRGIAETIMSDSVHPSIGEEGDKGEVGYDNAQKEKEERLA KLRRYEEQAERHKPEYQPSSKDTVAHTTMLNGGKMSDEPWEGEAEAEKANFVQEELYV HGKVCIVDDRVAICGSANINDRSQLGYHDSELAIVVEDQDFIDSMMDGKPFKAGRVAA TLRRQLWREHLGLLPAQDYDASQSPNAQPPNVCMNQILEGPENSFVTDPMSDPLWEMW TGRATTNTEIYRMLFRADPDDDIKNFDEYDSFRPRGNHKQGHLYDPYQPVKDVREKLD KIKGHLVWMPLRFLEDANMAEPGLQVNQITESIYT AFLA_076650 MLGKLSSVSILVEKLIGHGNHNYAVTEFVQGSKTRRWAIAWSWG DLRPSVDVARSIATFPKHLLPFPSEYVFNIPNGSIDDASQKLDKELASLSLQWIWRSN LAMGVGFAMENVWSRQARRKMKGSAEAMQSIDVDDSRAALGFKVQLRKEGIEEKGVRV LIRWLKGTDSVLFESFCGMVKRKLEGR AFLA_076660 MIRDEDHIIIHAASSINLGSALKRVSDPIIGASEIMANLAFTCK RLDRFIYVSSAYSNAHLYPRGPDADVQINEEICEPGRQSLVLDELNEVRKSGTSQAYE AENFPWAYAYAKHITERLLQHYFSVHAAEKKLLIIRPTN AFLA_076670 MAEVENGSANEPTQTPPALSQADNKEHGVDVSTHSDASDAVEED KPTLPQDMERQKIDVNDSQGHKEVQSELDSIEPTEPIETSSDPSKDAVEPPAPEDKPS LQSEAKDENTDNTQDGSQEVSPEQGSPAENPDRDSVPPQDVPVPDPRVRSDSRSTTAT FATHRSVPVSSTVFIVTALDTIGASREARRTKELEDAVKSAIANVKQSDGQPIDPEVI FRPLLLATKTLSVPLQVTALDCIGKLITYSYFAFPSAHDGNTSQTEPTQEQPPLIERA IDAICDCFENEATPNEVQQQILKSLLAAVLNDKIVVHGAGLLKAVRQIYNIFIYSKSS QNQQIAQGSLTQMVSTVFDRVRVRLELKELHIRDGEKAQGSSSDTVTLDPAENPQTNE EDQGSDVASAAVPDQPVAKEPTEKLTLQSFESNKDITSVNDNAPTTVTRAKARQTPVR SLSISGEEREDGEGLDDDEDEVYVKDAFLVFRALCKLSHKILSHEQQQDLKSQNMRSK LLSLHHIHYLINTHITVFTSPLLTIRQSSNSSDAMTLLQAVRPHLCLSLSRNGSSSVP RVFEVCCEIFWLMLKHMRVMMKKELEVFLKEIYLAILEKRNAPAFQKQYFMEILERLA DDPRALVEIYLNYDCDRTALENIFQNIIEQLSRYASVPVSITAAQQHQFQEHHVKISR LGLEWHQRGTLPPTLTTANVSNIQQPNLQGVPSEYTLKYQSVESLVEILQSLDNWASQ RMADQAVTNITSHKSIDNSRESLDTNAGAFLSSPRVDATEGSTGRSTPVAEDDPSQME KVKQRKIALMNAVQQFNFKPKRGIKLFIQEGFIKSDPAEIASLLYRNDRLDKAMVGEY LGEGEAENIAIMHAFVDMMDFTKRRFVDALRGFLQNFRLPGEAQKIDRFMLKFAERYT TQNPNAFANADTAYVLAYSVILLNTDLHSSKMKGRRMTKEEFIKNNRGINDNQDLPEE YLTSIYDEIAGNEIVLDTEREHAANVGMPTGTPGGLASRAGQVFATVGRDIQGEKYAQ ASEEMANKTEQLYRSLIRAQRKTAVREALSRFIPATSVRHVGSMFNVTWMSFLSGLSA PMQDTQNLEMIKLCMEGMKVAIRVSCSFDLETPRVAFVTALAKFTNLGNIREMVGKNV EALKALLDVALTEGNYLKSSWREILTCVSQLDRLQLLTDGVDEGSLPDVSRARIVPQA SSEGSRKSFQSSRRPRPRSINGPTAFRTEAAMESRSAEMIRGVDRIFTNTANLSHEAI IDFIRALSEVSWQEIQSSGQTDSPRTYSLQKLVEISYYNMTRVRIEWSKIWEVLGQHF NLVGCHSNTTVVFFALDSLRQLSMRFMEIEELPGFKFQKDFLKPFEHVMANSNAVTVK DMILRCLIQMIQARGDNIRSGWKTMFGVFTVAAREPYEGIVNMAFEHVTQIYNTRFGI VITQGAFPDLVVCLTEFSKNSKFQKKSLQAIETLKSTVSKMLRSPECPLSHRGSSAEE FHDENTNLAKQLSRQSKEEQFWYPILIAFQDVLMTGDDLEVRSRALTYLFETLIRYGG DYPQEFWDVLWRQLLYPIFVVLQSKSEMSKVPNHEELSVWLSTTMIQALRNMITLFTH YFDALEYMLGRILELLTLCICQENDTIARIGSNCLQQLILQNVMKFKQEHWEKVVGAF VELFSKTTAYELFTAAAAISTKSSEPHKSINGEVASNEGGTHETAEPSSARESLTDSS KTNGLQNVAHEHEEGDMPTAANSELEDYRTQAEVQQQPAAVTAARRRYFNRIITNCVL QLLMIETVHELFSNDKVYAQIPSHELLRLMGLLKKSYQFAKKFNEDKELRMQLWRQGF MKQPPNLLKQESGSAATYVHILFRMYHDEREERRNSRAETEAALIPLCADIIRSFVLL DEDSQHRNVIAWRPVVVDVLEGYTNFPSEGFDKHIQTFYPLSVDLLGRDLNPEIRMAL QSLLRRIGEAKLGIPASQAPVNPRSSVSRRKDSVGR AFLA_076680 MVASAVRMRTPSAMLIKGASSLRRPQVMHRFKDAVQPQLPAFAA LSRYYASKSFPPHTIISMPALSPTMLAGNIGAWQKKPGDSLQPGDVLVEIETDKAQMD FEFQEEGVLAKVLKETGEKEVAVGSPIAVLVEEGTDVSSFESFTAEDAGGDKGAAPAQ ESKEESKGAADAAPASTPAPEPAAQEPETSGEKLQPSLDREPTISPAAKALALEKGVP IKALKGTGRGGQITKEDVEKYKPSASAAAGPTYEDIPLTSMRKTIASRLQQSTRENPH FFVSTTLSVTKLLKLRQALNASADGKYKLSVNDFLVKACAAALQKVPAVNSSWHEENG QVVIRQHKNADISVAVATPAGLITPVVKNVQGLGLSSISNSIKDLGKRARDNKLKPEE YQGGTFTISNMGMNPAVERFTAVINPPQAGILAVGTTRKVAVPVETENGTEVEWDDQI IVTGSFDHKVVDGAVGAEWIKELKKVVENPLELLL AFLA_076690 MRLLKTLPHFHLTVPLKSHSQFPPRSESLSFYFFSRAPSVGGIY KDESESTASLVDVDSQHVTVVDSNFLDQDIKTTTQAERIEQEESEENKKAKEERKAKA KAKAKASGVCRNSDNPVYIGNAVLLSLVGAGLGFGAYRKHAQGKLSWELIGLWSGAVG AFGAVDYFVSK AFLA_076700 MVLQLRKEREDAQSVTNRNELLGRRPHHTATPENPYAQSSLPQS SAFAPSSSRGGLSFGASPADYNRETHALREQSFLANTSIQLDEFLDRGRAVLVDLGQQ REVLKGTQRRLYSVANTLGVSGETIRKVERRAKQDKWIFWGGVLIFFLFCWAVLHFLR AFLA_076710 MIPSQPCVGKESETDRFSRQQTKFVSEFLLTGNQRPPIPLPFLS FSPFSSSFLFSFHLTFPFLPDRILSILSYTSFTMFAARQSFNLLQKRAFSASASQASK VAVLGAAGGIGQPLSLLLKLNPRVSELALYDIRGGPGVAADLSHINTNSTVSGYEATP SGLRDALKGSEIVLIPAGVPRKPGMTRDDLFNTNASIVRDLAKAAAEASPEANILVIS NPVNSTVPIVSEVFKSKGVYNPKRLFGVTTLDVVRASRFISQVQKTDPSNEAVTVVGG HSGVTIVPLLSQSSHPSIEGKTRDELVNRIQFGGDEVVKAKDGAGSATLSMAMAGARM AESLLKAAQGEKGVVEPTFVDSPLYKDQGVDFFASKVELGPNGVEKILPVGQVNAYEE KLLEACLGDLKKNIQKGIDFVKANP AFLA_076720 MADSSVTATAAASETKLEAEPPVDNNTIKPDTKSSVAVSESAPA CKKHPSKEKDPNGRNRDSKKKSHKSKSSSVVTPSDDSSDGSDSSADSSSAEESVSEDE ESPSSSSESEPERTHRRRGAKNKTKKSLRNSRKKKSRSQYETESESDPDESEDDDTAL DEKAVKRLVSKLRARKKAKKLRSQEDSSEELEDSDEEADPDDMALLLAEERLASLRLK RGDGRRRNRNRKRNSSDGQADGQRKSKGRKKAASKIAFKRVDELWDNTIHNFKLTETV DDPDANEWDQYLFTVRRKFDWDNKYTETVVDLKSKYIRDALAKVMDGVKGVSLVQETA VVDPNMLFLYLEETRQYMNDLKQQAKTEKKRKAKKLAATKAAHLKVLVKYLDTDYAET KKTLYPLLEANTITFDLLWALFKPNTIAYTPTYGNQDEPRAFKLEYATKESSFMKGQW YSIEGRYLEYDGKSFGMGTMLAEVESFKGARKITSLNCYPLKYHREAEDVKAKLIERG KKFVSMRGMNYRFHKGMAFYKKKRSVIKVNINGRVMIDPAIHRRINPNYPISTVRPKD ADYIDDSDGAGSDGGCCCVSESDSDDPYVHRRDSDAPRVRYKVIQDKEGNPHVVEVEL DENGNEIQKEQMDEVEDPSERDFTEEELLIASPVVLGFAFSEKLWLEFSISGISDINW NEDAFDSLVLPDNQKSIVKALVESHTFCAAQNIDDVIQGKGKGLVAVLHGPPGTGKTL TAEGIAELLKRPLYMVSAGELGTDSRTLEAELNKILDIAHSWGAVLLLDEADIFLEKR TIQDIHRNALVSIFLRLLEYFQGILFLTTNRVETFDDAFQSRIHVALRYGDLTTKAKR SVWKMFVEKVQAMDGVQTATFTEKDFDNLARHNLNGRQIKNSVRTAQALAVNEKTPLS MEHIKRVLEVAETFDHDLRGGTGYIDAMRSYT AFLA_076730 MDQAPPTPPTPASQESIMPEFTPINGNTDKPSAPSLSAAATSTP TKRGKKSTATNGEKASPPKKAKGAAGSSPSKKSIGPIPTSFEAAGLSDRMIIQMRDEE GKNWGEINESWMKMTGIKVGTSTLRMRYTTMKANFVEFTGEDEARLLRLKKEIEEKFE QEKWSKLMDAIEADGGKKYPVAALQKKFKDLSKGNTHVDTIKEEE AFLA_076740 MLRSPISPERSAARPPNPTRPSFDSELERPGSSGSDASSVTSNV TTISAVQSPFYQPPSGTSSPRPPRTSSITTATVSSQNGPSPTHTRPPASFMPQNEISS RKPTGRAHPPDLMMKHRSRHHSQGFFEPSLPTASASDSISASRIAAQTAMQQQQHQQQ GITAQQLPKRPQTIVYTPDDGSRTRGGSISPPPLLPAPSLPPPGSSGSSGQTYQNGHS GVATTAANVAFPRHAGLQPPGLEAPPEKEHKQKGEKSKMKLFSKPKHIGISRDKDGIP KDRGLPSPSKMGFAGAGLSRIVSASTTSLADTFPSNNSSMYNLSNASASTVVPADKPT ASEKEKDKEKEKAHKHHFLSRQKLKLKDRDDHYNLPLSSASSNSKPSDPNAPQSLYSF TPASPGPVSTTFGKSVSGLDILHGGRALREKKKEEKEKEKAMAESEQHEWMTGPTGAG GASTVFAGPSSIGSAGGLTEAALRETLQGFGLNNMSPEDAWDFLKAKLLVIFDGEDVR ISIEDLNKLVLIHIQRCVQKRTPSAIVDDLRELLETGFASLNHTLNGVPDEKLVPHLV QIWMLVFGTILPFIQAVFLPLDLEFRGCGTVMNLREAREFWAAALNGDYPGCELEVRN LVLIAFRDKVILYRYDGLKATFSRLSLESINLGNSALSVTTKSSSSSGRPATAASLDA GFGSYNSQSSTLLNAAASYSSDSMSCNRSRAASNTSSNPDQLIFQSFSSPTQRPTIIH RSSHTADTSHMITETVGRMLQCVSVLASVQTGGKPQEKIELLSKALKHNWLGRGRTGR DRRGFVGAKIRPAMVTRTESDDSMIDRNGDSDIMRDGHREISVL AFLA_076750 MNQTINFSTNIFPSMSTTTTSNDQHDSKRLKTSPTNETFGNTDI MAAGTSSTQSNPLCAVYSAPQSTQTFEHSISSPLPSTNLSPENVQTKVAYLSELRKLV PNLQNDINVFLTERMEEDKKLAEAQGRQLSEQERKEEENYGEEVVEEDA AFLA_076760 MLSAFTARPLVELKPRDKSRIESVLAYGDRLLVGLNNGSLRIYR INEVSPDEQNHDDSNNHSHDEQGGGGTLKNGDSGRPGTTDSVAKPKQTDLLRELEKFS RYKIEQLALIKEAKLLISLSGGYISIHDLQTYEIQEQLTKTKGATTFNVTSNIVNDPE TGVPSIVSRLAVAVKRKILLWSWRDMELDSDAAEMTLVSGIKTLTWVSGTKLVAGLGS NFVMVDIETSVVTDLAGPGSIGGLGGQETSRLAGVGVASMSYIGIGGAAPKPLATRLS EGQILLAKDINTHFIDINGNSLGRRQIPWSHAPANIGYSYPFLLALHDPSKGVMEVRN PETLSLLQSVALPSASILHFPQPSISLAHAGKGFLVGSDRTIWRMEALSYDTQIDTLV EKGYLDEAISLTSMLEDALLSDKKGRLRTIKMEKAQGLFTLRKYRDSMDLFTEISAPP ETVIRLYPKVIAGDLSSIDEEEESEESITDDPSKTNEGQVQLDGAITENASAPKTLNH APSVRSLLRTRTDDWSDAGSIRGKPTEEARNEKPLHGKDLLTAVRELQKYLADVRRRF QRFLNPDGTLKTIDSPSDAANDEFTDSVMKLLDITKDIHDHEFAEKLHEEARLVDTTF FRVCMYATPALAGSLFRIANFCDPEVVMEKLEETGRYNDLIDFLYGKKMHRQALELLQ RFGQAESETETAPQLHGPKRTVAYLQNLAPDRIDLILEFAEWPVREDPNLGMEIFLAD TENAETLPRHQVLEFLQGIDPNLAVRYLEHVIGELNDMTPDLHQKLLTFYMDRLKKNG SDSWAFPNGEERILWRNKFLEMLRSSSQYSPAKILDSLDRDDPEFFEARAIVFSKMGQ HRQALEIYVFKLEDYAKAEEYCNHFHKTDDITAEAAPLSVLDSDDKPSIHLTLLSLYL TPPHGYERRYGPALEILAKHGSRLPPSSALELIPESLPVKELDFYFKGRMRAATSALN ESRIVASLQKAQNFKTEAQLMVGEGTDGKSCRMRHVTITEERICGICHKRIGGSVINV FPDNTVVHLGCANRASAAS AFLA_076770 MVGLPARGKSLIAGKAMRYLAWVGIPARVFNVGTYRRSNTPQPN ATFFDPHNSEGEKMRKAAAEAAMSDMLQWFSSGKGVVAILDATNSTKSRRSWIYESCH AANVETLFVESICDEEDLIMNNILEVKTTSPDYKGQDPEAAALDFRNRIRNYEKVYET IDDNEKHYTYVKLINVGSTVIINQIKDYLSSRLVYYIQNLHIKPRSIWLSRHGESEYN LTGKIGGDSNISPRGEAYAQALPGLLKKSGVPPNTKIVIWTSTLKRTIQTARHLAAET GYEKLEWKALDELDSGVCDGLTYEEIAEKYPEDFAARDEDKYNYRYRGGESYRDVVIR LEPIIMELERSENVIIVTHQAVLRCIYAYFLNTPQEQSPWMEVPLHTLIKLTPRAYGT DEQRFKADIPAVSTWRAKGTSAKHQDYPTEIKA AFLA_076780 MVDAPESPVPQQQTPVKTFQNGVRTTGRAFHSPNWRVKGEESPS AQSAGSPGPKTNTSRIAFSRPSPHVPQAISEGRRLYVGNMPYTAKSEDVQALFTAAEF TIERIDIAIDPFTGRNPSYCFVDLESKELAEKAMNELDGRDMLGRPVKIKPGVVKSSS ERSQQQQQQQQRTDGSPRSDSKTSLFTMDRWRRNDAPTFARTNSDSSRRLYVGGLPRL TDQEDISSNITNFFKDYKLENISKLFTPHPAKRFEPGDHYYLFVDFSSVEEAQSAMSA LNGQEGPWGSPIRVQRARGETNSEDRKSKWSSARGDETPATGDVSVAV AFLA_076790 MFGKEDMGPFADPQHKDDTEHVERNENAMPRRILATAAERARRN INAKLANPLAGYSYEELRSQGINFAVSHHIGDEEDIRAFGIGAILAQVPDKFTQVPDL RPDEIEVLQKEFSNRWSQPWTMYLVIALCSLAAAVQGMDETVVNGAQIFYKHQFGIGN DDSRSNWLVGLVNSAPYLCCAVIGCWLTVPFNAWFGRRGTIFITCCFSALACLWQGFV NTWWHMFIARFVLGFGIGPKSATVPIYAAETAPPVIRGALVMQWQMWTAFGIMFGYAA DLVFYEVRDPVGITGLNWRLMVGSAMLPAILVCCFVFTCPESPRWYMSRKCHDKAYRS MCSLRFHKIQAARDLFYIHTLLEAENAMKLGQNKVLEMITVPRNRRALVASELVMFLQ QYLLFLYLGVNVIAYYSSEIFLEATNQRNALTASLGWGLINWLFAIPAVYTIDTFGRR NLLLTTFPLMALSMFFTGFSFWIPQETHSSARLACIALGLYLFGIVYSVGEGPVPFTY SAEAYPLYIRSYGMALATATTWLFNFTLAVTWPSLRSAFTPQGAFSWYAGWNIVGWWL ILLLMPETKGKTLEELDQVFSVSTTFHAAYGLRQIPYFFQRYILRQNVRPEVLYERED AFNVPPEAGYNTL AFLA_076800 MLGKIALEEAFALPRFEEKTRWWASLFSTDAETHVKEITDINKI RIEHADKHGVGYQILSYTAPGVQDIWDPVEAQALAVEINDYIAEQVRVNPDRFGAFAT LSMHNPKEAADELRRCVEKYGFKGALVNDTQRAGPDGDDMIFYDNADWDIFWQTCTEL DVPFYMHPRNPTGTIYEKLWADRKWLVGPPLSFAHGVSLHVLGMVTNGVFDRHPKLQI IMGHLGEHVPFDMWRINHWFEDRKKLLGLAETCKKTIRDYFAENIWITTSGHFSTTTL NFCMAEVGSDRILFSIDYPFETFSDACEWFDNAELNGTDRLKIGRENAKKLFKLDSYK DSSA AFLA_076810 MSPRVNITKKRRWSPRMEDQQKLANAKKNLSSLHTFTFNLMKNK TSPRIKYRDSRVEIPHFDSERITSMLGDATHTPLDLHEQFDSDEDQGRPLSSSSSNTS SRRSIHGSLNTPITPVTPLLVHSELEISSTEPFPDYYESCEQNTAVIDKDHEEAYNNA IGKVFEESVRMRELGAGTTPATISPLATHVGCPVTIDSYPRQDKSNISLTSHSALRSE TTGRTQPSTAPTSVSRISPTTSVRADSDKGASMTSASQTRTTLEYTGAHLSRTSNATS TIYNDASVRDFAFGAAPPPTIAPPFMADGRPGIQARHIPSIPSSSTSNDWSERALGQP SPVASVSHTVPGTSDTRIRSDQNNQYYGLSFHSSQSTIAGSISGHNTGQVDFTSPQDS MSVSGSVCSSQTSKRTLSIDDMAMPDVLPTPNFQPPIGTGRPLPGATPRPAPNPRMQR RLHEAEERKLNILEEMKIILRADHMKDDIQRRFTAMTDIILRMEWILFRRDVKPDGTR TNEFLSAPIVAEVQELAIPMVQCLAWLDKKLACELSIANQVLHWVIQIAKDEDLDVYQ RFRQMARVIVRNLRKPRPLEKHLLAVFQDLYDAYVYSDFLDIRNRQILQEEGFHIERD NLTRLLIAGWDVLNRAIETYNEIITINFDIKNRFIDPVMERLPRSYEIWEEEWDDHER QCETQRNMDLEKLWMEAEERACAEAQEQEVARAQARAMAEFRAQMQWPGQRQGYGQWH VDSRIPVK AFLA_076820 MLFSLAFLSALSLATASPAGRAKNTTTYDYIVVGGGTSGLVVAN RLSENPDVSVLLLEAGASVFNNPDVTNANGYGLAFGSAIDWQYQSINQSYAGGKQQVL RAGKALGGTSTINGMAYTRAEDVQIDVWQKLGNEGWTWKDLLPYYLKSENLTAPTSSQ VAAGAAYNPAVNGKEGPLKVGWSGSLASGNLSVALNRTFQAAGVPWVEDVNGGKMRGF NIYPSTLDVDLNVREDAARAYYFPYDDRKNLHLLENTTANRLFWKNGSAEEAIADGVE ITSADGKVTRVHAKKEVIISAGALRSPLILELSGVGNPTILKKNNITPRVDLPTVGEN LQDQFNNGMAGEGYGVLAGASTVTYPSISDVFGNETDSIVASLRSQLSDYAAATVKVS NGHMKQEDLERLYQLQFDLIVKDKVPIAEILFHPGGGNAVSSEFWGLLPFARGNIHIS SNDPTAPAAINPNYFMFEWDGKSQAGIAKYIRKILRSAPLNKLIAKETKPGLSEIPAT AADEKWVEWLKANYRSNFHPVGTAAMMPRSIGGVVDNRLRVYGTSNVRVVDASVLPFQ VCGHLVSTLYAVAERASDLIKEDAKSA AFLA_076830 MSPWQWWHVRPSGLVSSGRTTGRSRVASITWVVILVGVCSFLWA VYKGVRSWSRRALEKAGERVMDVPLPDDEEEDENNPSSEKSEQRKKEE AFLA_076840 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLIDPPSLSKVSLITPDIGMVYAGMGPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVVQEATQSGGVRPYGVSLLIAGWDEGVEPESEEAQKGDNEDEPK KATGKTGGILKGGPSLYQVDPSGSYYPWKATAIGKHATSAKTFLEKRYTEGLELEDAI HIALLTLKETIEGEMNGDTIEIGIVGPPADHLLGFEGVEGAQGPRFRKLTKEEIEDYL TNL AFLA_076850 MNQQDYLGLSTQEPQRVISFPASQSLHASAASSSGAISTGIPQL DEAIRPPSADVLGRTCDINSNGIPCGHVTEVLSVATSALRNGDKVIWIDTGSPLPKVR LASMLKKSPDATSSDLPEDPIKNLIYFHARSLPHLLALLIRPPKGFPPADAKLLVIDS VSGLFPSYFPNPSEFKSRLPQSGITDKMQIQWLMNRKWNVTSDLGNQLVKLAATHGLA ILLVNQTHTRIRGQPRATLCPVLAGGTWENSVHVRIAIFRDFLPEDEGDSKGVHFAEV MKRAGKTLSLRLDENIVPFKIETGGLRGIDKTPPPLILPQVPIETSLSASQRKRKVDE IADSQDEDSDEEFGWVEGDDAGLSDGAG AFLA_076860 MLNYIEPILGFNTVRHYAIIAMSTISSLAIVWLPLIPIAIFLIS HKYRQCTNPRPKGCRKLGLLGPKTNLHDEYDPKYSQGVPEKHTDPEDKPSWRIKALFA YPIKSCAGVELDTADVVPTGFTYDRQFCFAEYITPKTSTNGSQQAHWTTRTLRDGSLC RMALIRPEIWVPDPTAEDYSPELQEVQSQGVLVIHYPRVTAGILSLPVKLGVMLGLLS KELSFRVPFSPPPENTNPKSTYPLTPIKIWKDTPLAHDYGCHLPPSLHRFLDPDRTRG PLTLFRTNSSHHREIFRNAPRKEDLGFQPVTGFADAYPIHLLNIASVQDIAGKCKMDI PELSIRRFRANIIVQGPGAYEEDHWKRVRIFGPKTESGSEGVEVYTACRTIRCKLPNV DPDTGIRHPVEPDRALKRWRRIDRGDLTNAALGMQVVPAVREFRVCVGDGIEVLETGE HCYIKMLKPGEKVEGV AFLA_076870 MDGPDQIGPDLRPKRTWADKARRIVKTFTTRDGLIGDYDYAYLF TPNIPFMQQTRRTAPFFGLDDRVPVVLALILGLQHSLAMLAGVISPPILLGGSSGANL GEDDYQYLVSTSLIVSGLLSALQMLRFRIYKTPYSIGTGLISVVGTSFSIITVASGTF TQMYSSGYCPVDAQGNRLPCPAGYGALLGTSCLCSLLQIGLSFISSKVLKRVFPPLVT GPTVLLIGASLLETGMKDWAGGSGSCGSDPSARALCPSADAPHALPWGSAEFIGLGFL VFVTIILCERFGSPIMKSCAVVVGLLVGCIVAGACGYFDRSTIDAAPVVSFIWVRTFP LTIYAPLILPLLAVYIVIMMESIGDITATCDVSQVEVQGPDFDSRIRGGVLGNGLTCL LAGLCTITPMSVFAQNNGVIALTKCANRKAGYCCCFFLVIMGIFAKFAAALVAIPSSV LGGMTTFLFSSVAVSGIRIISSIPFTRRNRFILTASFAIGMAATLVPDWFDYFFTYSG DNHALQGLLDAVTLVMTNGFAVTAVLGLILNLLIPEDPEEEAAIVDARGSEVRSESEE TKGTSESSHFKTAEAGPAIV AFLA_076880 MSAKTADATQPRAGDIKKVHIADTAITRSNWHKHVNWLNVFLII GIPMYGCVQALWVPLQLKTAIWAVIYYFFTGLGITAGYHRLWAHCSYSARLPLRIWLA AVGGGAVEGSIRWWARDHRAHHRYTDTEKDPYSVRKGLLYSHLGWMVMKQNPKRIGRT DISDLNEDPVVVWQHRNYLKVVFTMGLFVPMLVAGLGWGDWWGGFVYAGILRIFFVQQ ATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIEWHQY DPTKWSIWIWKQLGLAYDLKQFRANEIEKGRVQQLQKKIDQKRAKLDWGVPLDQLPVM EWDDYVEQAKNGRGLIAIAGVVHDVTDFIKDHPGGKAMINSGIGKDATAMFNGGVYYH SNAAHNLLSTMRVGVIRGGCEVEIWKRSQKESTDYVRDSSGQRVIRAGEQVTKIPEPI PTADAA AFLA_076890 MAPEHYRRPPQAPPVFTATAQSIVDDAKRLIEASRKVQDEVVAN VKPETATFDSVLKPLAHDENTMALEAHILSFYQAVSTEQQLRDASSKAEAELDEFFIE TVMREDVFKLVDAVLNKNETLDPESRRLLEKEHKSYIRNGLGLPAGPKRDRFKEIKKR LSQISIEFQKNLNEENEGLWFTPEELDGVPEDVLSGLKKGEGENAGKLWLTFKYPDLF PTMKYAKNPETRKKVMIQNENKCNQNVPLFREAIVLRDEAARLLGYPNHAAFRIEDKM AKTPETVDTFLGDLRSRLTAGGQKEIKSLLELKKNDIEARGETFDGKYYLWDHRFYDR LMLEKNYSLDQQQIAEYFPLQTTIEGMLKIFEELFGLVFVEIIGDDRAKVAPSGKGSD IVWHEDVQIFSVWNDEGEGSGFVGYLYLDLFPRSGKYGHAANFNLQPGFIDKDGKRRY PATALVCNFTKPTPKKPSLLKHDEVVTLFHELGHGIHDLVAKTIYSRFHGTNTVRDFV EAPSQMLENWCWTPSQLKSLSKHYSTLSPEYFAAWKEQAGDKPEPAEQIPDEVIANLI RTKHVNDALFNLRQLHFGIFDMTVHEPKSHEDIEKLPISATYNQLRKQITQMDGPEIL GLGDEWGHGEATFGHLIGGYDAGYYGYLSSQVYSTDMFYTVFKADPMNPTAGRRYRHQ VLEKGGSQDEMTSLTEFLGREPKTDAFYKDMGLA AFLA_076900 MSTPDPQLQPEDQSLQQEQPTIQNRLPVHTHHCRFCNHLLLATT RDIPSLPRRKDPAKDKAIICPLPTSNTSEDTDSSNLQEHYTILLSTTIPDRKATLVRR EDGFEKRLFLRCGRCRVVVGYFLDRVLFPMTSVAASASGGDGEGEEDERKEKVVYLLP GALMETGVMGDEGRLKGVDDEWREWRVEV AFLA_076910 MASTNYKEAFSLFDKRGSGKVSLESLGDLLRACGQNPTLAEIAE LENGLGGDFDFESFVKVLNRPNGFRDPGEAEEYCRGFQVFDKDMTGFIGVGQLRYILT NLGEKMSDEEVDELLKAVDTSSGEINYTDLVRTILAN AFLA_076920 MTLAVGTISGLDQGPKGIRREREVEIMDNDTITVNGAPKKRKRV RKVNTDRKFECTHEGCGKSYSRAEHLYRHQLNHTPKQIYRCDFPDCYRSFVRQDLCIR HRERHTTQGSQLQKRDHFAQAATNQPQSPHHVPHVSQATVVTPPSLPTQMSSAPSNVV VPISPSSSSSPSVGLNSVFSYQHHILPSQNENTFPRSNSLSTHGKSSPPVPTSYSPPE LHKTSYGSLSNHHPTNTSIGEVHHDAQSHHPLSEPGQTPSTQSQGADGAYSSLPTDAN GSSLADTVPFTSQAGMQMPVNSYSGLSLAPAATSTPATLDQAANMGTLDAMSGMIAPG STVGDPGFDSLANCVYPIFGSESYNRSPFAMAEDFTAWLFNEPVPGSSSMSYPAATGM VPNYMDPTQLPNQFLLGDPAYGTFLSGVIPPHHPMSVTSILDPGSPRAIISEEKRQEL LHLMATRFNEAAYSAVAKRKDALMDGDMDDDNHVLSLRMMQTYIGSYWYHFHSQLPIL HRPTFFADQAPNLLLLIVIAIGASTLDKIHGPELTEAASELADFIVWHLRWELFMDAD FRPPAKLWVFQALLLLEVYEKMYSTRALHERAHIHHDTTLTLMRRGSSLIGRSAFDSP ASLRDDRQARSVSCSTTTQDFSADESWTHWIKAEATRRVAFAAFVLDSTHATMFGHSA KMVAHELRLPLPCDEALWSATSAAEVARVQASLHANGVKPVMFLDGLKRTLNGQRVRT NAFGRTILMAGLLSVSWHMNQRDLQVSSLGVPQALGGRDKWRAALLRAFDNWRRDFDE ALGQAGTPPPFPGYRVQHPLDDDNVFESRDVLHGLAHMASHVDIVDCQILGGATRLMG RAITARDYNAAREKMTERWATKASARDATFYALKFLSECLLSGESEEGELYSGRDDYL LNRPWVIYVAALVVWCYGYALEGPIRSPPKLATVADQRRDMQEFLRRVGGVRSPNDLE AMEGRNQCLGLLMILRDGFVNTRWELLAEAANLLSSCIEKLKGR AFLA_076930 MASKEGTAGQAFGPVLAAVATMQGNVSRTEKAHAHEFLEKFQKS VEAWTITHELLQSPDVPVEAKLFAATTLKGKIMFDLDQLPAESVPALRDSVMNLLVAF ASGPRPIQTQLCVCLASLAIQMTGWKDVLATVGSALGSNAGDCVLEFLRILPEEVTEG RKINLSEDDLILRTKELLEDNAEQVMHLLIQYAQSSRMYTFLKAFTTHSIHILKFIPI LATASTSPRLLDCITSWMREIPASKIVESPLLDVILKALDDDVSFEAAVESVCTLYRD TREVDDSLPIIQTLYPRLMSLRPKIAEAAEAEDMDAFRGITRLFAEAGESWVVLIARL PSDFRGLVEAVLECCARDWERDAVSLTFVFWYELKQYVTLERYADARVSYSDVFSKLV DVMVKHLEYPRPEEGETDLFGGDREQEEKFRHYRHSMGDVLKDCCAVIGVTECLSKAY QLIQQWISNYASQASDEHVPNWQELEAPLFSLRAMGRMVDPEESQILPQVIPLITQIP NQEKVRFQAIMALARYTEWTAQHPETLEAQLNYVISGFQHSSPEVVQAAALAFKFLGT DCQKLLGGHIAQLHSFYESVLDKLKPASQEEVTEGVAAVVAVQPLEKIYETMKMFCNP IMARIMNLANNAKDEQGQRAVADHLQLITIFVLVVNPYVSPHEENPAVKYCGEVLPIM TTIVMNFTSSTPILERVCRCWRNMLISYRTAMTPLLPTLAESLANGFQASREGCFLWA TDAVVREFSEGADLVDPGTSRAVFQFYEQQAIAFLRILNDLPPENLPDVIEDFYRLSS DAVRYYPKECITSSLSVPIFSAALSALTLQQIDPLIATLHYYHDLFSFAFEKPAVSDF TTSDGDPYMNPPEIREAVKQLIASQGQVLSQRLLTGMLFSFPAECFPDASGVMMSLFD LMPQEAGAWFQSTLQMLPAGTMKAGEAERLLKGISDRVQSGEIRKIRTLLQGNAYLNL SSPLLLPLLLFFLVTSRIPIGDEMWHLEKGWAGWRPPGSGLADKFSVDQDLTSQKHLS AFLA_076940 MAGRGGGGGGRKTLLAPIHFIFKLLQQRSTVSIWLYEQLAFRIE GKIRGFDEFMNLVVDDAVEVRLATKTEEEKRRPLGQILLKGDNVSLIQAVQ AFLA_076950 MMTGLQTAKKDLRRKMRDVLQKIPADSIVNQSRIATSKLFSLTE YQNAKRIGVYLSMPSGELSTTAIVQDALIDGKEVFIPYIHNVELPSTQQKTSIMDMLA LNSMEEFNSLAPDKWGIPSLTKTQVDTRKNCLGDGDWGLDLIVMPGMAFDREFRRLGH GKGYYDHFLTRYSKEVESKTTTPKMPLLGEIALSLKEQTLPSTEHIPVANHDWPVDVL IVGDNRCFVRHH AFLA_076960 MLARYFSLSLLATAVSAASISDLVGTWSTKSRKVVTGPDFYDPI NDKFLEPNLTGISYSFTEDGHYEEAYYRAVANPVNPSCPKGIMQWQHGKFVLNSDGSL ELTPIASDGRQLVSDPCSSSLATYTRYNQTETFNSFQVSKDPYHGIQRLDLKRFDDSP MHPMYLVYQPPQMLPTTTLNPVSETGKSKRHVARDTDRLPGVRNLITKEELTNPDRWL WVGVFATALGGITLFYS AFLA_076970 MFRPQRLTARLNLRSVRWNSTTSPSTPPLMAKIRTDLKVAMRAK DTARLNVLRAIISETNNSLKTSSPIQTDLQLLSLIRKRMTGAKDAAQQFAEANRPDLK ESEEKNVTILEEYANQVETISLDDVKQIVAQEISRLKEAGQKVEIGTLLKSLFAPGGA LDGKPAERSEVAKIAREAVSAL AFLA_076980 MSYPNLPPALTPQRPLPGAFFQTPGPNNVPSAPAFSPKPAPAPA EQPSPASLPKLPPAASKSKSQTLSTEERAARTVNDTLTQEARYPDLDSYLSQGFSSDY DIPVSPSWAPFQKVKMYNIPDQIFDQYNRAQVSTSMGLFAELNHAWVAIDNALYIWDY THPNPQLVGFEDQPNSINAVKLAKPRPGVFLPSITHLLVVSTTADVILLGMGCETTAT GARQVTLYQTGMSTSIRGLDIHVIASSDATGRIFFGGSSDNDVYELTYQQEEKWFQGR CSKVNHTSSRLGALTPSLSFSSFTHKMFENVEQMEIDDSRRLLYTLSSSSTIRVFHMK PDGTLALAITKPAMDIYANIGHIIASNETLNPKVPIVSISPIPAAEASRYHLMATTAT GYRIYLSATGSYSWSPSPNGTNAPTSMQAHHVKTPPFDGGSASPMGPAFQGQGRFQPS VAKVPIHSLDPTRFTVRYPPGYFFCFTCKDPTQKTDTLFVSSPDSGRVARSQENVIPG NASETAIWLSLGSRAEDVGLCSPSTAALATPGGFGNELAVQFDNPAAEIAILTNTGIH IIRRRRLVDMFAALVRGGGDGDEGLEGEVKNFIRTYGRSETLATALAVACGQGVEISA DSRLTQINDPHVLEFARKVFIEYGGRPTMNENAVADNSTPAIDSVVPSPRHAGIALYM SRLLRTIWRKEIAKVGPSPGGAQTILPSVPSAKLQTIQRDLSALQEFFKANKSFIEGL SGPEALARVSTKQEETALQAEHRALHSLVQLVSHTIEGISFILVLFDERVDEIVATLP DDSKQRFMKLTFEELFSTSKGHDIAKELVKGIVNRNIAKGSNVETVADALRRRCGSFC SAEDVVIFKAQELLKRATEAGSNSELGRNLLNESLHLFQQVSESLPMDYLVSAVENFI SNQFFAGAIQLALNVAARSDKANMALSWIVDGRPENDSRSDYFYFRKQCYDLIFKVII AVDNLAAHDPGVVDGQLTVVAKRKNEAYGVISDSVDEVFLTSLYDWYLEQGWSERLLH ANSAFVVTYLERKSADDIAHADLLWRYYAQSERFFEAAKVQFHLAQSAFTLPLGRRIE YLGRARANASTFTPDVGRQPRQRLLQDISNLIDLANIQDDLLQRLKDDKRLTSERRSQ VLADVDGPIMDISTLFNQYADPASYYDICLQIFYLADHRNPADIRSTWQHLLQDLHDE TVENGEPQPYEAVIDKVRSLGSRLRMSEIIFPIPTLLPMLERYALEHQRGVGPATWVV DLFLDLGVAHETLYTVLESMYYTDEAPFHGTNRKYIAKDLLYLIEHWFHDTVRLGGTV FGSDVVAERITETLLLLQQGGNIPPEQLQLANELRTRVEDILR AFLA_076990 MPSTAPVIRPKSQPVNAHKEESPISYDINIPYVDVNGETTARTR YPEYLPTWDKMWFDPLPPFHYDDPALRVEDRSKPNLLTPEAKVTEIQPKIGTIIEGVQ LSQLSDAAKDELALLVSERKVVAFPAQDLIDAGPEAQEQFMRHFGKPNYQPVSGTVRG HPGFHIIHRDGNKEEISRFLSQRTTTTLWHQDVSYEIQPPSYVMLGLLEGPEVGGDTV FAATDMAYKRLSPTFCSWLDTLRAVHSSAKMINHARLTNSLVRKDPVDTVHPLVRVHP VTGEKCLFINGEFITKIQGLKEPEQRWLTEFLMNHIITGHDFQARVRWQPKTIVIFDN RCTLHSAIVDYLDDDYGAKLRHIFRLTALGEKPIPVYDQFE AFLA_077000 MLVLLLSADVGGVIIDVITGGCDTEILRCFSFATNEIIALTGII LGVMIDQRKNISDKL AFLA_077010 MVQYASLISRKRDLIYFIFFAIHLPIIFLVDTVPLLPSILQTNL SHQIRSFYIATYHDKFFSEPAPAWFSTFIAMELVYHAPLSLWALGALLRDDPLVPMHL LVFGVQSFVTSSACLAEVWGWDDRTVAQKQDLTMLYAPYVMLGAFMALDMLFRLRGKL LSKSKSE AFLA_077020 MRITVSVIRPDQADADIISLEVGGDMTVELLKAIVESETSVPPS AQRIVYNNQLLGDDARTLEQVGIGEGDMLGVHVTLRSPQAPTRTAGGPSAPAAQQNLQ RRQAMNPDPETIRLHILGDPRVREAVRRQNPELADAASDAQRFRDVFLNQQRREAQLE AEKEARIAMLNADPFNPENQRQIEEIIRQNAVTENLHNAMEHHPESFGRVTMLYIPVE VNGHKLNAFVDSGAQVTIMSPECATACNIMRLVDQRYGGIAKGVGTANILGRVHSAQI KIGSMFLPCSFTVMEGKHIDLLLGLDMLRRHQACIDLRRGALVIQDQAVPFLGEADIP KHLQDGFEDEPLVKGADGAEVGARTGAVTHQAQGPGGASSSTAPPSRPAPAQSSRWPQ DSIAKITELGFTREEAMRALDAANGDLDGAIGFLI AFLA_077030 MSTEKNPHIHDAVQSRELQQEVEFKNPGVKRIAAISSQLGIVAR VFLFFGIFLVAYVYGLDGQLRVTYQPLATSSYAQHSLLTTINVLRNVIAAAAQPTAAK IADVFGRVELILLSVFFYTIGRDCQFIGCWTLLTYPGTIVEACADNVETFCAGAVLYQ IGYTTIILLVEVLVADMTSLRSRLLFSYIPATPFIINTWVSGDIASAVLGVTSWRWGI AMFAIIFPICTIPLFATLLVGHRKAKTASVETYQHPIRLLGAGKFAKELFWYLDVVGI LLLIAFLALILVPFTIARSAAEQWKTAKILAPLIIGLFCLPLFVIWERSYARYPMVPF KLLKDRAVWGALGIATMLNLAWSLQGTYLYTVLQVAFDQSVLSATRISSLYSFASVIT GCILGAIIVKVKQLKPFIVAGTILFAVAFGILIQFRGGTGSSSYSGIIGGEILLGIAG GMFPYPAQASIQAATKHEHLAVITGLYLAVYNIGSAIGGTISGAVWRQRMGKELTKHL GGANETLTDLAFGKPFDFIVSYPVGTPEREAVILAYKEVQRILCITGICLTVPLIIFS LCIRNPRLTKDQSLPDAEREE AFLA_077040 MLPKAVLLSLLASMALGASEFPIPESAGTETFSEPQEIAAGETF DGGLKTYGRGVECTGQDEGGDSDAVFILQEGATLKNAIIGADQIEGVHCEGACTIENV WWEKVCEDALSLKKGSGPYKVIGGGAQGAEDKVIQHNAEGEVSIDGFVVSDFGKLFRS CGNCDSQSQRSVTITNVKAYNGKKLAGVNENYGDVATITDTCATSVEDICTTYEATEG SGEPSEIGSGPSDSCVYTDPLPAC AFLA_077050 MAPASELPKRDSDQLIPIRTQKKGPDDWKGLTDSKERRRRQNRI NQRAYRQRKRAEKLGLPINAEGAESSTASSSSSSSQSPPTTALTTRSNCPTPDQTAAL LDLFSKTAYQSYILGSPTSDHLLTLAKVNVFRAFASIMSTLGMPKHHEWMDDDAISPF TLLRPGFTTPSTIPLTLRPTKLQQSIPHHPWLDFFPHPRMRDNLIRAGDFDDEQLCMD IMGFWDMSTESCGLLVWGDPQDLGNWEVSEEFIRKWPWVVGGCAELLVSTNRWRAMRG EKLIFRYL AFLA_077060 MGFPTAPKPKTLLGLHRILSPSAGVKVSPICLGGISIGNEWRFY TGKNEEPFKLLDVFYDMGGNFIDTASNYNNQMSETLIGQWMEERGVRDQMVIATKYTA GYRAFSEDPEPLQTNFTGNSAKSMHVSVRDSLKKLRTDYIDLLYVHWWDYATPVEEVM RGLHVLVMQGKVLYLGISNTPAWIVVKANAYAKQHGLTPFSVYQGNWNAAFRDMEGDV IPMCEDQDMAIVSYGSLGTGALLTVQQRKEREADPDAPMGSVSDIALKTSEVLEKIAD RKGTTLQAIALAYLFHQSTFVFPIVGVNTVEHIKAMPDALKVKLSKEEIDDIHEASPY SPGYPMTFTQYMQPVKYDLSWTPADNQQYQMSAWIDAPPKRLVGL AFLA_077070 MFLKPYQELKSRSNTTTPAATNTEDPLSKVDSQTTTASGKNKVD WDATGAAIGAGAAGFGKFMKHVYKGVIVDIPLAATEGLRAVPRLYGEEVEDYAVRDWK SGAIAGGKNFTQGMREGFTDIFTQTHKGAKEEGAVGMAKGFLKGTLSIGTKVPSGRLV LSFLKKLADPWVAALGLVAYPAHGITKSLHTVIRSKTRKQIVQARLREGQYIARKVAK PGIDHALVMQTFDALKNADTS AFLA_077080 MASKPLQRSKSDDLAEIVDAPPPYTLIAEAGSSTVQDDGRIDVD LDSPAARTALKFIPRLGEDDIISPPPTYSRPVECDIKLNIVIHVVGSRGDVQPFIALG NELQNHGHRVRLATHDVFDSFVRKSGLEFYPIGGDPAELMAFMVKNPGLIPNMKSLKA GEISRKRVMVREMLEGCWKSCIEDDPRTGAPFVTDAIIANPPSFAHVHCAQALGVPLH LMFTMPWSSTSEYPHPLANLKYSGNNASFANAVSYGVVEWMTWQGLGDVINDWRETID LERVPLTEGPSLVQTLKVPFTYCWSPALVPKPKDWPSYIDAFLRDGPPPVYIGFGSIV IDDPPRLTSILEEAVRAVGVRAIISRGWSKLGGSSSKDILYIGDCPHEWLFQNVSAVV HHGGAGTTACGLRFGKPTAIVPFFGDQPFWGKMIAASGAGPEPIPQKSLTAENLAEAI QYCLTPQAKEAAKDISNKMQYEAGVKAAVESFHRNLPLDRMRCQVIPDQPASWIYKKS AKPVFLSKLAAQILLDHLRIESKNLQSYDPTRPNHLITQANVTDTKSVL AFLA_077090 MYNNPIIPGFNPDPSIIRVKNDFFLVTSSFEYFPGAPIYHSTDL IRWTLIGHALTRRSQIHIQTPEPGGGVWATTLRYHDGVYYIIAASFERYRPQDDDRVW PRGFYVKTENIWDSGSWSDPVFFDQVGFDQDLFWDDDGTVYLSSTYRKLNRTPNANLK DFAIHIATIDLASGASTSEPKLIRESTSGVSEGSHIFKRGKYYYLFTAEGGTESGHCE WVSRSETGPFGPWEVGPNNPLWRNGINDEVQNTGHADLVEDAQGRWWAVLLGVRPVRK DGYWEESVFGNFAHSLLGLCCRTNSIATVTIGRETFLIPVEWKDDWPIFNRGKKISLQ SDTPHLYEYQHPVAWRDDFSSPELQLGWYRKNTPMRTDYSLTERPNHLRLHGGPYNLS VPSCPTLFLRKQIHHYCTWETKLSFSPQSQHTEAGTVVWWNYFTYSSIGIRLSPTKEG ARIVRFRPAEGEVIDRELRSAVSDVVFFIECGDQYRFGFKEVNGTSSETQWIGEVQNS TMTQSPPVGAPFTGMMLGLYAFGERQRCLLPAEFGYAEFR AFLA_077100 MTHAMNTGNTDPENIVLTAHLGSCHDHVYLLRTMIASGIRPLDF RLADSLALLKTIQGPTEPSEIASLVAKYAEGLSYTSDGADSDARALRAVVMAAFPNA AFLA_077110 MQSLAYIGIDRVIDKCHEVFFASEDFSDEDFVIANGGLYFIFAE YEFVDENHQMREIYRNCMNMTRRNLQAGLVNLRLLMPASADAISALSLGSESVKPTRG ATGITSTSAGVGVTVDGDGATQGRSLRKMALQVVLPASVSRQPELLWNIINSV AFLA_077120 MKAAESGLPDTIPYIPDADDILLPTPCDGGGHHPSVIDIPTSRA ISILGPVFGIRDLSHELPPNSSIARDDTLSLRNPFQSWGFSPAAHVPSVQLDKQRCA AFLA_077130 METDKNVTPHDDDDNQLAALGYRPVLARQFSTWALLGLAFAVLN SWTALSASLSISLTSGGSTSVIWGSAEASRPRETHA AFLA_077140 MTTREGHLRDRDGTIKQGLRCTGVISPRSNIPVNITKFFDVVVL GAGYAGLTACRDLTLAAQRIEEIRSTLNDVQLSLLKAYIGAISGNDMQTTGLFDILRW WALGGYSLTGVSELTERYRIAAGQSKFAAAFFDEALGTNNLSYFFGSRVVSIKDSDGL VTLSTATGETFTGKRLVCTIPLNIFHEVEFDPPLKASKQAASQRGHIGLGAKFHIEAA GGNTLRSWYGIGYHESRVLTIRGDGLTPAGNTHLVCFAKGSHDGPKSDAACLAAAVQK IHDLDINQLVWHNWVTDPLSRGTWCMFPPNYSSRFLRALRERQGNIHFASADWALGWR GFIDGAIEEGTRAAKAIADELRGPGSVRASL AFLA_077150 MALNEKETEESVPNETMEKPESTGQVEQHLNKVYNVWTAIVLTL KTSARRFLSYCTGWVVLMGEIGTAAGIVMNSAQIIGGVVQLSQPRFELTRWVTFLIYA ALLILSLLFSLSQRHLPAVAVLGGFITLFGGIAWAATFLALSPKRDTSFVFTEFINSS GYTSSAWVGIMSFYTPVYALYGTDGIMHIVEEMQDAEKSAPRAMIWSIIFSGVTSLLG ALVMGFCIGDWEAYLETDFPIIPWFVDTLNGSVTGGSTMVLIVIVFLNFLIAVSVNVA GSRLAWGMARDHALPWSNFFFRINHKLETPLHSTLLVVAAELALGFVVFGSNYAFQAI VSMGNAAIQLGYLIPTLVLLVSGRKALPGERAFSLGRLGLAINVLSVCWTTIIVIMLF FPLSVPINSENIMNMNWAVMMVGAVVILVTADWFFRGRYQYQV AFLA_077160 MWYPVRTTLTLVITLSAGVQALAVPRDVNSCRRAQVAILGAGVA GLTAAEALHNASISNFLIVERNNYFGGRALHTTFGQQPDGTPYTVELGANWIQGMNQP GGPENPVWALARKHGLRTTASNYSSLLTYDEKGYNDYRVLIDEYDAAYEIASAYAGEL LSGSRPDVSGRTGLALGGWRPHSDDMHRQASEWWRWDFEDAVSPEMGSLAFGATSSNV TFGDGEGDVGSLNEFVVDAEGLNKIFVKQAAEFLTVNDPRVALNTVVRNVTYSDDGVR IDMEDGSCVEAEHAICTFSLGVLQNNVVQFSPALPAWKSEAIAGFQMTTYTKIFMQFN ETFWDPETQYFLYADPIERGRYPIFQSLSVPGFLDGSNILFVTTTGLQSYAVENQSDE ETQAQIMEILRSMFPDKDIPEPLDFMYPRWSQDEWVVGSYSNWPVGTNLEQHRNIRAN VGRLWFAGEAGSTEFYGYLHGAWFEGQEMGRRVAGILNGHCTLDSVTSEGCGSLACHE GH AFLA_077170 MPRRYRKHQRKVKGSFVSWPRLLPNYTPLMNEPSRNTSELQFCR LAFQYTYLSYAPADADIIGCYECSQRRINCDRAEPQCAKCVSRGLECSGLGIRLRFSN YAAIRGNWVGKTMDDVYAGQRHPRPETDNTRSPLQVGTPVSFAAILNAASLPTAVEQV PEARRVPIYADKYGGTAQAGRPQDALEAGLSVLGLWDNFRAPIMDFWDGDLISSQSST ATIRPVLSQPAPDGVRPWEEMLMLYFSQRIAKEMVAIDGLHNGWIHIVLPLARTNELV LDAILAVSSFHLFANAPREAGKEEHPLQTEKWNPPICKHRAFTIAAVPLRSEDLYLRA IHGLQARQDIAACGNEEQRAVLLAILVLQIVVMVTGSDDFPLLFRMMESVLKAIGGEE RLGKGELSWFMRRHIHKMRVYGAPFLSENDGLETLSSEHRFLQMFDCLHYCAQQRPDA SANVPVIKSLVRQAHRIYLRQAAGHANNSLKSCICGTPTLPLRLDTQVVQEEAPPAPL PCECIALVQQYKETLETLPPGSIGEEVLTWATFIAASDCILTEHMAFFEDVFLRYHAR SGFANVLTGLEYLRRIWKGKRAGGGGLRWTAVLPQMRVIIM AFLA_077180 MSGALEEIYERGIVDPGIPVENPTKPFWQLTPHLQRGKNASAPS ASKRRSVSPTSSLATCGAMADAVHQDGLTSYCDLRILANGLEVYCNAKSFDMARQDTQ AYIPHLASQHLVYVGNELARLREMLNLSPRCISAIRVPTASVWP AFLA_077190 MRIQLLLAYFSLSAPVLAADPGLPMDDPTISYWQLPPHPDVADR QSPRLPDEVDIVIIGAGITGTSIARWLLHDGSQDHPLRIAMIEARQSCSGGTGRNAGH IRPTSWDYAKDKAIMGAEEAAKIVRFKARHFTEYTKAVHEDLDVAAIDAAEVRAIDSI DAWFADEDFNSAVEALEILKREVPDIGKEWIAYSGKEAQEKTLIPDVVGIFAGTPKTG GAMWPYRFVTNMQAALLKKYPTFSIDTHTPALNITQSQGQGKANFEVETPRGTIRARH VVHAEGAWIPHLIPKLDGHLTQARWPMTAQSVGDKIPDAGHWPALFPNGSEAGGRGWG LWRDYYASMLQQPKTGLFIGGGGIAGQALYPEWDDASPVEPILQSYLGGFMTTFFGYE NWGAERPASPPQKDIFPGRTKGVWTGIDSISFDEYPVVGPLPPSTTGRQARIGAGAEW ICTGYSGDGMPSAWLSGKALSEMILQSEKTGRKYSTWPDWFPKAWVASEERLSKPVNS TSVSSKTKRSRFL AFLA_077200 MLSLPACFLVVTAATVYANTLDQASNYPVSPELAAEYDCGQRCQ ESINATNASDREDFDMPFDFDFYATGNNFSTSSPGDVLKLVPVDPGLTNGPAGITAYK MQYTSIDLDNSTVPATAFVAFPYVQQPDAYKLVAFAHGTSGVFRGCAPSTSSNFYDYD TWIPLLFAGYAVVGTDYAGLGNNYTSHKYIATKANANDLYWSAVAAKRAFPQALSEEW VSIGHSQGGGASWKLSEQELVQTEKSGYLGGVAVAPNTHIYDAVIEGLSMTAGASGDD LHDYGSTSYIPSLYFALRAVYPNYAAPFLSELAQRRIALGERAQLCAAALPSVLGDLE SSQIILNTSLSGLSTIKAFQDVNAPAQGARTVHPLLVIAGANDTTVYPTITQRAYESS CKAGNALHLSIYPELEHSAVIGASAPEWLEFIARLFKGRRLYNCSFQTRGVFDAPIAR KPQES AFLA_077210 MQLPPTASLTHPIIMIASGSGIAPFRGFIQKRALQARAGQSLSR AVLFFGCRRSDEDDLYRSELDGFEREGIVEVKRAYSREVHSSDTRGGRYVQDRLELEK EDVIELWKLGARVYVCGGDGMARGVRAVLTRILEETGEGGDELLVAPRYVTEIFS AFLA_077220 MSGREDHEIPGPKPWPILGNGLDFPSEGYTDTLIELGLKYYPIY KLTFAGSVEVMVNSVALTNELCDESRFSKVVGPTLEELRSAAGSGLFTAYNGEPEWET GHRLLAPVFGPTKIRKMFDHMYEMVEQLSLKWLRYGSTYPIEVADDFSRVTLDTIALC GMSFRFNSFYRDGTFHPFVDSMNRWLKNSDTMGSTPRILKSFLFRAQRQYKYDIHLMR QTCLDLIERRKKDQSEHEDLLDSLLKGVDPTTGARLSEETCVDNLITFLIAGHETTAG LLGFVFYYLAKYPHVQRKAQEEVDRVYGDGSITVNDLQKLRYITAILREALRLNPTAP SWGVSPHRDEIIGGKWHVKKGQPLNIMLHSVHRDREVYGPTADEFDPERMLDEAFERL PPNAWKPFGNGKRGCIGRAFAWQEALLITSYLLHKFYFTLHDPNYTLVLQEALTVKPK GCRILAQPRGKKPTASSATTNDSLRGASGRVSSPSVPRSSGPPLLVLYGSNAGTCEAL GRQLARDIESRSSYTCTLAELDSYVGKLPRDQPVLILTGSYDGAPPSNAVKFVKWLER PIVESLKGVSYAVFGCGHKDWRATLYKVPAAIDELLAQKGAHSLAPLFKVDTGSDDAF VQLDMWIERDLTGALDMANTASGGTDSLRVFLQDPPNHRAGYVETVVCDVKLLTTPTT AKKIQVDLMVPTGLAYSVGDSISILPLNPKHTIQRALSRFHLAWDTYLKLENDNLTHL PTEYPISVADLLGSFVELGQTATLGNMKTLIDATDDWTTKQALLSLRDAHEDHIADKH VSVLDLLEQFPTIPISIEGYLSMLPLLRPRIYSIASDPQWQPGLLSIIASVIDEPHWS GSGRQHLALRRTTSPIFSREARSESP AFLA_077230 MAIRRAHRKSRHGCTNCKQRRVKCDETRPYCQNCTRRNNTCVYV TPVRVLSEPIASAEAGTSIGCLPIKPEPAVLPYSSWASLTPS AFLA_077240 MPIQIRYATESDSPDLVHINTVSFAPGLFYQNAFANVKVSALQT LKYARTFARFVDPKYHLLVATESETGRVIAFMRVVIPLHYQQDSHSLTELSEDANKMA AKPDEYLPEGINKRVYTCYLDMLKSSRERYLGENDMILDFLATDPEYQGRGIGSQMLK WATQKADSLNARMFLEATEEGYPLYKKYGWNTQEEVVIDFEPLGGHNKGRYYIMIRDP IPGTVQPN AFLA_077250 MPSPPPAWVQALKPAGPQGSELLAQERAQSNVDVDKLAELLHTK KALDRQQQILSILESDKVFDKSENYTLGRTEKIQRALAKAKRLQQLAVQHKWSQDDYY MANELLSEPTPYGLHASMFLVTLREQTTPEQKKLFLEPASKYEIIGCYAQTELGHGSN VRGLETTATWNPDDKTFTIHSPTLTASKWWIGSLGRTANHAVVMAQLFIGGKNYGPHP FVVQIRDLQTHQPLENIYVGDIGPKFGYNTMDNGFLLFNKVKIPHVNMLARFSSVDKE TNKYLRPASHSLLYGTMTWVRSNIVLQAGSVLARGVTIATRYCAVRRQFQDRDGGKDA GENQVLNYKMVQIRLLPLLASMYALHFTGRGMMRLYEENQKRMKGAVEAGQDKRGAGP EQLRAGADLLADLHATSCGLKALASTTAGEGLEICRRACGGHGYSNYSGIGPWYADYL PTLTWEGDNYMLTQQVARYLLKSARAVLAGKGTNNDTSQILQTYLSRREKGASFDILE EDKDIVAAFAWRTAHLTFEALKRRDVEKRSWNSLLVDFWRLSTAHSQYLVVKNFYEAV TSPQLTSELDPETVTLMHKLFRLYSLHTLEREAGEFFSSSAVTTRQISLAQSNAVMKL LDEIRPHAVRLVDAWKFPDWQLDSSLGRYDGEVYPDLFRRASQNPVNDLVFDPYPWNE NVLKNSAPKSKL AFLA_077260 MVKTLPFAANLEVPTPGFGAMGLSFGLGSNLSLEEAEPVLLKAI ELGCTFWDTAVVYQAGVNEKLLGDFIRKHNVRDKVFIASKCGFNVFGDGSVTNSAAHI KEYIEGTIQRLGFTPDLYYLHRIDPKTPLEESIPALDEIRKAGKTKYIGLSECSAATL RKANSIAKIDAIQAEYSAFETLHETDGLIDTARELNVAYVAYSPLGHGWLVDNFPYKT PDDFAPDDFRRKSPKFQGENFYKNRAIVDEIKKLAARKGCAISQIALAWVAAQGFIAI PGTTKAGRLEENWASREIELTEEEKLEMRRIIDAAKPHGNRYGPAHQAMVGH AFLA_077270 MHTPDYVEPAQGISYFTPAQNPPAGTAANPQTNGQKVPKLFQPF TVRGVTFQNRLGLAPLCQYSAEDGHMTDWHVAHLGGIAQRGPGLMMIEATAVQPEGRI TPQDVGLWKDSHIAPMKRVIEFVHSQGQKIGVQIAHAGRKASTIPPWMSGAVVASEQA GGWPENVKGPSDIPFADSFPKPKAMTKADIEEFKNAWAAACKRAIAAGADFIEIHNAH GYLLSSFLSPASNNRTDEYGGSFENRIRLPLEIAQLTRDTVGPDVPVFLRVSASDWLE EVLPEQSFNVNETVKFAQALVAQGAVDLIDISSGGVHAAQKVKSGPGFQVPFAVAVKK AVGDKMLVSAVGAITNGKQANQLLEEEGIDVALVGRGFQKDPGLAWTFAQHLDTEISM ANQIRWGFTRRGGTPYIDPSVYKPSIFD AFLA_077280 MESGPRHATVDRGHITKPERLENTYTSDTSLQRALSWYLPAQKL EQAQPQLVELGEEAISDQIREWSADAERHQPYVKGFNVWGQRYDYDRLITSEGWKQLG KWGARHGVVSLGYEPTYGAERRLVQYAVNYLYSPSSGLYSCPVSMSDGAALVLNQERK NVAADHPFQTAYQKLISKKEDYWTSGQWMTERAGGSDVQNTETWATYSPLSHRSKASN GLDEGDYLINGFKFFSSATDANIALMLAKTPSGKLSTFLAPLRKTIVGEDGKPRVVTN GVRIHRLKNKLGTKELPTAELELKDMRAHLVGTVDHGVMAIAPLLNITRTHTFIGSLA AWRRAISITKSFAKVRTTVGEPLWLIPMHLSLLADLEVKHRGAMNLAFFTVAVMGVVE NNGVSTPAAHLPTKGKEAQVVFRALTAIAKAVISKNAIAGIQECQEGMGGVGYMDEPD EPEFNIARLLRNTAVNSIWEGTTNVLASELVRFLVKRDNLTIFSAWFERTLALITTPE FASALKQIWSDFLSRIKATSEPRFILADARRVMFTLAWILCGALLALDAERDGDGVTT EIARRWILSGEGGVGDMVWRDIISVQRTASAGPSSITNEHLRWDCRIAWGVELPAKQV SGHRSFQGTGSKL AFLA_077290 MSLTLALEWPSLSCISRRNVSSSLSNNRQPNDEEEQDRMDLAHH VYRLLLGGALHLAPIKNDVQRVLDLGTGTGIWAIDFADEHPSAQVIGTDLSPIQPRWV PPTCSFEIDDFECDWLYTRPFDFIHARELEGCIGNDLKFFKQAFKHLVPGGYFEVQAV TSPFLSDDDTLDKAPNAQEWMDNLVKGLRKFGKPADNVPGWKDKLKDAGFVDVHQEIR KLPIGPWPKDPKLKEIGKYQGVQELQVIDSYTPAIFSRILGWSHEEIQILIAKVKREL RDPSIHLYLPVYFIYGRKPV AFLA_077300 MSSPAENEKNSEILLPSSEHIQNSSDPSPHAALNKEACIRYERD RASSGGKFFKSFGWMGDLPEWKVGGEKLKGRALNWSIGFIASCGFLMFGYDQGVLSAL LTLDSFQEVLPLMTPRDKSNDLCWLDNPTNTIPNPTYCTGDANTQAAGVAIYQIGCWM GSLVILAYGERWGRKSSTFWGSLIMIIGTIMQAACFDYGLFVAGRVVGGIGNGMVTST IPTWQSECARPHQRGVLIMLSGALISAGVMIAYWVDYGFYFLTGSVRWRFPLMFQSFF TIIVMIGLLYLPDSPRWLTMQGRHAEARDVTARLVGKAEDHPDVEEELRSINEALEVQ SRGGSFKYRELLTNGPSQNLRRSTLAMVSQFFQQMCGINLVTYYATAIFENSLGFGPE MARLLAACNGTEYFMASLIALPLIERTGRRKLMIIGASGMMVSMAILAGTVSTGEIME NGAPKLETQYGVTATVFLFVFNSFFAIGWLGMTWLYPAEITNLRIRIQANALSTSSNW MSNFLIVMITPPAFANLGYQTYIIFAVFNAALIPCVYLFFPETKGRTLEELDVVFASA NAQGISPVKQSLQMPKLAGDSLDRELARYFGTSSDEETSREK AFLA_077310 MHKNAQQNEIFQDTYNRQPKGTPITPKTQSPCKTPPSPYHLEKQ SISSAPPTTLHNLSNTPLDTKPQIIRPITITITITQYAGIYRETITVAVERNQNSTNK PISPDLLLRLLLARKGDFLAGGGNTEEEG AFLA_077320 MGPAEWAATIFSLESREDLPRVSCLSETRTGRAQDVSLARWVAI APAASRVFDRRVNVDSLRNVSRFLDIYGPAVGAEREDTGKPLQLTFPSLVYAYRLLVE GRISKDPGIIQLPELEKPDRNLFSLWADQKAPPRR AFLA_077330 MNPIKRYFIQHTPQSSYKPHINMTSTLSHVLNVWDAQRANSPIY ALLLDNITITDASPGTIHANLPIAKNHTNSKGGLHGTLTACLVDWAAGMAIASQGASY TGVSTDLHVSYLSSAKEEEILEITGRAMKVGGTLAYVSVEIEKVKGNGDRVVVATGLH TKYVRKTD AFLA_077340 MTLIHIVLFKFRSDVSEEHKKTFVTELKKLKKLSCVKAGRLIVG GPSVTDPIDRSKGFQIALVSYHESQAALAEYQASQEHHWVTSTYMFPYKEDLVRFDFE VDPEDEDMCQFPLLV AFLA_077350 MIRRTDGRRTKQLTYHCTGRGMDKPTAELPSPRPLRTFNHSETK PLDSLLEGPIYVSTLDLLFVTDIPYGRICSIDSNANWTLVTEYDGEPNGLVWNHITKN ITIIADFKQGILELDPTSRELQIIASRYQGERLKGPNDLVITADGVIYFTDQGMTGLQ DPTGRVFLESETATILKVDWHCQGWLMKLAKE AFLA_077360 MATHPGQPSIDPENPPSQSECPTQPPTGHSDHDAANYPFYKRNA CTTCRRMKSKCDMAKPACGTCSRFNRTCAYETTTGSFQDTMEETDPTASSTERAYTEP SVGYRIYFEDVHIYMPIIHRQRHLSALNYAPDSRPPVCLQYMIWCHAALVSEKYDTLH TAFYERARKYAEADEMKGYGGGILSLAHAQTWLLITAYEYKMMFFPRAWLSCGKACRL AIMLGLHHLDSPSPQIKQCFTPSIDWVEKEERRRVFWTTFCIDKFATIGTGWPVGINE TEAMTNLPASEEAFITGDSEPTVPLSDVLDGEGLSTLSPSASIAFVSCIFGRITNHLR LPQSQDDDDYSTGGFWQRHRSCDEILLHFALTMPTHLRLPIGIGDPNIIFCNIALHTA VICLHQAAIFKAEWNNITEYPIVQSRLRCSAAAHQILEVIKMVGPINMGKVNPFVSFC LYVAARVYVQHLKLHPEDTEAHSAFQFFRSVIHTLKTTNPLAESFLFQLDVDSEGGSF QGLRLPTNNFPVEMLTPRNL AFLA_077370 MRVSSLALALCASVAMTAPTETPDLHNILQAFNTSKIVQDAGPV LESLLVTGNCNIPACFQQLIPAVQECNAAIVGGGSDIASDLECVSSVVADLVPSQSNN CAVFVLGMLSLPSDLS AFLA_077380 MFWKTARTIQYAQIFLVIAPAFILYGYNQAGLSALLDLPDVIRY FPQIDTVNTHGAKKAENSTIQGLINACLQLGTLVGALSCSMTGDALGRRKAIFVAGIC AVAGQILQCTAFSLRRFTVGRIILGAGVGQLSVIVPLWQAESSSAGNRGRKVITAGIF ICMGFLLSSWINVGFSKAPLPPLQWRVSLAIPVLLCSIICISILTFPKSPRWLVQKHR ITDAAEALAKLNGMSSDDEHVQYEICRIRDSLEGGPKVSIKDVFNRNDQNRLIYRFAL CLVIQTLQQLVGGNLILIYTTSIFESNLHLQGDIPAIVAASSLTWKFLCSFIAFFAVD RLGRRWLFVLSGTGMSICMVAMAAATSFPVSNHAASIVAAVFIFIFNIFCPIGFLGET FSTALRLPLPTYERPCPPYQPQTIGCGTL AFLA_077390 MGTRGLEIVRFHRRYYVRYHRNDSYFEGVGAKIVASIPTDPDEY HKWLQAMRAEYAAKESALETHVYEIRHGFKPDYSQFREFVTLPSELPRLRDDFEYIYI INLDHEVLTMNHSIHWKLGNIPRQDELWLRAIADSIYMYKPTISLDVCPEEHMDSLAL ELPKRKRKIGYDFRVVVPRTNIAEARKTFLTRLLASTLIQYQDEIIRFGREWGPDSFP FREMAFALVSIASGQAKFHSFPSQQCNPRACGASDCKLNHLSKLPGWLDEEWAGDSAP LLEFGSLSHRPGEPPGASPTEMIYWLEDVLVSLTLVIDGKAITEAVNWGIEQGRTSFQ IVVLSLFKAAFAEVFLGDDGEPFVEVSRAVDLSPLRANYCVSTHPRDRPDLKPGMKTQ RQFGELIMNSNCTGTVQRLRSQFPGLAALVNFFEVAGNRRAASNSEGILPPELYYRIL DFVDYDTWKTCLLVSTMVRSCCLRKYRLDDRMSIVAGPFVRLQKYHKERLMSFDFQNM QTGKILPMMEVPRNIWMRECNWMPVIGSDRKALMLDVVIQFEPAENVPVEADSDDESY SLRSK AFLA_077400 MDQLELVPSHPAWSYPEGGLRANLVVLGSFSSIMGGLGLMNSIG IYQAWISTHQLSHLSESQISWIFGIYNFLVFFCGIQIGPVFDAKGPRLLMLTGSILLI LTLVLVGFCQEYWHFLVVIGIIGGVGTSFIFIVPVATIGHFFSVRRGGATGLAMSGGS IGGVIFPLVLEYLGPRIGFAWATRVIALITLILLIPGCLLLKARLPPKSSAATSLLPD LRILKDPALALTTLGAFFIEWGFFIPLEYITSYSLTYGISSRLAYLMVVFLNAGSFPG RWLPGILADRIGRFEMLTLTNIFCLIAVLGVWMPANGNVVATIIFSVTFGIGSGSNIS LVPVCVGELCPTEQYGRFYTTVYTIVSVGALTGVPIAGEIIHRCHGEYWGLIAFAGCA YAAGLVCFVGVLVLKRKKGKSTV AFLA_077410 MSRLCAQKTLSSRISPAVGRLLPRSPIATWTTPRSRFSTSARRP LMELTGFTEEQLTIREAISAICAKFPNTYWQECDQNERDPKDFHAALAKDGWLGIALP EELGGAGLGISEATMMMQTITQSGAGMAGAQSIHANVYATQPLAKFGTNQQLKEIIPK IINGTWRTCFGVTEPNTGLETLKLKTLATKNPEKQTYSISGQKIWITCAQVASKMILL ARTTPLEEVKKSSQGLSLFCIDLDRNKSGLDMRKIKKMGGRAVDANEVFFDNYEIPES TLIGQENEGFKIILHGMNAERCLLAGEALGLGYAALERAAQYASDRVVFGRPIGQNQG IAHPLADAYMQLEAAKLATYHAARLYDASKTDDSIPFHSVGVACNSAKYLAAEAAFKA CERAVLSHGGMGYAMEYDVERYLRECLVPRIAPVSREMILNYVSEKVLQLPRSY AFLA_077420 MAPWRPLSQALYSRIPGARSVSTQTSPKGPLSGITVVSLEQAIA APFCTRQLADLGARVIKIERPGVGDFARNYDTRVNGLASHFVWTNRSKESLALDLKKP RDHGVLMRLLGKADVLVQNLAPGASARLGLSHEELKAKHPSLIVCNISGYGPDGPYRD KKAYDLLIQSEAGMLSVTGTAQEPAKVGISIADISAGSYAYSNILAAIIQRGNDPEKR GCNIDISMLESMVEWMSFPLYYTYQNAPRPTPTGASHAAVYPYGPFETGDGKSVMLGI QNEREWVNFCEKVLSLPDLMTDERFVNNSLRSQNRDALKEIICEAFSSLTAEKVVTLL DEAAIANGKVNDMQGVWEHPQLKARGRWTEVSTPAGTVPALLPPGLTQGDPGRFSARM DAVPDVGEHNAAILAELGIEDAGEDL AFLA_077430 MTPEADSGRYPTRKRARQACLHCNRRRIRCNVLETRPCHNCVSM NVPCEVGVSKRGNRAKAIYDADWESNKTTKLQSLFLLSSWRGGPSEERDTRFWLGVAI SLAQKRGMHMMSKLPFPSAREEKLWKRIWWTLYIRDQQSAAALGLPPRIRDEDCDVAM LEPSDIREDEAVDDAYVFGAQRDEDIVYPAEMAKLARISLKLESATSPRAMFLTGLLH MTYNNLYILLYRSLFLNSSNPPVDKAGQVALDAATRSTRIVEDMLSHNLVQHGPTHLA HADQAQDLPTPTEAMDSIHNDPTLAPALQTSPSLENGDITAPGEWYGLFNFTDDFTDV LGASSYHDSLNLQNLEFLYRFL AFLA_077440 MPASCPDTEVLPQYSFTDDYSEGAHPQLLEALLRTNSTQQVSYG YDEYSNEARQLIRTRLQATEDEVAIHFVPSGTSANLICIASCLRPYEAVLTVDTGHIV SKEAGAIEATGHKTIVVPGVRGKMTPENLDRAVRQNQFFPHSAKPRLVYISNATELGT VYTKRELQELSAVCKRWKLLLLMDGARIGVALSAPSNDLTLRDLVDLLDIFWIGGTKM GALLGEAIVVRNHLAEDFIFHLKQHGALLAKSRVMGVQFAELFRDNLFFDLATHANAM AQRISANFEKLGYLLAAPTDTNQVFVTLPMALVNRLEERFRFYTWDPLDGERAIVRLV TSWATDSLEVDKFNAWVQQWTTV AFLA_077450 MAPLVLITGATGLIGFRVLLEALRKGYNVRFTARSCEKAEKVTS NPVMQALSPGDRLSPIIMPDTTVDSAFDDALEDVTYVIHVGSPVPVPGFDPVTQVWEP TIKGVSNLLASARKVPSIKRIIITSSIVGNMPPIPDPFTTVTASSRVHLPGPPPTSFS NLFEAYALAKITEANDTDAFVEKNKPHFSVAHIMPGYVFGRNELALTADEVLHNNSSN MYLMTCITGKEVTFPLHGGYVHIDDLADVHLKVLRLEPGPGTPRNFGACTNIDYSAIF DYIEKAFPKAVADGTFRRGNLHTLPISYDSSETEKVLGIKFRPFEYAVVDAARQYLEK LGKELA AFLA_077460 MEPVGLAVGVVGLFGLFNTCLDVVKKHDAWKDFGSESRCLTAQF EAQKLRLQNWGEAVGVEQESVSSKHHELLGDPRTRSNIQNLLLAIKDICGHEQALSLT TISRVETGSSEGPILTKHGYSLTSRGSKRQRFNWALRGKERRIAQVAQFSSLVDDLHS LVPVNGERGQGSRDTRDFERGDPFMAMRSWLSQLMFHTEALGFIRKKWESTQGQRAAR GDVISLLRAIVSAIPECTFTLDGLDECDWAKGSWPGNSDDSITSFLRALRRATAGTST RILIVSRDEPEIRRGLSNESPYDPVFEHRIIPEDVQNDVLTYCRSIVEEKLSTKTDEA KDEITKKLADRCNGQFLWIRLQQDTLRSGKSQRKLEQAINSTPSGIEHIYERNWMKIM GLTEEDRTRAISLLRWTAFSLRPLTISEISGALVISEDDDELLLDDLPDSIDEYYVNT EIKELCGSLLEVRDAQDKSDARLKTVHLTHFSVKDQEAWLGTSGVQVEEFLSTFRKYA AGSWYRHVELGNMSDTELRSSVAALFDPKNPNWPLWKAWFDANDTKAVERNARCGVAT AGPLYYASWLGLTDSVASLIHELKPDVNNRGYDEQTPLGVASEEGNLEIVRTLLEQGA DVTMADIDGWTPIYTASHNGHTEVARLLIENGSNVNTSESGGCTPVNTACYQGHVETV KLLLKSGADIYTATNKGITPLYAASAGGHIEVVKLLLKWGADIDYANKYGDTPLSASS SKGHPAVSKLLVETGADIEAKNNFGRTPLHLASLDGHIEIVILLLERDAYVEAKDIHE WTPLMNASFEGHAEVVKALSERGADIEAKSANGHTALMYASTEGHIEVVRLLLERGAN IEAKTDIGVTPLMFASSYGHIEVVKLLLKHGADFTNRDITGTTSLHVAAYDGHVKVVE IFLQASSTHVDALNRLNRTPLFQAAARGHLCVVNTLLSHKANAKIKDHYRSTPLLMAV RNGHKDVVGRLITLADSSIHFQNGLDQTLLGWAARCGDAGIVELIVRCAKEHNIEAIE SDLQVDGSLVKLGEPSRYCDVCIRDLRANEVYYKFKTCFDFNICSECF AFLA_077470 MTLRLGNNTLIFRQHTLSFTSQHPAVACARVRKMSEQTTIPPPP PKFDISAKDLEQNAKDLIDYIQQATARLINSCTDNTTADFETIILPLAGIDNKVKSRI QYIALFQAISPCVDIRKTSSAAINLVDKAYLSIFQNDDIFRLVNRVREHASAHLMDEE DTRLLNKFHWMFVENGMYLTGKSRERFTWISRRLIELRVKFMETLGTDPGCLWKSKEQ LVGVPLDRLSTGADEDGREDLYRVPLTKPITNLILSECRVSETRKDVFLHSSTRYKDN VEIFREIIVLRDESSRLLGFPSFAARKLSQQMLASPARVDGFLQHLHDALKPLADDEM LELQELAKTRDPIQLWDFDFYHTRMLQEQNHVDHEYISQWFPAKVTIQRMLGIYGNLF GLQFEKVEALESFHTWHPDVDLFSVWEENKSSFIGYLYIDIFPRDGKYNHAANFNIYP SYLNTEGKQTPVVTALVCNVSRAEPALLRHTEVIAIFHELGHGIHDLVGKSKYAIFHG HRTVADFTEAPSQLLEYWCWVPSCLQRLTCHYSYVSQEYHKHWLDGQKEKDVTQPPME ITLDLAENLGATKQLNQGILTLRQVAFSKFDMQIHHPASHRDVETLDISELYNSLLEN TTGLRGPGDGYDWGNGHVTTSHYVWGQEASYYSYLYTRTLAADIWSSCFKMSPLSREA GLRYRRQILNNGGSKDEHKAVQNLLVRVSTPEAYLRDIGCQLTPLPL AFLA_077480 MPNTLGNGEWLEVGQSLWSQNGQVELKMQHDGKIAVYVNAECVF QNTADQRDDVKGIHMQEDGNLVMYTHDGTAIWHTNTAAPSGDSTTICAVQNDGNVVLY KGTPLWASNTGH AFLA_077490 MIQSLLKTADDSMEHNPDEFHASQIQYEQLVRTYWCCFAQDCEL SSGARQHFALSFRQISVPLPIGDHDFNFGRRASRRLMPANLTRDSPLSAAMTIDHGLT IVTRGFDIFVRILRFANESRRGRTSSSLNTELSPQKTWENLKEELDEWRSLQDVTVRY PSTSAQAHVALGYGELFAYINLVYFMRQV AFLA_077500 MHDPNHDPRVATNLEESTWCEAAIEELFEAAQNIGGILSALEAS GASVITPYAGFSVFVAAHINMYGTVSPRGYPGGQERAEQEKRGNFAYLERLCDFWPVG HSWVYWTGFPGQFNKLTV AFLA_077510 MDHNTIKKLLVEVQASLDVYQSDPTDTSRVEAQEKALKLARALE KPRDAILKIAYSPTVVMAVKVAHDLNVFPTLANATSPVLLNELAVLKPADPLLVERMM RLLVAHGFAEEPEPCEYLPTALSKEMTQRTSIGVVESLFLEFLPGIQKVPEYLQVIGY KSPEDPLFAPLQYAHNFKQDGFSWLCENPAALTRFNAFMEGQRADRPHWADWFPIPDQ ILASAHKGSDGPLLVDIGGGRGHDLLGFKQRFPEAPGKLVLEDLPTVIEEARSALDLE GNGIDAVGYDFFAQEQPIKGARVYYFRNIFHDWSDDKARLIIKNLVPAMERGYSKVLM EEYIIPDKNARALEGMTDIAVMVFCSGLERTRQRFTNLLESAGLKVTKFWTREGDGQG IIEAELA AFLA_077520 MAYNVAAELFAEWAIGMVVIAVRLYARWSIGKASFYWDDGCLLL AMVSWTFLTHVRPPLMIHQICWTIFTVTLYYCIDVYGSNIGLNEKTAELIPDEKVSGI RKGSICAFIAWITYICMVWAFKGVLVFLYNRLTNDLAIMCVPIPLILHAKIPLSQKIV LVALFSSGIFVMICAVLRAYLSVRDIDHLPTALGWASREGFVSAFIVCAPGIKPLFTR IGWFKSYGSSNNYANKYSSTHKTRTGRFTSRQSREFNTLNSTNEVHPYEMSNMAWNGG RRDSSAESQQHIIEATPQKRGDSPEHGIVVTTDVTLAHEVSPTELKSPGRCRS AFLA_077530 MDKVGAMIKLSKRISSTASADTESMKMTGEHLTKAPLERLLEDE REYSPRTPKLLGYGGPEQSPEDEYRGGYIHAFAMARMPVGGRLCMGA AFLA_077540 MTTVSASRAWDADSQSSQAGMIRETRTWNVSAARRSERSDISNV NGFTNELNR AFLA_077550 MGALRSLSWRKRPMDAIPGDQETLQVLSRVVDYIDKEVIIVGEG ELMRTSLDSLYKLVCAYPTVRLHIILHSPVRREKALWVGEIWRNAI AFLA_077560 MTSYELKNHVPANNEVRISGGYSTKDHDNAELARLGKKPVLKRT FGILSSLGFSCTILATWEGLFGNQWWSSGRGLLVHLRLDGNSMLLRGAFGAGLHGTNV RRAISLVCDAFPAEDDEMPELHHRYVYLFGWVTTIGWMSAFTSASFLAGTEIQGVVTL AHEHYDPKPWQGTLIMWAAILLALGINIVGGKLLPRFETLVLVVHTLGYFAILIPLTY MADHKSNQEVFKEFVNSGGFPTDGLAFFVGMTGCVFAFAGGDAAVHMAEEVANATVAI PRAVLLSVLINGTLGFTMLIATLFCMGDVDKALNTPTGYPFIEIFYQATDSISGALGM SSVLLIIAVCSVIGMLAATSRQFWSFARDRAVPGWRLWSKVSPRTCIPTYSILLTMTV AALLGLVNIGSAVALNGIISMAVSGIYLSYLIVAILLLYRRCTGEISLYSDGEDMLVN VPGAKLMWGPFHIRGIFGTLINGYAVIYMIIVVFFSFWPSQMSVDKTTMNFSVVGTIG TIILALIYYVFRARHVYTGPVIELHR AFLA_077570 MLGLSPQMGDRIPIRRFAPLDASALGGDHVHVYSASANTANQAN LPLGRLTLIELTLIHWLLSAKLSENLISNNTHVAYPAGIRPRSGLSDAGQPPKAHPAL RAVS AFLA_077580 MARLPRLTPTATLKTDENDIPTGDTSTLHLQRSRSSGCSQESIP PPLSSGPKEAVFREMTSTSQKLSYGIITISDPPNATADIVFVHGLMGSSQRTWYHEKG NIYWPKDLLSVDLPHARILAFGYEVKVWHPWNQVSQEWITGYATDLLGSLSDYRTLGS RTRPLVFIAHSLGGLVLQKALAMARESRNSDWHLRCLETYTTGLCFLGTPHRGATLAT WGERLARVLNMVKPVNSQILGLLEPRSRELLEMRRSFHNLLERRKDEGARIRIVCFYE TIPMFKSCIVSEESATIDGEANFPIFANHMDMAKFSGFDDSGYRSIIREVRQLVREKD LGYLCPSCERRWRADLTPGAQYFCPFCGQHRSDWNL AFLA_077590 MTATSSSPAGASSKDMRKNFLIGLGISFTLLQLLFLGNMCYLYG TQYRDGTRFHKLKMLYVDYDGDVVGQSVVDAYGMLSSDEFPSLIQSPASEYPTPKDVK TAVCKGDYWGAVYAHPGASSNLSAALATGNGNRTSLTYIWNGARYPAFSQSAIYSSIM SLVQVTKSAYYARNASNVLATAPLSKNPASLQAFLDPIQANEINIKVTEQGSRVLYNT VSMVMPIIQQFFFMMALNGISAQFHLYTKLGPTRNGLLRLCVSLAYTFIGSLCMAGYI WAYRESWDVNSNQFVLSWMSVWLYMHINFLIVDILTAFIPMQFLPFCILTWAIINVAS SISPFELSPGFYRWGYALPAHELYQVLVQIWSDGCEDQLYRALPIMFSWWIACLAIVP FAMSHRCKAAVAAEHAASNSSFDKKMTVSLPESTDRDATLHSRQSSTREIVPQSRRET AESIHLERVAYGPSYPTPGVRGDEP AFLA_077600 MTIQQYDTIGAAYDDVPNLPTGKLQAAALKTCLGDIEGLTILEL ACGLGYYCRKAVEWGASRAVGVDISEAMVDAARVNAKGDKRLEFHVADCGQPFEFGQF DIVLAPWLLNYSSNQNQLVDMWSNIYKSLKPGGRIIGISPNVHILEDLAAFPQGPQYG QELKVVREIDEGGLEVQVTLFASTPFSFNNIYLPRALYEKTSKLAGLSGFQWQNFPKP LSHDVDWDDFLRCPPFRIFTATRPRE AFLA_077610 MICHGCRLGKSYRKPSIYNILLMSPPHRFMIGAVAVVLIVGKLY TLYNAKWLYIIFTVIFMAASALCGAAPTINAEIVGRVFAGVGGNGMYIGVLTLLSLNT TSRERPQYLSLTGLVWGLGTVLGPVVGGGFELYTWRWAFYINLLFGIILLPLYLFVIP SMSVTPDLSLRHKLATFDWVGSILSVAAFTTLVMGINFGGTLYAWNAAQIIALFVLSG VLWITFALQQGFAIATSFDDRIFPIHLLKRKESALLFIVCASAGIVTYPSVYYIPIYF QYTKGDNAILSAVRLLPFICLLVVAIQGSGIMMSRLGYYKPWYLAGSAIAHIPAVLMA TIVETHTSPSVLYGLELVLGLGAGLYVQAAFAVIQAVTPPADSVDGLTLMILAQLTGM TLGLSITGAIFVNVAQNRLYQLLPKLPREQVSRIVSGTSSKLLAKLPMHIREQALDII VLAWRDVFTVVYVGAALSLVCAIFLAVGFSFTPPCVDTDCLQNKRANISAGAGGA AFLA_077620 MPNMTPSECLERLGHGERDVERDSSPSQDEKRPITGIKWFLLVA STLTGSFLYALDNTIVANIAPVCFRDPLCSSVVANNSLMLGRLL AFLA_077630 MSFFWKKRSLKVHDGDRTRAAELTLRESLYPICLVTSLFFLHGP RVFRLPTLGLIPSHRLGTRHGFFATMVIELSISGDSSCTVILIPEASTGDGLEDENVN VLRLKTVNEAVEQRDLVLSGTVRQILGDWAEYDLSKGQTKQDARSWWPGISAGVLAGV LVMGMSCLLFKRSSLSPFFNHVRS AFLA_077640 MVASVVYFLVCPAIPGDEAWDNVQAWIQTFLLTNLWNSDKGGST VFQIDGNLGYAAAIPELLLQSHSGVVHLLPALPSAVPTGSVSGLVARGGFEVDLAWED GALTNATITSLLGNKLTLLVNGHTSLYVSGNKYTGPVSTEKGKKYTITV AFLA_077650 MPPYKHLCAVVALAALLIPGSRGATFDGSRYLWYDTPGTRFNAS LPVGNGRLGGTLYYLPTEIVTWNEDSVWSGTFQDRVNSNALDGFPKVRNLLVNGNITA AGELALSDMTGSSVDQREYQVLSNLYVDLGQRGDATNLVRYLDTLEGYTACEYGFDGV SYTRELIASAPSGVLGFRIQANTSRAINLNAVANGIASIVMKARTGEADYSTFTAGVR VVVDGGNVTANGDKLYVTGATTVVFFLDAESSYRYATDSDQETELNRKLDAATELGYE ALRKEAITDHKDLAGRVTLDLGSSTDDAASLPPNERMTNYRSSPDHDVQFATLVFNYG RHLLIASSRRTRERSLSPGLQGIWNQDYSPSWGAKYTVNINLEMNYWPAETTNLNELT SPLWDLLALIQERGGDVAEKMHGCPGFVLHHNTDLWGDSVPVHNGTKYSIWPMGGAWL ALHMMEHYRFTGDKTFLKEQACPIFKSAFEFFECYLFDVDGYLTTGPSCSPENAFQIP SDMTVAGKEEALTMSPTLDNSMLFELLTALNETHQILEIDNDLSGSVQTYLGKIRPPR IGSDGQILEWIEEFCRNRSRPSSIFTSIRSLSRDTAHPACFD AFLA_077660 MKKEFVAISSKTQDKFSHIPETPYPGTLVGLEKARRDCRSQMIR IVKEVDDVADDPSRAIDFNRVGIYLSPLLFRQLLPIPEPRPTEKELEQYTGRIKTLEE NVTGLTKRVNDIE AFLA_077670 MSLLTAPYNESMRIGSGFNSYTQQLRVNDAVIKENKTALTDKDL RGNSTGKGNTISQQVTFTSKFVEHASDITEALNISGALEIKYQGMGAKAAGSYLDSSK VKESDINYFVQVKVVNQQLIADDATKFNVIPNIPVTNQKRFTEVYGDSYISGFLEGGE FNALLSIKLNNKENATKVKGALSVELSKAGFGISGQAEGDFNKSEIAQNSETSITYGH FEFISIYTLMGTDRVSWAGGGDIRDAKTKLEGWTIDSMAKAAFAFPDHVRQIPQRTHA VITKYSALRSYQLLSNKGSPLDYENAGVYTNSLLESYLDYKDIWKAIGIRMLPSFI AFLA_077680 MAPLLAPYNSAMRLGSGFNSYTHELCLDDAVKKGTSSPPKLPNG DGVAQSVVFKTSVIDKMSDITDALNISGALTIKYNNLIDGTGKGSFINSNKIKDADIN FLISVKVINQTVTDNALTEFAPIDNLPAEKFTEIYGDTFISGFQEGGEFNAVISIKVK DKNQLENIKAEYCGCRLDHSLIRK AFLA_077690 MKAFFYGADARRQLKCSFVQRGLESIRPSAEVGSISKTRNIYCM DQETIPLGMSFDLTLMIAMGGGRHVLPAFNIQECANTGMMGETEML AFLA_077700 MSSAGAASSSVPPAQHGKAPIDDLFDTGCGKIPTEAFTRIAATA FFSGVASLFYVILPEDCDRLIHRLYQEETDIERCEVCELSAIAAVGCRYDSAEIPNEY IDKFWEQSLLLVYDAIDEADLRALRILICMGMYLILDKSMSATVIIGKI AFLA_077710 MPSKSWSALVASLITLGVSVTVTAANSFPDNCNASCQASIEQAL AQEQAGWVSANVSSDSFYGNPANISDYAAGDIVRWEDVPSQQYTTVGYDTPPATTLSR FLYMSEDIDGNPIPASAFLLLPFTNQDGSGKPLRTVVWTHGTAGITRQCAPSNNKGLY YEWEGPFALVQQGYAVIAPDYAGQGSDIPMGFMYESGALHALDVSFALQAVRSRLQDR ITHEWVVVGHSEGGMTAWRVNEREKRNATGGFLGAVSGAPALRPLSLIPQSWRLAGDG PVHDVVSIFVLQSISRLFPSIKVENYVSDIVASRIPIAQQGCLGTGSTIYGNLTLPQL YKNISWINHPDVIEWQQSYNGAGTHELAAPMLVLQGTADELVYANLTEWDYDQTCSTF PSSVMQLYIYPDLNHDFAFIAGQAQYLPWIRDRFENVSLSAACNKTTVTVPGPV AFLA_077720 MATTPSLQNNLGFRQVLQMVKPHSLEDTVEQVWQAIVISWFPSR EGYIWSFKGPALVQNDIPNFTLIQVMKVSPNREEPEGWSEHLILLVECKRPSSDVPLS WDNTISTECHHVLSQNNNDSGQLFGIVAIGTKSRFYRFGGQASSDQLAQLHQGTLDMS EPTGIAQAESMMDYIKANA AFLA_077730 MSPSFQSEPPKTTHYRVSFPEPHIMLVTIDREKQRNSLSSYAHW EAHELFTWFDHEPSLLVAVVTGAGNKAFCAGQDLQEQNKKRGNEKTASQKALLTHPPT GFMGLSQRKGVKPVLAAVNGFALGGGFEICLNCDVVVASSTAEFGLPEAQVGLFAAAG GLPRLVRTCGMQVATELALTCRRISAQEALSLRLINRISKTPESLVEECLAIASRIVQ LSPDAILATRQGLRAAWDNPSVQHATSQIREMYADRVVKGENFKIGVEAFVKKTKPQW VMPKI AFLA_077740 MPPDPKLSLDNNTPWAEPAWYQTLDSPYYNQSHRKLRDNIRSYC DTHILPHALEWEEKGDVPREEALRYAQSGIPFDDVPAQYRPSHIPNLAGIPHNERDVF HLLVSVDELARVEGGVGIALGGASTIGIPPIIHHGTEEQKAKWLPGLFSWETSFCLGV TEPNGGSDVARIRTSAVKSADGRSYVVNGVKKWITGAPWATHMTTAVRTGPEGSGLHG ISVLVIPLDSKGVIRQKIYNSGQNAGGSSFVDLEDVRVPVENLIGEENKGFPIVMKNF NKERYIMAIQCNRKSRTCLAMALSYAMTRKTFGKPLIHNQIIRRKLAELAHRVEAHWA WLEQLAFYITNAPLGWQSPQIASRIALLKVQGGQMLELASREAQQIFGGAGYQKGGAG ATVEQISRDLRMMVVGGGSEEIIADLAVRQEISLLKGKGKL AFLA_077750 MVPVIIGVADIKNKTTKAEDAKEPLQLMVEAIVAAIRDTQLSNT EILKLKSSIDSLSVVKTWTWTYADLPHLISQKLSISPRLTHYTKQGGNQPAKLLDEES LRIAGGQSRVSLITGGEALASYDLDGIHSIGLPIQVYPMYENGFRAHRGQSLAENHME SASLYSRFSQVAVTRPYSWNFQSKVETPESIAQVTTKNRMICLPYPLLMNAFNSVNLA AACIVTTAEYAAELGVPRSKWIYPLGGAGATDSEEVWNRPNFFSSPAISKSLDGCLAS SGLTKNDIDLFDFYSCFPIVPKLASEHLGLSISSPSKPITLLGGLTFFGGAGNNYSMH AITEMVRQLRRGQGQNGLILANGGILTYQHAICLSSRPPSNGIMYPNVQNAHQVAIEV PIPRVTHVAEGDAVIETYTVEFHRNGHPARGYIIGRLKTDGSRFVANHGNVTTLRELA SLAEEQIGKEGYVVPELISGGRRRNLFYSAPKQSI AFLA_077760 MQPLPRVWPIPSGSTTPGSGSVHQVTRYSSSRHKYRFTNEHIYK TLLDFVLSSASYLTEPYVFLFCFTMSDDGSHHFSNEQGWRMDDADKIPIEEYSHTVID LENEVNRVMTEVNTNPSLA AFLA_077770 MYGQINFELFSGSRLEEIKEELRANLNRLVPSYLNGASDILEVE KLTERLNVRWRSTQVSNNTKKQDDVAGSILDTLIEKNCRRHSGGLKASSTAGLPETQD SFLNSCLQLKTFIEGERTTASYTCGGCIPIVQATGPLDKHPRGSGPVNIFWSIGNSSA RRVSLPLRADAEDASPAVLQHLITSCEPASFGRGQEDVMDLSYRRAGKLDPKNFATSF HPATFGIIETIEKVLLPGIVGETANRLRSRKIYAELYKMNVNLFRAIWAFPQPRRYTP LSEPNWFLSRLPSRSETTIQWAAFYSDCEHEIKTVTEGDRITLTYNLYVSDGADSVLH SIMDPKSLPLYGWVKDLLMKPGFLDDGGVLDPKLGLKGKVKREGEIARRSRYIGEWGD DEYEFADELQPYLAKDEPLLVNPTNEVLPQASSREDIADIDRRWRLLRMTRRVGSMKK AISFARSKGLPYKEDSSYLEEGAQVGSCLRPYETTEWGQEMSLDEVLPHVWPAYYLPG ITWLTEPKHEEMAFSQVTYGNEAGIGTRYSCAAILAVISPWDQRNTLHE AFLA_077780 MDPQYHRLAPVQKIDGVQLIPREDEFRLLFITNVDSQTYRNPPL SPYRPFGYVDLRNISIDVRLHYSCNHQLRYYCWNWKCEEGQVSIDFGMSYGLKAVESS MEPNTLRSTGLKLAWMVVGSTYTTLYKLLLGNSFWGPIYRTLVSICSWRRGLRPANSP GEDLDKEEIFDDTLSELATRNIFSWTFFSEGTRSEERELWKHEWLELLVDRNDGAESS ESSPSEVEDVANSEQLQHVQKWRDNVVAE AFLA_077790 MLHLASKGSMLKAENVQPQTINSKLLPTMPKNYRVQKKCDYAFY YHEDTQQVSDLYDALTCAGAGDVLSQTTDSHTKRRLLFSGLEVKHENGGKDEALAQLA TWIVAGMENTRKLWSQSSEEVHSYRDLPPMVGWTIVGLDWYTWVTFGATNNGTDRLVC NSASTRFELG AFLA_077800 MSVEQRLITRGALDSIPARTSYLGQAVFQVPLYRNQEKLYHARR PHKKSRGGCLTCKKRRVKCDEGKPACVKCQKYGASCSYSTAPGKGFNADVAASTQNPD GITFSFSLSDLATRIEEILNLDTGTNTSLIERNSVHPVSLIAFQHFLKSSTDTVANPS LRDVMRSDMIRVALTSPHLMYTILGVGVLHLNRISPGNKTRQFAETLFWQRAIKLYQA ALTSNVTPQNVDALLSTCMFMGLTTLCPENIKPTDSWVLSDKPDAMNWLCLQSGIRCI ITLAKPYLDSTIWASTFQEAHEDEVAFLEHIIKQGRDGLDLIWLTSVGLTTLPPPRQI HTTSISGC AFLA_077810 MHLTKFLLLSAATLAVAHPGEKHDPHVVKREIHARDALAARSKR GLDACATSEQAKRLQQRNVARRARTVRELRSARGVTTSPKKWRRDSDDLAKWEAVNHN MTGLVDFTSETPESSVFGGNTSAILSPTITDGPYYVWGEVQRSNVKEDEYCDGVDVYL EVQYIDVNTCQPIPGAFVDIWNANATGVYSGISTSGNYAADGWDSTYLRGIQESDQDG VVTFETIFPGHYDGRATHTHLLTHLNASVHDNNGTLVVGTGSVAHIGQLFWNEVLRSA VEDTYPYTTNTQDITSNADDMWSIEQASSAYDPFPNYVYLGNDLKDGLFAWKQIGINV SADYTDNSYYSIAAYYDENGGHQNTDSAAFGGGSGSEGGMPSGSASGAIPSGTPM AFLA_077820 MHQVLATLLITRKEIPLDSQDRSPLPSMILIHRTREFVGRKSEI FGICTDSYQWNFMHINKKRQVSESHSSCKPDVHASRSPVYPCTGCMISTKLKSWFATL SARQLSFIEKQLEVRGS AFLA_077830 MGRVDEFAAHEFDYLIVGGGTAGLVLAARLSERPDIVVGVVEAG PAAFDEKAINIPGLYGSTIGSQYDWQFETVPQPGLSGRKLPWPRGKVLGGTSALNFMA WNRGNREDYDAWEELGNAGWGWDDLLPYFQRSETFHPPDATHQKQYYSYYQEETHGTT GPLQTTHIQSYGPAHQFWHATLNAVGVDTSPDSLDGSNTGAWNMICTVDPISQTRSYS ANAYYQPIAARPNLVLLTEAMVTKVLIEKDGHSDRWVATGVDILCDNKQKEVYAAREV ILSAGSVQSPQLLELSGVGNTEVLRSAGIPVKIENPNVGENLQEHMMTATIFEISPEI PTRDEVLQDSALQEAASREYEGSKSGPWTILPCSIAYCSLSQIIGQEELEGLRSRAES LAKQTGKKRDEILARQFREDANLGQLEYLFDVGNWSPYFTSEVGKKYGTMLMMLQYPW SRGSIHLPPKDPGTRATVHDKPIIDPRYFFGHGEVDLEIMKLGQRLTKRICATKPLSD IIRSRVFPPMSDSDTPGEMDEYDEFVRNYTITDWHPIGTCAMGGLEGEKSGVVDGRLR VYGITNLRVVDASIMPLQVSAHIQATVYAIAEKAADMIIEDLVRLK AFLA_077840 MKSSTFGMLALAAAAKLVSAHATVHAVWINDVDQGEGNSESGYI RSPPSNSPITDVTSKDMTCNVNNKATAKTLEVKAGDKITFEWHHDSRSDSDDIIASSH KGPIMVYMAPTEKGTAGNGWVKIAEDGYTDGTWAVDTLIKNRGKHSVTVPDVAAGEYL FRPEIIALHEGNRQGGAQFYMECVQVKVTSSGSKTLPEGVSIPGAYTATDKGILFDIY NSFDSYPFPGPAVWDGASGSSSSPSASASASAPAATSAAPAPSSFTTIAKQPATSSTE APSTENTSTTSTIVSTTAAASATAPATPSSTSAIASSAASTNSVPQPSSNAGGAVKEW YQCGGLNYKGSTQCEEGLTCKKWNPYYYQCISA AFLA_077850 MLTRCNSLLRGHSAVRVQVVEHILTLLAHGLTPVVPLRGSISAS GDLTPLAYIAGALEGNPDISMHNAAGDQIVPADEALQLAGLVPLNFGPKEGLGLLNGT AFSGGAASLVLFEANQLVLLSQVLTAMGTEALLGTRYNYHPFIADARPHDGQREAAAN IFKILTDSKLAKNPTEGGETANHGGLAQDRYALRTSTQWIGPQIENMALSLKQVVCEL NSTTDNPLLDPEEAQIHHGGNFQAASVTSAMEKTMGAMQMLGKMIFSQCSEIINPNLS RGLPPNLSVDDPSLSFAFKGVDINMASYMSELAYLNHPVSNHVQSAEMHNQGLNSLAF IACRYAGDTVEVLSLMAATYLYVLCQALDLRALHLEFVKDARAQVDDITAELYSSTFG ARLPAVQNKLWEELMNHWSRTSTSDLAERCQSTTSYSVGVLLSSLAAESNPENSSMTD VRAAQHWQTRVCAVLNWSYRTTRETFLTRQTTKSYLCSASRSFYTFVREKLAVPMHRG IADHPTYDSAERRKKGCIGTQISKVYAALRRGEFQDVLLSCW AFLA_077860 MTIDTEIKYILSLQAVRERAQRVFQLAEANQINHFEYHEDRFDA AVQYVANIIKRDFGPDKYHLIPPHGRWQHFEVGGTPRIKDLLSQWEAAGYDKDEQARS LVDLFFVSVLLDAGAGDKWRFTELGSNAVIGRSEGIAVATLHMFNNGEFALPGSDRRD VVLGASLKDFSEATLSRGFQITDSNPFVGVPARVELIKSLGRSLLSLPNIFGDTGRPG NLVDYLKSRADDSNRLDFEVLWETLQSVLLPIWPSSRTQIDGHPVGDAWPLKVLADDA QQADRQSKCSHIQPFHKLTQWLAYSLMVPFERLLGVEWKNAEIATGLPEYRNGGLFVD LGVLTLKKESLQRGLANSGSALPAFEATSDEIVEWRALTVALLDKLHLALRGTELGKE KLSLAQVLESGSWKAGRELAAENRPETKSSPILILGDGTLF AFLA_077870 MEKTYTEKVQPGLSSHTEDAASSSQHIPATSKTGLVVGGKHAQS LSDSSSLSSSEQNITTFDFDLPLTATQATIHEGKERILIDFVDGDKENPFNWDPRYKA FISLLLCLMTLFIGLATTAYSSGIDRMTKDLGVSTILGQLGLFTFNFTCALAPLFLAP FCELAGRRVIYVGAYICFGLMFIGLALGKNIATILVCRALLGLFGCVGTILVGGTFGD MYRPEERAIPMASFSYIAILGTVGAPIYAGFIDQALGWRWVEGIQGLANIPLAIVCFF CLRETRGSVALGKRADLLRKQTGDDRYVAASDLEAPDIKTMLYNSSIKAGKMLITEPV VFAFGLWIAFAWFLTFLFLSVIPITFQEKKGWGEGISGLPYISLCLGTTIGFGLNFLQ IRKYNSIVAQNGVAAPEARLYGALFGAVWLPVGLFIYSFTQYAFLHWIGPFIALVLIT IGIFFIFESCYSFTSDCYGESSSSAIAGQGFMRNTLGAVSPLFASQFFHNVGSQYAGL ILALVATLLTFIPYILFWWGPALRKRSKLASTNTF AFLA_077880 MADNATRVTPQGGILEGGNPSHYDKKNPIVIFIIQASIIIILCR ALHWPLSKIRQPRVIAEVIGGVILGPSVMGRIPGFTEAIFPDASIPNLNLVANLGLIL FLFLVGLETDLRFLFSNLRVAASVSAAGMILPFGLGCAISYGLYNTFSDEPGTVKINF GTFLLFIGIAMAITAFPVLCRILTELKLLGTNVGVIVLSAGVGNDVVGWILLALCVAL VNAGTGLTALWVLLVCVGYVLFLFLLFRPLFLLFLKKTGSLQKGPSQSVVAVTLLIAL ASSFFTQVIGVHAIFGGFLIGLLCPHEGGFAIKLTEKIEDLVAALFLPLYFTLSGLQT NLGLLDTGLVWGYVIAVIAIALIAKIVGGALAARLCGLLWRESLSIGVLMSCKGLVEL IVLNIGLQANILSTRTFTMFVVMALVTTFVTTPLTTVLYPKWYQIKVDRWRRGEIDWN GNPIQSDSRVDSVTLAKEQLQGTTVRRLLVYLRLDGLSSICTLAALLGPSRLAQPPLP KMHPDKRKSQTMSPEPAAEEAAQTEVEDAPALQVHGVRLMELTDRDSSVMKVSEIEEY TLWDPVVNTFRAFGQWHDMSIMAGVSVVPEHSYADTVVGMAREESSDLLLIPWSETGA MSEHQTGLGIDEASRFANGPYTDFVSNVMRQSSSSVGVLIERSIYSRRTRKGLLTKRS FSAMSIRSSVWNSAPPAAARSHHIVLPFFGGDDDRFALRFVMQLAQNDQVTATIIHID VAPSPPQVSEVHQSSPSSTTKDKSSSQILTTAQGSESDATFFGAMKDSLSEELTTRIV FTRVSPQRDSTDAVGVAVTAVKEEMGKVPQKAGNIVVVGRRNNRVDLNESSTSSQEEV GAETSRVLGAVAQAMVRTENRIVGDVLVLQAGMGAVGAR AFLA_077890 MLASDGCSLLDDMSSELCRISYRIRASLTRRSLSGKNARETLRA VAKKVRIIPAVEEEPPLVVTHDEDDYCMQNVKDIKTGITRTKRGSLMVTASQPSPLQL YPPGFQSPSNVCTTATVNLRFDPIGNELPPKLGSLCSKLSVSTFYTITPWNDFPSPSY VDSLGRHCYTTTVPLSSLCVSSTKWTKHSPVGMSRQSALVDITPTKPSPSTSSKEDGT YYTAVMTVPVTIPPTKAFVPTFHSCLISRTYALDMSISYHTPNVNLLAPSISLRLPIQ ITSLPRSQPLTPPPFYSELRETEVVMKQGEY AFLA_077900 MSGSLEALTRPSGFFVRHASRTSTNTRIPPIARRSASPIPSRIA LLSRDKLERETAAKNPDLRRCLGHQRLLRRSIEAAQEDMRRAMASFKLEDSDDEDEIL GDDYDSSPSPMIREQITRAVKAMVKRRATRQVHETDNANSTPQLMRESSKKESSCDLS SRQHNAYYRAPSVTTGRRKHNTKFAFTRLLWSSSGQSMQAMAS AFLA_077910 MQLLLLVVLLVGACPAQAKAVFAHFMLGNSDNYTKANWEQDISA AKEAHIDAFAINTGFGLSIHRMLTDAFAAADELDFKLFLSLDYSGDGHWPQDQVIDYL KDFTKLPAYFKTDDDKPLVSTFEGDQAVGDWKNIKDKVDCFFIPEWSALRPEKAISYE QVDGLMSWTAWPNGTDPMTTETDKEYLDALNGKPYIMPVSPWFYTNLVRYHKNWVWQG DDLWYTRWQQVLELEPEYVEILTWNDYGESHYIGPIHQSGLAVFDYGQAPFDYAKGMP HDGWRSFLPYVIDLYKNGGKDADIKDEGLVSWYRVNPASACSSGKTTGNTETQAQQTL EPQEVLQDKVFFSALLESSADISVTIDGKARAASWVDTPDGGKGIYHGSIPIDNQTGA VVVTLTRDNELLAEIDGHPITSDCVKNMTNWNAWVGNATSTGPKPSSPSGSSEQDSSS NRLVSSGILPVAVMWTLFFALV AFLA_077920 MVHLSRVKRGKQAQKPQQEQDQGESAASPYVYGTHYATEELPEH VMSEQEMPADVAFRLIKDELSLDGNPLLNLASFVTTYMEDEAQNLMTDAMSKNFIDFE EYPQTAHIQNRCINMIAHLLNAPTTEGDGELDTIGTSTIGSSEAIMLATLAMKKRWQN KRKAEGKDWTRPNIVMNSAVQVCWEKAARYFDVEEKYVYCTDTRYVIDPKTAVDMVDE NTIGICAIMGTTYTGQYEDVKAINDLLKAKNIDCPIHVDAASGGFVAPFVRPELEWDF RLEKVVSINVSGHKYGLVYPGVGWVFWRSPEYLPEELIFNVNYLGSNQATFTLNFSKG ASHVIGQYYQLIRLGKHGYRSIMQNLTKTSDYFADELKKLGFLIMSDGNGRGLPLVAF RMKPDDDRLYDEFALAHVLRQRGWIVPAYTMAPHSNQLKLMRVVLREDFTIHRCNILL EDIKAALKSLQEMDAEMIQKYTLYVLDATNGVCKLTRTGTLGRTARRNCHSNMHITKM RSTRCRVKLGRRMVYADFTSRRWVHSKNISCQKAFTYRFQ AFLA_077930 MVKEASTRNKANAYRESSYLGDRSTVHDEVIKLHGPLHVFELGV VYHTKSLKLLQDKMVKDLTFDVRYDNELAHDYYGDGKQLAEHMRRIYHDKNLQFPNEF ESTLTTPPVHFMSVEALDEADVEELRNVNVPPGLNIEILDLNM AFLA_077940 MFTLTWLFINDWMHLLSRPFPFPLASEIYIRRLLSFYSKVKQDR EADTASVNWYSNHRRFVVVKHTLIIHVSDHRLV AFLA_077950 MDPGTFEPWRVPLAQWGLVQLVTGLTVALTPSQSIVRSMAAAIV IALAYSFQSSVAESFADTRAGGPLAAMCWVNVLNAIDMLVLSRVSYEAQVEWEAKKFG DGAAKKANSDSLKSTLFRRLLWSQNIAFNYRRINTPWQISRLPVFDSTNPQYVPTRLK FVLQGSVKVCIAFLLVHLCTMDPSDPRMVGAVAELSDSKMVLAPWLHGTSANTILVHA MITISFGIVCRASIVGMYSLGGVVLVALGVYDPVEWPPVANSLTEAWSLTRLWGTAWH QVLRTLLVSNADFISFSVLRISPKSRWACYLRVLFAFTVSGFIHMGMDLAFGVPRATT GAVWFFCLQALGVVFESIFQSLFCTQIEKISPLFRRVMGYVWVALFLLWATPVWLNPI MLCLYKDGQRGMSPVPIIGGLTL AFLA_077960 MASGTILEGSQCHSGDYLGLGKLPTGATGAIPSGLPSGFPAGLP SAKPSAVPTSVAVPSTGATPSGVPSADGLATGKLLQDLAPQLNNILVVTGPDAKVLLI ELSPEVTALVSGLGLASLGVPLGGIVASAASLGDLLADLGKPVENLVTVVGVDGGALL ISLSPEIAALVSDLGLPGVGVPLGTVVAIVGGSL AFLA_077970 MASMLHYFNFGSKASTTKGAEVKPTPVRALPASWYTAQEMYELE RRAIFSRRWLFITHSSRLKEAGDWLRYEVAGFDFVINRDRQGNINAFHNVCRHRAYPV IEEEGCGNKKIIACRYHGWSYGLNGKLAKAPGYQELDGFDKEKNGLFRIHVKVDVNGF IWVNMDAKEVPEVPWEEHFEDVDKQARYKENGINFEEYEFDHTYQMEGDYNWKILADN FNECYHCPTTHADIPAFLNLDSFDSDVKDGHIQHHCKSTPEQIQKGLNTASTYYFPNS SMTVTKHWMMVQKFLPKGPNHSTMAYEIWRHKDAKEEDFRLINDMYARVMGEDKVLCN NAQKNLNRGVFVNGELHPKYEKAPLFFQSTCREVVTEHFDREKKEGREIWPARQQLPN DAKVSAKDEEICSGLACGAQKEVLAW AFLA_077980 MEDRSDHHLHRDDEPVTSDTRTEPRPPSPTATGHSRGEDRVERD ALELHEIETQPDHEFGSSTASISSGEYRITTRRTASRTSQRTERSRAPRKGLLGKVQR FWTRNVVLTVPQKSNRDHFVGPRY AFLA_077990 MTTQKAIVVTSPQQESLVTDRPIPALRDDYILVKTVAVALNPTD WKHIAFLAPPGALIGCDYAGIVEEVGKAVKKPFKKGDRVCGFTHGGNAVQHEDGAFAE YIVAKGDVQIRIPDNLSFQEAATLGVGISTVGQGLYQSLKLALPSEPIKEPEPILIYG GSTATGTLAIQFAKLSGYTVLTTCSPHNFDLVKRLGADAVFDYKDPNSAAEIRKYTNN NLKLVFDTISLEASSKYCEQAISTEGGDYGVLLSTSIDRENVTTRFTLAYTIIGEEFK FGDKVFPASAEDKAFAEKFWTISEKLLADGKVKVHQPKVNPGGLQGVLEGLKLLKEDK VSGEKLVYNVAETP AFLA_078000 MTYPTTLPSLTTREAITDALYRCVTGFDTADTALFDSAFTKDAT FDLNGRLLEGLESIHKDCYDKISKLDTIHYMNNVRVNVHEGEEKGSLTASALAQHYRP GEGIQAGTKYFLAGSLYEMDVVKDQGDGLWKIAYWKLKIVWAEGDWGVVLE AFLA_078010 MQQLAEINVTSYYLTTLLIESVGLSNRLARLLTACKSISYLLSG IFAIPNIERFGRRQILMICSLGQGICYLLITVLIRFNEMEEYGPKQKVASASVAFFFY YLSFGCGFQGIPWLLPVELNSLSMRTKGVSIATATNWAFNFMVVEITPIGIKTLGWKF YIIWTVFNFSSIPLIYFFYPETPNRPLEDIDRFFIENKGLLIIRNPDATSANRRTRYI ECELDIMNAQDKADAAHMEIVAEGKA AFLA_078020 MRMPPVSIITVTQHQKRSRLPLERYVVSLPSIPSTRHAHAEQEP CFQLFLRTILLLERAVDLSRTADYGGWEGSFPSFEHLLGQVDTSSIPVPLIGLSLRVS WNTMLRSKTLLFKERARKQVLDNCAILRSLGGVYSSASCMADLAERLVYEQESKEEGG KNANENQEPPHLRSASWRWAPEPTVQSTYCLMHSQPSPYSPDAHFSNPLSDLDILDYF DTDVAVVGGTPENS AFLA_078030 MNRAVSSKCFQCPTFRAGRCSGTAKRLLATDAAPLTRKDKKEGD ISSVFSTFSGRKIPPLPERFRELKRNLTTGFEEQIQKSWDELVEVLKVRTTEVASKRE SIIPQLNYSDIRTGSVSPETVAAIRRTGVAVVRGVVPKDEAEGLLSDVRRYFAAHQFP GFPSDADKKIIYESYWSPSQVKARSHPNMLSTQSWMNQLYSADAGQKIDLSVPLTYCD RVQIRPPGDKKFALPPHVDGGGVERWEDRAYNHVYRKIFQGKWQEYDPWDLTGRLDAN MNMYEAPGGCSVFRAFQSWLGLSRHGPQQDGWKFSLDDDEGNVYLHGANPGTAQEHTL DHHPHLMLHETMIPYPTVDPGDTVFWSADTIHGTEGENTGDTDACVFYIPSVPLTLNN AQYVAQQRDAFLKGTPPPDFPGGVGESQFSDRAQVGDVQSQAGREAMGLSPIKPSIKN EKSAKLAEEVNKIMGY AFLA_078040 MVKASQQGYIERSDIALKWRHDYFDANAARIAAGEPVEDIEITL ARTLDALLENYAAHKHLFDREAKEQCIAAWHSMPAWPDVAPAIEQLKAEGYEIFVHAN GTTRLQLDLCKSSGLSFHMLFSSQLLGVYKPAPESYREVLRLVDVKPEETVLVAAHAY DVRGAKEAGMKTVYIHRWTDDIHEDMEIIKGENDFFLEDMTNLAGVIQQL AFLA_078050 MLGMAIYNVYFHPLNRFPGPVSHAISRIPYFYRAVRGTLPFDML KLHERYGDIVRIAPDELAFSHPDAWKDIFGHKNGEPEMAKAAWFYRPLNEPLHIVNED TDEHRRLRRQMAHGFSEKSMRAQEPIIRKYVDLSLEKLYQSCKNGSLVILDWYKFTTF DIIGDLAFGEPFGCLEGCNYDQWIKGIFKGAYLGSFMQALSFVPRLKSTLLLLVPKSM QEAHQRHKELTKAKMLRRAAITEKRPDLIDGLLRNKDELKLGLDKLIANAEILIIGGS ETTASLLSGVTYLLLQNPNAYETLKNEVRSKFNHQEEINLISVNKLSYILACLDEALR MYPPIANGLPRVCPKEGSWVLGEYIPGKTVLSIHQWALYRREKHFKDPNTYHPERFLS SPEFLDDRRDAFQPFHTGPRNCLGRNLAYSEMHLILALVIFNFDMKIAAESKRLDQTK KLSDVG AFLA_078060 MKLFYLAGPFIWSLASASLSPSPSTTGSSISTPSGNVQITKNEQ CACRKLTQSFGRSVILPGQKNYTQQTVDDYWDIRAVLSPACVFVPDTADAVASALQIL SACNAQFAVRGGGHMNYPGSNNIDGGVLVALSGLDSYQVHNDTIDVGPGLTWYDVYKA LAPYRRAAIGGRLKTIGVPGLALIGGFHYFNNKYGYAMDNVVSYDVVLGNGTQVVASN VSHPDLFWALKGGANNYGIVTKFTLNTFDLPQITTSIQVFNETYFPSFFEAMCHSASV DEKDPIAAGMIATVAYNATTKVASASLLGVQEGVSNPPSQFANFTKIPATRRINNVTT LSQWAETLDSPKQMFRVMFSHKTMKPDPGMLYSIYKAWKAAVDDISDVEGLYPTFVLN EITPSSLRVAQTNGVGNVWGLEPEPLMIWQFSTGWANAQDDLRVEAWARQLTEHLHSI NREKGLASEFIYMGDAGEWQDPYAGFPYENVQRMRDIRAAYDHKGIFSTLSWGGFKLG L AFLA_078070 MAQYQLITTTPDDYKIAPYIRPFLLSWLSYLFIEAISLAVGIFI MTGTRDLLYKVMWTLVFCPLGMGGTMGGLINSFIVDHYYEKKAAHFTGILTLHLGWFG ASDHPIWFHRRYPALWEIGYMNGLLVFTDEGQAGLARMKL AFLA_078080 MSHQQPTIDPTRVNFYQELREAVGAEALQTLDPSTVGASQLESL KPAPGTSTTVPAKYTCATQKPIPTTFQGSAHTAALQVGLGKIIPRWKTGPDKSVNFAA FANGYPKPELALIAAQALKQAADEWNKFELGVQLKWVAKIEDAAFVLSFAGNQDGVLA EAFFPNEEDLNVLNVYNAAFQPGTVQYLKNIFLHELGHVLGLRHEFAPELEDEADNYT VQIGPRNPLSVMGYEFPPQIQPSDVENVKAFYKFPGKALGWKEAHTETPKMSMLLIKD YDANN AFLA_078090 MEAIVANEPGPPDVLHLESYPRPPPKSGEILIEVKAFGLSRSDI LARQGHTADAKFPQIMGREAVGIVTAGEGTVTPGTRVVAMITDLLPEYPGSYAQYTRV PATHVRRVHPLCKLSWEHLTVFSEMIPTAWNALFRALRLHPDDRLLIRGGTTSIGLAA ACIAKRHCSFIASTTRQESRKELLEGVGVNKVLIDDGSLSQQIKSEGLEFTKVLDLIG AKSIADSLQCVRPYGIVCQAGTIGGDSTISDFNPMDAVPSTVSLTTYKSSAEDFRNFP LDVLCHEVESGHLKLPNIRIYFSNQIVEAHRSMEANRAEGKVVVLW AFLA_078100 MASSAENSPSPGPESSNEPTPQLNIPPDILRLRAEIFALESPIT VSVTEFNNAWKYLDNIYVRNQARYGQKKTTTYYWCRLWRTKAYEPSVSDEQRKRKRTV REPIGCPCRIRIVSDGYYMTITRGKEPHNHDISALDYKLTTAARELAAQAVAKGSRPS LVARELKNSGIGGQITSKDAWNAGNVWVSRKEGRPAWQKHYPKNVGFFLIG AFLA_078110 MVSMQRKTPADALAAKRAKADESNTHISTDGSSAEFEFGGAYPT AKGWAIYWIFFILEAAMYCYMPGVSSIGRELKHEGGKTLPYYCSAYCSFYATLTIAAV LHFTRAFPLYTLIDEFGSIMSVATLSGFLDSFIVYMQAILRGRTHRLSGSPVYDFLMG AELNPRIGILDFKMLYEVRIPWFILFLITCSVAARQYEIYGYVSAEVVFLAGAHYLYT NACVKAEQMIITSWDMYFEELGFLLTFWNMAGVPFTYCHCALYLANHDPSEYRWNRYS LSAFAVLYIFFYWVWDSSNGQKNAFRHQEKGQLIKRNTFPQVPWQAIKNPKVIETDTG DNIMVDDWFAIIRKPNYVPDMFFLCLGVSSPVSSKRVCLQKS AFLA_078120 MSLPSFLKWSATAALMAQLCAAQTYTSCNPLKKTCSADAGLVNS TFYTDFTSGDSAFKYWNTTAGTVSSTSLGAEFAIKEQGDAPTIQSDFYIFFGYVEMKM RAANGTGIVSTLVIESDDLDELDWEQVSSYDNQIQTNYFGKGNTTSYDRATTVTVSTP EETFHTYAINWTSSKTEWLVDGSVVRTLNYADALDGENYPQTPSRIKIGIWAGGDPDN EEGTIEWAGGETDYDDSPFTMYVESIKVINYNPAKSYTYTDKTGAYTSIKASNVSTAS NSTTSTTSLFHTNTVSSSNSSSSSSSASAAASSTAFSGASTLSSSYLGSVFVVALVTM ATGMIRI AFLA_078130 MRLCSPKVAVMTESIKDAASTAAYGMMHYYHGNESGNIPGKLPD TWWEGGAMFMTLIEYWHFTGDATYNDEVSEGMQWQAGDGDYMPSNWSSYLGNDDQMFW GLAAITAAELQFPEVSDGYSWLSLAQGVFNTQVKRWDTSSCAGGMRWQIWTYEAGYRM KNSISNGGLFQLAARLARYTNNQTYADWAEKIWDWSASTPLLNTNTWNVADSTDVDDN CTSQGNTQWTYNYGAYIGGAAYMYNYTNGSTTWLTAVNGLLNATIDGFFPTKYGGNIM SDYTCETTEVCNNNEIIFKGLLSMWLAFTALLVPSTYSTIIPKLQGSGVAAAETCTGH NNNSCGVVWYNSTWDGWSGLEEQMSVTSILAANMIGLNTSGAPVTSTTGGNSTSDPTA GESDNEGSSSTTTKVTTGDVVGASIVTIVLVAVPIAMVVLLIFT AFLA_078140 MFPSEPTTTRNSNIALIPKEYAHQGDLEAIITRYRTLRLRGLKE NPDSFSSKYEDEVDLPYEKWLARVTNPQARSFVAYDAQGNNNVESLALLLSREWLGTV TIVGPRLLHEDNEALSKAPWDMFLPLEERELSERETRDATLVYMLGGMFVLETGRRKG NGRRLIERAVSEARTEAIEVGASRVLVVSVAERKNDAARRLYENCSFDVWDDELVLQI PRHQECVAMVLDLRLKAGSPDN AFLA_078150 MHCLAIIYSAIFSKDHSGHHHRDDHSDHTKHCIDYLRQSIMCAS DATLEGLADDGSPVTGVDGWNNTHQCRDWDSLYDFASRHRMLDSDGIV AFLA_078160 MRLSTAAVVIFSLWTPLCLNSIVATQHTNGSYGPENLSPCASLS PTANFLTFNVSVKIKSSSSTHQPVQ AFLA_078170 MSILLLYLRIFPIILFRRFDFLCIAFLTISLLVTTPMVIWQCKP FRAAWDYNIDNPRCLKIATIAYANASINIITEVSILILPLPVLRTLHVSRRKKIALIS VFSVGVISK AFLA_078180 MPALGKIGTYYDPPYTQAPAFLLSCVEAAMAHVCAAAPVIYTAI VQMKRAHGKGSQTSPSASRQGQAGSDQAGESGLSRRKFKPAIYDSLHMSDVAIMGRGW MQSQRRSRGSVPSAHHLERAHSAYFSDPELVASPRPGLIRMSTNRGSCGSTGGLTLFP TPYRTEEV AFLA_078190 MLCSSFHISRLAIPSVCALISFLAYTSQYFFKYFEPAPLREQEL WRINIFALCIWISYYRACTVDPGRVPRDWRPRDGKQLEADRASGRQRWCRRCEAFKPP RAHHCKTCQRCIPKMDHHCPWTANCVSHFTFPHFMRFLFYAVVGMSYLETLLFERASI VWASRNLPSYLGPSVVQLGHLFVLFVVNSLTVFMLFILLVRTLWSLGANTTTIESWEI ERHETLLRRARHYGGYLEGPGGVRVRIKRQEFPYDIGIWSNIREGMGGSSNVLSWFWP LARTPDRSTGLEYEVNGFEDLHVSWPPPDPDRIPLPPRDVSDGVAFTGAEGSSYDVQA FKQRKAEDLRRQAGLEIERRKRFHQRLAENSEDESQQSDGPNEKGSDYGEEGWRNSEG ERLRDFGVDEDIEFYDEEDLPLGVLIQRRAQAALKELK AFLA_078200 MPITALPPTTVRAIGSTSVIADPCSVVKELLDNALDASATSIGI EISSNAVDVIQVKDNGHGISSDDHALVCKRTFTSKIQTVEDLRTLGGKSLGFRGEALA SAAEVSGGVTITTRVEAEMVGTSIKYGRNGELISTYLFEDNQL AFLA_078210 MDIYGSKKPVVDIDRTIDQLAGQKPRLGLTAGDVGIFKSTILPS FTLHTGLSIASFIAAKTTDKGEIKDWCWPSSQVINAWWSAVGRQMFYENVSFSTAWKA IPWTEKVLLSCVTIWGTRLFYRISKRTITRGKDDPRYDEMKSKEPGFWKSAFLKQFLP EAVFLTLITLPFTLPFRLTGSSLNLDTDTAATIRGLGVALFSAGFAMEAMADCQLELH RQERTDLCRHGVWSIVRHPNYLGDALVHISFVILNAANTFNPLVLLGPVANYIYLRFV GGDKQNEASQEARYKEQDPHKYQQLQTWRREKNSFWPSLSELANPWTWAVVGSGLVGV VLEEAIRGWALQ AFLA_078220 MGDAALAPKEHLLITFMRDLAPDQLEEIKAKFPGLEVSSVTLKR GEDIPSELAKKASYIVTFTNLPKPEDAGNIKFIHFLSAGLDHAIQHPIFKETAIPLTS SSGVHAPPIAEWTVMNWLVHTRKYAYMYEGQKKHEWRDSNSGYFQGVHDQVGKRVGIL GYGSIGRQTSPRPTPSSRHDNGFIVPNTGDKEGTIPISWHHGTDKASIREFLALGLDH LVISLPLTPQTTHLLGAEEFALLAASQGSKGAKPYLTNISRGKVLDQEALIGALKSGD LSGAALDVTDPEPLPAGHPLWDAPNVQISPHVSSLGVEYFPRSLDIVKENVGRVKRGE ELLNVYKRGKGY AFLA_078230 MPLELHLAIPPDTPTLATLFFTTFSDAFNTKLFPRTPDVHTWWT RALTKDIGNPRKRLLKVIDTDADPARNEPTIVGFALWSLPAPVSNAPTTESKTGSEEI QTDELEDGLSPPWPESCDGALCERFFGSNYEWQVKVMGDKRYYSLDIIGTHPAARRRG VATMLVNWGLERAREDGLEVYLSSTQEGRFVYERLGFEVRSTGEVDGYVQDSMIWVP AFLA_078240 MTSEQYPHRDGLARRLLAAFIGNPDPVLPTAAHSVPTMNNHSET MGLPPEVRAKRLTIARTEANALIPPSDKLLVFRALTGIDSVPTLNTLHHYNRTVPNVG IYARVVEAEQTTAKRYRFYSILINTCLAVQIVVAASLTALGAASGPHSAVTAFGAINT IMAGILTYLKGSGLPDRLKHYQNEWRNIREYIEQREREFCLDGCELDVQEEIQYIEHL YEGVKREIEGMNGGGGESRAPMQHGQSNRRSFLPSQSRSQDASGPSPVTIPERSYEKI AFLA_078250 MEALSPRSTNQVIKPKPMERKVLDRNAAAAAAAQKAASSKNHAP PPPSLVAEPEEDGERYSTGAFLGKGGFAICYEGTLLRNHRVFAMKVVRSEMAQKKMQE KFRTELQIHSKMRHPHIVTFHRAFAFEKCIYVILELCPNGSVMDMVKKRRSLSLPEVR RFMIQLCGAVKYLHKRNVAHRDLKMGNLFLDQNMDIKVGDFGLAAMIISEKDEKRRKT LCGTPNYIAPEVLDKSKGGHTQKVDIWSLGVICFAMLTGYPPFQSKTQEEIYKKVRSL TYVWPKDSECSNHIPEEAKSLVSSCLNLAENERPDPDDIVEHPFFHMYEGCIPKRLDP ACCLAKPIWLKPDEPRGDRMMFGNSLDYDEKLASYIEYVDDPNQRYPICKAAFYSLCG VGRKPDGSARKAAGKNASKSAFAECLVEEERGLQPVIPLPEDIVYRYPHAPEGDWSIP EATLVSRRDGALQDNTLLSSRSTLSLRSNSVSLTRTQAALAAAQQRRQASQSHAATLR QQASIGRGSLRKITALCDPPALTTPALPEVRELALDVGPVPSGGLAERPIRARRGVSG SLRDSERNTASVESKTGHEAGVLTVGKTRSQSRRLEAANQESAGLPNAKERSAPTAPE KLPIISRQSSLRAPTKTEPRDAPNHKDSGNLTAKDELDAQSRRLAAEAQSQQSSRSGS KASPPAGSKPRSSIGLHPLFHKDDSCELLPRSSVIDVNADLRHMLANLVPYSSARRRT ASRRAPHTYVIKWVDYTNRYGIGYVLDDGSVGCVFKSGNGQPASGVVMRDGEKHIRRK ARHQERKENGAYAYSEAGQLIPRNGRLVEFYENCDNELPENRGSIRRAFVSPSVFERE SSSSSSSGLVYKARLNASVECARADAEKIKRVKLAHQFGEYMIGSLGRNEAYLEDQLP PDHHGQFVKFYQRFGNVGVWGFGDGAFQFNFPDHTKVVISPGRTRSSSPWIDFYHLSP SAARYLSSKGKMHPSGFDSRSMASDEAGTFLSIAYGTGTTPKDERLRDILEANAFLPK ITFMKAVLKGWIKLGRLGERPLDQQSASDGLFWEGAQERPQSGGSGSRFVWVTVGAPG GDTEYRSVSLKKAENEPDGEMDALRERLRRLGVPS AFLA_078260 MSLTLKLSSLAIRTLSKPIANRIKAQAREHERFRKVCVSMAQAL HRFDMRLRLGAVRDNAASQKRAAAEAALRKHKPTSPTVKTEAEAKAEEDAIAKAKAAA EEAAKPAPKPHIRPLSESKAIESGATFISETFLFIVAGGLIVFESWRSRRKENTRRED VETRLAELEKSEKAAREALLAVEKELLAQKAKHGGLSKSSPRILPREVWDVERQQETE QVEEQGWWAWITSYLPVGQTPEQQAESVIKESKKTIPKAPMPVGEAVPAQAPSSHDQS LESKKA AFLA_078270 MGHSRRPVGGEKKSRGFGRSKVADVGDGRQAGKPQVKKATFETT KKKDIGVSDLTLLSKISNEAINDNLKLRFEHDEIYTYIGHVLVSVNPFRDLGIYTDNV LDSYRGKNRLEVPPHVFAVAESSYYNMKSYKDNQCVIISGESGAGKTEAAKRIMQYIA SVSGGSDSSIQQTKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNANGEPVGA NITNYLLEKSRVVGQIANERNFHIFYQFTKAAPQKYRDMFGVQQPQSYLYTSRSKCFD VPGVDDNAEFRDTLNAMGVIGMSEAEQDNVFRMLAAILWIGNVQFAEDDSGNAAISDQ SVVDFVAYLLEVDPAQVNKALTIRIMETARGGRRGSVYEVPLNTVQALAVRDALSKAI YFNLFDWIVERVNQSLTAREPVANSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIF IQLTLKAEQDEYAREQIQWTPIKYFDNKVVCSLIEDKRPPGVFAALNDACATAHADSG AADNTFVGRLNFLGQNPNFENRQGQFIVKHYAGDVSYSVEGMTDKNKDQLLKDLLNLV GSSGNQFVHTLFPNQVNQDDKRRPPTASDKIKASANDLVATLMKAQPSYIRTIKPNDN KAPREYNVGNVLHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLLSPKTSYAGDYT WTGDAESGARQILKDTSIPAEEYQMGITKVFVKTPETLFALEAMRDRYWHNMAIRIQR AWRNYLRYRIECAIRIQRFWRRTTGGLELLKVRDQGHQVLQGRKERRRMSLLGSRRFL GDYLGIGNKGGPGEMIRNGAGISGSDDILFSCRGEVLISKFGRSSKPSPRILVLTNRH VYIVAQILVNNQLQISAERTVPIGAIKAVSTSNLKDDWFSLIIGGQEPDPLINCVFKT EFFTHLQTALRGQLNLKVSENIEYNKKPGKLATVKAIKDPAASPNVDTYKSHTIHTSP GEPPSSVSKPTPKAKQVAARPVTKGKLLRPGGPGGGPSKLASRPASRPTPKPQPLPQS QPATAQPIPAPQPAAVPRPVPQPVAAAAASHTRNASSGSVRAPPPPPPASPPAPKKAT AKALYDFTSAQSNELDIRAGDVVQIVSKEGNGWWLCMNMATSVQGWTPQAYLEEQVAP TPKPAPPPPPPAAPRASPVPSANGAAATAAAAKAKPAPPAPPAKRPNMAGRKAVPAPP PAPRDSAVSMNSHDSSGGSGRGTPNSTSNASLAGGLAEALRARQHAMQGKHDDDDEW AFLA_078280 MPNQSGSDISLTSSSSSVCPGDRDTRPGSSSCDTPAPSQHRRPS YSTQEGVPVTRDQQPVLSDTDAVSSAFNPTDPGISALMALPQYPSVATNEANFLAVSE VVNAVIDRDSYFRRVGGGDEGTVHRHSSPPTDLYMSDSEMTDVLSDLGGVPLAPYENG QLGMETLVHHLIDHATARRAISTISEDSEDEDEDAAALITHYDTPVNQDPSTPISEQD DLLDDAEKFYSDEDGGDYDEDFAEQIEAYQAGDLSEVDYDDFYRGLDHDLGSQATEVT GPDDHNYPSDGEDWSGGDLTGDPRFPASVIHGTTHERNFTIDQFISQWLVQSTAASIP SLPVISTAMPPSPISNILGWNPPAKIARPSGYTGDFYDIQQIPWWETLRVKRADAREL RDLWYTSYHNLEYSNQRPGLRLSQEEFYFREKAMYTKHKATIEHFQLRNLMSVAAHNT VHFAQESKVYSWAPAYDDVSCLIDLSKPSAESGLHAPVKISTMKSAHDVAIAGGFAGE YAVRATGTQEGGVQGFVTKDPNGITNHIDVVPSRTSRSPMGIFASNDRHLRVLDVETN TFIADQELSRAINCTATSPDGRLRCVIGDSPDAWVVEADTGRPVHPLRGHRDFGFACA WSPDMRHIATSNQDKTVIIWDARTWRVLEKIESDVAGYRSLRYSPVGGGPRTLLLCEP ADRISIINAQTYQTRQVHDFFGEIGGADYSPDGSTVWVANTDEHFGGFMEYERRQWGQ RYGVRGLPNEWVRESDLDEDERCVLSERERQMRFWWNLSDEEHEGMLLV AFLA_078290 MSLPQRPGKASPRREEVHSAFRESSRRRRRDSETGGLTDTLSSP TSHRHHHHRRHRSHSSRRKKDVDEERGDMGEEIRRKKSFVKPERSRIDQDHPNYHYRQ RSQNMPTYPSATGHEPLMSGDGEVDTNSSRSMDRPKEGVYGEHGNINKPMERAPSRRR TKKRRHSRKISKKASAQERRRQKALEQVRPPSLWSTYCAIITFWAPDFVLRCFGMPQK AQRSAWREKIGLISIILLIGAFVGFLTFGFTATVCGTPPVRLKVNHVTKGYMIFHGKA YDLSKSKHPAAAGIPGSSNVLYDLPEKYGGQDGSFFFQQVNGACKGLITLADGSDVPT NSNGDLAWYFPCAAFNQDGSSEPNYTEPYYNGWACHTSGKARKSFYSLGSSGDVYYTW DDTKNKSRNLAVYSGNVLDLDLLRWFNTDQVKYPAKFDQLRTNPDVRGVDLTYYLQTG EEKKIGKCLSQIIKVGSIDTDTVGCIASKVVLYVSLVFILSIVIVKFAFALIFQWFLA PRLAAQKTSMSSDPRKRNQQIEDWSNDIYRPGPRLTDPTDRPSKRASFLPTTSRFSSP YTVSNGGKQRPQWVTMASQNSTSRLVPGGGSMYKLSHNSSGGTLSADASRQNPTASRT SLVQDSRYSTAIADSEGLGTGGYIHEAVVPQPPPEWQPYGFPLAHALCLVTCYSEGED GIRTTLDSIAMTDYPNSHKTIIVICDGMIKGKGEEFSTPEIVLRMMRDPVVPMDEVQP FSYVAVATGSKRHNMAKVYAGFYDYGETSVIPPEKQQRVPMMIVVKCGTPSEAKQSKP GNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGLLHVTGIPPDFYEVVLMVDADTK VFPDSLTHMISAMVKDPEVMGLCGETKIANKTDSWVTMIQVFEYFISHHQSKSFESVF GGVTCLPGCFSMYRIKAPKGGQNYWVPILANPDVVEHYSENVVDTLHKKNLLLLGEDR YLSTLMLRTFPKRKQIFVPQAVCKTQVPDKFMVLLSQRRRWINSTVHNLMELVLVRDL CGTFCFSMQFVIFIELIGTLVLPAAIAFTFYVVISSIVKKPVQVIPLVLLALILGLPG VLIVVTAHRLVYVLWMLIYLLSLPIWNFVLPTYSYWKFDDFSWGDTRKTAGEKDKGHD AGEGEFDSSKITMKRWRDFEKGMCVLRRFYSPVVADMSGRSPASHAGRLGTASDGRIS YALRRILRLLVYRGHVLYFFLCWSRAIRLTFVLRFFFSCVSNSLCLFIYPMTHLEGV AFLA_078300 MKMASPVAGEYPQPVSPPPVYLRSSPPPPPHHEFPSPTTSKRPL STSISRKPLPPAPGNRESFVPSVRVDVDGEQQVPNAAVENDYDIPPIPTSAFTHMSIE DRPHSHARISSGQFPPRRDSYAGTRVTGAPIRHTPASSGSWSVVDAQIKDESNSLAKT SGSSLDQSAPSGSRNSSSRSSLDSAAQEPETYEPLHYHHRPYQSLGTAPAAAAGLAEN SVSTTGHLAVGKPRRPHSTYSFGSDLDGRNGSPHASPYLHARSSSSASPDVRPLSFVD LLNTPYPQPGPAPVQLGNAHLRSSVGNNASLLSHKQTFDMYLANVKKTDNPAVQYEFA VFMVNAMLDMPDDALEGGAAAVYGRKEPEITRSSLLKEAKSILQRLSDRSYPFAQYYL ADGYASGLFSKGKEDYDRAFPLFVAASKHGHVEACYRTALCYEFGWGTRVEAAKAQQF YRQAASKNHPGAMMRMAKACLAGDMGLGKRYREGIKWMKRAAESADSQYNSAPYELGL LHEEGYGDDVFPDPSYAAQLFTKSADLGHVEANYRLGDAYEHGKLSCPRDPALSIHFY TGAAQAGHPLAMMALCAWYLVGAEPVLEKDESEAYEWAKRAAETGLAKAQYAVGYFTE MGIGCRRDILAANVWYVQAADQGDARATQRIAAIRAASDGVDPAQAAINSRGQKTKKG MLPIPS AFLA_078310 MRFLAVLALMASVAVARPAEANIQQLCQGVDRSCNNNGTAGANS CCTPLKCGIDNKCYSPF AFLA_078320 MRGAFLAAAAAVAGTAMADVAHMRRHGHDSFHHNRAYQPEVPAE GDENCECTTKVITITGPPTLVPINTPAPEPSSSSSSEVPSVPSSESSVVTSEAVTTLH STSTATVTVVTTPGVDATGAQTPTGGVPGTPEASSPAGTPEASTPAVPATSESPLPTP GVTSFSSTGIYTIPATTVTVRDTTTVCGATTTELPSGTHTFGGVTTVVSTATTVTCPV ATVEPSGSTVTSKIYTTTYVCPSAGTYTIAPTTTYVPTSTVVVYPTPATITPGTYTQD EQTVTVTRTDFTYVCPFTGNDQPTSAPVASTSAVPVTTTAAPSTTSAVASSSASASST ATAVPTGVSGQQMGMTYSPYTNEGGCQSKDQVLKDVALIKQKGFTHVRVYSTDCNGLE YIGEAARENGLKMIIGVFISSTGISGAQEQVTAITKWAQWDLVTLVVVGNEAIQNGYT DASSLAGFISSCKSSFQASGYSGQVTTTEPINVWQQSGSALCGAVDILGANLHPFFNA DVTPDQAGSFVRAQIKDLEAVCNKDVINLETGWPSAGNANGKAVPGTAQQAAAIKALV EEVGSQSVFFSYSNDLWKDAGEFDVERYWGCIDQFK AFLA_078330 MSKAASTAARLQNDFGADLWVKNQTQARQATAGRGLFAGLQDVK HYNVENGWAKRTAANEQPGLIGLLWSRLVYPIPEW AFLA_078340 MNDIHAPNAILLEYLEDTEELNCVNYSGDRLQAAIVGLRGIHSA LIHHRDVYPKNSLIVRGPPERVVWIDFDVAMTFDSTKPMGYQADEHCDFEIELVKSFG RLLWKSISGSRLTAALSSLNISPHSIFKKNLYS AFLA_078350 MRHRISKKHEMENIEVEFINQSMDRLNLLANTDELARQTVVRIF RELKLSPSSDTEEKQVWTVDQESMSVQMPEHFEQLMTDIALVYSTEDSKSSSIRPKAD GVLLHLSAIVRRKKDQLYADSSLLSHHIHAAPYWHFQTTTTGSDDLAFKASVDYVLWY GACGDWDTNLAVVRSSGLLDDECWAALPSMSVVYAARKARKYKGGIYGVCTDSHTWTF LHLSDRGREIIGQLYKIIGQAFDLHRAREQDPKARERIRDEIFAWNEDKKSSDDKSRV DDEIPKGWIIAKRWNNVDNHVSAGTLKRG AFLA_078360 MQWTTILIALGALSTQSPLSQVSGAATSSPFEKLPITTPTWEVE VTPGGDKVTLNGTVQEVHAQLLKLNPNYDSDFPAKRSEEPSSLTKRDFKYEKYYCWGE DGWHYSRKRGDSGDLQDGIDYLNSIGGTPKEAPGSCGRVSCSYNMAIYWCNANSETQY LKSYATIASGAQFLLDKCGGDNKPHGEVVAPNHWSVRVVSDAC AFLA_078370 MSTHISTNKLANTRVLIVGGTSGIGFAVARVVLEHGASIIVASS KPDKVNSAITRLKTFYPDEEHTNRIAGTVCNLADRETLEANVVNLYNFATAPDTFPNA QGTQTTDGKVLLDHVVMTAGDALGLRKPTDPTFDVPYIESSGTVRFIGSLILAKHAPT YLRQAPSSSMTFTSGVLTTRPAPGWTLVAATGSAIEGMARGLAVDLAPIRVNTVSPGA VLTEIFGTLETDSLEKMIEMFRGQTLTGEIGKPEDVAEAYLYAMKDCFLTGELIGSNG GKLLK AFLA_078380 MSASALLRSRVRRPSYLKKLAKAEDLIEFFPHGSYIGWSGFTGV GYPKKVPTALADHVEKNGLEGKLQYTLFVGASSGAETENRWARLNMIERRSPHQVGKE IAKGINSGQIKFFDKHLSMFPSDLVYGWYTLNKPKNRLDVAVIEASAITEDGGIIPGA SVGASPELVQMADKVIIEVNTASPSFEGLHDITMSELPPRRKPYLILQPEDRIGTPHI PVDPEKVVAIVESDYPDQTQPNAPEDATSQAIATNLIEFLKHEVNHGRLPQNLLPIQS GIGNIANAVIGGLSKGGADFTNLKVWTEVLQDSFLDLFDSGNLDFATATSIRFSPDGF KRFYDNWERYAGKLLLRSQQVSNSPEIIRRLGCIGMNTPVEVDIYAHANSTCVMGSRM LNGLGGSADFLRSSKYSIMHTPSTRPSKVDPTGVSCIVPFCTHIDQTEHDLDVVVTEQ GLADVRGLSPRERARVIIDKCSHPDYKPILTDYLDRAEYECLKKGMGHEPHLLFQAFK MHQNLAEKGTMKINGWD AFLA_078390 MERTDLVVSLYSQNPPPQQGPSSQPTGPVLPPAGPYHPASQPAG HSLPGLAELSQGHAGPHQPPAYGQHPSAPSHSAGHSLPGIGQAMQHPSPQSINREREL DSRERELIERQRQEEMAHREREQREREQLERQQLERQREQQHHPVQSHTGSIPLHQPV ASKVPNSIHGPNGLLSNLGSNPPNGPQGSMQSSGAPGPMYGPQIQHGEGTPRSYMQHP AGPPGQPMMGFNGSGPQIPGNVAALAQGQQPILNDALSYLDQVKVRFVDQPDVYNRFL DIMKDFKSQAIDTPGVIQRVSTLFNGHPALIQGFNTFLPPGYRIECGTDDNPDVIRVT TPSGTNTISMPPRPRHSMDSSADLGPSGGMASHSRPEFFDQSRSGWQQHQPQQQQSNL TGSYSPGSRMMGPGMFGQQGGQGQPQDHHFDYPTQQEQQAAAGAAAMAHGQDQRGVSQ LQGAASAASAGLGRPSLMQVSPASGQGSSLSQPMSSLAGVGSNMLQGSSQADLKRGPV EFNHAISYVNKIKNRFASAPEIYKQFLEILQTYQRESKPIQDVYAQVTQLFNTAPDLL EDFKQFLPESAAHAKQQAAARQAEEAAPMSNVRGEPSYPSANALPSQTPNRDVKMPPL GQFNVKDSGKEGKKRRPGPGAPSTLGPSLSGPSAGADAARMGDVQGGRPPTLQPGNVN KRAKIHHAKPTQAEAPAVSPTLVPALPEPIQPTFSLTPTQEEFAFFDRVKKYIGNKQT FSEFLKLCNLYSTDLIDRHVLVKRAAGYIGSNPELMAWFKRFMHVEEPEDKIVESKPK QEPGLVNLSHCRSLGPSYRLLPKRERQKPCSGRDQLCYSVLNDEWASHPTWASEDSGF VAHRKNQYEDALHRIEEDRHDYDHHIEACTRTIQLIEPIVQQFLVMSEAERAAFKLPP GLGGQSEAIYQRVIKKIYDRQLGDKIIREMFERPCHVLPIVLFRLKQKCEEWKASQRE WDKIWREQMQKAYWRSLDHQAIASKGTDKKLFVAKHIQNEIQNKFEESRNLRKSGFQV PRHQFELTFDDSAVLIDATHLLLTFIDRNSAGFGADPQKVMTFIKDFIPVFFGMDRDT FHVYMNELSTGTSPTDEADDESLVAEESSTPRSRKGLNGKKMDLLRDVLERRSEKANR TEKEGSRSNPASRDGTPDAVLVPSTPVPDPTETFDVAELKWMDHPGQGNFNLAREYTL NESYEKKQHHLYANLNIYCFFRTFEILYTRLLRIKLHEKDAHEDVRRALMAKPAQELG LIDKVPTDFFYDCDPKANLYNQIVRMCEEVIKGDMESSHLEETLRRYYLRSGYQLYNL EKMFAGISKFAGAIFNGDSKDRSSDIINVFFKERDREETTHNQEIQYRKQVERLVKDG DIYRISYHPNTKKTTVQLLTPEDATLENEELSQEARWSYYVSAYTMRDPTEGVPFSQL RMPFLKRNLPPKLEQEEEYNRYYRPLVHQDGLIIRICANSYHILYEPGSYDWWWRPTA SPDESAEEIAKEAAAIKERRHDRFTERFVNNPMWAHGLSKDQVDEMNQRFRSWVKGTD PENSAPAPEAASSDKKDDKEDTEMADAEQNASDSNKEE AFLA_078400 MVDRDPGTPTWKFTHMEMLISLNRCFGDKGDVEDITEADIISTV EFDHTGNYLATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKIN KIKWCRRSNSSHFLLSTNDKTIKLWKVFDKSLKVVAENNLSTELTPAGVGGGGAPRAP RLSFKDPSMLKLPRMTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRI NLWNLNIQDQSFNIVDIKPANMEELTEVITAAEFHPTSCNWFMYASSKGTIKLADMRQ RALCDEHHKLFEQEEDASSRSFFSEIISSISDVRFSHDGRYIVSRDYLTVKIWDVNME RQPVKTIPIHEHLRPRLCDTYENDSIFDKFEVVFSGDAENVMTGSYNNNFMIYPTDPA KETEIVLQADKSAFKAKKVGVPTPMNKGANGKKGNTRAGSPGGPGSRMKKETDADQID FNKKILHMSWHPYEDSIAIAATNNLFVFSAL AFLA_078410 MTEDKKRGTNNQSNDDLSNKPSNPAKPADKNNSNTPSLASRIQS SASGLARQAFFAPGSSDDAAQFLTPGSKAGTTTTSSSSALAAAEQYNQVSGPSAPSSA GAQLRDSNAGTFRSTITPQQGGFEIPQLTEEEFAQAGNDFLEPSASTSTIDKGKGKAR EQFSSTTHHHAPEPTDGSAVISLLSDRTFDPTFPHDPAEIIETELSPPQQLTPAEIQM LETFRRQISPQPDAASPSTHRLTSASLVPEIDTILDSAPAQTDADAAALRDTVLANLP GSEDWVDVEERYHDEVWGWLKPTLEAAAKELEEKKEDQRGEEGPAVQRLKMVLTHMRA KL AFLA_078420 MAPAPRVIVVGGGLSGLSAAHTVYLNGGNVLVLDKQAFFGGNST KATSGINGALTRTQVDLGIQDSVKTFYEDTLKSARDKARPELIKVLTYKSAAAVEWLM DVFNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELTEKEPERVQIVKK ARVTSVNKTGNTVTGVTYEYDGETHTADGIVILATGGYAADFGDGSLLKQHRPDTFGL SSTNGTHATGDGQKMLMEIGANGIDMDKVQVHPTGLVDPKDPTAKFKFLAAEALRGEG GLLLNSDGQRFSDELGHRDYVSGQMWKEKEKGKWPIRLVLNSKASNVLDFHTRHYSGR GLMKKISGKELAKEIGCGEAALQKTFQEYNAIAEGKQKDPWGKRFFHNLPFDINDTFH VALMEPVLHFTMGGIEINEHAEVLNSEKKPFEGLYACGELAGGVHGANRLGGSSLLGC VVYGRVAGDSASQHLFQKLVSGGASSAAQRLGQISLHIDPSTPGKISVEWSGAAGSGA QIPAGAGTPAAATEPAKASATPAGASSTAKANDPKKFEIPETEYSMEEIAKHNKKDDL WIVVKGVVLDVTNWLDEHPGGANALFNFMGRDATEEFAMLHDDEVIPKYAAQIVIGRV KGQTPSLEL AFLA_078430 MAAEASSSPPSVIPLIINGKEEVPESTFDVISPYTNQRCWATAS ASPQDAIRAVEAAEAAFPAWSQTKPTVRRDILLKAADILESRLVQNAEYMRTEMGADV GASQYFIVPLGIRMLRDIAGRITSICGSVPVVEEEGQSAIVYKEPMGVILGIVPWNAP YVFGVRSAACALAAGNTTILKSSELSPCSYWALARAFEDAGLPAGCLNLISCRPQDAP HVVNTMIEHPAVRKINFTGSTAVGRQIARSCGQNLKPCLMELGGKNSSIVCADANIET AVKGVLAGAYLNSGQICMATDRILVHSSIAPTFMEALKSALNSNLDPSSPPPTLVNVA SKARVERLISDALSSGAHLIHGSANQTSEAQLEAGVRMAPVLLGGVREDMKVWQEEAF ASLAACMVVDSDEEAIRIANSSGYGLSASVFTEDLRKGLAMAKRIQSGAVHINSMTIH DEPALPHGGVKNSGWGRFNTAQGLEEFLVTKSVTWMD AFLA_078440 MTVTSRGAEMICIVSVLVGLSLISVILRVFARMKRSIGLGMDDY LCFLSLTLLIAMLIELVLWVTIGGNGSHMADLDKTTLMNFSKIFLANQFTYFVLCPAI KISIICFYRRIFTMKPFQWVSFALNTLIAAWGTGIFLACALQCRPLRGYWDKSIDGHC FDQNKFFIVNQGFNILMDFVILFLPIPMIWGLQRAWQDKLALNGVFAIGGFVCFASIY RIVVLFWIKPDDTTYTVYQATLWTHIEPSVGLICSCLPIIRGLFPRFKIPGTRRYATA PYYINTDVSGSNFVMSSPKSPASAYFKMMEEGTVSRATSDSNVPLDKNYLGPMGIAVR TDFTVSKDSASVKSHS AFLA_078450 MASQKITSALAEIQSSANPQNKLQLYNDLLSDVASTSSGDQLSQ DLTFYLDSILSEDISIVAARPLLDSFIDVLRKLNPETQIKVGQHAITLLQSRSTSVEE QDAQIRELLADAYESEEEYIAAARTLQGIHIDSSQRLVSDAAKVRLWIRIVRLYLEED DTTSAEAVLNRIKNLPSKIEDHELQLHFKLSQARILDARRKFLDASQEYFNVSLAAGV DESDRLQALAAAIRCAVLGPAGPQRSRILATLYKDDRATSVEEFGILEKMFLDRLLTP AEVTAFSQRLAPHQLAQTADGTTVLDKAVVEHNLVAASKLYENITTDALGAILGLEAS GDLTAGEKAEAYAARMVEQGRLSGSIDQIDGIIYFESSTAGTGRHIRQWDAGVQGLAE DVERVATSITDAFPVCTDTIASTV AFLA_078460 MVPQIKQDPDAASPYIKPDPDSKDAVLADIDDEDLYEDAGDLDF TNASQSVWLSRIPRTLWEHWSNLDDDEEIQIGTVRIEGPLNDIKRVSLRINEREENRD IPKDYLLQRQTINTEHVSSHSTQNTYLFTEKDIPGHENRMITFGEARSALYESMKREA KKKERKKKWEPYVRKTVPKQTALVGSVSEEFNCLPVENEEFRLLSEQKVLQSLKPKRE TVFIDKVPGKLLQARHVLPGEKGAFVQATKAAKLKPQENKTTRMPQNELLDLIYQCFR EYRYWPFKALKARLRQPEAYLKQTLEMIGHLVKSGDFAMTWELKPEAKESSYANAMSY GDAKEEMAPGADYNFDDASEEEATPGMFTDNDDMQFENVP AFLA_078470 MTEDQDLMAKISQLAGQINRHKTQNPQTSTSYGSDYQSGPYVAR HVPSRGRPGWAPYRGRPYGRGRGAAPHRHRTLVLNNTATSGTPGGTTPSTNSGMDVDS ESRSTTPNGWVAKRDRHMQLINSAIYDQEAQARAKAMEESRKAKEKKKAEIEQAKVLR YAQGVGRQYPGSAAPQVVPSPSAEYQVYLNDIPFRVSRGGSKLIRVSGAAFSAATLAK RAGLPLIDDPNTVNNTPKRVTIAGVTFVRSKNGNLHRLGAVTSKRKPNATKKNELCRR FTTTGTCYKGPSCLYVHDPDKVALCKDFLQTGDCTAGISCDLSHEPSPHRSPTCMHFL RGRCSNPECRYAHIRLIPGAPVCRDFANLGYCEKGANCDQRHVHECPDYANTGVCNKK RCRLPHVDRAGQIRKNTGANKVDATNDDDSDASSEDEEYDEIDSDDVDSDDLDEDEPE LIIKRDGSNDLSQQQDFIHF AFLA_078480 MPKASYKNSYKWALIKLAPPYNAEDRAKSLAIPQLKDWEELLLS SDKNRLAILSFATNPYSQILANQSAVQAVDRPVFNVKIPLEGAPITNQRSSGRCWLFA ATNVFRVPLMKKYNLKEFELSQAYLFYWDKIEKANWFMEKIIETAEEDLSSRLVQKLL EDPVTDGGQWDMVANLVGKYGLVPHDIYPDNFSAQNSAKMNWLVTVKLREHALTLRRL AQSQPERLARQKDDFLKEIHSLVTIMLGPPPSPKEAFHWEYYDADGKFQEVYDSPQGF ARQASAQLARGDVDPRRMLSLVNDPRNEYSRLLTVDKLGNVVGGRPITYVNVDMKTIK AAAIAMLRAGHPVFFGCDVGKFYDAKLGVMDQWLLDVRLGFNTTLRMDKAQRLKTGES SMTHAMVLTGVHVEKGQPVRWRVQNSWGEAVGDKGWFVMADEWMDEFTYQVVVDSRFV SKEVRDILNQEPEVLPRWDPMGVLA AFLA_078490 MVTLCMRLIGCDRPNDGTLDTVLYDILVHLIMAKAPPSQGYYPR TGRLDHGMMGRW AFLA_078500 MARYSQPSFDFYQQSPSNLDAKPSFPEEDEMSVLDDKILDSTSP ELSSSIADHRRSSYDHAPDAFSHRDSVWSDFSQSVHSNQSRHNSHVGHHLFESAPNPF MRLDGAHHPATAYGQQASWALAKETGSCTPTAMYDHHFPSDLDNGSSAPFSGGAVGPV STINIPSMSYRPGMAFAPPGAVAMSPQSSQGWMPASTDMPDAVSRTTKSPTYRNSSPL SIRRDGIRKKNARFEIPAERTLSNIDQLIAQSTNEEEIKELKQQKRLLRNRQAALDSR QRKKLHTEKLEEEKKQFTQIINDLEEDLQNMRLREAELMREKNELFGAQQELYHHLNN MAMEKEELIRVHTLETAELRKKNNILKETVEKLERHARSSASNMATDFSDFENLTMDN TPWEELTMVNSLSLDADPVTSAPANERSTEKSANSDYPFSWNAFYMCLLFGAFIASNG TSLSARAIPQLSEEYRAESANVLKAVLSSSPPELAQPSNQPAVSASAGPLPTTISGAE MAQMSAGTAPSSNLDELHDSLAMPTKAQEQEQVFSLNADQYNSLTTYDDNGAEYKPQQ ASQFQQALAAMRGNVAQKMPHKATSDVYSRSLMWDRVPEKVIQDFRRMVQEYGVSPVK EEQSGFSQS AFLA_078510 MPLREILHKKDRINDTSGQYAAGVPSAFLSPVPQIIRSDTTSHE VVTPPTYDGDDVYHHQNTHLEVSPPSSSSRRRSLNPFSRSRAPSESLGSPPSQPRETR LSQLLHRDRRNSTATSTNIPSNLPQINNEKGDEQEREAQWEKRATVLIQRNPNLGSPL SPTSPGFGRNSIETRSRSSSRSGLSDPQGDVNIQEAIRLHEAGETLADMYILTQVTLA ELEKSTEMFRQLADPNGANNALSQVLYGLALRHGWGCPKSEEQAVTYLSAAASNSASI ESQALQAGMKKGGAAKGELVLAIFELGNCFRNGWGVKKDPAAARQYFETAANLGDTDA MNEVAWCYLEGFGGKKDKFAAAKYYRLAEQKGSKLVGNSWIWKEKYDPK AFLA_078520 MASRLAKSAIGAARLRPTVSRNVAPIANLTVSRSASNVPTEDPK KKAQSILDALPGNSLVSKTATLSAAAGLSIAAISNELYVMNEETVAAFCLLSVFTAAA KYGGPMYREWAEGQVQKHKDILNAARADHTNAVKSRMDNVQELAGVVEVTKQLFAVSK ETAQLEAQAYELEQRTALAHEAKQALDSWVRYEGQVKQRQQRELAESVIGKIQKELEN PKVLQQILQQSVADVERIMSSSKAQ AFLA_078530 MPAPTVGATSSKKRKSVKESGVPSSKRRAVAENDGADVMVEISQ LEEQISESRKYYNNIAKLISMLNVDDNATNPNLAVAVSLCRVFSRLIAGGDMAETNRA AENEKIIAAWLKERCREYQRALSAILREGDTSAKLTALTLCMRMISERATHIPSDDTQ VWLSGLFKSVIEAVVATDDSEALRTEFLMKFAKEYEDVRFYTFTQIANIAETEQSTKT LDILISILSACDTIPSPEHEFENFYVKSSKKNKKLVSVNAHKKRAQDAWLAVLRNNIS ESQRKTLLRIMVHNIEPWFNRPELLMDFLTDSYNVGGATSLLALSGLFYLIQEKNLDY PQFYQKLYSLLDADLLHSKHRSRFFRLMNTFLASTHLPATLIASFIKRLSRLALNAPP TAIVVIVPFIYNLLKSHPTCTFMLHRVIKDEAKAELEAEGMDDPYDSEEPDPVRTKAI ESSLWEIHSLQQHYHPNVAAIARIISEQFTKQFYNLEDFLDYTYQGMVQAELGTEEKP MKRIPVIEYHIPKRIFTDRMLEEDGGVDTAPGSLVRGLWDFA AFLA_078540 METENCGPSNTSSAIHAGPPSQTVETELPPARPTAPDPSHRFYR SIESVSRADSPNPEEPASANGDESQASIEDTRRGALHTLSLCKSVITTLELTRLRKSR TGIFYWLAFWERLYSRGFARSLGSRVTAALTKVDILFRTVANELHQLTQRMEYAIAHA PTEKDILLMLEQMEDEVGVRRRRRRKKAQAILNKMRVHIEGIPVKVSDELFDDMKRGV FALDVFCDYHPGDPVAEEHESMWPEYFVEQSGVGMVAVSPYLYRQWQQGEASAAAGSY MPLTSYTGNNAEVEYLEEEYEVADNWRPDDSRSARRW AFLA_078550 MSGGPGLNFNTKSDLVANNTAAIARRVGCAEEGEDQTLETLECL RDVPFDVLTNLSVTASRTARPPFGEGFFFPTFDGDFIRDRPSQLMRSGKFVKGIRLIA SWVTNDGAWRNFCNYTHLKTSSILYRKNMTALSLHSTTAQHR AFLA_078560 MTPTWNSILVTLIALFAGLLAFYLNRSDGLLAPNSEGSELTVGG RQLTSPPTVTDPARDIKYLGSYSLGVEHYQNIFNAEAPWGKRRFAPPIPFQYARGSVV DATLPGAWCPQGIGDVLPFTSRVVSISEDCLSLRVA AFLA_078570 MAFKQRISFASTWAFEDIGVQDIGIEVKFVLNVSNDSSANTDGC SRKALPHLDCHCEYLRHDDLLVVKGHLNGSVTKIAGLYSTFIEEQKNKDLLDMPRTRQ LDIPIMLQDEGASVPVATTEDSLGGLLALDVDSDEELIHHSHLMTRVTKFWLSSHGGI GCFATGFHEVLTEVAAVTGTDITLIDEAKGIQISGNSAGDVDDALAKLTRIEKPLSCL VNPKMGNMGIATEDKTAQYSIQNYGSLNQVALRRVLTEPGTSSLGISQMFVTISLPFD EETQSYMLPKNVTKPQPIGKGPDKSIIWQNYTFPGVGKGDEFVLMESAGDTNIPAATS VISLTHPFLTAEKAKQVNEWVAEGKEMEATSKQPEHPPTPPPPESSSNTTLVPGAKRA PAIKTRRPIPSNQSVPLVVPVAISERVKTPDLVPKKEDVTTPRRKWKMTYSAESGNTD LRVGCPRPASPCELRDAADSFLNASTPAPKPRLPLVFDETKHELNKHPRLLDIKNNDQ NALKAQRKGDYVPSRTNRKLDTNNLLVDVTAPAAIRMNSLPMMRADQPALIPLNADLG SEQSVLNSNAPSRVNNIADDLNGLVIEADRGSSNELGCPILHEGPKPCRNDNISEQVK RLVMLEEVFLEQTRELPTISEIPARVANSPIPLGRINAILTKRRLEELERRHKAEGKQ PSDEMATREFHHTMNHKAPKPSHNASSKSSRKAKRQATLEDAWGIPKKPTKKDNPAPP GNMEAKGTSSRHTAQENVRHAQKRQAELSMDESIKQLFEILKPTLEAAEYFPGSLNLE VQIGLALIPVLPKTYEEGLASLREWTEIMQPQTGVSAPTTKFIDRLTTSGSDVDYIVN LKTSQDGKRRFFEHEDNEYSVIYEFHCLYKTNQPIIVEVDERGKYSIKKPTEPLGAVN IHFPGSVWDARMIVRGNIAYPTHEYREFEDAARYMVDHLWVPPDKHTVRLFTKLSKNE HLTVQKIFMKRWTRYRYIHSNDFSLKGTNTPDTSSSTGGKADSATGSVGSKSAANDTV SEDNSENPEAQDLLLQVTEVQDLLIGSNLADTQAIRARCAPLSEMIRNGRQWYEVSLV SSAIDAILKTNANIEVGERTDDWRSLDLFGNDAILLHDSRPGCADTALPSRPVATAVG AAGIGNLLRLTKVVVQNMDGVGFWNCGPCVDAALMPVAGSLNTPSIPNRTNTSPMGAM IKTEKKSLNFDELESIKEVGSSIGDVPVTSKPNVASSDQKKEQIEIEYW AFLA_078580 MHQYSNLFDRDSPERLKAVESSAESINSHSSNTRTNGSTLTNSD SMTTEWSSAVGHAATGKSGRVIHNLQEDIARLTRECSVYRSRAEETQRMNDAFKTQVQ NMTERLRNLEQAHETNLHSISRKDKKIEELRAEVQSEKDRRMRAETETNKFHQLMDES RDDFNRKCAELQEIANHARTQYDVLAKAGQRERADQQRRVKAIRDEIDALKSRQEEKS LHLERLDAVMAQKNREIEIGRENFDKLFEVYESYKKAHDEEVHTLVERGRQGDANLEA ALASLKETEDRMKWAIQVKNEVKGAN AFLA_078590 MRAFESVFAAIACLAHIGMTDALSFTQWPGVIHTGEDNTVKWIG DPDLPTTITLRRGAANDLGDVYVLTRDARGNEYTWKANDDLQDGSDYALQIQQNEEIN YTGHIIVEHPNGQAPSSKGPRPDEDPNSPDFSTAPRNGTTGVAKGNNATITVTHVKHD DKPSTGNNHTSNKSAVSAKTQSDDASLRNWSPNLILAVVAVLYLNY AFLA_078600 MSALQTFLLVVDHDKQEAKQIAERIAQDVETKKTTLIEVVQSLG EYINDEDPILRGKAVSYLTSVIKSLPPRFLSRQQIQVLTTFFCDRIEDGGASRSQSQR FQVYQLLNELMVNHRSALHSMGDHSLVGIVDLMTGEKDPRNLMLVFSILKVVMVEWDI SNHAELLFDSVYNYFPITFRPPPNDPYGITAQDLKDRLQDCISSTSLFAPHSIPSLLD KLDSTSPNVKKDALNALIACINSYDPDTVSRYSITIWDALKFEVLNAQEELLSELSLQ ALQDIAKRLSEGVSQVSDQLPLAQYMRPITRECNDQLREPQQKQAKPAQQILGFLSAA SFASFMLAVQAVVAPLLTIYQEADGIAKQRALLDTLVVLFDSAIKIFGEWTTRTPETN IENPLLEFKDQFSDIFGQALMGVIKEEVSFRVSALKGFLRLSTLRNFFQDNEVGLFVQ YLDEILLKEESVGRDDLKKEAIAALTEISKHKPRLIMDITFPAFVATLPDFDDGTNSN YLPTLESLAQISVEKDIFETLVRRLLSKFNILLQKEQPGSVAYPRAILVTILYVMSQR KMDQDPNLELYYDKIVVSLCRNAAISASGKANNNILNDATVLDTLGRLCNLIVRALPR NKQDEVAENVYTLYSSADDFPSVPFSQSASDDQQRTMIISTYLLAGLPTDCASLPYTS PNMSALLFDVVKRSTTECAPATHLALLRHLSLLVNKFLPKSELSLATELFDTLLPSNA EGKTLSPESIKTLFWLSKALVLRLAPKTSDHLTSLLSLLSSPDKVTSTTAAHGFAILL RDDDVLSTANGANIRLLSKQRVFTTLVPLISSKIREVNVAGSDSPTPEHIKPAHLTAL SGILSTIPPSLVMPELPTLLPLLLQSLDLQTSESQSVRIATLETLAVIIRDNGVGVIE ECGHIQSLVTRLLKTAEHKPAGDKAAAVNSPRLRADALRCLFLLAQTPVSDAPAVAKA GKLSPLLPVKAEVVRSLGFVLDDPKRDVRKAAVDARGAWLRGVDDPPDDDE AFLA_078610 MRHQTFTPIFLIFLIICTISIASGFPLRKRLPRPFVDLPHLRHE QRLQENSELKQWLQNQQPIMTPNIAMPPSEGSRRPQDSDSDGPVISDVLPKNRGINIF ASLTRDFEPIDSRFNDATKNVTVLAPRNSVIQGLPRKPWENPDDYAQFGEAKAYEGQE GQDRAKSNLKRFVEAHIVPRSPWNEGNEVETLGGDKLSWAKDGDKIFIQPGNIEVDSI AEQVSNGEVWILNGVINYR AFLA_078620 MALQGVEQTILRDPALFYWILFPITIVMILTGILRHYATVLMNT PPKPASTLAESRERLSLLRGVNLRNNASAVLSKDAFEMRKNYLVSAYQSGEFLKDPAS RGQPPANPMTDPAGMEAMMGMMKGNMMMMIPQTLIMSWINAFFSGFVILKLPFPLTIR FKSMLQSGVMTRDLDVRWVSSLSWYFLNLFGLQSVFGFILGSDNAANHMSQQMATMNP AMGANPFQPGQDPDKLYQSEAENLAVMEHFCILDGIEDRILHNIASKEGLI AFLA_078630 MASAEAHKVPVYSVNDLKSTTDDALAPHLTTLPQPYAFTQDHTK TNVRFLLGYSAVAIAAFTFYADRKLGWEATQSTWVIAAVVSYFVLNTLLTYWIWAVEA GEVFRGKRKSGETITIRSSGKKHSPLYKLRVQYTSSANKVLEEKEIETSFTTWFSADG TFHPEPLKKWLASEIQVLRLAAKEK AFLA_078640 MPTSSDAAPATCKVVLSKNVVSSLLSEVQEGVKTLEKPPHLVGF LANNDPAALMYAQWTEKTCEENGFRYSLRQVDREELEEAILAANVDSDVDGIIVYYPI FNNRQDQYLQQLVDVSKDVEGLSHRYIFNMYQNIRFLDPETKRQKCILPCTPLAIIKI LEYLKVYNTILPYGNRLFGHTICVVNRSEVVGRPLAALLANDGACVYSVDVTGIQKFT RGEGIKKRRHEVHDLEGKTLKDVVPLCDVVISGVPGDKYKFDTSLLREGAVCLNFSSE KNFGPEVKEKASIFMPSTGKVTIAVLLRNLLRLIQNRRVDDVKPAEATERPGTLEAAT AFLA_078650 MFRNALRQSSRTVAAATATGRIASVRAAAPGPVSAAAKQVRTYA AEAKASPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGMTNVQAEELVEFA SGVKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVVDALGNP IDGKGPLNTKAKSRAQLKAPGILPRRSVNQPVQTGLKCVDSMVPIGRGQRELIIGDRQ TGKTAVALDAMLNQKRWNNTSDESKKLYCIYVAVGQKRSTVAQLVKTLEENDAMKYSI VVAATASEAAPLQYLAPFTGCAMGEWFRDNGRHAVIIYDDLSKQAVAYRQMSLLLRRP PGREAYPGDVFYLHSRLLERAAKMNDTHGGGSLTALPVIETQGGDVSAYIPTNVISIT DGQIFLESELFYKGIRPAINVGLSVSRVGSAAQVKAMKQVAGSLKLFLAQYREVAAFA QFGSDLDAATKQTLNRGERLTELLKQKQYSPMSVSDMVPLIFAGVNGHLDNIPVAKIL QWESDFLAHLKSNNPEIQEAIEKEGQVSKETEASLKEIIQSFNKSFNA AFLA_078660 MALNLEKQLLFYGAYHNNPVNVAIHITCVPILLFTGIALASNSP ALFNLPDVLRFEHLPPNLGTIGALIYSTFYILLEPVAGALIAPIIIGGAAFANHLLTT HGTDMNYWFGGIHVVSWLLQFVGHGAFERRAPALLDNLVQALLLAPLFVWMEILFFFG YRPELKARYDQSVQKEVAAFKEKKNNAGK AFLA_078670 MFFLKEETKVITLHPSYFGPNVREYLINRLNEEEEGRCTGDHFV ICVMDMVDIGEGRVLPSSGQAEYTIKYRAIIWKPFRGETVDAIVTSVKPTGIFTLAGP LSVFIARKNIPSDIKWEPNTVPPQYTDHADQVIEKGTSLRLKILGVKPDVAAINAIGT IKEDYLGYVAFNPRSSFVFCSERITNARCLL AFLA_078680 MSLQDVYQKFLSDPRSASLASDVTLIYITTTTRVDGAEAVVKHL ARQDHVLKRKSQQVIDTVEGLNSLSLDVDTTVEFVSGGGPYLPALDDNFLSDRIATFP TVHIVRFNSQNQIQQVKVYWDQASLLKQVEVIGSRSRGWPIRDAKDQTRLIKAAASSA PADDAPAPVPANKPEENGDAHNKVVSPKRRIKDPYAAESLEELLSPGKDRAEPVRAPR APASAKPPQRDLAELFGNHDDLEIPEPSPSRATPVAPKVGAGKNYRASRIFDDDETVA TKDKPQQIAYRAHPKRFDHFELGADNSEREIKPKVSRPVSSQGKGAQWKFEDFTTPEK PTRQLRGQELRSFGISDEEPQTPPAKPRVQPRRDAETHFQLTDDTGDQGSGRIISSFQ NKGLSLYKNHLFADEDEPAESKPSSKDKLPLSVAQKGPTRNKDLETHWTITDESPAKS KADENKKPIAADRQRAVKMLEPSWESYDQSPQPSKAVRPPPQRRQLRSVNERSWSLGD E AFLA_078690 MSSQRGNTPRGRGRGGDRGRGQGDRGASRGGRGGGRGSTTELPI RQGPPGGDFRGRGRGADRGRGGSRGRGGDRGRGSFRGRDQGPRIYTSPGGQVPPPSTQ VTKTEDTLAAALTARENKPTEYPQRPGYGTRGQPVMLYANYLNLKSAGAQLFRYRVEI SPDPATRKPPAGKKAQQIIKLLIEQHFSELGKNIVTDYKSTLITNLEILDNEEIYQYD VRYRGEYDDEYSEDAKVYRVTCQFTGRLNPAELLAYLTSTNPDDQFGSKTEVLQAMNI ILGNHPKIQDWIASVGANKHYAIRGDLCEKWDLGAGLEALRGFFISVRTATSRLLLNV QVKYIACYQEGPLAHVIKEYQPRHRDVNALRRFLVKLRVRVTHIQRKNKRGDVVPRIK AIAGLATTNDGASQANPPRVPRHGAGPKEVEFFLEAPGQQPSQSASSGAKGKKGKKPA KAGPAQAGAYISVADFFRQNYGTNTDPNMPVINVGTTENPSYLPVEVCEVVPGQPAKA KLSPNQTRNMLNFAVRAPPQNAASIVTTGTQLLGLSPQSPTLENFGIQPDCNLITVPG RVLPAPNVYYKDASKQSQKSVTVKPQFGSWNMRSIRFSTSTNLPVWTWLVINADGSKP PFARQEDFDNVLGGFTAKLNEMGVAAQRALRGETISVNKDNYEAGITAAVGRLMNKKP SLILSVLPFSDADYYNCIKRACDLTYGVRNINVLADKFRDANPQYFANVGLKVNLKLG GANQLLDAKELGLIGQNKTMLVGMDVTHPSPGSSANAPSVAGMVASVDSTLSQWPAEI RVQRSREEMIQDLSDMLKAHLKRWARSHSKAYPENIIVYRDGVSEGQYELVVQKELPL LKNACRETYPASLTKQGLPHISIAIVGKRHNTRFYPTKEGDADRSGNPSNGTIVDRGV TEARNWDFYLQAHTALKGTARPAHYFTVWDEIFCRQQPRPPYQNSADVLEALTHHMCY LFGRATKAVSICPPAYYADLVCTRARCYLSSAFEPSLAGSVATGSGPGLKVENADVRI HPNVQDTMFYI AFLA_078700 MQNRIDRLESLVLSLMTNGSQAAGPAAAMAALSGNSSSIGSTQH TTDLDLDEDTSGGPEESDTEQVTKSFGIMKVDNNKSYYFSEAHWASVLNDIAEVRNYF TTHKKQYEEHAEKLKATKLPTDVPGSTLLFGAMKTTSRAEIMSSLPSKYTTDILVARY FNSYDPSTNILHGPTFQAQYNKHWEDPSQTCIVWIGMLCAIMRLAMLSYHREGDEPPE FRGKTLDMAGTFRNLMAQCLTLADYTKPYPSLIESLIFHLHGDWIQTKDADVSVWVLV GVIARLAMRMGYHRDSKMFPNITPFQGEMRRRVWTIVRQYDLMFSFQVGLPSMIRSTD SDTEFPRNLYDDDFDENCKELPPSRPPNEPTPVSCLIAKGRLTYAFGRVIEHTSSIQS PSYDQVMEIDAELRRARDLIPEHLVVRPVEESQLEPPKIVMARCAIMSVYHKAQCVLH RRYLIRARENPRFTYSRRACIDSAMELLRFQSMLHSETVTRIRNKHDRLTALVSTDFL LAATIVSLDLYHGHQFQSGGRTSGDTYAWGRERREEMIAAIQRSKEIWDELRDDALEA WKASGALGVMLARLNLGYSDSNAAAPTFEPQDEKQSAAMTLGLLSSGMSPMNSAPPAF GDGTLKMGETPLPPQGGFGATADIPGAPSPLSAMFGQMPDMQLPLDWDTWDNYIQNAA LDASNQWWPTMDQQQQQQPQPQPQSQNALGSAGLASVQNSAADKMRSLPSFSNVFYPD ANVYDNNNSPPNNAGTR AFLA_078710 MEGTSTPANETVDHISKKSEAQPQPTEEESKRAKRLRLLNKEPD PKETVFVGNLFYDVTADDLRKQMEKYGVVESVYITFDNRGMSKGFGYVQFDSIDSARR AIDAMHMRVYEGRRVIVAFAQNNIDQHRNLRPISRTLYLGNLPFEMTDRDINELFRDI VNVIDVRVSVDRRTGMFRGFAHAEFINVESARAAFEILSRKAPYGRKLRLDYSQTNRR ADRLEDNTE AFLA_078720 MSFLGGAECSTAGNPLTQFTKHVQDDKSLQRDRLVGRGPGGMQE SMRSRGMMGGQDQMMDEFAQQPGQIPGAPPQPFAMEHLRRELDQFQTTPPRTGSPGWA AEFDPGEQARMEAAFAGPQGPMMNNGSGFTPAEFARFQQQSRAGVPQTANPVTSGPSP MMSGFQRPMGMGYMGMGGMGGMGMMHSAYNPMAMQQQPAEATTQDKGKGRMVELDDEN WEAQFAEMETADTQKLDDEANDAIEAELNDLDRSVFTSSTSKEADYDAFENVWQKVQA ETAASRKLAEEDTEFNVTDSLHMGDMGEWDNFDSLNTRFRDPQLGDYMFEDENMFKNV TNPFEEGVKLMREGGNLSLAALAFEAAVQKDPQHVQAWTMLGSAQAQNEKELPAIRAL EQALKVDPNNLDALMGLAVSYTNEGYDSTAYRTLERWLSVKYPQIINPKDLSSDADLG FTDRQILHDRVTDFFIQAAQLSPSGAQMDPDVQVGLGVLFYCAEEYDKAVDCFSAALA STESGTVNQREQLHLLWNRLGATLANSGRSEEAIEAYEQALNINANFVRARYNLGVSC INIGCYPEAAQHLLGALSMHRVVEQEGRERAREIIGGDGVDDEQLERMIHISQNQSTN LYDTLRRVFSQMGRRDLADMVVAGMDVNVFRKEFEF AFLA_078730 MEPRMRAQCRAGVFHRLAVLKASRSTEVPISIISELETTLPLLF ASEYPQVLTHGIVDWSLAEVQPFGIELDCLFLMTGCMTLSGWHYYTCRPQLLEAFWDR ILDRVWN AFLA_078740 MAVATGAQVIARTLRDVGVDVIFGIVGIPVVEIAEEAINLGIRF VAFRNEQACSYAASVYGYMTGRPGVCLVVGGPGVLHALAGIGNASANNFPLLVLAGSA ETTAVTKGAFQEMDAISFLTPHTKFAVRTSSIDFIPGAVKNAYRTCWYGRPGPTFVDL PADIIQGKSAPGFRLPEPETLLVPSPPKASGDPALILKATQLLKTARSPLLIIGKGAA YARAESGIGRLVEQTQIPFLPTPMGKGVIPDSHPLNASSARSTALKQADVVLVLGARL NWILHFGEAPKWSPKAKIIQVDICAEEIGRNAGTAELGIVGDIELVVDQLVSSLSNWR YDPSPAEGRFPTLLAESAKKNEAKAQKAALRSTPQNTPLTYQRAYHIIKSTLNSLTPF EEGNIVYVSEGANTMDISRSMFPLNHPRQRLDAGTYATMGVGMGYIVAAHEAYNASPG STKPKKIVALEGDSAFGFSAMEIETLARYKIPALIYVVNNSGIYHGDTTTEDAWKTLQ EQTVANDTKSDDGKKGLRSTSLLYETRYEMLATMCGGKGYFVRTEEELEAATREGFSN DTVTVVNLIVEPGIGKKIGFAWQGTSAQDGQAKL AFLA_078750 MDSPVLSQLFRQLFRHPACQSLRSSSALAGRRAQCIQLSTPRQQ CRPFLTRRSAARRKNVDDGMNWNKRGDYPKDIEQELKEFPLVTAKDLRHRRERPRQVK MLTREFIDDSLYNPHYGYFSKHATIFSPGEPFDFNNIEDGPAFHRMLGDRYTEFEDHL DEVQPDIARQLWHTPTELFRPYYGETIARYLVSNYKLTLYPYHDLIIYEMGAGNGTMM INILDFIRDTDYEVYQRTKFKIIEISSNLAGLQMKNLMDSINAAGHLDHVEIINKSIF DWDTYVHSPCFFLALEVIDNFSHDAIRYDTATELPQQGGVLIDADGEFHEYYNAQLDP VASRFLRVRQAAARREYPSPLGPKLTRGLRRSIPFQRSFTLPEYIPTRLMQFFDILDT YFPGHRLVASDFSSLPDAIPGINAPVVQTRYKRRTVPVSTPFVHQGYFDIFFPTDFNV VEDLYRATTGKLTQVMSHEDFVRRWAYIEDTETRSGENPLLTWYKNASMLMTV AFLA_078760 MWPERFLRRSSRATFNCVTFFLAVLEERFPLCQDYPIANMNDHS RVYIGTAFIAGALLTLGFKDLLYPELEQRLREYRARRHDQSTSDLQDLPAGVLAARPG PPVIVEGIEGCIGNTPLFRIKSLSDATGCEILGKAEFLNGAGQSSKDRVALSMIELAE EREILTPHSGDTIYEGTSGSTGISLATLARAKGYLAHICMPSDQAIEKSNLLLKLGAI VDRVPPAPIVEKDNFVNRARALANVHTATSTTKSNLEPLMPDPPEFSGPKAGRGFFAD QFENEANWRAHFKGTGPEIYAQCNGRLDAFVAGAGTGGTISGVALFLKPRIPHLSVVL ADPQGSGLYNRVRYGVMFDLKEKEGTRRRRQVDTIVEGIGINRVTANFEAGKELVDDA VRVTDAQALAMARWLVEKDGIFIGSSSAVNCFAAVKTAMKLGPGHRIVTVLSDSGSRH LSRFWARAGDVGGAVDTKLEDVLNAKDDH AFLA_078770 MVMERPLKRPRLFFATDADDEPGDIDLQEARAQNDQRLKSIFEG IFEKYGKDFTDVGDEIDLQTGKIVVNNGHIQGMEDEDDTGEKGRWLFDTEESAPDDAA TVHNISQYSETGADGDLLKEDDTYLQHSQGATQLQAGPDLDGPWESRESEALIAESDI DEDRSSVDSLLDTALSVQNGPDDPVRRENLIYTHEPVTKKAKPTAETSTQSRILQKHS SIEAVDSVWRVPEISGKFSTPPLSRSRPTVPFNVVRSASPPGAGSIWALPGTSRRNTD VTKRRSAKKHETSARKWKPQSSPIMCDWSFAQTPDGNESDDPLQENYQPSPTPKGGLK VRGKRLGSDTPSSRKDLVDNSKMSLLQDDHVTRLRQTSLDSAEDQRHTTELTNQPQTT VDDLAMDTQLETKSDTNIHDLLPETTQDSKITPIGYQSKPQDSTSPSKRARTTITPDE ARLIVILRHVQGKKWKEIIDRLPWKKLAQLVQWNQLHWTERRANPPPLSMHWSSTERE TLHDLKDQRDLTWHAVRARLPGRSIAEIEFELLRLWVGDDVWNTEQQDGTPASFSLD AFLA_078780 MTFPVSIMNGSTSSNNYQHVLPKMSNSVKAGWLSGLTQSSCLRS AYQYSSTGKTVPEQGTASSSRNSFPQERRDLYDRVSKKVTSPVYRRSIPAPRQVGSNQ NNPPRLGRGDSGNMSFVMLTESQVGPPHATIGVNGDNTPKGKQPLSTQNADREIDDGS FADQVERTSRLFEIISSRSDIDHPICTECTEMLVDGLQKRLVDATKERDAYISFLRNL NSSVPTAEELEAAEKSLKETLEAEEAAFAELVALEQEKAALDEEIADLEEEARQLDVE EERFWRDRNTFSLTLADFQNERDALNMRYDHDSRQLERLQRTNVYNDAFCIGHDGYFG TINGLRLGRLTNPSVDWPEINAAWGQTALLLATIADKLGFEFQGYQLKPMGSMSRIEK IEYPRGSPAQSTVGGGSATPSAPPKITTLDLFSSGDLPLNLPWLHRRFDAGMVAFLEC LRQLGEFVENTPAPMPSNRRGHTGIAAPGLKLPYAIKRDKIGDASIKLGFHNNDETWT RACKYTLTCCKFLLAHASNVASAGSNNSADIAAAAGPANK AFLA_078790 MDGSIPPPSAISPISPSPSTSSAIFRPRRSDDWHEYRPIIEQLY RDNQLKLRDVKRIMERDYKFHASEKQYKDRLAAWHVRKNIKAKEVHVMIRKQQKRAAR GKQTGFRVAGQEVDSKRIARFVRRYGTNWDNKGRAESQSQSPPQLQLQSQPETQPQTH RSSPEPDTPSDMSCYTPEPDARDRSSTLSPLPETMSPRSHDKLKIEQTPASEIDDTQS LPTTTYSPSLPNETPKTTDDVSWKDLDSFQNRLLALHETLEASMAGFIYPNEEENRTP LL AFLA_078800 MTATAAAPAATTSTTASTTSAAPDLPSRPNSLNTVVNRTASNYS PYGASRLGASPYGGYGGYGSYSSPYSRFGSMGSMYGGYGGYGGMYGGMGGMGGMYGGM PGDPNDPNSLTNSFSQSTQATFQMIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAE QLGNLRTTLGSALGIYTMIRWFKTLIAKITGRPPPADATSLTPAAFAAFIHGRSSPAT LPDGSPAPPKPSKKPFIMFLLAVFGLPYLMSKLIQSLARSQEERQKLMIGPNGEPVQQ APLDPSKLDFCRVLYDYTPETQETNGIDLAVKKGDIVAVLSKSDPTGNASEWWRCRAR DGRVGYLPGPYLETIQRKPQQQAITSGGEASSRTNSMQARVSDEIPANEKKPELKGKM GDISPESFQKSAFYS AFLA_078810 MPSAAKVFYLAVFAFLRLTNAESQHHDQNTCAIDPKATVSDACV SYNSIDSLNDKVYPLLQTITQDTDFFSYYRLNLFNKVCPFWSDENSMCGNIACSVTTI EAEEDIPLPWRAEELSKLEGPKAGHPGPGVRKERPGDRPLQGMLGEDVGESCVVEYDD ECDQRDYCVPEDEGASAKGDYVSLLDNPERFTGYAGMGAHQVWNAIYRENCFLKSTPE QLELSANPQFGELQAANDLRNVLQKELKRTEGLPLDNECLEKRVFHRVISGMHASIST HLCWDYFNQTTGQWNPNLQCFKERLHDHPERISNLYFNYALVSRAVAKLRKHLESYTY CTSDPAQDADTKEKVSLLTSALANRPQIFDENLMFQDPSAIGLKEDFRNRFRNVSRLM DCVGCDKCRLWGKLQVNGYGTALKVLFEYDETKNGENPPLRRTELVALINTLGRISHS VAAVKSFHRAMDVQDGETLTIPADVSSVKNPDGKTTRRLVKDGGTTFYYEDDEDDFQY LTEKLPWERAPRGENDGFLDELRAEFEVFWNTFFYVLRSWANIPKTLFEIIVLEFNRL WSYWLGLPVPPRMWRIRRPSEPGMESRDEL AFLA_078820 MVSTGEAVYMTPYSPYPSHHQLDSDLNMNSSCCRFLSSSPSRQS LRRQRSSSIPSIYFDGYLEHLPVEPKKKRECTSHYNNHSRRHSRGPRRTMRYSRDSQL VNPDVIDRLDSASFFQYHHEGPYDAVYPERNFDSKLSPIEAVKRTNEEALKATPEDKI KDSINSHRPLDGVAFYPPGTTDREGQTYNYEEGTNMMNDYGNFMRCPGLKFTEEDFKN DPFYNTPLPKPFASLRRVLSLRRRNRRSTQ AFLA_078830 MSTPHIPPVTIEDLQAFQAKHFPGSTRSLVSEYTYNENVTDELA VDDDGLGYYPDGVKRTLTDEQIEIFRHSEIHSLMRERLLKEEEEVYQKAAEINEIKDN QADDKDTSAKRSGVVGETDQSTGEDQQARTLQSDTRQSVARNRTVDNSSDPTLDYDED ASEDTCKPAPSGYNSHIAGRRIISYED AFLA_078840 MAETENGHIAQDGEGSNPEPSFYEQKDARQVIGRIISTNFAVMV AGLNDAATGVLIPYIQPTYEIGLLQVSFIYLVNFAGWLCACFANIHVCSRLGTGGTLL LGATVQCLGYALMFWHPPYPLFMAAFFFTGMGVAFQDAQANAFTITVKNAHRWLGILH AVYGMGTIIAPLIANTIASRTPEWHHYYLIVFCVGVVNILLLAWTFRRGLFRPNVRNA KDTAGSELKATLANRSVWILNGFFFLYAGAEVASGGWIVQFIISVRHGDPKKVGYIAS GFWTGFTVGRVLLADITHKFGERRMVFAYLVLALAMQLVFWIVPSIPVNAIAVFLLGF FIGPFYPVGLYVLTEIVPQELHVGAIGFTASLGQAGSAAFPFMTGAIASKAGVQVLQP IMVGLLIGIGIFWAFIPKQGTIRLEDDDDENARLIN AFLA_078850 MSPPQIVSLSPATNRVEQVEQQEKHMPADQGSFNIDEKKEEIPP YMQDAFGDEEFAEVKYKVLKWWQCGLLMVAETVSLGVLSLPAAVAGLGLVPAIIILIF FGALATYTGYVIGQFKWKYPHISNMADAGEVLAGKFGRELLGITQTLFLVFIMASHIL TFAIAMNTLTEHGTCSIVFGVVGAVVSFVLSLPRTLAKMSWLSLVSFISILSAVIITM IGVGILAPGAGSMQVTVKTDLVHGFTSVTNIVFAFDWQSLLTKSAGHAAFFGFAAELK DPRDFPKALCLLQSIDISLYIIAAVVIYRYAGVDVASPALGSASPVVSKVAYGIALPT IIIAGVINGHIAFKYIYIRIFRGTDRMHKRDWVAVSSWVGIAASLWVLAWIIAEAIPV FSNLLGLIVCVLYSQTALFASWFTFGLSGMFWLHMNKGLWFSSPKKILLTFVNLMAIV VAATLCGLGLYVSGKAIHDDPSSASFSCANNA AFLA_078860 MVHDPSAVACWKHSLPLIESNRIILETCMSYLLLTLAACSRNMQ ARIAASPGVAQNMLGEGGLQGRLLTKIKAVDLDAKDLFYG AFLA_078870 MSTYGRTSLSFASEKGHTSIVTILLVVESVSPDLADTTYGRTPF SWAATSGHMEMVQLLPNTNAVDLWSSDLVYNRTPGMWAAANGHREIAGLLQYKEPNEP GEPSKDSHRSSPAEFDTVPCQEPPLLSASHIEICIQQY AFLA_078880 MKARFLAWDRGNETDLACRQFPPLKSSMTSTVMAVRSSLRVSVR QEEPPNDHDDNRPSPSKRPRLNPPPPSSRRRKSSPDLLDTTTVDSPSKATPNNKVAHI RRAPSSLPRRSSARRPLLSPSSHHHPPSDTPHATHLRLHRNESPAAAPSLLSRESPDP LDTISPATTDIRRYFAKATPTTTTTPSTRRRRVADLDPPPESATQNRVQAPSQPAESP NEKTATPSQQPTSAQTTPAVRERRSLRSHDGGSRARSELALYFPNYEQLLSLEPPKTE FLAAHTAIKLIDDHSESPISSSDLPAPDTDTPFGNPLLKLHNCESISLPEPQPSELSD TPEEDPLNEGTYFKAHRRNERQEKQLRNIERERAQHEKQQLDRLLDELQNHDWLRVMG ITGLLSDQEKKQYEPKRDYFIKEISALIQKFKIWKEEEKRRKVEKEKAAAAAAANPAS IATAEGQDSSTQQLQHNQGPGIPDSEAEDSPSVSLSDVPSYSEPPDINDVDAWAARQL IQEARSATAGKKPKSTASEARKKTKPMEPEMPQHLPPPVDDKKPFTSFYAKRHLRDTA LSAHRKGRTRFAFGYPVPEMEEQDFDLPPEILTPEAIDSCRRKRRRMKRASRGSE AFLA_078890 MAPIGTSAKRALTPGFYVPTVAFFAGPDEDVDVSTVEKHAAYLA QSGITGLVVQGSNGEAVHLDRDERKTITAATRRALDAHGAESMPVIVGCGGSSTRETI QLCKDAGESGGDYALVLPPCYYKSLVSTEALRDHFRAVASASPVPVLIYNFPGASSGL DLTSDDILALSEHPNIVGVKLTCGNTGKLARIAAQAKPEFLTFGGSADFTLQTLVAGG AGIIGGLGNLIPRSCVYVMKLYNEGNVKEAQAAQAVVARADWHAIKGGFVAVKSALQS YRGYGAQPRRPCVEPSAEEAAALKEAFSEAVELERRLEKA AFLA_078900 MRISQICTVLSTVTSAVAVGVNPLPAPREISWGSSGPKSIAGEL QLRTDSDSADGIVADAWNRAWETIVALRWVPAATEAPISSFEPFPTPTAGASKKSKRA SNSLQYVNVQVKDIEADLQHGVDESYTLDVEEDSDTITINAETVWGALHAFTTLQQLV ISDGHGGLIIEEPVNIKDSPLYPYRGIMLDTGRNFVSLPKIFEQLEGMSLSKLNVLHW HIDDAQSWPIWVDVYPEMVKDAYSPHEIYSRNDVRNIVNYARARGIRVIPEIDMPSHS SSGWKQVDPEMVTCTDSWWSNDDWPLHTAVEPNPGQLDIIYNKTYEVVGNVYKELSDI FPDHWFHVGGDEIQPNCFNFSTHVTKWFAEDPSRTYHDLAQYWVDHAVPIFQNYSQER RLVMWEDIALSADNAHDVPKNIVMQSWNNGLEYISNLTARGYDVIVSSSDFLYLDCGH GGFVTNDPRYNVMANPDANTPNFNYGGNGGSWCAPYKTWQRIYDYDFTLNLTETQAKH IVGATAPLWGEQVDDINVSSMFWPRAAALAELVWSGNRDANGNKRTTEMTQRILNFRE YLVANGVQAQALVPKYCLQHPHACDLYRNQTAIQ AFLA_078910 MEIHTMHTQSTWILRNSSQVYQIQFPITYERRPNESPLFRLTPY ANQTHNHLYLIKATVGRRAIVELPKDIIDVE AFLA_078920 MQGHSDHPDFVLFPPQYNIESKMLAFEPSRQQQTPYFQHFTMDP ALTEPFPFHVDLSGFGQSPNSSRLPQSSYYDTPSIDAYSDNKATSFPPMPATPPSAPI SHSSEPFMPGLSTASGPSIASASSSAIGSPYSGTAPAIHENWVDTTHGLGLPAALMGD LFPNEYTGSSLDMEGFYSKKNSNNYVDPSLIETLQPQPNIPQSSMPYSEQPNYGYGYL PPSPELPYVPQPEDYDTKPAIAQQLNHIPMSSPLINDRRSSISSEHSRRSQLSPAASN ASLDDETQEKGRCPHPDCGRVFKDLKAHLLTHRSERPEKCPIVNCEYHIKGFARKYDK NRHTLIHYKGTMVCGFCPGSGSPAEKSFNRADVFKRHLTSVHGVEQTPPNCRKKSSAS SNKAIAGYRNEKAKCSTCAVSFDNAQEFYEHLDDCVLQVVQREQPSEGINQRCLAEVE EDEEVKKTMEKHKLLDTAGTVDQYDDEIDDEEDDSNEFLSHRRSAKSTVKAGRGSSST SARATLGNSAVTKRPTASKRRNNRDRYPQSWGCPRSSINLKRRVLCVFDGQRNLWKDE MLLQNDLEVRIKLPGGAGDGTNREAYVTDLDVETLKRAEGILNATEEERGPWLDGPST KMIGSPAMPLPSLSRPYEGEVDIDEFVS AFLA_078930 MTGSSFSSFSGPDPESVVTSPFSTPDNSCEPLFIGQKAQNQEWA IREVESSEIFRTSAEKTPVPNINYFAPASGPSTPPPWSTAGVRPAWNVQQQSASHGDA LWVDVPCGSSMHGRGDHVEDFDHAPTVNDDLQSSPLHDCQYYAQPAAAHYQSRLGHVI LPLAKERSSENSEYSPAQPYHGSPCMHYLMHPALTTNRACFLRGQRNSRQIACHSDGR DAFLVECKRRGLSYKDIKRLGGFKEAESTLRGRYRTLTKSKEQRVRKPQWEENDISLL CQAVKACMEDDKQSCSDNGSSCRPPTTNQPPKVSWKRVAQYIWTHGGSYHFGNATCKK KWCDIHGVKLWN AFLA_078940 MCGANLPSRVMVASIGHTLYYNPTLKGKGKRKRVTYGHTILTKI EVAKYTTAVVDSASLLLYGTKDALLQDLM AFLA_078950 MAEDGEQESEVRSLTPSGSGGQLPIRVGPEQDRPSYSNEYLKEL RNSTPSTPKNATDDDNEKTIDVAAKFGEVMKVTAPAAIPSEAEIREKKERRARLAKEQ QYGISTEKDFISLDDTMEDEEWDSKNEKEDLRDTRLIRDDEDFAEGFDEFVEDGRISL GRKAERERAKKQREEMRELIEDAEGDSDEDDSDLEEKAAYEAAQTRAAMGHSGKDYTD RPKTPPKMTSLPRLSTCLDRLRTTLAVMEKSKTQMIDRMEELRKEKADIAVREVEIQA LIKEAGDNYEKLKQEAGRTPGSDEDTAAERGLESIGNSMAMFYIFGVQDGIRSYIWTV WFALFGLEMWVIMGVSCVTPGIGLQDTHLNIRMGLLTLIIIGEGVISVTRIVNRTVRP GGWTKWSFVHILGVTTNVILALTLDITLKLTYLEETIMFACEEPRPRPEIAIGLLRNT IEDMEINYSRGAIKEKMAIDAILEDLPNHPLCPGEKVIAFFVTNDHLNDLVGNVTAAL FSSMGIIPSEETNIGQLTSSQLLRMYMQLLGFVYIYFFVVASLVMFLFAAFAVLARRH NFYTSIGITTRIILGVLLASLVSFVGKFALAYEFMTSPTILYAFTFVLLTGGPFILD AFLA_078960 MPQAQPELKKYMEKRVFCQLNGNRKVIGILRGYDVFMNIVLDEA FEEKQGGEKVAIGMVVIRGNSVVMLEALERISDK AFLA_078970 MPGVVDAPAGPAWQGLGFTVAHQKVELELDFANKSLKGKTEITI HPHYKELRVIRLNFRQGEIRRLNVSGKIPSMKYADPYESLQLYGPHYHQRLSSKIDGL LKCPPEPDLLLSVPKSVRIEELDPFSVEAQDQMALRATGAADDSEGPLSSKAPDTTLP RFTALTVNIEFTIDNIKDGLQFVGVENGDRRYPHAFTTNSLGYGAGCPLFPCVDDPSS RCTWELSIKCPCSLGDVFDRKIRDPVSSNASGRPKPTSNLGRYISPDDEALDLLVVCS GDMTDEIIDPKDTSKKTVSFACTSPLSAQQVGFAVGPFEYVNLAHFRESDQDEQLGQN AIPLHAFCLPGRGDELRNTCFPMAKAIDFFSLSYGSYPFSSYKMCFVDDAPEDTLSTA CLSICSSHLLFPEDIIDPMYDATRALVYGLASQWIGVNIVPKAPTDTWVTVGVAWFIT DTFMRKLCGNNEYRFRLKQMSDRVCELDYERPSIFDMGNILQLDSSEIDFIALKAPLV LFILDRRLTKASGKATMSRIISRLFLNARMGDIPNGAVSTMLFQKTCERLGHAKLDSF FQQWVLGAGCPRFQATQRFNKKKLVVEMMIKQVQSEQPSTRDLDKNTFMRDVKEEIRG IYAGVVQPVFTGSMTIRIHEADGTPYEHIVEIKEGVTKFDIPYNTKYKRLKRNKRQKE RAAAASGGDPNAEVQEDVLLYCLGDVLQSEEEIQEWKLADWSKEDEERMGQESYEWIR MDADFEWICKLSLVMPGYMYLSQLQQDRDVIAQLESLQYMAAQREHPLISTIFVRTLM DRRYFYGIRVAAAKALIKHAKEEINWLGLFHLERAFQELFCLPGSPMTRSNDFSDRAA YVLQLVIPEAISKVRDNNGKTPMRVKRFLYDKLRFNDNSNNEYSDNYYVATLMQSLCH AMLGRVESRSHDLDDFDMEGVLESQAEEKLEKDAIAEIDRYRRMDEWSSSYQNLYSRT TLRCQMQLMQAKITELDIMQFLPYTRAGTYDLLRLEAFECLVETDIFQSPELLRWFIF TMSSDSSSWLRRRLHYLFGKALAPVAFGRGTSDKTPASGDGLIIEQESSTEVRQADLA RRQTVPGAIEALKGELKGDQLLKESLWAACNSPCIGILELSEFTDLCRILYDPATSVK VALKYPRYWQVKHLGKVSFTASCPDRLTTALMYLLGTAAFHSLEQIPYLTSIQRPESR ETQTRRTGYGTPCPSHHIQAVKAWPKYPVSKPNSTSTADHQAAHSESILPRTSSAIQD TRHSDHPIYSWGWRVQIKIKIWPEAKIN AFLA_078980 MFYLAIQDSLSDDALAPLKSYKYQSVDKSFISRYVLKHYWNAFV ELLPMWIAPNMVTLLGFMFIVGNVMLIEMLMPDLVGPGPSWLYYSFAFGMWMYSTLDN VDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAALGFGSTNLGAWTALVPCLAM YFSTWETYHTHTLYLGYFNGPTEGLLIAIGLMVASGWYGPEIWSRPIVEFLNIPQIFG NNSVKDLWIPLLLSSFFLGHLPGCVYNVISSRRKQNLPISPIFKEWVPMIVFTGCNMA WLFSPYSRILADNRLVLYCWTISFVFGRMTTKIILAHLLRQPFPHWTVLQTPLVGGAV LVNLPWIGLPGMSAWVELLYLRMYLLFAFVIYMYWAFLVINRITTFLGINCLTIRRDK STAREQAYRDLGERNFEDANTGGDTNAGRYKSH AFLA_078990 MYRSTDTAAPGMKAPDSRESAVIHVQDADELRLAQMGHKQELKR HFSVWSLIGLAANCTISWTGKLAICNCGRARTWLDHFHQRWWARCSIMPLTKLVIYGF ILVFILQCFLGTSLAEFVSAYPVEGGMYHWIAAIAPKRYNSLLSFLTGWIFTAASTNL VYASNFMALIALYHDDIKLQPWMTFVAYQVLNVLTSAVVMFGNRFIPGINKFALVYLQ LAWFVITVTVAATAPTHNDSKFVFRTWMNNTGWDSNVICFITGLVNPLFALGGLDGIT HITEEMPNFVQPGRNAPLALACTLIIAFITGLSYLLSLMFSVQDWSSLADSPTGLPLA AIFGQATQSRGGAFALTFLLWIAIGPCMIGSQLSTGRMLWAFARDDGLPFSKVWARVN PRFGVPLNAQLCVAVIVSLLGCIYLGSSTAFNSMLSSATYAIIALLLNLIQPLRDNIM TINNIAYLVPIFTNVVLNRSTMHHGPFCLPHIAGMTVNIVTVVWLVFAIVFFSFPFYM PVTASNMNYTCVCVGGFIIVELIWWLIAGKRYSKTVQKAREEENNVMVRVDSKNL AFLA_079000 MERANEETSLVPRPQPLPSPPLHPSTASSKVSDTDALVTTSLSN GVSVGNGTVFPHARNGGPKDRPVSGIVPPYWSHHRNASRTSQISLEQPAITLEDHTED PDSETSRGLWARSVSVDDHVVVQGKSGIGAYVVWSCTIQTLEGGPISVRMRYSEFDDL RQKLISSFPHAKNALPALPPKSVLFKFRPAFLESRRVGLEYFLNCVLLNPEFSSSPVV KDFLFGRMC AFLA_079010 MKMFRLGMRLTEKAKHSADGGQIREQIEGERKKKERKESNFPAI RNEVGVAALSVFKALSGHLESSLILK AFLA_079020 MVLLSSLAVVATVASLATCQNTSTIDPSTVLDSTRKQWCESQTS ACPLICLQLPGASGSPKENKCDFKSLVYSCICSNNQSPNASEYSQTIPYFECTEKNNQ CVKNCDGEQQCQYDCRSKNPCGAQDPKRVNTTTSATTSTAQATTSLPPFTGVPDKNGV ASRPSADLNHIYGLAVVMAGFFAGFATLL AFLA_079030 MVRDGMVRPFGVRHIIQLENIPYSKSKLRNGGAAMCAGSVDTFR WIVLSVKVCSIWYVKDKANMASELNDRIRRPVPIRVYRVNTHRRHYRPPPRRGHGREP PEHDRGRNYTGRHGLPPRPAHVNSELNYDDRGPYHRDVHSRPARPPADDKENVRPAPP ANPAHLRTSVAYDRHDVNMRFGSSSVPPRSSVLATGTKMETKSGNPVEHLDSPHFVQT NVAVDIQSTRSTHENLPSLSFNASGDIVMTGDSQAVVDDYCGIKINQTRNPKEKEKEQ WKTKS AFLA_079040 MESMPPDAFDSHPTSPYAGQLHGAGNPDLDSINGVTATTAPFVK VDDPPKFELESYIANYTGRTKFNRLFLIGTCSTYLSVEALKAAIVEAKSSKDVSRYER AVRALADVAPYEAEATPDKEWIDRTRKVVKAETDRLEHELRGYKNNLIKESIRMGNED LGQHYHQIGDLVSASKAYSRMRDYCTTPSHIASMLFKIINVAIERGDWLSVQSHVQRL RNLQSKQEEQAKNQPKMSAAMGLSQLHSGAYLDAANSFLSTDPTLGDSYNEVLTSNDV AVYGGLCALASMDRNELQRRVLDNTQPIHSKSPFVQELIGLIQDGTLDSRIDLEKMVL VSNQTDKRTEVQEAVLESLSNYIDEAHIRVLRTNIIRAGLEVRPLGDEQRKQGHGPKH SRPPTGMFQ AFLA_079050 MASQRALFTASRTQTLTYLLAVCPFSIAFLVYINSSISFVVTEL IGLHDGEGDAVGTLGFADELLALVACPLWGVLSDRIGVRHVCVAGYGIIAVALVVFVQ AKNVYPQLLLGRLLFSIGGSAVSTMVTAVLPTVTGNSDEQESTETADTQNGSNHEASS QAQSDGEANTPSSRLAGFVGMCAGCGALVSLVIFLPLPAKFQTLGLSPSEAIQCSYYV VAVVALLVSIWCLFGLRDLPGEEKKTWSSLWSTQDEDDYPCTVGGKSHLPYLDQFGAA IVLGCRHSDILIGYIGGFVARASSVGISLFIPLFVNHYYRVLGACNDSRNEGPGDIKH SCPDAYKLASILTGVSQLVALIAAPAFGYWSDKSRRHHLPLLLACLAGVIGYSTFPLL PTPKFSGEGGSPSVFAIMSLIGISQIGAIVCSLAVLSNGILGISLNQEIPKQAFDAET EVYNEDSASRTSEMDSGADRQPLLARPRNHRQQQLSHLKGSIAGVYSFFGGAGILLLT KLGGLLFDVLSSGAPFYIMAGFNGALLLAGIVGCLVKRSR AFLA_079060 MGSKSLCKKVISGIPEAAVASWKERDGHYCLLEGTIRNSSSPEA AEGLIYQAGMPSAVWEIGSEAICKVKTWAEGMDSESNTLAFVASRFPHILLPEVTYSW VDEQLERTFFI AFLA_079070 MVRFALNGKLTLPHSTGLGGPSHGRLSKGTSSEGELLLGLRLPF SEQCQSHAVGFLLFWLQRLLYGPLRPIETEQLYEKAWFAVTETCLAMTIFRGELGGWF LVMFVCLLVGKVWGWIGEGRVEYLEQQPPANPRLFHARLATSLLLAVLFNSFMLRYCV RTVLEQARPDMMVMFGFEFAVLTILSSSTAARYSISLVEIYVTHQQLKARVEERRQEI RAERQEAIRRSAQAGELSPPTNLPDENDINEMELDVPGWEEKGRWVFYLDLLTDFLKL TVYLTFFAILFTFYGLPIHILRDVVVTIRSFGRRIMDFLRYRNATRDMNERYPDATAE EIAREEVCIICREEMAQWQQPADGAGPTRGRVSERLRPKKLPCGHILHFACLRSWLER QQNCPTCRRPVVAPPRHRGQPGVGVNDGQGNGGAGGLQGGPAGNQPPGRNAAADDLPR ARVYQFGPFRIGFGAGRGDMFHNLHQQIHQGNAPLQPANNGNPGARQIGFGFGFGRPP PPPTPTAHPTPAPTAVSDMQSHLSQLEQQIMQEISNLRVTADQLHLVRLLQTELQRLR SLQNPQANPLDLPNTLSQNPTIPSSSTITTTRRQFISDPRAPAMTAGDARLPEGLSLP PGWSLLPLHSAEQGSSGAANVTPTPTVPVTAPPAQTPAGSSESQAPVLAENGVNDSQD EQGPSQVNSGSRTLPGWGSARSPTTATDDVEPASEQWTEVTTEGQSEKSTSIPVEHGE AVHHGEDAVVEDGSSSSPSKGKARVATVEDVGDDET AFLA_079080 MLLLRIAIRRRDSVVTYVVMSLTVAVGLPFWLVLMLQCQPVREF WQRTGDGYCIDTEYIVDVAYLYSATACLCDFTLGLYPIYLIRDLQITGRSKWALAGIF GLGCVSNDRIKRLKEWAPSKSAPAKAKLLEPGSNIILGAALHGISRRSKGAKDLTEIE KIGVRFFEAITDNEDELMAYGEICAAAKASCRSGGGFSSANIPSSIMALSDDTPYTSE RFMADVKELAIGTLQQPHIRAVTPEQTKQDGTIETTEAFTQAARELGRGVTVFTGSKD SKETDRKDEYLSKLDRPGPSGKIKFPVKIEPELFKCYRKSGEVGKDEIYFTWGFGGDG GEEVAHRTPEFGSVVSGTQRPFPKTTPVFLGWVENACAGHIICWEADHSTSDWYNKLI KVMREVANHSSYLSVSVGDANWDFLIGLIPGPIGEFGEIGFWLENIANLIANFLEIFR NKDDKVMEHSYAYGRDYLLEYMPDGRFVGYDFDGGSGGHFLFSATIKSAGFELLP AFLA_079090 MMLVGRSKSIFVVTLIFLCISLTTVALRCYVRLRLVRRFGWDDA LMVLAMALNIWFATCGLTGSVAGIGRKLDDFDRPEEIEKALFVCFRLQPEVCKTSPDL YLRASGGGLGSQPMFGW AFLA_079100 MFAITESKNADTKGKMENTDTAYLRGIKLYSILSGVMIATFLIS LDVSIIATLDLIGCALFVPAIIMVLLALQWGGNKYPWNSATITGLFVGFAATLGLFIT WELRADQAMIPLPLLRRRSIIASIIFAFLFMGAFVVPVYYLPEWFQIVKGASSIRSGI MLLPSVSTQIFGSIISGRSISSTITLGSLSAHLFFVLQPASTQLSVHFPPHRETGSDS RYYRVSAVASQHRCLYLLFRVSLRMIQNSGGDFESARDRPAGIPGSPECHSHRVQRCH YQCLRKRVYV AFLA_079110 MIFSRRAIPLLGLAFFIVFLYTVSSLSRQWRNMPQVVGLGDLVA TPYPTASGYVNGSGVVDKPSREPYAPRPHYAPGVPKPPGSTYTKTLVVPQAGEEDTEW IKLEIPEWQPAVYVVDDLSAPLHPPKNKGHEVIVYLSYIIDHYDKLPDIIAFMHSHQF AWHNDEIFNGNAAEMLQRLNPARVVRQGFMNLRCTWAPGCPDWLHPGTLEEDEHKQEE TMLARSWGEIFPDDPIPDVLAQPCCAQFVVSRERILAIPKARFVYYRDWILRTELSDY ISGRIWEYLWHVVFTGENVVCPKEHICYCDGYGICFGGEEEYDEFRRLRSEKGELEED LKFWRGEAEAIEIERLVGTLGEQSHISVPDPGRDTELEQLILEKERTIDDMLRNATKR GEDPRARALEAGRLWKEGDGF AFLA_079120 MTSSASHHQHSSTSAAEFSTHQTAPSSNIDPNGNIATSGYAPNE SQLAGLVEAATAAAGQDVSEWAAAAAVAAAAGAAGHQHHLDGYPPDIHIEDDSFADAG FGTGLSTGRQLRAPGPSPNEHSQPSGLSRTVSKKRKRDGPLDPALTASGPGGHQQPHQ HNSHHYGGETLDIRSAPPQSLSEARAVGLHSAAALFRQPSSNKKYTRPPMSKLFASLE LSPENFLHLQAAAKSYMLDDKHPERRECVGQRGKGDTEMVKLRLWNCVRHFLEIEGHG ERFFGENVVNEGMGPRTYVWPRDQQKIIALVIPLLRRMVTNERQRQYAVETRKGGGSE ERRRRKTEDSLQNMNSASPPKFPVEEQLQMHAQHHPPEGYAPTHPELGATSQDMELGL TDLLPDGYPADWNAISKTYEAYNQNYELDNLWYLSGLQQPDWRGLVAAVDSHYQVFHN GSFDCPAPCEDENINHILHANSVSGLRWRVGGDRHQVARNEFASSITRDVSRIIRDNI ATKHGVQTSTDDHAPIHPSNFPPLPTGLTMPNPPTTSQAPISLRINIMQNGKRVLPRV DLPAGHCPDLETLKQLLCRRFAGQLPGLPSDPSLDPAAWMSSVGWRFRVWLPEGLTPV QNDGEWTIALLSAGNVDWMDGDLRVLVELENTS AFLA_079130 MFYDLNVPCGPDDPELYPTLSFLAELGYTTIALSQTLNGKLPPN PTPPPVPTNVPKGLTILTRVNLPLSDPTQNQRLTTLTQAYDLVAIRPANEKALLNACT NLECDVISLDLSVRQPYHFKFKMLSAAIARGIRFEICYGPGVTGSGADARRNLIGNAM SLIRATRGRGIIISSEARKALAVRAPWDVINLACVWGLSQERGKEAICEEARKTVALA KLKRTSWRGIIDVIDGGEKPKPKTDKPASKQKGAASKQKDTPQSESNSDTLKRKASVE ETEKPLSKREMKRRAKKARLGVIDGEDSATTPANS AFLA_079140 MARVYADVNEHMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVVRDSQSKTP SLVFEYVNNTDFRTLYPRFSDYDVRYYVYELLKALDFCHSKGIMHRDVKPHNVMIDHE KRKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGNSNSDQLVKIAKVLGTEELFEYLDKYEIELDPQYDEILSRFPRKTWHS FVNAENQRFVSDEAIDFLDKLLRYDHAERLTAQEAMAHPYFDPVRPEVQAQNNRGL AFLA_079150 MAQPSAPQNPTGEPAAPVVDTPPQADDQTRNQNADAAAPKVKTE KELERERRKAEKAKKFAEKKAKAAAKPAPAPKAQKKEKIEKEKTTDAYDPKVIEAGRY EWWEERDLFKPEFGSDGKVRPEGYFVIPIPPPNVTGSLHMGHALTNALQDTMIRWQRM KGKTTLWLPGMDHAGISTQSVVEKMLWKKEKKTRHDLGREVFTDRVWEWKHEYHANIK NALRRVGGSFDWSREAFTMDPNLSAAVTETFVRLHEEGIIYRANRLVNWCVALNTSLS NLEVENKEVEGRTLLDVPGYEKKVEFGVLTHFCYEIDGTKERIEIATTRPETMIGDTG IAVHPEDKRYQHLIGKFAKHPFVDRLLPIVADTDVDPEFGTGAVKITPAHDFNDFNRG KAHNLEFISVMNDDGTFNKNGGIFAGMKRFDARYKVIELLKENGLYVKWEHNPMKIPR CAKSNDVIEPILKPQWWMKMESLAKPAIEAVEKGDIVIKPESAEKSYFRWMRNINDWC LSRQLWWGHQAPAYFVKIEGEENDDSDGNLWVTGRTEEEARKKAEAKFPGKKFDLVRD PDVLDTWFSSGLWPFSTLGWPNKTHDFENLYPTSVLETGWDILFFWVARMIMLGIKLT GQVPFREVYCHSLIRDSEGRKMSKSLGNVIDPIDVMEGIQLQTLHDKLLLGNLAEKEV ATATKYQKKAFPKGIPECGADALRFALVSYTTGGGDIAFDIQVIHGYRRFCNKIYQAT KYVLGKLGDDFKPQPTVSKTGRESLSERWILHKFNSAAKEINEALEQREFNVVATTVY QYWYAQLCDVFIENSKFLLAPEVPADVQESAKQTLYTALEGALTLIHPIMPFVTEELW QRLPRRPNDNTISIMKARYPEYKAEFNDVEAETAYELILKTSSAIRSILAQYEVKTKG DIIIQTYDATSHKTLSDELTSVKSLGGKFLGDLSIQSPETTTRPSGCVVSAVGSEAAV FLRVSKEVALEQEEKAKASLEKARAVVTRQTNLMSSAAWKEKAKPEVREMEEKKLKDA ESETARLEEQVREFEKLRLE AFLA_079160 MMLREVKPKNPRTARILKAKEPQLIEGAKRTLLLHGSKCPTPLH TVLKTLHSLTRPNSILFHKKNENIHPFESAESLEFLADKNDCGMVVFGSSNKKRPNCV TIARVFNAKLLDMCELMLLPSPDGDQIPSMNNLTMNVGMGLRPLLLFSGTPWDDPTSS AHVMLKSMFMDMFKGETTDKIDVEGLQYALMVAAEEPTEGLSPVIHLRWYKIKTKRSG HKLPRVELEEIGPKFDFKVGRIQEAPRDVMKEAMKQGKRPNEEIKMKKNIGMDSIGDK IGRVHLTKQDLGGLQTRKMKGLKRRAGMESDEEDADMMDVDEVSEDEGRKRTKTA AFLA_079170 MDALMSRLDAFVTNPDLAPILALAKAVRNGAVYGAKVRFPHALV MIFLFRSGTFREKAKLVLKATRQHARNLATFAFIYKSSMLVLRNVTPSGVGKEGRFDS FFAGLLGGYAVFGRNKTSITQQIVIYIFARVLLALAKLSVQPNMHPLSSLITPGTRAD IEKHAWPVFASVSWAMVMYLFRWHPDALMSSLKSSMVYIYSDCDHWDSFRNFMIHNK AFLA_079180 MISFLQTISADTTSTGIEFYVCLSSRHYPHITIRKGITLVLEGQ EGHRQDITNYLDTELNIGDTDLAKQISIDVQNKALGVFMWVVLVVAILNKEYDSGNVC ELKERLRAIPGDLHQLFRDILTRDNRENGRLLLCIQWILFAKEPLRREQLYFAIHSGI KPLSAWGPDITPAVMDRYILDCSKGLAEVTKGKTQTVQFIHESVNDFLLRENGLMEIC SDLGSDFQGQSHERLKQCCLTQMTIAARSNLGHSLPIASSPEATQLRQSVHREFPFLE YAIQNVLHHGDAAEGGGVSQLGFIQTFQLDRWIPLYNLFEEHQVCRYTPDVSLLYILA EHNLANLIGIHPSNLCCFEVGKGRHGTPIFAALATYSGEAVRAFLTAQVQASPPVSLL HTAYKQYYEDKNKGAVFGRNFTFRRGNGVLYHLLEQCEEAILLVFLIRSDQTHTGSKV HHSRTLLSDAAKRGWQIVVKWLIENGAELESKDTQNLTPLSHAARTGHEAVCKLLLEG GAELESKDTQNLTPLSHAARNGYEAVCKLLLEGGAELESKDTQNLTPLSHAARNGYEA VCKLLLEGGAELEPKDTQNLTPLSHAARTGHEAVCKLLLEGGAEVNSQDSIGSTPLAH AVRWGHEGIVKVLLAIDWIDADRKDHVGQTPLHFAVSQNRLAIATILLMSGKVNVNSR DNEKRTPLYRLLEKDKTFIIVHHHQRGTFVGIGTLGYRPIFWRTQFHQAIARLLIDNG ADVNLSGSNGRTPLSLAAERRNDNIIKMLLEKGAAVEAKDNTGRTPLSWAAESSRNEN SIRILLERGAEIESKDDAGRTPLSWAAGRCKPDAYDFYDTSIMGDPGISDGENDMNII KMLLQAGANVESKDINGRTPLSWAAQGSSKKIPIRVLPKKQEEGLVNLGTYTSTRMIR DITELLLEAGADLNAKDHHGRTPLRWATDCGNEKVVKLLESAGAIHLSTIIKPIALAA STPPSACGRAFVVRRLPRAVHRGQRHRSNRISLSIPSKCKDDTEEKSTRSENTSAIYT PK AFLA_079190 MTSPSSTLPRKLWEHANPQSTEMWAFKQKLEAEKGLRFSTFHDL YQWSINNRAAFWAFCWDYFPIIYEGSYTTVVDESARIDSIPTWFEGIRLNFAENMLFT GERTPNGDRQITTTNKEDGKIALTQIREGGSEPPISITWRELRQRTGRLIQALKVAGV VKGDRIAVVASNSIDTLVVLLATTALGALFSSASTDTGVKGILDRLLQLKPKWVFVDD AAVYNGKRIDLRPKIRDIVGGLGGVEEFRGVVAVPRFAEPADLSGMPKTQSLATFLAQ ARSDELEFVRIGFRDPFLVVYSSGTTGKPKPIVHGVGGYILNGNKEARLHRLHGPESV VLQYTTTGWIMYLSAITGLMFGGKPILYDGSPFLPDVKFLIRLLGEYKVTHFGTSPRY LQELRKNNIKPRDIADLSKLSIVTSTGMVLSESLFEWFYDEGFPAHTQLANISGGTDL AACFGLENPLTPLYVGGCQGPSLGLPIAAYDQADEGASGVKGKPVPDGEPGEIVATAA FPTMPVKFLGDDGPQKYFDSYFARFDNVWTHGDFISIHPITKQIFFLGRSDGVLNPSG IRFGSAEIYNVIDTRFADEIADSICVGQRRPQDTDESVMLFLLMRPGREFTTELVGRV KEAIRKALSARHVPKYVFQTPEIPTTVNLKKVELPVKQIVSGKKIKPSGTLLNAKSLD FYYQFVEVERLIEPKSKL AFLA_079200 MSTRLFEPLRIGNITLSHRVVMAPLTRLRSDEHHVPLPMSTNYY EQRASVPGTLLIAEATLVSAAAGGVPHAPGLFTESQIQAWKKITDAVHARGSYIFCQL IALGRAADPSTLRKEGGFEVSAPSSIPMENGGAVPHALTEDEIHGFIRDFATAAKNAI AAGFDGVEVHGANGYLVDQFLQDVSNQRTDQWGGSIESRARFGVEVAKALVEAVGAER VGFRISPWNTWQGMKMADPVPQFSYLVRRLRDLGLAYLHVIESRVINNVDCEKKEGIE PFLDIWGRTTPVLVAGGYTPENVRQAIEDEYRDYNVAVVFGRHFLANPDLPFRLQRGI PLQKYDRDTFYTPMQCHGYADYPFSSEFQARLKN AFLA_079210 MHGYAEIVKWLVEKGANLETKDRNGCTPLLLAAVNQHAAVVKLL IEKGVNTKYKDGQGRDLLSWTAKHGYEGVVKVLIEKGAYLESKDQNGQTPLSLAARHG QTAVVGILTEQGANLKNGDWYGKLPLSWAATYGHEGVVNLLIKRGANLRSKDGDGRAP LSYAAAHGHIEVVKLLVEKGADLDSKDWGLRTPLSWAARHGQMAVVSLLIEKGASIES KDQNGQTCLSWASKYGHEAVVDFLVEKGAKCGAKRNNSSIL AFLA_079220 MRLPGMASPAMQRPSVHIITDAVAHRIILSQGDLGVTATGVEAN IQGQTKIIKAKKEVILTAGALNTPKLLELSGIGNKAILDQFNIPVVIDNPNVGENLQD HLMSGISFEVKPGVMTGDPLLRQEPDAIQTALKLYAEHKAGPMTVGGVQSCAYMPMID YHGQQEAKRAYLDTFLSGPDDRDKVIRDIYAELNTPTCSMFMFLAQANLHQAGKSFVG QELLPGNFLSLGLSQSLPFSRGTVHIASSDPNRPPIIDPGYFSNSLDLDIMARNLLDV ERLHSLKPISDYLLVDGKRNHPDAFLTDRKSAKRYLHDTANYNLPFKWNGGYASSRER RCGG AFLA_079230 MVFSSMAAMVPLGFGVGALQGGTFSAHLHWVFGSTAIVCAPCTV AAYWAVPTLPPSSGLAAWNAFRDRLCDCRRGNVRTSSRCSLVRGGDATRSVIVLEAGK NLVEDPRVQTPALWTTLMGPEADWQFQTTQVCLSKPATILGDRVIKEPQGKMLGGSSG INGQAFVAPTKAGIDGWAKLGATNWT AFLA_079240 MMPNSEHGSSLVDVSHTIDERQETLVDNELSSSTKVTYPEGGRD AWLVVLGAWCGLIASLGIYNTAGVFEVVVSKVLLPEYSQSTIGWIFSVYAFVNWVCGV QVGPTFDAMGPRALIIAGTFCTLIGIFALSVCTEYYQIFLSFSILTGIGSSLLLTPSM GCVAHWFMERRGLASGVAFIGGSFGGVIFPLMIQSLLSQVGWGWSIRILAFILLVLCT ISVTFCRSRVPPRRGTKPTWRDTLPDHRIFLDGTGVMAVTTAGLVLTDLAYFIPITYT PSYYIDRQNLSQEKALTGSAAFAYQLLAILNAASCVGRYVAGDLADRFGRLTPICLGQ LCETHDYGRYYASCFTVVSFGVLASIPIAGSLLNAVDATGKAKYWGTALFAGLSYVAS LLCFLWVRIKVKGWDWRIKW AFLA_079250 MDTQSPSTSCNLFQTSHSRWLAVTHRAPSSHSSFLYGVKSTKIY CRPTCAARIARRANVVFYDTVDQARRDGFRPCKRCKPDNASFLGEKEEVVARVITLLR VNKNAVAMKRGLKELAGEVGVSQSYLCRAFKKTMGITVGAYMMEFERKDSLGEMEGLI QSSDMVDVGTGLLTPAVTARNSPVPLKGLEGGLVVEGGVGNVEENLDLNFNIDEWVWT DDFFNDSTYGWPIQSNS AFLA_079260 MSISLPPTMKGVQIRQQGDPANMVIETGLPLPTVKDTDVLVKLH YSGVNFIDIYQRTGVYGVQVPFTAGREGAGTIVQVGAKVTSSYGLKVGDRVAVFTQGA FAEYVAAPAEGVMRLPPSVSTKIGAAVMLQGLTAWTMVQESHKVSSGQIILVQAAAGG TGGLLVQMCKYLGATVIGTVSNVEKAKVAEENGCDHTILYKTTNVEEQVMRLTGNKGC HAVFSGVGQSTFNADLACTRRKGTLVSYGNSSGVVSNFSILLLSKKNVKLVRPTLANY IAEREEFVERSTQLLKLLEDGAIHMRLGGEYELEDVQKAQDALSGQKTMGKLLINLQA AFLA_079270 MQNHTLRQHVPSANIRQAFAVAMSAMYAKEVPLYGDLLSLVQQS NQRVLESDPNLRQKLSTTDNLDRIHWERHGAIRVGRPDELNQVRRVLQVMNMLPTGYY DLAPANVPVHSTCFRAPELSELSKNAFRMFVSLLRPELIKSDEVRQRVTSILSRRSIF SERMLALLAIYESNGGLVEAEAEEFVHEATSSFQWHNSAAVSYVEYQEMLSESAILAD IVAFKSPHINHLTPRVLDIDAVQSSMRDWNIPVKDYIEGPPKRKCPILLRQTSFKAIE EPISFPVETTESANTKQFVPGRHKARFGEVEQRGAALTVKGRQLYDSLLAIATRDSIT AGDTTAFSRVFASFPDSWMEMRELGLAWFRYYINPNKLHAQPEPKPFNLSQLIEDDIV RYEPIVYEDFLPISAAGIFRSNLDKNGDSATIYLNSCDLCSKCDFEKALGVEVADEML LYQRIQAESIDQCKAWVENALGGL AFLA_079280 MSGTTHPNGVPYLRPAGNAQGLANYPHARTVTAGHGASYIHISG TSSRRGDGSFVGAERAHDPNGNPSLKLDIRLQTAAVLKNIAAIIDGATEGRAGMQNVV EVTVFLTNMKDDYAGMNEEWNKVWPDRTTAPARTTVEVRALPREEILVEMKCVAWLAG AFLA_079290 METLTTLWQLNTEQKAYEFLASQRDPIRLRNFVANKFLPCATSN KWVNSYNPRDGKVLVQVPLSDSTDVDVAVETATKAFPSWSRTSRKARSQMLQRIASII SDEKELFAVWESIDQGKTLARARVEVERAIENFNYFATYILHEESAARYVDGPPSVLT YEHRSPVGVFGLITPWNMPLYLLTWKIAPCLAFGCVGIAKPSEVTSITAFLLAEVFKK AELPAGVMNIVFGDGPGVGSALVRSTRVRGVSFTGGPATGVQIRRDTAADIGKHVSLE LGGKNPVLVFDDVDIPNAVSVAARAAFENSGQICLCGSRIYVHRRIYDNFMSAFVAYV EKNYRLGETMGPVASLQHYLKIRSYLLQARVESAQFHIGGIPSEEPDGYWVPPVILSG IDTNSPVIRDEIFGPVVTVTIFDTEDEAIALANDNVNGLAAIVMTNDIARMRRIGERI DAGLVWVNCWLVRELGTAFGGLKASGVGREGGAHSRDVFTNLRTLHVPSAW AFLA_079300 MRSTLQVLLVHAHIQQTQHSQMTNSCGCLCGSSSHDSDLGGVDG QTASPSMRIDVHTHIMPPELPSFPPLDEDVSSDKSHEWITLRPHNDQKPQTNGISNPK TPKKVDMYVGDRFFRTVEANCYDPELRIKEMDATGIDVQVLSTVPILFSYDKPAKQAA SMARYLNDHIASVCQEYPQRFMGLATVPLQDVDASIAELHRAKKDLCLNGVEIGAEIN GRSLDSAEFEPFWTACEELDFPIFVHPLGYEWEKEKTSRWKPYWSAWLIGMPSETALA IHAILSSGVLVTHPRLRFCFAHAGGSYLPLLGRIQHGYNCRPDLVAHSAQGVSPADFF KQHQSNIWLDSLMHDADLVEYICRKIGVGRIVLGSDYPFPLGEMPQPGELLSTDSQIQ KFLCATSRARIMSKNVLDFLGIDKIPA AFLA_079310 MSTTNGLPIPGPLQLTAWLEKNSASLQPPVNNKCLYSGEDFILM AVGGPNQRKDYHSRLTSMNAYSQPNRENGDQFRDVVIREGEMFLLPGNIPHSPRRQGD TIGLVMERQRPVGSIDRLRWYCENEKEHGETPALIREEQFFCEDMETQLKEVIEDWMR NESSRECKLCGSIAAA AFLA_079320 MYERQRVWRACQACRKRKIKCDGEQPCQSCCRNNAQCIYTDLSG NARLFDPKYIMSLESRILSMEAQLRAPSPPRTSEPVHQPADDPAVLPANVDTTDLVKL TMANSEKPDQNQGDLPLETLSSAPNMASLHTGSLAPARESPAEHQEGLLNSGTFVSKG DGQLGDGRESARSLTHLKPIPDEVRTLLVGHYFRVIHPIFPIIPEKDFREQLRRSGLG HDDDNSQLSFVMNALLAVAVSGLKSTHPTSEDPCLRAYDLANLGHLFYSNATREILLF QSKEKLGLNSIIGHGLLSLYLAETGKAYEAWVTTGHAIRLYQGLDLSDDPIPSQDPHM QRGLWWCLYVLDRSLSTALLKPLAIDDTEYGLEDKFKAPTHDTDSETDFWFSVIVDFH IIMGRIYKTVRYIRKAARNSTPNLEDKIQADVRRHDAELGNYFTEKVLPWIKESPRDF EAIALQTVALSSYYASLILLHRVFLEKYTVAEPAMFLRCAEAASGCIKLTPRLIATVP GSHFLIQHGRALFASAKVLLHCIRLAWNPIFTAKALNDLEAAVGMLRNLSIQWPEIET YQTLVQEELKSIKAEFERRDKLSEALGRFGEGPSNITLCLDRLPDLDAHLLLPLSGTD LPRCHLRQQNHSHNSLFESTVQRSLPSTRIQHEGTGEPAAKRLRKGDDVHVDMGMMER PSMVQGRTSVAADEDNPLPITRINSQLPILSPGTGSLSAESAGNDFMAFLWTDFDLIE NQ AFLA_079330 MTISLSQENKKCPETGNIALDGSQLGEASTEETERGESREIFEL SQGGVEFRTVSWQRATVVFIKISFAMSILATPGALAALGSVGGSLCIIGFTTLNTYSA IILGDFRNKYPQCHMLPDMMAFTWGRIGRELVGIQVIIAQILISASGIVTTATGFNAL SSHGTCTVTFALVSTILVTICSSIRMFSRLGWLTWIGFFTFLLAVFIFTVAVTQQDRP PAAPPTGDFDLGWTPIAYPSFVVGMLNVENIFISTAGSSMFLPVISEMRRPQDYRKAC ISAGFIVGAMYLSFSLVIYRWCGVWLSVPVFGSAGKLFKQTSYGIAMPGLVIGVGIYQ HVAAKYAFVRLLRDSKHLQANTWVHWLTWLGINFILGAVAFVVAEAVPILDYLLALAG ALCFAPFSLIFPALLWFHDHKDYKSGPRIQKVKYGLHAGIVILGLFMTVGGTYAVSIS IKDAFSSGDIAQVFDCRDNSGSSV AFLA_079340 MASNPPAACCATGFKHEGTPVGEVKNIDGVNTYITYPKDNKTPE TAIVFLTDIFGIFHNSQLLADEFAKAGYLTVIPDLFQGDQVNVADMESGKADLPSWLP KHQPANVDPVVEASVRYVRETLGAKSVGAVGYCFGAKAYLTGYEYGFLMGHSQYVTRL LMTNKVDVGFAAHPSFITHEELGAIQGPLSIAAAEIDSIFTTQLRHESENTLIKAGHP WQINLFSGVAHGFAIRGDMNVRIQRWAKEQAFVQAVSWFNQYL AFLA_079350 MAASGAQYRYEHRKGRLLFYASKAIFLERQRHREATSCKSDLLP QPIIINPDPYSPSPTITDDIRCLLNLAIYATWQQDPEVVKGVCGLQSTLVRLLRESGL VEVNIPDSDELDWRTWLRLELDRRVKLFAFAFLNLQSIAYNLPPILLSHEINLRLPCT CEEWRAVDESNWRQFRRDIHREQSLFQDALAFLLAGKDAPSSLKPIPSPSASIILIHG LLHRILLSRQASLSGVISSDQLDIFE AFLA_079360 MNLPKDLDFFVMLSSASNIIGLTGQSNYAVWNSHMDGLARYRIA HGQKAVSLDIGTMIDDGILVETAGLLDKVPAYGSLVPVTREQLYGILNDYCKTSKQIL GPDTAQLNLFQEATSLREAREIVRRAVIGKMVHSYHLMPEDAEVDAYAPLHTFCVDSL LEVELCNWIGKEVAVDIAVMEIIKGASLVMVDLTAATRVNSLTHNGL AFLA_079370 MATTNASAFNPRTQLLPHIVDHYAKVKPEAIYAEYPISLMTYED GYRPITFRLFANAINGIA AFLA_079380 MFLTSPRNSVAAHSSLFTRLECSKLVAPVPRPPPVKAILEAQPT LEILDVPSVDDLTSKDYPHFEFLKTYSEVAGETLAVIHTSGSTGIPKPIFWTHDTACK HMHMTFLDPPEGFESQDSWLFGKRIFLVPPPFHAAGLAYSLFISIPVSTTIIFPASGG LPTAAALVEARKKTPIDILLGVPSIVQELSQSPELLDYCSRHMNRLIYCGGDLPQPIG DTVAAKIKLTNLYGASEVGMISTIHSKTDRNPLKDWRYLHINPQMGAELRQVTDREHE LVLVRDPEFKAHQFSFTIFPDRQEYHTNDLFVRHPEKPDLWRWSSRADDVIVFLNGEK TNPVSMEQYVAVSNPEVSAILVAGARRFQASLLVELEPGKQDLNITERAAMIEKLWPS IEQANAVCPAHARVAKTHILFTKPGKPMLRAGKGTIQRAGTLALYAAELDALYTDADR LSQADNEQQASTGRVDDPQLLADYIRQSMISVTGWNQLSNTENFFELGLDSLQAITVT RIFRRGLNFPTFSPNLIYLHPSVKELTQTVLRLQQHHEASAEAAKEAQLQQRDQLLLE LSAQITPRASEKHIVMLTGSTGSLGTYILDTLLKTPSVAHIHCLNRKDNAVDIQRQKS EAYGLNLDMSRVSFWTSDLSKPGLGLQFDVLDILQTTTLVIHNAWAVNFNLSLASFRP NLAGVVNLINFCGESGQNPHLFFISSISSTMGHRTDNGLTPETVIKTTTPAPNGYADS KYLAEQLLDQAARQDPVHVHASFARVGQIAGPVRSPGLWNKAEWFPSLVMSSLHLGAL PNTLGPALNRVDWMPIDLLAEVLVDLALRDHGATAGRSVKVYHPVNPRPLDWEAVRPV VAEALSKASGKTVDTIPFQDWVQRVRQDIETGNKLNDGELQALLSRNPAAKLLEFFEG IMSQTERENVLDTRLTVQLSEKLQAVDAVKPEWIQKWVEEWLQ AFLA_079390 MSNKPFNETARDLKLDEAAEENDDYILCGELQNDEGEWVAAEIN LMKSLGCLNPHVEWGGKDFSKSADCVEFSVNPIPVPTSEDDIHGQLQERPMLSVTIQP DWSDEQVEACVGLSDGITAFLKIKGS AFLA_079400 MSIIDTTKDLSALFTKQVQATPDAIALEDENTTYTYAELDQEVE TLTRRLRGYGVGRDSLVGVLLPRSADYVVACLAALRAGGAFLVLELAYPPSLLADVIE DGRPTVVITNRAEVGKIKASVPVIIQDEPAPTANGHVSEPSPLPSDDDLDRLAFVSYS SGTTGKPKGIANPHRAAVLSYNLRFGVSDLQQGDRVACNVFFVWEILRPLLRGATVVA VPDDASYDPAALVDLLAAKKVTETLMTPTLLATVLARHPHVGDRLPDLRTLWFNGEVV TTDLARRASKAFPQTRLLNCYSACETHEIACGDIREMLQQIDSDALYCPVGPPIDPEN TYVLSESLQKVDVGVSGELFLAGPQLARGYLNLPETTAKAFITNPFDSDPSSRLYRTG DIARLLPSGLLEITGRVGAMIKLRGYSVVPAKVEYEIVTNLAVSRCAVVAYGEGLERQ LVAYIVRDKEKSAERPEVEINDSGHSPAARRTLAPHLAHYMIPALWVELDSLPTHEVT GKVDLKNLPPPQSPQLVNGNGPKPAKDSIKLDEIASIWAAVLKTSRTLLKQSDDFFDL GGHSLSLADLSSRLSRHFGFRVPITRLAENTTLTGHLETVRAIRDGHTAAVQADLPAV LRADATLDDEITPSNATACPIDKADTVLLTGVTGFLGAFLLHDLVENTSARIICLVRF NEPANDDQPGGIARIRRNLLDLGLWRDSIMERVEILPGNLSRTRFGLSPAAFDELASR VQVVVHAAATVNLVYPYAALRGPNVGGTREVLRLACKGGATVQYVSTNGVLPSSGESG WPEDALLDVDQVPDKLLDGYGQTKWVAEQLVLEAARRGLPVKIHRAGTISGHSATGAA NAWDLLTALIVESIHLGYAPDVDGWRAEMTPVDFVSKAIVHLANQTHADQTVFHLGDP NPLSMNSVFAELRELGYPTQPLGWDEWVALWTQKRGPVKGGDGAFTVDILRSGMPTVE FLRGIVVLNNAATQPSLADLDRPQVGRALLETYTRHWFARGWLTRPPSRQNALAGSPK PKGPLHGKVAVVTGASSGIGAAVAVALAREGCHVALAARRADALESVKGRMTAYGVKV IARSTDVTNSGQVEALLSAANEELGPVDILVSCAGVMYFTMMANVKTDEWDRTVDVNC KGLLHCLSATVPGMLSRSRGHIVAISSDAGRKVFPGLGVYSASKFFVEATLQALRLET AGTGLRVTSIQPGNTSTPLLNMSTDAEAVKKYGEPSGAQILDPEDIANSIVYALRQPE HVSVNEVLVEPRDEPI AFLA_079410 MGLSTASPSSGDPGQRPVHSNEVLNLGPYTGNEPNYSNDGFEMD RLPSRPENEVQEPYSVEDKSRGNWRIAAIMLALSLSLFISALDQTIVATATPTISAEL HSGTGYVWIGGAYLIANAASSNIWANLSDIWGRKPILLTAVALFFGASIICAKAINMP MLIAGRGVQGIAGGGLIQLITIIISDLFSVRLRSLFLGLIEFIWAIAGALGPIVGGAF TQSVSWRWIFWINLPVCGTAFVLLLLFLDVHNPKTGVLDGIKAVDWFGSFSILGLTVM VLLGLDFGGATFPWSSPKVICLIVFGCLMSLFFIYSEKRLAKYPLMPLGIFKNRSNMA CFIVAFTHGFVSIQTAFLGQEYYLPLYFQSAKEASPLHSGLLILPYVLAEAALSLAAG LIIHRTGHYLEVVWTGTALVLLGSGLLIDFNATSSLAKIICYQIVAGAGCGLLFFPPL LALQSNVPQDENAAATATFGFIRNMAMAMSVVLGGVIFQNGMDTRQSDLLTAGLSDSM VKELTGSEAAANVMVIQSIADTLQRSVVKDAYAWSMRNIWILYTSLGACGLLVSLFIT RQHLSVEHVETKTGLKEKQPLTEPRQNESVSEP AFLA_079420 MHLKTLLLTLALTTPTLIHAKDDTTTTAPYFGAEVSIWQPTYSG IAGSVAGINAKETTYHISCTKDAPKSLCQIDKPWTMIQAQESWSLTGVYTAWSSGKDA VTATQDYSCTFTHWSEKASCALTVKATGTLEGGKWSSDASTKVSVASDKVTTWGLLVT GGVESFTMPQATQTPGAAVGGVMGNARAVVTGMPIAGAAAVAVAAMF AFLA_079430 MNTWQAIAKSKQESLRAAIPSEWIIPAHILPPEHQTDVTSFPRQ SGWFTNRELEILSTSAPRILAHLETRSWTSEEVTKVFCKAAAAAHQLTNCLSEILFDE AIARAKELDDHLRKTGKPKGPFHGLPISLKDNFNIIGKDSTVGFTSLVNDPATYNSTL TDLLLQGGAVLYVKTNVPTAMMIAETVNNVFGRTVNPLNRKLTSGGSSGGESALISFG ASRIGVGTDIGSDPLYPIASKDC AFLA_079440 MLANIKTYLKGQESRPGEAKLLRKLDFFILSFCCLTYFFNYLDR SNLSNAYVSGMKEELNFQGNQLNVINTVFTVGYILGQVPSNLALTYIAPRIFFPTMIV FWGGLTMITAAVHNPQGIMAIRFFLGLAESSTFAGTHYILGAWYTEKELGKRSGIFTA SGLAGTMFGGFIQSGIHSSLDGARGLSGWRWLFIIDGLITLPIALYGLFLFPDTPATT RAPYLSASERALAISRMPEASPATSRLDLTFAKKIFGTWYWYGFVMLWIIAGETESFS TNTLLALYMKSHPTNKYTVSQLNNYPSGVPAVGIVSTLFWATLTDFMGGKRYLVGFFI GITGIITSALILTQFSSTATVFGAYYWAGAVYACQATFFAWCNDVMRYQDGRLRSVVI ASMNLGSNAVNAWWSILFYSATFAPRFTRGMWAMIGCSIALILWTGGVIYMSMRETRE GLDGVGHGEEEVTEGSIYKPKD AFLA_079450 MAPILTTTPTLQVTPSPLTKEAFAPFGTAIYSPLPRDLNQAPAS TTSLAPHNPTPVLANQNSALKYSPISPLLDNYTNKCPSNQPSSARMTMFSCFPRQLRS LPGKNTKAFDVRILERHPFTTQTFTPIDLSSQSTAGGQEEPYYLVVVAPTLKGQTVTA MTPSGPVTVRDPPDLKNLRAFVARGGQAVTYGAGTWHAPMVVVGSRRVDFVVVQFVNG VEEEDCQEVAFGEGVVVEVGGRAVAKL AFLA_079460 MPSPAPNFTLQRGAKTRSTVKLKDLPQGALKLEPYHDTVEDAPR YPPVVQGHRNNMEKFQNCVILTRVGGFYELYFEQAEELAPLLNIKLASKKTSAGPVPM AGFPFFQLDRFLKTLVQDLNKYVAISEEFAHGVEDKARTGGLLFDRKVARIITPGTLI DEKFMDPAENNFLLAIYIDEPSLKAQLEQHGVSSHQHVLSSASQPVGLSWLDLSTGDF FTQSTTAQMLPSAIARIGAREILVDRGIQDLIGQELQLLVGHDHRLMTFFPFPQDILP MSQWDSMLEAPVSPKSIKSFTPEETAAGYSLLEYIRVQLQGLDLKLQPPRRRHLSESM NIDRNSLRGLEILETARDGFGKGSLLHAVRRTSTKSGARLLRDRLSSPSTSLRVINER LDLVSGFIANSELRDSVTQLLKRSYDAQRLVQKFTLGRGDPDDLICLSRAIEASKEIK RVLSATGFDVSSSAQANDSLATMTDRLHLDGPTILADKILAAIDEEGLIQRQRIEDDT AAEAAILAQEVTMNEGLPTDLDALPKKVRSKGSNRAGTAADEPPDVDTWIMRRDASPA LRKLHQVLTKLQDEKISLTQRLRDSVGSSTLTLKWTPGLGHICHVKGTKVSQQALEEL GVTRNVSTTKSTRSFYLPAWTELGSRIDQVKLQIRQEEQLIFERLRREVILNLVKIRR NAAVMDELDVACSFATLAQEQRLVRPILTKGTSHKIVGGRHPTVKLGLEEQGRRFVSN DCFLGDEERIWLITGPNMAGKSTFLRQNALITILAQVGSFVPAEYAEIGIVDQIFSRI GAADDLFRDQSTFMVEMLETAAILKQATSRSFVIMDEVGRGTTPEDGTAVSFACLHHL HYRNRCRTLFATHFHELADMTHDFDALGRYCTDVKETASGSFSFVHRLRKGVNRESHA LKVAQLAGLPKEVLEMARSVRDSVRSGQKTWPAEVNWNAEAAPKPAVS AFLA_079470 MADQNISQYKYSAMSNLVLQADRRFISRINDEPTGDPESLAGRI SIREMGGRMARDDAPKTKKKAGLTDIERGSIREGEDVLAREQKKRQRGQPAQLRGQGI LSAADAVVEGLKYRPRTPATRATYDLILTMTANNLGDVPHEVVRSAADAVLEYLKDED MKDFDKKKEIDDLLGSSMNPKEFNELVNLGKKITDYDAQDEDEDMDGGLQGEGEEELD ERQGVAVVFDEEDEDDERMGTVNEVRDDDELSDEDEADQQDAPGTDEPTAEKGDADGL DTEEMVIDGGMDRGDDRGGKALRVSAREIDAYWLQREIGKAYSDAHIQQEKATEALEI LGGKGEDGADKPLRDVENDLMELFDYDYPDLVAKLVTNRDKIVWTTRWRRVAEDADAR NLVESEMVEAGHRAILDEIRGKTARDDGSSRPEKKIKLDLMDVDVPNAPTPEEKPADG GLVRGLQPKRLINLENLVFHQGNHLMTNPSVKLPQGSTKRTFKGYEEIHVPPPKAKRD PGEKNIPTTELPEWARIGFGSSKELNRIQTKCFPSAFHDDGNMLVCAPTGSGKTNVAM LTVLREIGKNRNPQTGEIMLDDFKIVFISPLKALVQEQVGNLGKRLEPYGIKVAELTG DRQLTKQQIAETQIIVTTPEKFDVVTRKASETSYIKLVRLIIIDEIHLLHDDRGPVIE SIVSRTIRKGEQTGEPVRIVGLSATLPNYRDVGSFLRVDPVKGLFHFDGSYRPCPLKQ EFIGVTDKKAIKQLKTMNDICYNKVIEQVGQRRNQMLIFVHSRKETAKTAKYIRDKAL EMETIGQILRSDAASRAILAEEAESVDDASLKDLLPYGFGIHHAGLSLADRDSVQALF ADGSIQVLVCTATLAWGINLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRP QYDTFGEGIIITSQTEIQYYLSLMNQQLPIESQLISKLADNMNAEVVLGNIRTRDEGV DWLGYTYLFVRMLRSPGLYSVGADYENDDALEQKRVDLIHSAAVVLERAGLVKYEKKT GRLQSTELGRVASHYYIGHNSMLTYSQHLQPSVTTIELFRIFALSDEFKYIPVRQDEK LELAKLLGRVPVPVKEGIDEPHSKINVLLQAYISRLKLDGLALMADMVYVTQSAGRLL RALFEISLRKGWSSVAKTALDLCKMAERRMWPTMTPLRQFPSCPRDIMQKAERIDVPW ASYLDLDPPRMGELLGMPKAGRAVCDLVSKFPRLEVQAQVQPVTRSMLRVELTITPNF VWDEALHGTAQDFWIVVEDCDGEEILFHDQFILRKDYAQSEMNEHLVEFTVPITEPMP PNYFISLISDRWMHSETKIAVSFQKLILPERFPPHTPLLAMQSAPVKALKRDEYQNLY SDWQYFNKIQTQTFKSLFDTNDNVFIGAPTGSGKTVCAELALLRHWAKEDSGRAVYIA PFQELVDQRHADWEKRLSKLSGGKTIVKLTGETTADLKLLERADLVLATPTQWDVLSR QWQRRKNVQTVQLFIADELHMLGGYGGYVYEVVVSRMHSIALQLENGMRIIGLSVSLA NARDIGEWIGANKHTIYNFSPHARPIPLELHIQSFSIPHFPSLMLAMARPAYSSILQL SPDKPAIIFVPNRKQTRSTAMDLLAACATDDDEDRFLNADVNELAPLLNRVQEQTLAE SLSHGIGYYHEALSATDKRIVSHLFSIGAIQVLLASRDVCWELNLTAHLVIVMGTQFF EGREHRYIDYPISEVLQMFGKASRPGEDKIGRGVLMVPSVKREYYKKFLNEALPVESH LQAYLHDAFVTEISTKVVASTQDAVDWMTHTYFYRRLLANPSFYGLTDVSYEGLSTFL SELVENTLKELSEAKIIDLDEEDDSVSPLNAAMIAAYYNISFITMQTFLLSLSSRTKL KGILEIVTSATEFESIQMRRHEDHILRRVYDRVPVKMSQAAYDSPHFKAFVLLQAHFS RMQLTIDLAKDQEVIVSKVLNLLSACVDVLSSEGHLNAMNAMEMSQMVVQAMWDRDSP LKQIPHFSPEVIKVANEFGINDIFEFMEAMDPSENKEYATLVKRLGLDNKQLAQAAAF TNDKYPNIDIDFQVEDPDDITAGEPAYLKVKIEREVEEDEEPDMTVHAPFYTNKKMEN WWLVVGDEKTKSLLAIKRVTVGRKLELRLEYIVPTPGEHELTLYLMSDSYVGVDQAPT FNVTAAEGMEEDESEEEDEE AFLA_079480 MTIRPMKFTPEVLLGAPRRSAAVPNSSGTLAVYTQTSYSFESHA KTSEIRVLDITTGRSSLITNDPSASSPQWLGNDDLLIWLKTKANGNTSFIVGHAREAG KTYTAGTVPGPVANLKVTVIESGKIGFAVTGKANPDGSLHNPQDAKKPHTTGRLYSSL YVRHWDSYIEPQTNSIWYGLLQQAPLTPATRHAGKYSTSALTNLISVCGLTGVESPIP PFGGSGDFDISPSAIVFVAKDPNENPATHTSCSCYYCPMFSWTSVTAMESQKIYAVKG LQGAMSSPVLSSDGSSIALLAMREDGYESDKNRILYVPNPWSGEMIEAFASPDGEGLW HLSPSAVTFANDDQSLLVQVEENGRGVLYQLPIANFRHSRPSALKKLTHSGYVTDVFP ASANSSKLLVASNSLVENSRWTIIDPQSPESPKVISSLSRGGAAFGLSPAQVDEIWFR GAEDHPVHAWVVKPSDFKPGNKYPLAYLIHGGPQGAWNDQWSTRWNPAVFAEQGYVVI TPNPTGSTGYGQAFTDAIRGSWGGLPYIDLEKGLDYIEKNLDYVDTTRAVALGASFGG YMVNWIQGHELGRRFKALVTHDGIFSMRSLLSTEELYFPVRDLQGPYWKVPENWDKWD PSRFTGNWQTPHLVIHNELDYRLTIAEGLAAFNVLQMRGVDSQFLTFPDENHWVLNPE NSLMWHRTIFNFINKYVGLPSESRADLENGVANMSL AFLA_079490 MPEARASSSLSRTMADPASSVTPERPASCLSRPTTRSTVAGPTT DEKHRRHHPYFIPTLTHLRTPLYPLVSSTTALAHPEFPTTLLAYHLLTSRQLDELAIH YHQVWPPTPATSYYPVVISPWVGTENERDVDIETKRRRFGRFIGLQRCETPVEEEESY SWALEQDTDTELLDLINQEWNGS AFLA_079500 MSNSNPPLITHIYTADPSAHVFNNKVYIYPSHDRETDIQFNDNG DQYDMVDYHVFSMDSISGPVTDHGVVLTLSDVPWASKQLWAPDAATKDGKYYLYLPAR DHDGIFRIGVAVAEKPEGPFTAQKSFIAGSYSIDPASFVDDDGKAYLYFGGIWGGQLQ CWSKDAGAAGEWVFDASKTGPQEPSGENELALHPRVAQLTDDMLGFETPVQELVILDQ DGVSPLKADDHERRFFEASWMHKYNGTYYFSYSTGDTHYIVYATGESPFGPFRYRGRI LEPVLGWTTHHSIVEFGGRWWIFYHDCELSKGVSHLRSVKVREIGYDDQGDIYLVD AFLA_079510 MTLDVDRNAIPGTFTLVDLEHTLATRHLNAGNSDIVLVPQPSDD PDDPLNWSPRRKLLSTICVSSYCISGCLLRTGSTLRGDRMGPTILAAICIAVRQATDI PNVIDRNDLSPFITSNGQWIARSVVTGFFLAPIEALPEITVTDVYFTHERGTYMGLYA FFLAGSNYFAPVICGFIAEYQGWQWVFYYPAIFCAATAAFLFFCMEETNYMRESVERT TFINPVNSATSTSEKGEQEKSDPVDTPRHTDTEAGEVHNVYNKKTYIQKLSLLGPRQP KNHMLRRLWHALYYLSWPVVFYAGFSYGSYLIFFNVLNATASIILGSAPYNFSTAIVG LSYIACCLGVALGAMFTGRFSDWLTIRLARRNNGTMEAEHRLWPFLICLVILPGSLIL WGVGAAHEVHWFGLLVAMCLLAMANTCGVTLSVNYLVDSYRELSGDAMTSIILVRNTM SFAIGYGITPWINNMGYQNCFISAAFIGLACAAVFLVMVKYGRTLRIRSREKYWNLVV ENWEKGMAH AFLA_079520 MKDLGLKGSNTSTSIAGSVPFPFLSETGVRAYRRSLMRPHILKG CAKSYGAGTFILRNLAKHSKFISDLWTHPETMRIVSEVAGVPLTIIMPTEIGHTNIQT AGGTVDDLMRELDVEPRANCVCVDEQNGYDPLRESAVIPWHYDSYPYVAVLMLSDTTH MTGGETYIRKGDGSAVKVEGPSLGHCVMLQGGQVEHLAARAFGTTERITTITSYCAAI PGLYDDSYISNVRPYCNLPELYTEWSNYRLEKMKQEIENIQATIIQHVSRDRDSFPLD EVYHFAEQQISYLKRTARQMVDQTLCAEVRRHFGVREINATSEKWVVVRAHQRFKDLL PGVMAQTLVWRPVCLYLSDWEETKYMIRSGNVSFVYSQQGTFSWDQYRFEEYLFGDEL LRQGLKEVLLAWLHRFDLLNLEKDS AFLA_079530 MDYACGNGIVTMSLHHLFSKCIGIDLSDGMLDKYRATAATLGLP ESRMMAVQGNILAPMVQTTNPPLDDEELDCFDLVEICMALHHVDDIQLATQRLASRLH PGGVFLIIDWATRGSLDINEHASPDAVHPEHHAAHTISHDSFTGEQIVSLYEQAGCGD VRFVLADRLSDVPDARSGKMQLFWARATKL AFLA_079540 MSTKMMRDQAVDPDWKNSTLTLDFDNSPWLYGPARLLWVIYKNN LTAMIEDKDMTSRAAEIQQRFFGEMIQSSLTERGASQYLPIQGHVRTLERRVTTTAGP AITLIILLFLSFCLLLITWRCSRIQHRPLNLKTDPASLAGATSLLVESPRTRHNFKDF NRKSDKELQTLLEGKRYYTDPGILHETIPDQSETVNQTMTESRQESTSRVNWIPGVLR LPALLVLLVCLVAVVTGVVVLYHFAQLSELFMASIIAQLHGNLSSHWMYTAANQLTLN ASEPAWSKDGWSFVPVDLSTVSLPIPEKTLDINDQGGLGKSSQINVSLATPAIRGRVE CSPYEGLLNLSAWLTPTDVSNSSTWSLSPSAEDLKMAYELGVGYKLNGYRPSMIFPEP SDNYTSCVQCTPIFANPSMITCCGNGTDTGADPMAAVGYWSPNGNPGRWSVRTWSRNF TTKWIHGHARSAIELIEPQGTELPHLLFTNIPSITAMNCMPLVETANAEVTVDPATGE VRAFEITEKPKVADNAFSDVFLPHRTSDQVLSEIRYNATVSYGVLFMTQMLTAANVQK LYGASRLVGWTVEDTSDSTFNIRDEAHGLNMDFMSYSMYSLAGNDPAALLDPTVFSRL SSKTFSTFFQHFANSNISMKTGSWAYQPINASLPSDLTPAVTDATLLEDAPLTAYQDE IHPISHTNRTVVVRVSQRVEMLKMNAVAVWLSVSILAWLIIATLIVTIFHRQYLRRLI RNVECLGDMLILTAGSESLVHMIREMQAGKLTESEERRLCARLGWFQDGDGNARWGVE LLEGYMGRPPVRWLNDDERKNNRGEHAADTSSV AFLA_079550 MPTNSVEKDALPVGRVTELTEAVESVLEHVAPFLRASEDDYDAL ESERHLSHGCYSTGNILESYLSHPDQLRRRLLLDLPPTGQGLEAIASSSSTLLRYSVN TSSPGFMDKLWSSPSVPGIAADLLLSALNGNDHVFRVSPALTLIEKHVGEELAHLFGL SDSESGGVTVPGGAAANSTALLIARNVRFPHLKEVGLHGISSPRLVILASEAAHFSIF NAAQVLGLGSHSVRKIPTTTDGSMDPRALKHSLDATITAGEVPLFICGTAGTTVRGAY DPLESIGKLAHEYNAWFHVDACWGGAAAFSDKLKYKLAGCEFADSIAYNPHKLLGVPQ ICSFLLGKDLRTFWYANSLTAGYLFHQDDSIPPSDLCPSPTTAASMGKLSNNHVEKPF YLNSVRYNWRTSRAIQNAPDPREVYDLASFTPQCGRRPDAIKLYCHWRYYGTEGIAKQ VEGAYDGARYLARLIEEEPSLHLVGDVDVPCTQVCFYYVGASLKLTVSAPDMATQNTH FTRLISTGLMKRGWMVDYAPGSGRQEELGDFLRVACNRMTTPCVAEGLVQAISEVVNS DIETITPPHK AFLA_079560 MVSQAQILDRSLPTQSATSSVSRHSGRRHRSSRSHHGGLIHQPQ NDFPIFTHTGDVEIIIRASGQERRYLLHRLILAQCSGFFDTSTRDEWSRQAAASRPPI PDPAVLSRISEDNSSLSNGSTLAQSESGALFSPEKRRWRFELDWENKAEDEEPILVQK PPSFSSTFGNNLGQYPPSVTKPSGTQAGFIRSMANLAGMQSVINIPHADTGNAPIDPT IRDYDNLFRLFYNHPPALNSVNIATAYAECRSLLALADMYDALPVTGPRVDHHLLGFG SRLFKQIAKYPPSYLKLGYLARSRVIYSEALIHVVGQWPAGLPHLRNGAYSPLPDTVL DIIEDKVEDLEYMKSRIDSKLLRLTLTTSRGERVTPTNAYLDWLAVSLFRQWLVDSTT PPPAPILKNSSANAANTSSHSRPSQSTAHRGQEHGSAASKAAIAAPLSSARVYRLIGS ASTQAYLPHDELKKFLKVHPTPSSDSLYSRDVMKRFERKMDELKRLAREIVRPLMRNF LELDLKGGELDSSSGGLPHLTCIKIEDEDIPWD AFLA_079570 MASTRTPYVCLNCRLTRPLTRRAFSASTHRAEALRPATAPKPTP DVKHIRQNAELYSKNSVDRNYPTHADYPFQIQNLSEEARRLDQDLKTPRSRIKQLEKA IGKLAASARQEGGNGENTASQEELTALRLEAQKLKDDSQEMTTRKTACTEEINRLALS LPNLSSSETPVGDDPRLVEYLNFDPQSPPEWIANPDPSRSHVAIGTSLGLIDFTSSAT TTGWGWYFLTNEGALLEQALIQYALSVARKRGWKPVSPPSIVYSYIAEACGFQPRDQH NEQQIWAIEQSEKDKSKPQRSLAGTAEIPLAAMYAGRDIDAANLPVKLVGPSRCYRAE AGSRGVDTKGLYRVHEFTKVEMFAWADNFPEAAGKGLPTSDDLFNELLSIQTEILTSL NLPCRVLEMPTTDLGASASRKRDIEALFPSRLRAGADLESAWGEVTSASICTDYQSRR LGTRVRGGAAKDSRFPHTVNGTAMAVPRVLAAILENGWDEKRKVVVVPEVLRNWMGGL EVIGESS AFLA_079580 MASATGIPQEHPQTIEAREDEPLLGRPGDVVQKEDEYIIYNLFT GTASLAQFGIWIIAILVWAGIFSHPLILFSAHPLLNSSALLLQVQAALILQPTATPQQ KLKGARIHYLIQAISVAAFIAGFIVIEVNKGSHARFTSPHGVMGLVTYILIIIQAAGG VIQYFIPVKVLGSVDNGKKLYKYHRLSGYVLLLLELATVAAATRTTFNLAVLAIPLWA VVVGAVLVISGVGARVKKHKLGL AFLA_079590 MTTGTLLKGVRRTLSTMKRPRNIPFDEKGGSTNQDGRGTIPNTP TQQSGGQNQGVEACSLKRQTTARRDNHSCANSEVQVPAISALYRSELEDSSDDTNIAG GEEVECINEHLRECFCRRCDGDCRNCLHKEEGSC AFLA_079600 MHVEAPELPKLVLRGTPKEIGLQHGYRLQEQIKSQLGIYEEMFE YTTKMDWPTVLKLAEEFRASLERKTPSLYLEMQGIAEGAGVGILDIVALNCRSEISFG SFSDGCTSLSWKKNENARVLAQNWDWTTSVQKNLALMDIEISGKPRICMVTEAGIIGK IGFNSAGVGTCLNAIKARPCVSSKVPIHIALRLCLESTSVASALQTIASLGGVASSQH ILIADSTTSLGLELSPLGDVHLKEDEDGFIMHTNHFIENKNVKEPSWIKGSPARLERA QQLAHELVNNGIKGDLITPSLLREQVFSDTCNAPQSICAQEDPSTHHTRRTSTLFNIV MNLDKQDLGAEVVVGQPGSGKESPVIKMPWM AFLA_079610 MPPRSTHQTSLPEGDGVTYDESDMALFRAKLAYHSTIEERMASR DNNLVSIAEHQGRLLKRWDMLKVLEKEMAEKGKSLEPAERQQLAHCFVYLPISDTWIK ILS AFLA_079620 MSREPNDSAGIQASSAKAEAEAEAENKTQDHSEEMNQDVAENTI IRHPSVISLTSLDNLDIMFVNSTPLEWKSEEEAHDSVSFKDHESGKCFRVVKEDFNVG DVVTA AFLA_079630 MGFHMAYASSTHKATDVSCQALLGLLENMGLNCRLLPGVQANDR SSRVFVRSQRFRIKAGRCKEAEDNHKTNEASISVGAQLLIHNVAQGTVTSDKDRSYGL PELSLEHHVLREIDMAKGTGRALPTYYPSENQEALSKGFICFDDVVRFEGPEVDMFDR LHSHLQHIRKEPLGEWKSEGQCPHRLQ AFLA_079640 MEDSNILGEDLPLPPTRLFERLAQLPGYTWDQSIEPFHSTYSHW HVYGLRHSLESDVSTPAATSSGPSSLARNSPRAESRPPFRHHWRSSLSESSSEISLSR GDHEPIWTPVIARVSSHVVRLEREFHMQRSIVQTSDPDCNHTIRPIDLIRLPRDPGDA GPLLVAIFESPGQNMLKELVAFGPAWFAMGTKGDSSEPTPGEQVSLPVFLDFGIGACD CLELLHYGLKTVHGEIRGDAFHFSLETGSVKLINTGNGARSFDNILSEGWSSTARELG VKNKLQFIAPEQTGRMPTEPDSRTDIYALGVLFWTMLVGKPAFTGSDPVEVVQNVLGK KLPPVSAKRMDVPDAVSAVIEKMTQKAVNERYHTISSVKRDLVQISQLLGDGDSEALK DFQIAQRDVSSFFTLPSRMFGRKEEYKKLIEVVEKVYRRQQSAYARATTQSTSGLGST SSISDGRVDSFEIASGSSDSGSFHIPHKPNSNGGPSNLGRVSTHESIHSTESSLSTPK PGYVSNKAKSPVESRASWDNTDRDGHLSTGASTQSHADSLGVNRSKTAHKLRRSGKCE VITISGAAGIGKTDLLNRVQPAIRRFGYIGIARLDRARRVPFEPFAKILASLLRQIFS ERDVTTDYHESVRTALRPMWPTLHRVLELPEQLMSSGGSEKDISPKLSAAQHIFNKDV STKGEPSKRIALPRFDQGQTSVDFFLSNAALKNMRLMETFLEILKTLSQFKLICVCVD DLHYADDETLDLIMNIVKAKIPCVLILTSRKAELESDAIKSLFETENPSVTRIALNPL GEDEVMQIVAATMHQEPNPMLTPLAAVIQEKSVGNPFYVRLMLETCYSKNCIWYSWKN SVWEFDLDRIFTEFVAPRYGEGLGLGFIARRLQETPPAARSIMVWSTLLGSPFAFSLV QKLLTSEFLYSSDDDEAVDLTCPQNANLIRQSEADIVVGLQYLVQANLLVPGKTDDEF RFANDRIAQAAASLTEGRNVEKMHFIISQAIMKYYHDGRSRYAMARHVALASRIIKNR VLQRLDYRKILWDAAQTAAQSGARPTALWYFRHCIALLQENPWDDNNTDVYYDETLRL HIATAEMAWSQGHNSEALDLLDKVFVYGKSAVCKSRAWIVKAKIYAQMGNHLRSMDSL LTCLDELGVHLREFTTFEECDAAYRNLRRYLEKGDLEAVVRKPVSKDINMITIGAVMA EAMAVTYWDDPLTFYRMAIEMMNLHIFKGGFVQISIGCSHLAMISFSRFRDLDLAVKL SDHALTLLERCPEPWTQGRGSIVHNLYVSHLRVPLASTLPALEASVETSFSMGDPYIT LISLSSMAMTRLFLGHDLTHLEGFCNESPEDIPEWVNDTRGGASLLAVRQVARALQGK TGYRNADTIMADEHHNTSEYIAFLENNASNADRPRDIYWGLAMIPLFVYGHHSKAIEL GVQMMDTMPRLWSARVSYVVYFYLALSLLTLHNDYPAGGYLDGNMKTVLRYKAEVDFA RSACDANYGMWSLILEALVFEVRNDHSSAIRAFEDKSSISIKAAIDHCQIHGWPLEEA LALELHGDFLIRRGAKRAARSVMQDAIAAWAAISAVGKAAQLTEKHEWLLKTATSTRS VDVGCQTVDSLLGINRSSEPEDIGVSQHMEEDDRQHRWIEQNGVTTGERSLDISGVGL DIIDLSSILESSQVMSSELQIDKLLTKMIEIVLESCNGSDFAVIATNFDDNFTVAAAG DLENGQRSYVDGLPFSVVEDKMAQQISHYVMRTREEVLVHNVLEDDRFSNVSDAYQGR YPLGKSVIALPIMQAEHLLGVIHIEGKPNSFTQRNLVVLHLLCNQIGISLSNALLFRE VRKVSATNASMVEAQKRALAQAREAEQKAKVAEAEAKHNVKLKEDAAKAKSIFLANIS HDLRTPMNGVIGLSELLKATKLDKEQDEYVESIRVCADTLLTLINDILDFSKLEAGKM KISTVPLNIKETISEVVRALRYTHRDRGLETIEDLEKVPPDLVVLGDPVRLHQIFMNL LSNSYKFTPKGSVTVRARVTREGKGRIRLECSVSDTGIGIPEEQKSRLFRPFSQADSS TARSYGGSGLGLSICKAIIEDVLGGAIWLDSTPGVGTTVTFHLSFNKVKDASAKASGL KKGDPSDKTTSPSPTARDLTMIPRDQIRVCIAEDNPINQKIAVKFVTGLNLQCEAYSD GRQAVEALRARSQEGNPFHVVLMDVQMPTLDGYNATREIRRDPDPNVNEALVIAMTAS AIEGDREKCLEAGMNNYLPKPVRSTILSEMLDQYLAPVPTFTKTRLAMRERGSVSHDT GTPNSSSPSPGSDSQGAQLTPESDKQVQQNSSEMN AFLA_079650 MGEVHSMRTTPLPSPAEAPSPVAAPHPHLRGSPQSTSDLVSSRN VGCTADGNVPIENNIWKANSQSPSALHEQTATPYCASPPTKKENSDSGKGPQEDQGRS LQTLKELRRQMEELLVYQQMQQSQTQNASATREPTSSQADHVSSSYESSRKRRISNVS SPRIAPLPTDAMPSASYSDSTGSGGTIRAADLTPENIPGQTPSYPFPRMQHQSAPRST QDPTHSHNPFKLTLPAEKLKVHKTSSQPADERQPTSMKTPTSHSVFLPPQYKPVAEDP TYSTPNLYDLTLQLNADPGLDAWWANVVHILQENYGAERASLAIPGDATDLENVPWGQ KAVFDRNLEGYERETIQNQQSQNEATGTSEGSTKGVANPEKRKGESTTESLANGSGAA KLLKRPSLLARHSFAGFGKERKLSTWQDSETLPQEHKAKNESKRVQISNENPDGTGTA QSQASATTYQGHTVPFTQSFDPISPTQYNHRQVVFPTSRPLEVETDPLIKRTGVVKLF GRTGPVVLTREYSENTAAKQSDGDVQTPEDVVQVTPTAEPVRPWNKEHAIRSRSVSNP AASGLHAPRVPLMEFYDEYEQIPPSPWSQSPAPSPAPRAHAEQNPFFSSHTVDEGAFA KHPPQHDYSNLNPLEAIGVDLAKSVIHIPLLHAGRSKPTPPSTLRFPVAVISILSPII PYPANLRQSLACLIPHLTTSFCLAQHYSQLERQFASRLEAPRYGHLLGLGGTFSDESS ELELVAGLSGHVNYTIADDGSLEARASLSSPDERSNSAKPSPSGIGTPGLDLGSIGAE VPSVLGESPGFPTKLGADAVDSYFNVQQLKGLRDALTHHRNRLSKPRQNATTSTPTSP GRLLGKLPTDEDGTTAQDPTAAQASPSQEFRAPPVISPTQSSSRHPSANSFYAQLPRE LPRPFTDTVAQLMLNSVPLHIFLAKPQSGEVIWTNSKFDAYRRSQPQEQKLRDPWQNI HSSEREHVSQEWANALRTGSQFTERVRVKRFNDESAYRWFIFRANPLLSSTGEVLYWI GSFLDIHEQHIAELKAAQEREKFAIDAKYRAFSNSIPQIVFEATEFRGLIFVNEQWHL YTGQKLEEALNFGFAKHLHPDDLEKCGVLSVYLSESQKENTVSGLDTTLQERHLANGV TPALEELVRRGVASVQKDENGRVFYSTEIRLRSKGADFRWHLVRLVRVETSSFGSGEA SWYGTCTDINDRKNLERELNKAMQQLNNQMESKTKFFSNMSHEIRTPLNGILGTIPFI LDTHLDTDQRRMLDTIQNSSTNLRELVDNILDVSRVEAGKMSLVNSWFHVRSVIEDVI DTVSSRAIDKGLEINYLMDVDVPPMVIGDRFRIRQVLINLVGNAVKFTSQGEIHIRCS INHGTATLSKETELLLNFDVVDTGKGFSARDAERLMQRFSQLGQNGSQQHAGSGLGLF LSKQLVEMHGGRLTPSSKEGQGAKFSFYVKVDAPPPPSPDEPRLVRQSSSMSEGLGIQ SKPSSLQKMLFSSRDSIDSKGPDTSDISSVLDSPLSQPPSSSDPSARFTSNSFSERSS VSSALPTPELHAVDPLTKVDTAKLINTDVSSQARPTTTASSSSDTIRPVARPSSSTSR ELSLSTTSAPVDAGSDAPVSQDPYSILILCPLDNTRKAIKQHIEQVVPHEIPFSIISL PDVEDWKDSMNDESSAKITHLVLNLPSVEDVQDVIQYVLDCDSASAPTLVIISDLYQK RQINSKIKELSASGGRVYTVPKPVKPSAFSAIFDPDNRRDLSKDRNQDMAREINNNFK TMSKMVKEVIGNKGYRILLVEDDETNRMVMLKYLDKIKVMAETASNGQECTEMVFSKE PGYYSLIICDIQMPMKNGYETCRDIRGWELKNHYPQIPIMALSANAMTDQIEDAARAG FNDYVTKPIKHNELGKMMMGLLDPSRPLLLLRDRLRRDNHRED AFLA_079660 MATQHYQAPPLPINVPSKAPAPVNLYPISRVSGSPPDVSDTSTT AGSRTSAGFSYGSGSISGDYESSSASYSGVDVVDVLSDRMQNVFDPTPLDKGLARQAQ ASGQLNAKQRELLELQALAQRRLQGVRANFSDGIKVARETKRDLEWTQKRVSALKAKA EAVHPDEYRRATKKYTYDDDY AFLA_079670 MPSRYTPKRILKKQFSQQEHSLLEDWAPGNRNKYRNVELDAPVL TEHQAPSSELVNQGGYTAPNGTHLSDHDLTELSNGIEDSESILRFYNAIVSVPA AFLA_079680 MFNRNNFSMPFGSRPSREDGHSSPSPGQGPPPYPRREYNTNPAP TGGVGYEYRPHPPDYDTVMTDVYNISRGYGAPVGRPSQPPQMPPRAPVGGSSGRTWTL RPAKSPDNNYTFGNLVAVSPQDFPPTRDGLDLFLLVNDLYVFSARPYDGFPPGHISMS DPQRTWAGVAFTDSVNVQIYDPFSQGGQAYIGSTDIEIGFAGKKRVETPYDQDELGSV VVKNFENQIFAPGQKILMDHRSIPLILTVKTVQRVDLSSEKADLSSGQVETDPSARGI LTRHSQINFFKDARTGINLKASNRRPAANSIIQPDFKFENMGIGGLDAEFSTIFRRAF ASRIFPPGLVEKLGIQHVKGMLLYGPPGTGKTLIARQIGKMLNAREPKIINGPEVLNK YVGQSEENIRKLFADAEAEYKEKGEESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSV VNQLLSKLDGVDQLNNILLIGMTNRKDMIDDALLRPGRLEVHMEISLPDEKGRAQILK IHTQKMRDNNVMDVDVNLSELALMTKNFSGAEIAGLVKSASSFAFSRHVKVGTMAGIS DDVVNMKVNRGDFHNALDEVKPAFGVSEEELSSRIQYGIIHYSDQINEILREGQLFVK QVGESTPLFSVLLHGPTASGKTALAARIAIDSGFPFIKLISPEDMVGFSEMAKVQYIS KIFDDAYKSRTSVVVVDNIERIIDWVPIGPRFSNTVLQTLMVFLRKQPTKERRLLVLA TTTQRAVLKQLDVYNSFNSDIMVPNVMTCAELEYIMKQSETFNDQEIAQVLGAIGGID QADKAPQEKVIGVGVKKVLLGIETARQDADKVERFVRVIDRAIEEERSFD AFLA_079690 MVPLRLPYRCFCDQQRLLTCYGSSITSSILLISLEMPLSVLSLL SCSFVLTASSHLLGKKSWNVYNPENIARVRRDEAQAQAREEEQERRMQEVDAERRIQI LRGEQPSTPPPPPRSPSPISRHDRKSHAEDTVRFRKRRRLAGENDTDRDIRFAREDAQ TALAKREELASARSSDAPLYDSAGHIDLFPFQPSQKHTEKNPEAEKESAEREKAYEDQ YTMRFSNAAGFRQSVGQKPWYSASGIEAMAPDSISGKDVWGNEDPMRREREKARIDAN DPLAAMKKGVRQLKSVEQERRKWDQERRRELEAFKLEEKHSSMLHRMEIEEQIVIIAM AVTQAEIARVRQSLIRMDIRTVVTIVVVTILIAAVVRVTITDARIEKHTTQGTDEDSD WRFFSFFFPDHCILHLRYPPNGI AFLA_079700 MSVSIPSDRPPKDDDSCSTHSEDSDISNEEGWEDVEPDDETQPV VGLFSEKVYPDVLSMLQETKDKYNFDLRRIQKELDLDFLGTIKLVNYIRSQVKAGNLS PDVSSKSNFEDEVYLKPVLEDDALLYSLDDIEDQDPEVPGGTEAERRVTELQEDLERL QSQFSEYRIAVQKSMEEQLSKEDEKLISAASSAQKSTSKAEAIDSDYFSSYSYNGIHE SMLKDAIRTDGYRDFIYENKHLFKDKVVLDVGCGTGILSMFCAKAGARKVISVDNSNI IDRAKEIIHENGFGDVITCIRGKIEEVTLPVPQVDIIVSEWMGYCLLFEAMFDSVIYA RDRYLAPGGLMVPSHATLRIAPFADPDFIDSHISFWNDVYGFNMRSMLTGIYDEALVR SVQPSTIPGDSSVFLPLPLHTITVDELSFLKEFQVTLNEDVDSLDGWAIWFDIFFMPS RDSPISDDAIPSEMQKKGFVAFTTGPDGPETHWQQGILLIDHGKKSALPLKKGQTITG KVGYQKKSKESRSLDISIEWNVQEGEKGSQEWSLQ AFLA_079710 MAFFFNRGRSRHPSDIVRSIKDQLVRLREASPTAAKVEDELAKQ LSQMKLIVQGTQEIEVSPEQVHALVQATLQEDLLYELARSLYILPFEARKDTQTIFSH ILRFKPGNPNQADPPVISYIVHNRPEVIIELCKGYEHSQSAMPCGTILREALKFDVIA AIILYDQSEDGEPAIRLGEVQPGVPQTGNGIFWNFFHWIDRGTFELSADAFTTFREIL TRHKSLVTGYLATNFDRFFDQFNSVLVQSDSYVTKRQSIKLLGEILLDRANYNVMMAY VESGENLKLCMKLLRDDRKMVQYEGFHVFKVFVANPNKSVAVQRILINNRDRLLKFLP RFLEDRTDDDQFTDEKSFLVRQIELLPKEPIDPTRSAREPSRPGVNTATVA AFLA_079720 MVEDKRSASLPGAVMSESSSMIHAVPYEETVAAEAPLAVRSASL PTPVDYPLCSGKKSLAVNVQSSYPGDPSRPPLSSHRNERPQTKDGRMLEPDAAKSVVS LRSLRSIPNIVVNDAGSRPNSRPGSRPGSRPGSRWSERKFSGLWGKKSTELERDSDSP PPVPPIEAPFNGLSLDIPNTSFDGLAPQSMRFSKRGSLIKEETKQRLRQLDQNDDQED TQESRNRQEDNEKDPTPTSTAPTEQNGTEAELLEAPVRRSKLPPSLRVRRSAMPSRVI SADEDMLSRRVRLMYEKGDENVTDSEVARALAVENGVLWEEAGQTSEATSRFSGASVS GTETKSIVSSVGPEPAPSIKKEPNELAGGIEYWHNIKAGDVDRYGFIRSPTSNSNDGT EPSPIQRVSTSLLLASESPRRKHSIRPPSALGSNRSFAGRSPNRKISAPAVRPSSSQS AYSSPVRRSTSRFRQATNHLPHNRDRRFKDEAADMLTHPVDANGGSVSTAARRAARKR EWRREDKWTKMARPTKSSRAGGGMTFEFDTKSSKLIERTWKGIPDRWRSTAWYAFLEA SAKKRSDSPTVEELIDAYNEYQFISSPDDVQIDIDVPRTITSHIMFRRRYRGGQRLLF RVLHAMSLYFPDTGYVQGMAALAATLLAYYDEEHAFIMLVRLWQLRGLEQLYRSGFEG LMEALADFERGWLEGGEVSMKLNELGIPPTAYGTRWYLTLFNYSIPFPAQLRVWDVFM LLGDAEDPAGPGVKTEGPGASAFGKGLDVLHATSAALIDGMREIILESDFENAMKVLT SWVPIKDVELFMRVAKAEWKVHRRKKALV AFLA_079730 MLLTLKPSSQNGIKGSHDYAIVRQSIPTPRSSPPSAPDPAAAIV TSKRPSVDHSMESSRGGLPPPSTLALPPPDVGFSSMNSVNQSLPRPAAQRQSADDTSQ YWHAKAEEDRRRQEEERTHQESLRLEQRKIEQSMLRDSLQAGVPPHMIPLIFAGISQN GVPQSVIEWAQQHMTQAPAGPRAPPPSAPALSHSSHRRSLHARGESRSIPPGPYAAPP PQHVIPPPGILLSQPLPPSGPSPAPQPLGRSPLPNGPADPRGPPMPRPNPGEPLTQQQ PPINLSNVHYAPGSSIPHVQHGGSKPDSHHRQSPSLYFHHWVPPNQSHPNTPSGRIRQ ESPFASQVSRRPENISPPGRKRKATGPHQPAPFPSSRPSETIPGTYQVSRPSSPVPHA DVSVHSRQHSGASAMYEPRGLQQSGFERGSRAASPAHHAQSVMPPTEDSGLRKDYNRV DAPERRGEAAPTESSVQYPPAASQPQYSSSIGTAPPDSDLDSSPGPSPTSGIARAPTR PGDHGAAPPR AFLA_079740 MDSTASLRGASDELDRNGSDPDLVHTHKKQLSQELPDDLPKSLD DRRSFPVIQPETEMYDGWQDEAMLDHETIPGLEDVHFQNEWKLFRSKSKAKAPEPAEK RFRPPSPRRRAQVSPRNITSLLSSTLFVLDLYDVHSVIITQILSQLLYWLGAEIFNRI MTTKRYLARTKAMQIRMNVSTLEDWARNNNRQPEHYENGSMTSTGESTMDAARRHLAP VIQLLQWLQCFSSLGDDFESLVTTLLQLQQLTPAQLLHAVKSYRPEVGEKGLTKPAMK FLIELQRDPDLLFREQAKLQGGKEQTAEPEAGQTEGRPRTPRQDPPDEHASAASPKSF MTSPRMDERNGANGIFLDPSLTLPFSLPTSTDMLISYGAGWGGTNRERARKYIPTVPP EVLSRFDRDS AFLA_079750 MELNTSLVESPGGKPGHAQGKSITALLERNFGSNTQSSLEDKNA TCTLRRAHTVAQGKNVGEKTKVGSKTARERIATSFLRVKDSHELLRKRSSKRLDAPAS PRDTPAAAREPNHFTVGNVGQNGKIFLRPIRNQSLKEPRPQPFAPSVDQSKGEHVHSR GLDAHGVDEPSRWSNSQLSELRPELIPEETCDDGQSIKTESTRSGPYRSLRRPRPRAR SFSTISEQGSTFRAGANGEFRIFIDRPEDRPKSADGSLRPTLGTSIPHYGWDFSYYGA DGSTPLYNSIYSRASLSDNFLAARFLRESMNPGPISDVYFSQPDRPSFAASMFSGTPA IELTRGSTATDKPILYELKEPIEPSIFENLVLQMDDDTVVRYVPRTKDISAATPARIV AQISSESFMDYELVSDFFLTFRSYLSASSLLALLLARLQWAINRLQDDGRIIRIRTFA ALRHWILNYFVDDFIPDYDLRVRFCETINRMYDNVKAREGGGTSDLKILIDLKRCWYG RCSAYWDFQDQHIAYHTPDYLIVPGGDEQLATSCAESQLRMVRTTSGQDREGRPRPPH VRNDSSATAKSMPVSADSDRSIHATSCSLPPKSPKRLSMAIMDTKGPHPVPLAPLKPV GSLQDPPTSSPVTSRRFPFHSHAHKRSGSFSDSARDGRAPLSLLKLDSQGNLSAPDTL NLGSLIRGELYAPAESYMTMMAPPSPPLPSSSGTDRRSQPEETTKPATSSSGVKTIIG SIRRALNGRNGGQGTSTRNTNGPFALSTRGKTSAMPTNVAFGSDYYRDRKAATAAKKP TRIDILCDRSLQQYHRALAGYADAKEVDPVQEAGTLRSRRSWLAGVDVDELDHPVLRP DQGRAKSVLTGGSKSIVIVDDTGLRSPLMSGAVGLEQPPGFLNGENSTTTPRAASFYP QSQRSTVAGDQYTLPIYFDDMDSRSLSRASQSLRPPGLFASQRSSSVGRGSTSWKRTS PSLRLRKYASFQSGISRQRLAVVAEPDLPSLDQGSQGNLEKPAGPTLRRRPGGDLRQM RDGLGSPLRSHPASFMSNTTYRSSTAGSGATYTTDVHSRPLTHLIPPNPRFSLLQTHS SQDLRRSFEAAIAQFAQIPDDDDGGVESALMKLEGKWTGGSTDTAAEPQQSTQVQGSA REQEFYDTHTRAVWDAGVHRRQTLASESSTYSHFRGRSVPRRPYSESLAESEDSYSSI PLLERGLSDESMKKPSQSRTSSHQAAPGRVQPSDASSKYTWDTESSHPSFDIVKESES IKRIPRGSTFPAPHGFSHRLSGLSEDSADLIDSHEAVDQRLSLYTDSMSRSSLGIPRH PLAHPPTPPMTIQHRSVASCASPLNPALFQAPPLTPDPSPSRNIEPVHVRAIDTQHVS SDVLSRSEWDRQHQYPGPDHVPFILSCESQTLAQQLTIIEMAALSEIDWKDLVDMRWS SGSPSSVSWVQFLMEEERRGIDLVVGRFNLMVKWILSEIVLTRNIHERARTITKFIHT AAHARRMCNYATMLQIAIALSSTDCSRLQKTWGLVPSEDRGLLKDMEVLIQPVRNFHD LRVEMETANVQEGCIPFVGLYVHDLTYNSQKPAQVAAHGGEPLINFERYRTTAKIVKS LLRLIDASTKYTFAPVQGIIERCLWIASLSEEEIQTHSKQLE AFLA_079760 MMIMVHRIGLVMGALRPDSTNPIESKLIYIFCNADATRALNLHS TPRSVNSDFLWSAY AFLA_079770 MRPDQGAIFAGTMMSENAQTRLRHLLSSSKPSDPADSNNFEKLK AAYTACMDESTVTKRGSKPLDNMLAEFEKIYSRDSISLKGSDADLTKAILYLMESGVE ALVSPFVASDDRDPDSVAIFVNPLGEIGLPAREYYNSTETVKEYTTVVEKVLGEFASS SGTKHHLRDVVTFEAKIADVTPDTQSQEDVTKSYNPRTIEETQSLLPQISMSDIISAL APSDYRSDRLIVGSPSYMKALSSILNDTPREVIDLYFNWKIIQTYADEIEDPKIQPLR EFNNRLAGKDPQATEERWRKCIKSLDSSLGWTLSRFYVLDSFSEASKELGDQIVSDIK ERFVYTLRQTSWMPSEVRDLAIKKVGNIVQKIGYPTKSPNVMDPGDVEKYYQRLRVTN ETFFENTVAAAKFDLHNEWSKLGKPTDRNEWGMTAPTVNAYYNPPGNEIVFPAGIMQP PAFYGPSAPLYLAYGAFGAVSGHELSHAFDSTGRHYDETGNYTDWWDDKTVDAFEERA QCFVDQYSDFTAPEQGSEPLHVNGRLTLGENIADAGGIGAAYHAWKKHEQVSPDPQLP GLSKFTKEQLFFISYANWWCSKTTAEAARKAIYNDPHAPKPARIIGTMENSREFKEAF NCPMKKPVCKLW AFLA_079780 MGCMGSKPVDTTDKDALQRNARIDKVLKNDKKVMDRTIKILLLG AGESGKSTIIKQMRIIHSGGFPDDERRQTRAVIYSNVVIAFKVLLDIMRTESIEFEQE KTKPLADYMDTLESDVGSDEAFSDLKVRDAMRDMWNDAGVQKAVARGHEFALHDNLHY FFDSLDRIFAPGWLPDNQDMLQARLRTTGITETLFELGQMNFRMMDVGGQRSERKKWI HCFEGVQCLLFMVALSGYDQCLVEDQNANQMHEAMMLFESLVNGEWFKRKPIILFLNK IDLFKGKLSVSPVSKHFPDYNGSNTDFDAAARYFADRFRGINRIPDREIYIHYTNATD TTLLKATMDSVQDMIIQKNLHTLIL AFLA_079790 MLSSSLRRAAWAPIAPITGIARSSSQALPASSNTLLGAAQHVRQ RRYSSSSSSKPSDGSRKVDASSQTPAKGVNASEKREGKASRRRGKDSSGRNGSKSNQH TVFSRLPSVPSTQHLQPHALKHLMPYSPRRNPRNMNRMM AFLA_079800 MENPAYHLGEQEGSLNHFDMEGNQLDGMNMAELKVSVEELTRRL RPFHPPPPPVPFDEAKDAGAVESENFSPRETSYSTVLTIHESTHADGRKTYEAHTGPF VRSPDMDAPGAGENEAIIDVPSQPGTTYIERLRNNRTMQAISTKRRRKLKMKKHKYKK LLRNTRTLRRKLDKA AFLA_079810 MRSVSLPEEQRPATTVFASTPINNRSLRITATVVYAALNYPFSL SKWPQNFACKVVVCSLLTSQPYSPVYAPFFGALGCTSAIVFTCFGAAYGTAKAGVGVC GMAVLRPDLIVKNIVPIVMAGIIGIYGLVVSVLIANDLAQTVPLYTGFIQLGAGLAVG LAGLAAGFAIGIVGDAGVRGTAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRAKI DAKC AFLA_079820 MSVILGSVPPAIPARLAIKPKLPNPVQLMSGCRQLRQLSPCLRK RPQRCFATQTKAAADHGPLAQTWLTRTPKEILNVEETPDDVLSSLPSIPPSLAGPDHI PILLLTPSLARWADTTHSFFEQCINRLYRKAPTSDSPEPVHAVVAIVDRLPDTPSAFK DITDNGAVTESEGISLLFARTANIQGRAAAPRRLRSSETEEPALVFSFQAGILHGSDN ACLQRAVHEVGLRLANTLFINGNENTLFGTRWSYDPSSSSFTLDRSVELSRCRIASTA NSVHNAFGLPLHPVGQRREVISSMGNIIRQLAKHPTGTSKDPMPASSELEKELPRYIE EHNVTDHRVSVWALVEPPSSNPQGESDDFQTNLVRSVQAGGKLHRVMSGGGGWGKKQG LLSLDYETRFLGPYERNEFITLDKILSPFGRSTAQTAPPFEEKSIVDDLSSLSQVARA GDYIQFYVSVEPDHAQISRPKLPDSREGAISYQFGVVFDNEMPIDQAGKRVSHKDLRV KPNYFGALSEKAMTYSQPIVPMRPEQETLESGTKLDIPGCRVNLVVS AFLA_079830 MPFTASDICKILFAIILPPLGVFLERGCGADLLINICLTILGWI PGIIHALYIIFKY AFLA_079840 MKRRYQQPKNQDFFEIGGVMAEPPDFSLPPRRPTPGPNLPSCAG HGDTEASRLMSPFQSGPQNPAVIVTGPDDDYHYGSTGIHSPGRIGFAISSSSDIEPSV SQSSPRTVSDLLPDKPAYTLCPEPLRPSQQKYTRPNSEDTLFEEDVTRPRSFLGGNKQ YPKYSNMQVPYSNNWQYKRPQRVGLPDNPRALFHAFRARNDTVIYPKTSAYVSAGDGV QTPYQNDVYSKSSPLGPRPYNAKRNEYIGDYWRSPGMGARALPEPPVSRNAARHPYQE ADTKGSLLDSSSDEFETININESSESHRTSRHSGNFRPLTPVREIRTPNNEGQQRNYF DENAPGKYPRVPFSRTVSPAREIVSRPRIVRRDDIKRVQIRRGKPQPKQLTVPYSPDD YWSGYSRGSPSGPRPYRLSGDRSSTEIQGRMAKKKRSSSERNLTPSRRGSDLILRVD AFLA_079850 MTTAAGLGSQTVRRLSSLDAVRADLYGGPSVVVPPKHLMASSDS HETAMAMEMAKQHLEDEVSSDEGSPRVASPVLRGPLTTTDEFALAFDIDGVLIRGGEP IPAAIKALKYINGANPYGIKIPYIFVTNGGGKTEEERCLDLSQQLELEVSPGQFICGH TPMREMAARYNTVLVVGGVGEKCRIVAEGYGFKDVITPGDIIKTRHDTTPFRSLTEEE YKNSRVRDFSQTNIDAIFVFADSRDWAGDQQIILDVLMSKNGRLGTRSETFDEGPPVF FSHNDVVWSTSHEHSRIGMGALRASLEALYKAVTGKDLHTVAFGKPQLGTYEFATRLL RQWRKDTHGINCPPNTVYFVGDTPESDIRGTNEFDKISDSHWYSILVKTGVYQEGTIP RYPPKKITDNVLEAVKFAMERELSKQANESAIADDVDSGIDSESAKNH AFLA_079860 MSNNHEDADGIPRKADHLCVLIHGFWGNPSHMDHLAASLRQRYS EDRLHLLVTERNIGNLTYDGTEVGGERVAHEIEETLNTLADKGCPIRKLSIVGYSFGG LLARYAIGLLDARGWFDKLEPANFTTFASPHVGVRIPRKGVWGYIWNNVGPRQGSVSA QQLFLVDSFGDSGRPLLSIMADPDSIFVRALAKFKNRSLYGNVVNDRTTIFYTTMLSM VDPFRDLGDAQVNYVKGYEPVVIDPDMYFLPAAELKESLPLGSRVWGQITRLFTTALF WAVVVLFLSIVLPLFFLHSISQWSHSRERVRLHEEGESATLFREYRLPHVMVKEMQSA VEEAYEDVGFHQDPDYLSTTSKSSPADSIRRRNSPGLKAKEAAEHKPSSSSITIQEAS SPTPEHDQPNSTREAATDDAISKKRRAYPMLALSPAQIAIIESLNSVGFRKYPVYIHN HRHSHAAIIVRAPKPGFDEGKVVIRHWLDTEFQI AFLA_079870 MFAPLGLFRDIPCPQGQECALLTCMFSHETINPPSEVDKSAKST QSEKEPATLQESPPLKKQKLQATAEADRVKKEAKSSPSLQYETSDPRAILTKKASTKA QAISQGPAKLQSISRGVSPPPSKAEPSTAKRDVPASAKSEQRPPLRKAPRESLNPRML AKAPVSHSVRLSILTKLHAAMSALNDKIAKDKENKDKSIVLTPNELITMALDEEEKTA KGNASVYGNVIKLRIVKLTKMSKEDWVKDVKAHLNERYYKTAPSEADQKPAVLTTGLS TKEEIAIAGRLVTPLEGLEQYGYVTKPPTEEEIEGARKGVIESKGWEKCDRCGGRFQV FPGRREDASILQFEKTPAQPDKGPLPPVCFDCEMGYTTLGLELIRLTAVSWPEGKELL DVIVRPMGEILDLNSRFSGVFPEHYNNAAPHDSSAAPGTASASENGEVKLAPLQVVES PAVARSLLFQLLQPETPLIGHAIDNDLNVCRIIHPTVIDTVILYPVGAGLPNRMSLKT LSRKYLDRHIQTGGNRGHDSKEDAIATGDLVRVKAAEAWKVLKTKGWTIQDDKLVPPP GATEDTGPVWRLGPGAGQKRTSSDLG AFLA_079880 MSPATARGRKAQKVTHKFTINASQPVSDKIFDLSAFEKFLHDRI KVEGRVGNLGDKVVISQVGDGKIEVVSHIPFSGRYLKYLTKKYLKKQQLRDWLRVVAT SKGVYELRFYNVASEEADEDEE AFLA_079890 MGSVVLPHLRTAWHVDQAILSEEERLVVIRFGRDHDVDCMRQDE VLFKIAERVKNFAVIYLCDIDEVPEFNTMYELFDPMTIMFFYRNKHMMCDFGTGNNNK LNWVLEDKQELIDILETIYKGAKKGRGLVVSPKDYSTRYRY AFLA_079900 MFFQYSTVVNPTTANNADNLRPHNLFTLFLPDVRSTHQSHLQQE NIKQQIHPTKTQIRNKMIDRTIFESLQSKIDEEAAVRDELHEIVQTLARNGRSTTAIL SRAHSTPSDQLKPVLDEATKEILAQKEQVTRLKALADKHPFYKYNGVWTRELQNLVSS IELCAYLGGLEEYKSNSAASFLTIEEVGKFLDIPVNLKEQDAFHLTIEEYLLALISMV EELSRLAVNSVTLGDYARPVQIGSFIKDLFAGFQLLNLKNDILRKRSDGIKYSVKKVE DVVYDLSLRNLIPKGSDNA AFLA_079910 MRSTLSSASIVLRALSSQKPLIYFLAHITSPVAKSPRRSSSTLS SSAKLLLNHQQPRLSAFHTHTMASATTFFDFEPVDKKGSPFPLTPLKGKTILVVNTAS KCGFTPQFEGLEKLYQKLKSKYPEDFTILGFPCNQFGGQDPGSNDQIQDFCQLNYGVT FPVLGKLDVNGNEASPLWTWMKEQQPGLLGLKRVKWNFEKFLISPDGKVVGRWASTTK PESLEDTIVKEIEKAQKAGTAASVQAKEGESAEQAKLS AFLA_079920 MASAVLGAAFRLATALGLHKEPLEGKNTRDDLQNHLTSAETRRP CGGGANAAARRSYDVVESIYDASKDVTGLEESREILTAFGEMDMEFWDLAKRGFESDY EWESLIDWEKALIPNVGD AFLA_079930 MSGFKSLELSQGWQFRDASDSSPEAWRSVSNVPTVVHLDLIEQG VIPDPFIGMNELQVQWVGERDWIYRVEFVPPKLDAGQRCDLLFEGLDTIATVKLNGDG YAETEFVIQNPALWYPRGYGRQDLHEICVKLIDNHEVQHEVSKLTGFRSVELVQEKDQ HGQSFFFRINGIDTFAGGSCWIPGDSFLPRLTPDKYRQWLGLLLEGNQNMIRIWGGGI FEPSAFYSICDELGILVWQDFMFACASYPTYPSFLSSIEEEARVNVKRLRHHPSIVIY AGSNEDYQIQEKYHLDYNFETDKDPQSWLKSTFPARYIYEYLLPKVVEEESPTTPYHP TSPWGGGKHSADPTIGDIHQWNIWHGSMLPYQNFPEVGGRFVSEFGMEAFPHRATIEQ FIEDEDEMYPQSLTMDFHNKARDHERRLGTYILENFRIKSDFQVVQSDAMKFAYQGWR RQWGHGRLCGGALVWQLNDCWPTTSWAVVDYYLRKKPAFYVISRALEPIAVGVSRAHE EWTSGHAKPAESTRYELWAVTSHLKPIRATLSLRCISIRTGADVQPEVSHEVVLVPNG TTEVASEEMSLKEADAFVLTATLSIDGRVISRDMDWPQPYKYLSFRDRGVEVKHLPAE NALSITARKPVKGLVFEEADGVWLQDNGIDIVPGYEHIIAVRGIHSSSDIPRWTYIGD DRL AFLA_079940 MKIEKTIILNPAAPTSTRVNETYFTIPRYDDSNSKSHVNIAHGI PKPRVEMGLVDHPHA AFLA_079950 MIEPSEVAKHNSADSCWVVLYGKVYDVTNFLENHPGGSAAILAL AGKDATEEYDTIHPSGLLEEYLDPKACLGVLSASAPEAAEPTRISSQSASKEASEETP LSSLLNLAEIEQAAKRKLSPKGWAYYSSATDDSITKIYNNLIYRSILLRPRVFIDCRE CDLSTRFLGLKLGLPIYISPAAMARLAHPQGEAGIAAACRKFGAMQLISHNASMTTQQ IVANAHPDQIFGWQLYCLKDVKRSEKRIAEINSIKEIKFICLTLDAPFPGKREIEERQ KMEELRAAGAVLSPQVWGTDASLTWERTLNWLRMHTSLPIVLKGIQTYEDAILAAKHA PQVRGIVLSNHGGRALDTVSTPVHVLLEIRRFCPEVFDRLDVIVDGGIQRGTDVVKAL ALGAKAVGIGRAALYGLAAGGQSGVERTLQILADETATAMRLLGVQHVDQLSLQHVSW DILTITLSLTSIQVNTRLVDSQISDSESSMLNAESVEGAFPARAKF AFLA_079960 MAENKSEDCTMAPPEPEHLESAGLSNEKAQGDPLTLAEVIERNR PDPWGSGYRKLYLMSALVFLCSTMNGYDTSLMGSINALPNYTEYYNLPTKGSVSTGIV FSIFQIGQMAGALFIWMADWRGRRTHIFIGCLGVCVATVITSTAKKLSVFIGGRFLLS FFATCAHTASPLLLVELAPPQYRGSVAGMYNTLYYLGSILATSSVYGAHLHLAHRGHL DWRLPLWLQMVCPGLVCLGIWFVPESPRWLIAKDRHEEAQAFIVKYHANGDASHPIVV LEMNEMTNNLQEEGITHWRNFFDLSVLVKSRSRRYRLMLNLVFSWFGQFSGNNVISYY LPTLLKNVGVTNTDTQLLLNIIYALTGWIAATIGTRFHDIVGRRKMFLGSTAGMVICL AVTAATAAVFVHSSSTIASSASIAFIYIFGVVFAFAFTSMQPIYPGEVMSNDMRAKGM GTFKLTAGAAGFINTFAAPVALADVSLQHSWLSGTGSMSSSFSGIALSLLSSTSFLLR PRVVPLKNWRKCLKPRILARRVSRFHHALTVLKIGKNSGISGMT AFLA_079970 MPGKRANSDSATQPRQPKSPKTDKNSSDASSQPQPRSNRWSKPC VSANLDADYAGFVAKDYDRAFSYVCFCPPNSAVEDKDDEWETEDSADEEPEDSVEDTN SKPKCDGGKKCLCNKLPSDHPEYPWVATRAAVRKVSNQHVHADIRCPDVFNMEVFNDF TGYALIEVAQNLVLDFVEAEGDWKEQWAVCEAVGISIMGDMFMPLAYVDYGDLANDTF CLFFAMFLTMLAKLESQGLLGPDSEVKNLGMVMALYLCTNSDMRAYGICEGNEDKKNK VAEFYNSGERILAYANKYNIDLRGPSNIESCVEELDDVELPPAQNDPWGWADVLKQYE KLYVRERKKPKIGGIQYDITGMSSAERRESSYNGKDPLKKAEIDAIKQGMILQLA AFLA_079980 MVKAGGNALGAQRPIDRAEATRREVSSWVGERMHPRIIFAVIDN EGSLRMRTPYVHSVSPSTTLAPSELS AFLA_079990 MCIWQSSASDPATHLNGWRDVRNLRQRLDAFFFRCGRSGSHEDP RGEADFDPCGLVSAEFKSTFRPSKPPHHRRPGFRLCTHRSPWVSELLFSFWDLDGLLP GSAEPLGTLRKYMLGGFLERRKRRRRCWRSPKMTVQGIWRCI AFLA_080000 MDLKIQQAVEILRDSSRRGKHDAELLSRRIIKEEAINPSKQDTS SSWGWAASSPDLSALRTMAGRYMFSYANSVHMDPYSPESQEEIRRCERERQYGEQLRR ESEQWEAYEGDPDFSRYTWTYDPETEEVMRQDRRKEAMHANVMRDEEQMQRGDGLDEE GEQVGYAEDDMAGTNVRSEFGEEVGDEEYQQYKGGEEYEDEEEYAGYEGGEEYEEDEG YEEYYEEEGYAGRENYEEENGCDAYGGSDQEEGEKEEEKDDPAFSITSTQQTQAEYES ARQALISDSEDLLDMTSIGEPDDSVNEIGIISEKEPDTDENETFHSFCDEGEVCSNGD NATSQAMPTDGSSPSPRSLLDPLIPHFREKLNHPSGRYTEEDVHTELRGLVMESFCGW LETLRQEFSGAVPAATVRVSPEHCRHLGYWSKEFGSSECEICHRWMLIYTLSCPGCGI RACVGCKFHYEE AFLA_080010 MQTVTVLFHSSQAAVQAFPSSFPAYCNGDGESCTLNLSRASFPP APKKAFISSCYLRITPNADLKDINSAYKRLALKYHPDKTGADDAHLEFQKV AFLA_080020 MFSRAVRPAVRAGSAVVTRTAPPNAANFATLREIEGRLKSIKNI EKITNTMKVIASTRLTRAQKAMDESRTYGQTSNTVFEKAETKPLEDKKTLLVVASSDK GLCGGIHSGLSKATRRILQEHADADIVVLGEKAKAQLSRTNPNAIVLSFANVCKDIPT FADAQAVADQIALLPADYASVKIIYNKWLNAQSYEPTTVEAYSEEAITKSANISSFEI DDQALANLREYALANSLFWAMAEGHACEISARRNAMENASKNAGEMINKFQILYNRQR QAAITGELVEIITGATASADM AFLA_080030 MSSSEDDTPLVKANGRSTVTPNVTMGDATETNGDVNPGISNRFG PVQKDNDVQMTDAEAQDNGALSKRKARTSVGQRKSYAEPESSEEDDQPLSKRRRTSVK HEDPETDEDVPLALNGRKLPKAAETSIIEESDSDVPIERKLASQKKRIQQKAEKDAQA SRKQESAKAAANKKPTNGIKKEPANDKKPVKRASTAKVKTEPKSAQSTPVKKGSAKAT PVKKEESEEAEEAEEEEYRWWEDPTKGDGTIKWTTLEHNGVVFPPPYEPLPKDVKMKY DGVPVNLHPDAEEVAGFFGSMLNATQHVENPTFQKNFFMDFKDILKKTGGATDSKGNK VDIKDFSKCDFQPIFAYYDMKRLEKKNLPPAEKKRLKAEKDAEEAPYMYCMWDGRKQK VGNFRVEPPSLFRGRGEHPKTGRVKSRVQPEQITINIGKDAPVPPPPEGHRWKEVKHD QEGTWLAMWQENINGNYKYVMLAANSDVKGQSDYKKFEKARELKKHIDKIRKDYKKNM KNELMVERQKATAVYLIDQFALRAGNEKGEDEAETVGCCSLKYENVTLKPPNKVVFDF LGKDSIRFYDEVEVDAQVFKNLKIFKKSPKKEGDEIFDRLTTSALNKHLSNYMQGLTA KVFRTYNASHTMSTLLKDMKATGTIAEKVKAYNDANRQVAILCNHKRTVTASHANQME KMGERIKGLRYQRWRLKQQMLDLEPNLKKKKGAKFFEMDEDIDMEWIKEHQAFLLEEQ RQKIQKKFDKDNEKLAAEGEKEMKAKELENRLEVVKDMEKKFNKENKTGKVEAEGKGP TVEKLENAIQKLEQRIETMELQAQDKEDNKEVALGTSKINYIDPRLTVVFSKKFNVPI EKFFSKSLREKFEWAIKSVEQDWEF AFLA_080040 MASDQSKKPAVLIVGGLGFIGRHLALYIHENNLASEVRLVDKVL PQLAWLAPEFQEACSKDKFVQADASREQHFPRVFDRANGEQFDYVFNCGGETRHSQPD DVYELRNYNLTVALAREVARRGIRSYVECSTAHVYKSGSSPRKEDDKLQPWHKLAKWK MKASDEISKIPGLNYCLLRLPHVYGEYCSGFFAMGVCLARVHLEMEKDLELLYTGDVK MNTLYVKDAASALWKSAEWRATAPTDGSAPIAFNVVDHGNTRQQDIADALSTIFGMKC SFLGSLASQFAKLNLDDVIDDINEECLQVWAELMEQKKIERPGPISPFLERDVFKDQD MSLDGTLFEKTTGWKPTRERFNADSVRDMIESYKRMGWWP AFLA_080050 MRNKDKQPTVELDTPTRRTSASVPPLWGNSPYATSPSSPELFRP TMNQTNEGEDKLKRRASDRRRASTDWGKEASEPPSQKPRLSAPSGIRPFSSIWDHGKE NNGNVPREPTDLKADWTSTGDKTSATDDKVGNLEGNYPNDTKFLVEASNQEGMAPVWV SFQNFPSASSFLGHMAAECRVDEWSPSKQILTENSNWHPGQLVLAASVKFEWSEFGIR VRQGADHDLTIVFQELQKAWKAKELNLDGGSVQQFRVKVMLHVG AFLA_080060 MSTTNSLYVASKTYTNLPTSSLFVSQRHVVGVRHLEFTYLFQLM FRPMETKGHEDVEYALSVVGCSPMATQRVYHSLLRPPTLQPLLRCSSYHDSSAPTCWN ELQAFESKRRSCAEKFVGKYGVTYWGAETRKMYLLPEAFKEPESLCTYPERKEE AFLA_080070 MATNIPAALKSADIGRFAVRAAQLERYELRADERPLLIAGNFWI VNQIIEKGLHTSDDEVKLYTTELVDKLENFKNENPDNETVTDAVAANAYVEQFGLEIF GRAEATMRANKVTKQTADTFQAAATFLELCQIWNRLEPETAAKIKFAKYHAVRIAKAI RAGEDPNESNPVMKDEDEIEGDSLDVQKGDPEVQAIVGSLPTQSRQPSVEDVPEDSTH PSGPSPSLPQPPTGFTEVPTASHDAARSPTQDMDLDAEQRAPLNLPSAPATLTSSSTS VPNLPDTPTSVGTHQSPGASDAFQSFPPPSAMPPSSPPAASRDPSSFYSQPRATPHHQ PVRSPAAAPVMPHPTPQPVQTPTTITPTASSSGYVNSQAVDDNSIALAQKHARWAVSA LTFDDVNTAIKELKNSLKCLGAE AFLA_080080 MSRPNASAQKSFITQALKAERDVSSATSQRQALEAAIDAAEHYM KALNLASVQKDKHALDAKCKEWLTRAEKIKESKDWQAAARFHDKTVPEPRLPVSTRKL TTREEIILLEGAKLNGFIFPPWSTSPGSDEFKREDGESPFTDKPDLHLSYPQRKVFDG WKRPSELLAKDTEDVYTKVVPVMSVPGKTDLVQDMLTDCSVVASLCATTSMLERGQCT HFLPMIYPSRGSSQPSPSGKYIFRFYFNGCFRKVIIDDRLPSSKTSRSLHVIDRKNPN FLWPALVEKAYLKLRGGYDFPGSNSGTDLWVLTGWIPEQVFLHNDDVTGDQLWKRLYR SFHQGDVLLTIGTGELTEREQRELGLVSEHDYAILDMKESKGRRQLLVKNPWAGADTA PGDNGSLSASQDLPHNPPSFEPGTFWMDCEKLLQHFENLYLNWNPEIFKYREDVHFTW DLNNGRGVAGCFVNNPQFAVSTENGGIVWLLLGKHFRTTGQPERPLDEYQANEESAFI SIYVFNADGKRVSLSDGALHRGPYVDSPNTLMRLEMPPRTTYTVVVSEQSLPSLNQNF TLSAFSTCPVRMAKAQDKYMCVRKIQGSWTPSTAGGNAESSRYPLNPQFRLEIENDTD VSLLLECPNTELATHVKLFWSNGNRVSRVRSRDIIADSGDYRRGGSLVEKKALEPGSY TIVCSTFAPDQLGRFTLWVSSLVPCKTSPLPPEAAGRRTVISDIGVLPPGRDRMLASL QVPRLTRIKLITRSRQSIIGSHPVGPSPVLMTVELGQGPYKQILATSEDGTHSDAVSG VRVEDFDLQPGLEESGGIWIVIERIGGPGGQVEDHFEVEALAEERVEIGEWILEDA AFLA_080090 MAGQSKPALSPWGSAVAGATGAVLANAIVYPLDLVKTKLQVQVK NAPESKSGDVVHYESTLDAINKIVEKEGIEGLYSGMVGSLLGVASTNFAYFYWYSVVR SLYMASKSVSKPPGTAMELTLGAVSGAIAQIFTIPVAVITTRQQTQPKSEKKGLIETG KEVVNSEDGWTGLWRGLKASLILVVNPAITYGAYQRLKDILFKGRNNLKPWEAFRKWH RGSISLHKKLISTAVLGALSKAMATIATQPLIVAKVGLQSRPPPGREGKPFKTFGEVM RYIIQNEGALSLFKGIGPQILKGLLVQGLLMMTKERMELIFIVLFAYLKKLREQKLKK VVDTAAASAKTSLPATLK AFLA_080100 MRWPPWASESQARDKQDEQNQKNWDKSLNAIDWAAFTEPRTLIP TLILTTGIIGALQIHRRYLRRFPDAVSISPSYFRKRTILGQVTSVGDGDGFRLYHTPG GRLAGWGWLPWKRVPTAKKDLRDKTISVRLAGVDAPELAHFGRPEQPYAREAHEWLTS YVLNRRVRVLVHRQDQYQRVVASAYVRRAIDFPIPFRRRDVSYEMLTRGLATVYEAKA GSEFGGPELERKYREAESIAKRKGTGLWKGYRRNRKGWESPREYKTRMGLEEQSQGKG N AFLA_080110 MTLATRQLSKAARIILIGAPGVGKGTQTERLLAKFPQLASISSG DLLRENVRKKTPLGLKAETAMQAGNLVPDSLILELISSEFQSKGWLSASSNSSISSNA SFILDGFPRTATQASSLETLVPINFVVQLVTPPSIILSRIASRWVHESSGRVYNTDFN APKVPGKDDITGEPLTQREDDSIDTWKQRLRKFEETSEPLLQHYERKGCLWRVEGNTS DEISPQLFAEVEKRFC AFLA_080120 MIRQDFHQVDPKRRATLDHKKKQFAAPVYKQQDYPHRLNFYEVP PTAEITLEQFEQWAIDRLRILAEIEACSYRNKSPAETEAHITPLLQKYLPLSSNTSSS LGATDQRLKNERQKDHYSHFILRLAFSATEDLRRRFARAETMLFRFRFQKDDSRERRA FIESLNLDWEPVSDEERRELSEHLVNATPGLRRVDEESWYKVDWERVPELVERRSVFL YRGKAYVPGREQLSMIIAEFTARLERSLELTSRALPRLDEDDRLTPILNHLSKNFGSA ESVYSEGEGFVDGAPITAQNIDQLSQHFPLCMRSLHMSLRKNNHLKHYGRLQYTLFLK GIGLSLEECILFWRQSFKGFTDDEFNSRYKYNIRHAYGDVGGDVNRRGRGYPPYSCQK ILGDSNPGVGQTHGCPYRHFSVDNLIGLLQSTGVSDRELLRGVREDVEKTRYHIACNR VFEWTHKAEIKRVKEDGTWNQTDLDTIVHPNTYFKRSYLLKQVGRAPKKA AFLA_080130 MDYEALKDQWSDVEDRDGIRLSWNTFPSSRMEASRLVVPIGAVY TPLKDKPDSPLLQYEPVTCKAPCRAVLNPYANVDVRARIWICPFCLMRNPLPPHYKDI TESTIPPELHPLSTTIEYQLARPAPTPPIFVYVVDTCQEEDSLKALKDTLVMSLSLLP ANALVGLITYGTMAQVHELGYTECAKSYVFRGSKEYAAKQVQEMLGLLSAGVRPNMPQ QPARPPLGPAARFLLPVQQAEFQITNVLEQLQRDPWPVANDKRPLRCTGVALSVAVGL LETSFQNAGGRIMVFTSGPATEGPGHVVGPELKEPMRSHHDIDRDNIKYYKKAVKFYD AMAKRAANNGHIVDVFAGCLDQVGLLEMKNLVNYTGGHMLLTDSFTSSQFKQSFVRIF DKDANDNLLMGFNASLEVLTTKELKVTGLIGHAVSLNKKSSSVGETECGIGNTCAWKM CGIDPSSSYGVYFEIANQGGPAAVQPGPQRGMMQFLTYYQHSSGHYHLRVTTVARNLS GPAGDPTLAQSFDQEAAAVLMARIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYRKD DPTSFRLEKNFTLYPQFMFHLRRSQFLQFFNNSPDETAFYRHVLNHEDVGDSLVMIQP TLDSYSLEHEGSQPVLLDSASIQPSHILLLDTFFHILIFHGETIAEWRKAGYQDQEGY ENLKALLEQPKEDARELISDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGGYGGG VTSQTIFTDDVSLQTFMDHLMKLAVSGTS AFLA_080140 MPPKFDPNEVKIIHLRVTGGEVGAQSALAPKIGPLGLSPKKIGE DIAKNTGDWKGLRVTVRLTIQNRQAAISVVPSASSLVIKALKEPPRDRKKEKNIKHNK SVPLDEIIEIARKMRHRSLAKELQGTVLEILGTAFSVGCQVDGRSPKDISDEIKAGEI DIPSE AFLA_080150 MDTLPCLPRPFTCLFYAKKIKSRPTTHRGIFARGLVSFSVDTVI HHHGRQLKA AFLA_080160 MLPSVAPFPPVQPHHHMNHYHGADTVDGNHDFDTTPFAATLPHN DLNELSFHSVPRTRLQYHPVQRLDIHDTTGMLLNPKNPGEHALRRKTPNGTLAAGYDG TPGDTTIQPPASKHILVSPLESGQMVTQTGFPMDSWSQLSLEQPSQAKPMNFPPVYKN ESSRTNTAPGEMIQGMNGASWVRSLNYVPGIDSMLNQSLPMPGSQQRFYWHNGAYVPT VLPATLQPCLGPTASAGTGPYGPYWPDGVYIPYRPAAFREPRYNSPNPFAKPVNPSGL QFFDAGQQPFNHNPVPSGSHTDLGSTWSATPLGIVSHDPSVKNNFPPRHSDQKALDTS QRTLPYHTRPSNAGSAFSSRQPGNEAYSPWSGASSGHGFQGAGSTANSRAANVEFKEK VLSWAHGVYVDLLASIHQARRNSISNAGGDPQSQRFLKPSIYPKPPRQPGLDFSQVNA PEVGRHNSYPSSQYDHRTKNIINHTPQTDSHSGFQTHQHRHRPSLHHFQQSDTQMLDR LRHTGRFTVPAVSPFPAAPFGSENSPLANARTALDMLSPLCSESGWEWIDGMLLGGCL AYGLGDYPKAMRWYSRIIARDPQHVEAISNLAATLLALDRREEALNHWLRAVKLRPSF FEAVEHLIGLLCSSHRGKEAVNIIEFVQKSLRFPKNGDCFTADEHASETESDAESGAS SASDLGSYEKASFDYDDDLNRSVFTNKRSAETGSTGFGTSGYAIPGCDNGRMLALVHA KGNMLYALGDNAGAAAAFEDAILIAAGRRRHGIQSLIKQIFAAFSYGSRHDYPAPSEQ HSSNETILLYPDKALQTSKLVFPSCGTPPGIKFVGEGLARKAAISTTSNSLLSLAKIY QDGMSSISSGGMPRAAPGVRDILALYYLSLSLQPSPSTANNVGILLAGIQNNAAKGLP RSSGEMQHPEIPGVVFGSGVSLALAYYNYGLHLDSRHAHLYTNLGSLLKDIGQLQAAI RMYEQAVQCDSNFDIALANLANAVKDAGRVNEAITYYKRAVKVNPEFAEAVCGLANAL NSVCNWVGRGGIANGHGFRDRWHVNEQGMLRDAYSVDTGAGWIKRVVDIVDRQLKEGE TWGRGLLTPNTIEQLCAQLAPALGNRRFAPGSLNTILQSWAGQKWEGSRIVKLVERAI RAITWQWYQDLYVLRKEYPLSKYRRPQLPPGLSAPNAPTVLPFHTFTCPLSAKQIRQI SQRNGLRISCATLRSPWLPATVYQPPAPPNPYLKVGYVSSDFNNHPLAHLMQSVFGLH NPSRVKAYCYATTASDKSIHRQQIEREAPVFHDASGWSVDRLVKQIVADGIHILINLN GYTRGARNEVFAARPAPIHMSFMGFAGTLGAEWCDYILSDSISIPQETLAPGGRNLSI EDRVLEENHGEDLEDWVYGEKIVFTRATFFCCDHRQSAPDSQDLRLAWEQEREKRWRM RKELFPNLRDDAIILGNFNQLYKIEPTTFRTWLRILARIPNAVLWLLRFPDLGEQNLK ETAVAWAGEETASRIIFTDVAPKNTHISRAKILDLFLDTPECNAHTTATDVLWSGTPL LTLPRYKYKMCSRMASSILSSALPDSDDGQKAREELIATSDEDYEEKAIRLCLSLKFE PGSEGRARGRLPDLRKMLFQERWRSKLFDTKRWVHDLEDAYEKVWQRWVNGEEGDIRL AFLA_080170 MAPTHRRGPWVPEEDQLLLQLVREQGPNNWVRISQHMHYRSPKQ CRERFHQNLKPSLNREPISADEGLMIERMVNEMGKRWAEIARRLGNRSDNAVKNWWNG SMNRKRRGLPTSSTTHISRTFNGRIEAPYARASVSLSSPTSRSRFTSISTDRPLTSWS RRDSTSTTSLDGPRQLTPIYTLPALNRPVETPLTSPAYSEASHTPSLEPPSMVSDHNS VSSASPRTVSSPQLLPFPVDTRQQYGEFRKQSSLEDVYIWSSSKKSDNFADLPFKPRW VSDNQPWSQHSTSLSQTWLVAPEESHPEAPKAESPRDSRMGLNNLLN AFLA_080180 MISNGGNLCLGASGFASYRTLSQIALPSTGLVIRIALPIRKLQD PTKLKEQEHEQKYLITKARGVTASNHLCQFMFLTHVRCTVNRILDTGTGSKLSSPVPP MQDEE AFLA_080190 MGSAMSNAGCVHGIYPAVETLSAGAIPSRPSFSALGPSAQVKRM AIPSLSWKARPISPSRWAISDIDRDPRAPCWLELPHSHLLVPLLGWLSNRELSN AFLA_080200 MLYAEDDKLIFRFDDHLLWIQSWGENAFRVRATKLSSIPTEDWA LSTKPSASEPVIETPEGKEASIYNGKIKAVVSQRGKIIIYDSKGNKLLEEYARHRRDP KDPKCSALEVEARELRGILGGDFHLTMRFESLDPKEKIFGMGQYQQPSLNLKGADVEL AHRNSQASVPFAVSSLGYGFLWNNPGIGRAVFGTNTMSFEAYSTKALDYWVVAGDTPA EIEEAYAKVTGYVPMMPEYGLGFWQCKLRYWNQEQLLNVAREYKRRQVPLDLIVIDFF HWKHQGDWSFDPEFWPDPDAMIKELKELNVELMVSIWPTVETTSVNYKEMLERGLLIR HDRGLRIAMQCDGDITHFDATNPEAQKFIWQTAKKNYYDKGIKVFWLDEAEPEYSIYD FDIYRYHAGPNMQIGNIFPKEYARAFYEGMEAEGQKNIVNLLRCAWAGSQKYGALVWS GDIASSWSSFRNQLAAGLNMGLAGIPWWTTDIGGFHGGNPDDPAFRELFTRWFQWGTF CPVMRLHGDREPKPEGQPTASGSDNEVWSYGEEIYEICKKYINIREELRDYTRSLMKE AHEKGSPVIRTLFYEFPEDKAAWDIETEYMFGSKYLVVPVLEAGQRKITAYLPSGASW KSWGEDEVYEGGKTVEVACPIETMPVFVKA AFLA_080210 MKIDEDVSKEHSAHIEHAEETTVPTKDALQASTDEHEATVWEAL RHNYKAVLWSAAISLSIIMEGYDVGEHYQAHSLIYQFFTYPAFQEKFGSYRPEQGDYI VSGPWQAGLSNGANVGIVIGGFMNGYLSTRFGYKRVLLAALFFMNWFIFILFFAPSAP VLLVGQILCGLTWGVFATSSPAYASEVCPLALRGYLTCYVNLCWAMGQFIASGALYGL LKIDSEWSYRIAYALQWIWPVPLFVLIMFAPESPWWLARNNRMDDAYKSLARLDTRGR EAHQRTLAQIMHTLELESKLESGSSYLDCFRGIDRRRTEVVCMSFAGQVLSGSAFAYT PTYFFVQAGISTENAYQITVGGTAISFVGTIISWFLLTRFGRRELYVTGVACLTGFLL IIGITAAASESSSAKWGQAAMCLVWLFTYSVTLGPVTYTIISETSSVHLRAKSVCLSR NVYNITNIVAQVVEPYLINPTEANLKGKTAFVWAATAAVTTVWAFFRLPECRGRTYDE LDVMFHRKLPARKFATYQVNAHDADALKTSPAEFVVDNSGDKA AFLA_080220 MEVAGVQQPSASNGDHAMDASMDIDMDLDLGPLPEVEPIETDGA VDPQSSEAQYEKVHVRGVDELTTDNIKQFANEHFTLEAPSRVEWIDDTSANLIYSSPE IGLQALSALTQASEEEDTSELPALRLRSAKLLSSHPDSVLQVRSAVKTDRKKPRAHEA SRFYLMHPEHDPRERLRREFDDRRRQGGGDDGDYRRRRFDGRELRRRRDRDGDEIISA NMYDDSGAASTDYSETARGRDERGRRRHRDRELFPSEEGRPSGRLQNRSASPGRDTLV ESGYSEQDRRDSRRHFRERSPQIDRRNKGKELFPSSKPSGPDADESSRELFPNKPATS YLKKELFPSKHSNHRRSDAIDAADETADLFSKRISVPIVDGSRDQRRNKNVELFPDSE EKGVNIRGAAGPDQGFSIRGAANGLSIKGRGASVRELFPSKYKSNAGKELFSEKIEGR GGPRRRAEDMFS AFLA_080230 MAKSKNASQHHNSQKAHRNGIKKPKTHRYPSLKGVDPKFRRNHR HALHGTMKALKERKEGKRELVYHANSSNLRSYTINQALQTTNLLSNPPLTNHSSLTTS PSLPTSTQPAQIVRIFDPTTCPSFNTVTTFPVLPSTPRITPSSPLTQTPDPSGLQTTC GVEYAVCGANVFAWITMAASSRFCSDSAARACVACVSGSLASNKLSVDGNRSRTMITF ICGRVEVATAKRPGSWGLRARQEGEKAIQALEWGARFCLPRRGHSYGVDGCSWCCCCC CGTW AFLA_080240 MSSLRFARSALRARPSAFRAPLQRRGYAEAVNDKIKLSLALPHQ TIFKSTGVVQVNIPAESGEMGVLANHVPSIEQLKPGLVEVVEEGGATKKFFLSGGFAV VQPDSQLSINAVEGFPLEDFSSDAVKNQIAEAQKIASGSGSEQDIAEAKIELEVLETL QAHLK AFLA_080250 MVVFQILWGWFLHKVESKRQRYRVPLKLVIHRWIGRALAILGLI QIPLGLTLYGSPKSLFILYSVAAFALLVTFFVLSYLYDDEGYPPGPDLDSRHSYVSGP PGPGPAGHRQSSFGRMAAAGAAGAGLASLFRRRSRSRGRDGYEDSQTSYLSEKYSDEG AQRKGWGDKLLKLGAVGGGAFLAKKFFDRRRDRDNDAESGRYRRAHNRSDSMTETTMS RMEDGRRPEPSHRTPLNRPPSRPPSRPQSPGSSYYYNSTYFTDNDHGRRPNQARNALF GAGAFAALKNMFTRRKANDDDRRVEDMRRREMEEERLARADSKRRYTGDGYPPRRRTD SFTATDISSTELTRPPRGPSHGESALTGDPAMSGAIDGHHSDLPPGAPTSEMPGQAPS RLDPSDIAAGAAAGSALGAASSHRRRSSSRHRSSSRHRDDHTSSPPVSVKVKMHNDGR HVTLRRLTEEEAAASREARRRERRNSRRRTGSASSLSGNEGSRDRWRRVEELERQQHE RMQREQEAAAAAAAAGMSTAPSGSVPPPPIPPPQNMSHMAPPPPPHVPSSLPYGPGSV TSPGTFTGTEASGDYASNRRRRRAERARARQERQHSVDFT AFLA_080260 MSSQLEIFLKALSRTLCWSASFYPQPISNFRRRSTVGLAIDFPT VNALGYVCYTGYTAAFLYSPVIRHQYAARHPGSEGSTVRFNDFAFAVHAIILSILTYT QFWPTVWGFNVSRFQRVSKPVAGLFWGSIAAIAILIFIVLGQSPDGGYDPSTWGWIDV VYGLSYVKLLVTITKYVPQAWVNYKRKSTQGWHIGQILLDLVGGVLSLIQLFLDSSFE EDWSGITGNPIKFLLSNVSILFDFLFMIQHYILYRGADEKVAKHQDPDVTTPLLTESN GARRTEDV AFLA_080270 MDPSTTFDLPAGDYPAIDIQNGLGISILPSKRQPAYTPEACSCP PYPPQQHLYTPPTLQHYVLPDMSDMADLIPYTMERVHLREPPEVENNMFYNTPFRRAG DFSSASSTSTASSTQYSTCDSFDSTTNSTGHLHPNDYYQGGAEYMHTPSSISSSPYYP PDNHTTAYPSPTSATAAVVSASASSTRSLSSPPQGSANQKDLTNYGIQNADQTWRCAY PGCTSQTIFRRGCDLRKHYNRHRKHLFCRHRGCPQAVAGGFSSKKDRDRHEAKHNPVV CCEWAGCERVFSRVDNMKDHVRRIHRRRE AFLA_080280 MAGAVRQPIDIPSLERYLNQNVPEIQTPLDVKQWDQIKCGRWAQ ADTPQFSFGQSNPTYLLTGTDGRQYVLRKKPPGKLLSKTAHKVEREYKVIHALEQTDV PVPKAYCLCVDSNVIGTPFYIMEFLDGRLFTDPAMPGVSAEERNALWKAAVQTLAKFH RVDPKSVGLETFGKPSGYYDRQISTFSTVSKAQAQAVDVETKEPVGELPHFMETVRFF SNKSTQPKDRGTLVHGDYKIDNMIFHKTEPRVIGILDWEMATVGHPLSDFCNLTSPYY LDGTDHTTDQFQPDRIPGLPRREDCVRWYREVGGWDPTPDLPWGDAFFAWRLSIILQG VKARYALRQASSAQAHEHAKKTTPFALAAWERVKAVQNTMRQKGKL AFLA_080290 MPKPSPLNALRVYTRITTSTIHHHTPQRPNHLNHLKNLFTTMTK ETTPPPPEQQTTREPPSLPTSPLAKRPKPNTTTEDQSKMTNSTPVTSISQPLPPLLIK KLNEGGRAPTRGSAFAAGYDVYAAKETVIPARGKALVDTGIAIAVPEGTYGRIAPRSG LAAKHFIDTGAGVIDADYRGEVKVLLFNHSEVDFPVKAGDRVAQLIIERIYTPEVMVV EELEESVRGAGGFGSTGTN AFLA_080300 MATLVMQLLGCDVAALNTVHFSNHTGYRQFKGTRATAEQITELY EGLCQSHLTDFDVMLSGYAPSAAAVEAVGAIGMDLQRKAEKNPGSFFWVLDPVMGDQG RLYVNNDVVPAYKKIIPHADLILPNQFEAETLSGMKISSLSTLAEAITAIHATYNVPH IIITSVDLSKFTQSSSPQTTPPDSLTVIGSTTRSDGSPRLFRIDVPALDCYFSGTGDM FAALIVARFREAVFAADPQLRTTKSWVSPDDVAATEVPLARATVQVLASMHCVLEKTM EARDAELRAADTRGDELLGEEERLKREHLRKSKAAEVRLVRNVQYLREPTVVFQAQEW RKEDLPAGSQ AFLA_080310 MALKEAGAADMESVLKRGKPLDSFTEGDGAGNRMSSGKQPLNEK NSELDGRVTLGRTIQASRWLFRVPAVPPDLVSALLHSPSVQCRGLVDRPA AFLA_080320 MKFRLNAIVRASESRTMQISNMRPRRRTQLPSLCQLLLTVIAAP SVVASVIPSAVRTDLDSTNALDLPLSGEIVSDDLQDVSDSPLKERAAWDPVQLDSSPE EADATHSPILLALEENSQTSEDNDNNSQPALSQRSTSSSSSSSSETPTPFDTNLSTNF TSDSCPKFFKNFLSDTKFTNCYAISMLLRDSSSFFQTLKSAPATSHLLDLSCAADVDQ CSSLMTDLASRITKSDACGKDYDLGNPVVTDAYTDMIIYEPMYRASCLKNPSTGDYCF VDAATNSSNPSDYDVYFIPYGSAITNAPYPTCNKCVQASMDVFGEWAQKSGQPLAHSY LPSARSINSKCGVSFANANITVAGDGESSAATWSGRRPDGFLMVWVVALSVGVSLWGW V AFLA_080330 MSLTLPHRPSDDAARSLPTSSSSKSNGIPRLSPSPSFVHNRSVS NSGLSVRGYEPNLAAPPAFRPRKCKSQYPRDSSERHVEYILVASFHIDRGPIMEHQYP APISGDESMLAELMLPDQTHVRSQDWTIFFLHKDSSADQDDDDSSSDDEDEGGEGPPL MYVLNLVNTKQDHTVKRGAVVKAMAICTRHSFLHIYKPLLLLALEDYFKNPYLETLAS LYNALNAMDLSLLPKLSLLERQILQASNCKDMFIEKFEQMIRQRIESEGESSDSDSPA SPRKPAAKYTLPRDTHEFESKIVYNDIPIPVKVPTVIWPEVVGDFSLIKLIQTFSGPH STSPQPFPIHPHLTTSGPYTHPIIILVNAMLTQKRVVFLGHNRPSGEVAEAVLAACAL ASGGILRGFTRHAFPYTDLTKIDDLLKVPGFIAGVTNPTFANHPEWWDVLCDLPTGRI KISSHVEPAPITEGLLYFQQQAALNHLHASNLNSDPTGDNLFMEDVQRSITNRHGENA IRAKWRAYILKFARVASAFEETVYGASNLYIIGPNEELSPESPSGVQADPLDPTTLRG HGHVWPDEISKQRELMASVSRIEGWRTTRSYYSFIQDIAAIYYPTRPIIKPDLHHHHE RLRTLKLSPPEAGAIYIAFSYAVKDYAGICQLLTVTPENQAGLFYLSMGLFHPDQTVR EATVDLLERISKHPAGQHFWNQLNRFAKLAFFRVKRERDASQSPISGPEMGFGAPQSL VGVAMGDGLR AFLA_080340 MPHQPAEIEFRNPDFLESPHPHRRPERPFRRIGHRGEAFQVLDR DNDGSVNKDDVADVLVNVGQDPSMLHDFFPPGSPETINFPTFLNILSSLLAPLSSRQE LMNALAAFDEDDSGQINVGELRDALLHTAPEDGELPLTEREINEVLNGFTGRRAFGGK SSKGPGGAKRGEVFRYPDFVDGVLGGTQNGQANGRQEA AFLA_080350 MNFADPTKRQDRQQPNMASQPTQAQLNLAALAGSPSPRTMRSLR KIQSHQLLSSSQLSQPSSARSSAGPEELSQPTQLDSPLRLRTHRRARSNSDASTREPP AIGTQRRSGRKTGSGFGIKRSVLEALLRDGPQQGNVREGLQELRYLILSTRVEADADG MSSYRVYLWLALLDIPPVPTDEYLSLIHRGRSPAYTKIRNDTFRTLATDPLFKRRVTE ASLIRLLNAVAWKIHDAKNKNKARKPRLSTSRRREMELLINTPPSIAEEESSPEVTTS SNCRSSTITSDSAIYVQGMNVLCAPFLYAARSEVEAFALFHSFITRECPGYIRGAMDG VHRGLRLVDRCLEIVEPKLASYLFSKGMQAELYAFPSVLTMCACTPPLPEVLHLWDFL FAYGPHLNILCIVAQLIRMRDTILESPSPNKILRSFPPLDAKEIIALTVLIVRKIPEP LYAELIDHAK AFLA_080360 MIAGTSPTYPPPEYGHVPVMGLPHQSFFPIYPDPLSQPMSGIPP SPAQRPSYCDPLTFTVYPPYPVSPMPVFQDASQRRTPHKPSYTYTYSYTPTPIPIYAP QSNGNPRSPPRRTIFIQNLSPATTQSELHSHLQDAGSIESCEVPLDPTTARCKGFARI TFRTAEEAKRAIARYNNTIFLNARIRVKIDRAVPYAASYRLSSPSTVPVTIVSAPHPP STTYTPEHTIITTLPTDESPKSEPTSTTEEASQTKCQPGPLVVNGSGIGRKEITT AFLA_080370 MPHPQKGRSRPRGSDEEFVLFLQGIPAHCRWQELKDLVRQTALH IRQAVVYDDHHGFPTGLGQIIVKNEDEAWRTYHRLSTNGWEGQSLVVTLARTSSPTRP IAGPTKSPHCVIPSDYVAGYSTPPRVSQNMAVPPSPISPE AFLA_080380 MHSGFPTDPSPYLMFSTFIQNPKLKSLELERLPEVQPTRKKHFR HSPRFIIFSSSNFRPTTTLSPFYSSLSPKPTTFFWLTQLFERICATTPAYLANPHNVV AHTVHFYRARIDRHS AFLA_080390 MASQSPNLYSFSNSDALAQHLRTYVLKNQNAALARHDTFRVAVS GGSLPTVLAKALLAQGNGTPEDTAQFSKWDIFFADERAVPLDHQDSNYKLLKDELLSK IPTELGAPRVHTIDPNHVNDEDPQELADLYQEELMRIFAAKDSVKLPVFDLILLGCGP DGHTCSLFPGHELLREKDAWVAAISDSPKPPPKRITLTLPVVTHAVSIAFVATGGGKK DIMKQIFDAEEGRELPSALVNQGAGEKVSWFTDHPAVEGVSFPRRGSL AFLA_080400 MTADRQAHCDLSRHKIAGLLSERASRHKTSYPLHRPWRVVVEFC ASPTLSSEAKWASNIAEEDRNASPAPPVEVGFFATASKFEFGASYTKGTQFSTYQQQP SPKKLAKPTTATPLASASTELDPSMIPSLSPEVAALQTELLQLSLLHLSLLREDADWK AKAEKQLRIKYNTVAEKHRCVVKEEKDYQQRLNGQALHCWLKNSIEHNGHQGFAVQIQ VLSEVAQEVCDLSDIQGGRFTLAVQDFESWFRKVEEIKTCRHYQGGSDLDVFIDPLDR AWQEEVHALTMKLELCSRQLQSLDIMGYGEVEQLEGSSLYRTAKGLDDMVNSMIEELN TICKIEADVVRSERQWVSQLSQQVVSTRPLEKRIPRVGMWRS AFLA_080410 MKNSLYDRLWRRELGEPSHRASIRGIYGSKEWVDDLDIVNELGG HTGCVNALCWSRSGQLLASGSDDHYVNIYSYQPESSSAPFSLNTTLHTGHKANIFSVK FMPHSNDRTLVTCAGDHQVRVFDIEYSSSNGNLEATSAFTASARSRRFNNFFTNTRFL TAENTNSRVYRSHADRVKRIVTESSPYLFLTCSEDGEVRQWDLRQPSSAYPKPLGGQG PMAYRPGVVHDDSNVPPPLISYKRHHLDLNTISCSPTQPHYIALGGAHLHCFLHDRRM LGRDLLMERGDPGSSPRIGSDREDELMSQATRCVRRFAPNGKRRMKTRDNGHITACKI SDVNPNEMVVSWSGDHIYSFDLIQSPDAREAESARQRSAQETQSPRKRRSSKNRKRKR RNGASISSSEFSGNRHQSRRWSHEQPDDDEPMIRARYGNGETGDVPLSAVSAPTSGAS RRARKQARYPVLNEAQRLSMRIARALVKLRKALFSLEATVREAGESSSQLDPTLYVDS FSTALTLASEYLPMMEQVMRTWGYPLNPSSDVVRFQQALRRNREASWRFVQAAGTLAR VLGGELRGHLSPGISFMQIMPAPGEGNTIPEEAQFGYDFLRAILLFLEGGREALISGF KSSNEHRRNVPRYPLSDEADDSAIESELVPYLQRLAGDSPVVNVDASRFEHDSTRVLF PNQRAAVTAFANVVKLPLEDLENAAARTHDCEGRSDTSHIRSLDRTAVRRFWGLKVAR GILMEAGTGVNYSFANRAFGGLRTVLEEESESERETGPERSQADIQPDFEEYQLFEHL HMLSAMNSTEEALNLGSGGPEATSGSVSQVILTPPTDADSETSDNSFFEQHVSDSDSD ENHSDSDESGSDVAVEEEEDGESSSDDDNDDDDDDDDDEFGFDTSSEEGYDSDEMLEP EDRMLRLNGLRTSRREDVGLDVPCSSHTKVYRGHCNVKTVKDVNFFGLNDEYVVSGSD MGHLFIWDRKTCDLVNILEGDSEVVNVIQGISCSSLLNRAVPLIFSSQAIHTNRPLRL LELTVLSRSSQPTEMPRRTLSAALTF AFLA_080420 MTEFRTLATGAPRLGFGVAGFGNFNVSFVNVAAVDVKLDEERRV TLDIERHGRVLESFDVVDGYRPALDVTVTERCGFISGCSCCHYCFR AFLA_080430 MKFFAITVASLASISGAFASANGGNDACSKYIYNTLYNDASVDV YHWREVIDKTNPNPCKSASGSCGADLYGIFKLDQNQDFYNWGQDMRKLSTCTATNDAC GKFLWDTFHEAKSTDMTNWKQIIHAKGSNPCE AFLA_080440 MKDLLQLRSETTARLYADPHNPHLHLERGLFHEQLGFADLASAD AYRALSLLESVVDPDGCEFHARRKIDTQPQGDKEGEQENEDDEDNDDSCVATTQDEYD EIIGTVYALLVRSLVKCRCYRDAYEFCMRGLSLLGSMEKCDGKAVDTLKEQLSAIQKV YISRRPDSVKDNGAADVDINPSALNAQGFARRVLYPWNEHEPDRKAPETLKLLNDRLK DVAPKCEVRAVALPALHGTTDEGTSSEGEVSIQLGLFAKEDIAPGEIILRENSLLTAT NRLHDDLCDACNAPLPDLASENPPVACTDCDDTIFCSQTCHDQAQETYHGALCGLMEN LESIGKDIPDPKDKADYLYLLLLGRAIAMAATQDVHPLDLPEIKYIWGDFHDLEDSSA DSVTSDDPTATLPFSFHLNILQPMRILEEMELDPYEVLPRYDTWVLNTLYAKFRGTAS GRLSTWDGGPELCAVHPLWCLANHCCDPNVRWEWGGEITFRARTESERPVWKKTSTGE EKTPLRNEGIKADEEILNHYCDIGLSVKERREWARGALGGLCLCERCMWEAAE AFLA_080450 MTDPVVLQSAVRVPTPPPGTSYSPNASGSRKRSPPSRSPSPNRR RSPPGDSVREDGDAPQIDPERAIERERQLAERVRQHEKQEAARKPMTEEEKQASAKAE YEKLLNMRSGGTYIPPARLRALQAQITDKTSKEYQRMAWEALKKSINGLINKVNVSNI KHIVPELFGENLVRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLNR LIVQFRKAFKRNDKAVCISSTTFIAHLCNQQVVHEMLAAQILLLLLHKPTDDSVEIAV GLTREVGQHLEEMSGPIALAVFDQFRNILHEADIDKRVQYMIEVLFQVRKDRYKDNPA IKDELDLVEEEDQITHRVGLDDEIETQDTLNIFKYDPQWEEHEEAYKKLKAEILGEGS DDEEDEDETDESSDEEAEEERQMDIKDQSNTDLVNLRRTIYLTIMSSIDFEECCHKLM KISLPPGLEPELPSMIIECCSQERTYSKFYGLIGERFSKINRLWCDLFEAAFAKYYDT IHRFETNKLRNIARFFGHMFSTDAIGWHVMSVIHLNEEETTSSSRIFIKILFQDLGEH LGLQKLQERMRDEILRPSFEGLFPTDNPRNTRFSINYFTSIGFGILTEDMREHLKNLP KPTVPALPARDATPESDAESVSSRSSCSTCTGPRHSRSRSRSYSYSRSPSPGRGRRRS VSRGRSYSRSVSGSSRRSYSYTPSRSRSPVSRTRRRSVSYSRSRSPRRSSVSRTPPRR TRAKSYDSRASRSVSPARRSLSRSATPEKRGYSRRNRSYSRSVSRSVTPPRREGRGRR YSSESLSPPRSKRASSRSPRKAAPARRARDNSVSRSPSPARSGRDQRRRRYSNSRSPS RSPPRRRSPSRTPPRRGRAADYI AFLA_080460 MLGQLVGSAMLLAATAIFLYYTAWTLLMPFVDLDHPLHDLFPPR VWAIRIPVILTLLGSAVVGTFIGIVMINSNKKKAAKAKAAAAKKKT AFLA_080470 MPPAASDDLFRQQSRSVGDPSPPLEDLSQTPPENDQSGKKKKGK AGSKAKTKAAKAAAKTPPEDLITPSPPLQAEPSSYPNPPLASERPNNIVSPVLDALGC LNDSPENDLAYRTDSWAKSIPFGKSPPNDVSDGEIANGSPFAFPSNPEKGGFSHPSST SPLPRARPLSYGNGYMSGDLSRQQSVDRHKSHSVSGPFSNPIPLPHLPQAHFYGAPDI DLPLLSSQNRQSTGEKYSFCSFDTIYSPTSKSSRMGTSVLVVGSDGSLEVLAVEDRKT RLIGKLAGLNGRVIDAKVLACNLPDDSCSASRPHVAVIIHGPCVQPDDDTHGSSVGSE VNEVPPTPIGRRSVKDDTKYYQTRVEIYSLRTGDHITTLFTMKPSPYFESIPGLSAIA PSPAGNLKLFAGGNYVVLASGASGEVFIYSMNGYQCLGKTWTSIRSRESRRYSTSSTS TDADGSRTDSPSGIANTDSPIVALKGRWLAIVPPSSTYRASLPGTVPPSLIQGKVYGL DNRNPPARPAITCATDVGEGPSFFDKVARGVTQELVRGARWMGDQGLQAWNNYWNKDQ LPTSAPRRSPNLGDLPQAYSAFPPTHAQDTHATTPAEPDLVSIMDLKRLEDGNETKAA LFNPVATFQPPEGCSFLSFSPNGLMLLTASTNGDVQHVWDLMQAKYCRAGAFLSEDSV NTCANVRQIARYTRLTKSYIVDVIWCPPSGDRLAIITRKGTVHVFDLPRSAFQWPPFR RARPASSKPPVTDQATDELSDKAAPVNPLSAAFKLVGGKTQPIIAAVRGRTASAGGAL PVVGGFSSSAGVRGGKVVAAGLSKSMGAATGTVNTLRHAGENRLHGLARDPAASRVTW ITNKDQVFLGLVDSGHFRLYRVRRTMSTQKNRQLQSVVGGKEIEFRLPPVLQTPCGPM PVNAFNAETTVRASLALPSSHPRPSLASKLKCQPLSQAEIETNAPYQPFHTDQRVNLY VYPETGHADEPSNLPTGNWVFGESLPMTRIHVRPFSAGGDDDDDETLHEHQLGSGGEM ENLISLGNSTGLPEEVVITTRRKKRHGSSFPSAGSGGDDGFFEDDCDFLDFARDRV AFLA_080480 MSLSPLPPNLIPEISAFVTKCMAAHDPSHNPQHVHRVVSLANQI LARETARNTSSSSSSSSSSTIYNAEVVHLAALLHDIGDRKYLSQVAAISESIQGKQQG ATATTTTNAEAVDPERLVYHVLLAHGVGEDVAEKVQMIVSHVSYTTERAKPEEVKRLI ADGYPELGIVQDADRLDAIGAVGIGRCFTFLGAKGKNFCPEGMWVMDNAIEHFEEKLV RLEGMMKTETGREMAKVRTARLREFQEWWADEMKDAV AFLA_080490 MQIFNELNNRRLDNKFNIFEGVHRNYWFMGINVLMIGGQILIIF VGGAAFGVTPLDGVQWAICIGCSIFCIPWAAVLKLFPDRYVAVGLDISVKTVFYPSCA FSSEAPHVQRCFCKTCATCMSELDLKEAQASRPPIAIVGMGMRLPGGIRSATDFWDML VEKKTGHGEVPESRYNAKSFCDPKNPRQIRTRHGYYLQEDPAYFDANFFSISSAEAST TDPQLRLLLEVVWECLEDAGETDWRGKRIGCYVGTFGEDWLTLSTKEYQHIDRYYVLS TGAFALSNRISHLYDFKGPSMTIQTGCSASLVGLHEACQALYLGECSSAVVAGTNLIL SPNITKCASANMVLSESGTCRTFDESADGYGRGEAINAIYIKRLDDAIRNNDAIRGII RGTASNSDGWKPTISAPEVLSQERLIRAAYRNANINDISKTTYFECHGTGTVIGDSVE LSAIASVTEGGSAFIGSAKPNVGHSEGSSGITSVIKAVLSLERQVIPPVALFETPRPG GPLTEGQLTVPTDAKPWPANRLGRASVNGFGIGGSNAHVIIDRGNGVVGSTNSTFPAD SQLIVTSASSVPSLKKRIRQITQYINNHPSRLGDMSYTLGSRRHHLNVRAFAVVDPGM PLDDTVFQFNEATNHTELVFAFTGQGAQWPGMGIALLEYFECFRRDVEEMELALKGLD HPPQWSLKARSSHSNCSILTEKLMHLTDELNKSMDKSRVMEPEFSQPLCTAMQIGLVN IFAQWGIKPTAVLGHSSGEIAAAYAAQAISATDAIVIAYYRGKFASSKEGLGGMLAVN TSRGMVHPFLREGVTIGCQNSPQSVTLSGDKDQIDRTVEDIEKNMPGVCRRLRVNVAY HSHHMQDIGPSYETSIQKHAGRGERMLPMHSSVTGKSILCPQELGASYWRRNLESPVL FSDAVRCCLGSNSNKRLAFVEIGPHSALAAPLQQTFSASNGQVPCTYISTIKRLDNGF RKQLLAAAGQIHINGGSVDLPNIVPSGRILTDLPPYPWQHDQKFWEESRTSRDWRFQL APHHELLGSRITDSTDTAPSWKNLLSVGGVPWLSDHVVQEEIVYPGAAYIAMAGAAVL QLHSESDSYTIRDLLIMEPLLLDERSPTEVITSFQPAEIADNIYSDYYSFSIMSYQGS AWVKHCRGRVRPSYEIPPRKQPVVSYGLSCTSDEWYEATKACGITYGKSFRGLKDITV DPKGGGRASAIANSSSGLDHHAYNIHPASIDQGLQVIMAGILFNALDGIERSFVPVSL DRIYVKQGGPLMAISTSVKSHTQSSYYGNAIGLVDDHVVLEIEGALFGALDNGNRLTS ANTNLLTRIVWRPDFDLLSKDNFLTLQSFPESMDLIAQALGHLFILCPLEAAKRLEAV TTEVIHLNKWKAQIYEKASSCHQRDERLTSMLERNGVRTQSLFNMTSEQRQVTKDACM GVMRASGSGWASGVADCTSIIVDNCLEIMCGDVSPLELLMKDGLLERLYEEPLGCVNC SAFFAHVSHSNPNLRILEIGAGTGSCTKVVLRHLKSQAGVRRYKSYTFTDISPAFTQA AVEKFLGQENLEYRVLDISKDPIKQGFEPDSYDLIIASNGVLPGWWLGESDSRPFRPY ISVERWDRELKATGFSGVDIVRHDRPGLLQTNAIMISTALKEEPSFQPDDVTLLLSQD PGHWEKDVQRQLTQRGHLTQWAKVNDPVPQNPIIISFLDMNSSFLHSMSERRFVELKG FLQGSSAITSSQCIWITPATQLQCANPSYGLIWGLARTLRQEMELDLSIVEVDSFDEV SSNLVVEIIHKIRRRRRVKRELLDYEFSIHNGIVHTPRCTWESLPRFISKPIGQHSAF RLDVSSNRKLQWIAADTEPLGEGEVEVEIVFVGLNFRDLMVAQGVLGAKEELGLEASG VIRRVGSNVSNVKVDDKVLVLGFGLLRSSAVIPAELCLRFPDSLSLEEASTMLTAYLT VIYSLLHRAVLDRGNSILIHSACGGVGIAAIQLCQSIGAQIYATVGTEEKAQYLSKTF GIPRNNIFDSRSSSFHADLMHETNGRGVDVVLNSLAGKLLHASWDCVAEFGQMVELGK RDFLTHGTLSMSPFLKNRSFIAIDLVELTKKRPSLTARFVTDNYRAPRRTELILRDRL IRQLVELYKAGKIRPILPVKNFHAQEVQEAFRYMQAGLHKGKVIIRMPESSSELHVTS NQCSITFRSDVSYIIVGGLGDVGRVLAQWLVKQGARELVILTRSPGRVERESSFIKEL EAQDCQIITVAGDVANLADVKTAVSSCTKPLAGVINLALSLYDRTFLQMSHAEWTLAL AAKVSGTWNLHQAVQGQPLDFFLVLSSLTGITGNVGQANYAAACTYLDAFTQYRRQMG LPSSVVDLGAVAGSAAIQDQNISRRMDTVGFARLSKQQVIASIQLGIFESQAQNTAGL HYSSELMAGLQASSETEMLEDIVFGRDARFSMHRTPAVGAQNRKTNNQLKLLFDRIDK DHRLLSDPEMETALVLELAKLINHHTSQVSEMGLGQAKAVTVDSLMAIEVKSWLSRQL GVQLTVEEISKVNTVGALAQLTMKRAMAKYQGGEDGVDPTKES AFLA_080500 MATLMDQRLDTNYNMVFTHSTENVPSASLRYDDVSLSEALAPDP QYERDFEVIDNKFAFSPGQLNKLLNPKSLAAFHAVGGLGGLERGLQTDLAAGLSVDED RLSEYVTFDDATKCAFSKLDSQPRLLNADSQTPIVQSSSSQFFDRFRIFGRNTLPEPR SKSFLKLLWDAYNDRIIILLTIAAVISLSLGVYEAASGQSQVDWIEGVAVCVAIIIVV AATAGNDWQKERQFAKLNRRKIDRDVRAIRSGRPLMVHISDITVGDILHIEPGDSPPA DGVLVSGHGIKCDESSATGESDHMEKVSGHEVWYSIIDGTATRELDPFIISGSKVLEG VGTYLVTSVGCYSTNGRIMASLQTESEPTPLQVKLARLAGWIGWLGTRCEDPTQDENR LLIETHSAALLLFFVLLIRFLVQLPDNDASPSEKGQEFMDILIVAVTVIVVAIPGKWS PYIGPYLCYATNDLQEGLPLAVTLALAFATTRMLKENNLVRVLRACETMGNATVICSD KTGTLTQNKMTVVVGFLGANERFDQQPTESGSPSTSPTILETLKLFPTIFKKLLIDSI ALNSTAFEEELDGGREFVGSKTEIALLQFAKDYLHMTDLTEERANAHIEHVFPFDSSR KAMGVVYRAGPTGYRLLVKGASEVMLNTSTQTITTGPSSKSQIATEPISDGARQVILD TINDYARKSLRTIGVVYTDLLDWPTGLSRDSGKGLPDFESLLRDMTWVGAFGIHDPLR PEVSGAIKTCHSAGVQVKMVTASAIASSCGIKNGDGIVMEGPEFRKLTEKQMDAIIPR LQVLARSSPDDKRMLVKHLKRLGETVAVTGDGTNDGPALTSADVGFSMGISGTELARE ASSIILLDDNFKSIVTAMAWGRAVNDAVAKFLQFQITVNITAVCLTVVTAIYSNSNES VLKAVQLLWVNLIMDTFAALAL AFLA_080510 MADIKKYSSDLDMLRHVFSTPRRRKLLRKLEYEIDLPTYSKNRI LCLERRRESKANDEAFNRGVIDLFDEMSSWETQGMNLTLTASSPMDRHRRSSELGCGL SDKRWSFEDNYLTLDNEVSLPQLTFVGGLTIANARRSLHPSAIGKIISSLPSLERLTM ELNAPKAKRVEMQNEHRISLANALESPSLSNLRTLNIYIEQDIPYNHNFKNQPTDPQY PDGDVLNIAIRKLAENTHLRTLNLTGWWLVSPALFNTDKTFPYLQKVQIQGALITYDG RWYYSGNPTDVEASYDPIRYGADDEDSSDSDSNSSFNSEFQDSLPEHREALLNGERPY HMWRTQPDLQMFDPLMKVMATAILRMPRLRTFSFSIGWSYMDENAILFEYLKPGEKPE SFEYRPHELDMTRCYVTPMPEVRWEVPGDVATLWKEAVGDKGVVAVEPY AFLA_080520 MPPSLTSRALGSIWGVCVGDALGGPVQFMDPGAFEPITGLEYVQ PFQQPAGSYSDDGSMTLALAQSIIDSHGQYNHALSIQYFVDWFTTGRFSTIDRAWDVG ASTRSALQSWRKRGTSDLQRVQDVINQKLDVEQSSGNGSLMRIAPIGLALWRDPGEAR RVAREQSRVTHPVLGCLEACEVYTLLICGVLSGKNKEHLCTIINEYNFTHSTLAQRLS NYKTPSDWQVKSVPEIRSSGWVVDTLEVALWGFFKFDSWAAGALAVANLGGDSDTAAA VYGGLAGAFYGFESIPTEWVEGMQNKGFIAEVAGGLAEVVSLGGVLN AFLA_080530 MKLNLIALASLVALTAAQSTDSTSDSATGTTVAPSATVSLSPQQ SCAKKCDATDLCCIAGCFEVPCPNDSQANDTNTCVAACPQGSGTPSDTERYAACQSSC YSSHFFPITATGNSGASKTSASNSDATTTGTGKDSSSTGSSESSSSRSSGSKSSGTGT STGAAATGTETNAASVAKLQMGVSAAGIAGLALGIWAL AFLA_080540 MTGSDDSSVDYFREGFDDITDDEFPDATRNAVGSSPRPAKRRRR DGTASDVRRRPSENRESQRYSDGGSPQTGSDSFVVDDDEGNYDELQSPSQDSYFEDAE APSKYKVFIPKRSNIQENIFVTQLTQPPSPPEMIRGPRWKKPEPSIARASTIPTQTIG EGRAAPNAPAASVDDYDDEDLNAAIAASLESFENEQSRPPPSNTKAPTHQPITRSPTV QNEGATDTSFLLEDIPDDAFDSDISLSPPTRAQQQPATRQFGQSSNRPLGVRQTSLFD MTSRNQTDQPPIGEQVWSPPQKDEPPTQHKLDHDALSTWVYPTNLGKTRDYQFNIAQK GLFNNLLVALPTGLGKTFIAATIMLNWFRWTESAQIIFVAPTKPLVAQQISACFGIAG IPRSQTTMLTGEAAPGIRAEEWQNKRVFFMTPQTLINDLKSGIADPKRIVLLVVDEAH RATGGYAYVEVVKFLRRYNQSFRVLALTATPGSTVESVQAVIDGLDIARVEIRTEQSL DIREYVHSRNTEVQTFKNSEEMVLCMDLLSKTLQPLVDQLRTLNAYWGRDPMMLTAFG LTKSRQQWMASDAGRNAHFGLKGKVNAIFTVLASLAHAIDLLKYHGITPFYRHLLHFQ SNTEGQKGGKYQRQVVQDESFKKLINHLQPWTKNPEFIGHPKLEYLKSVVLNHFMDAG EGSNGEASDSQSSTRIMIFVHFRDSAEEVTRVLKRYEPMIRPHVFVGQSSAKGSEGMG QKTQLDIVQKFKKGTYNTIVATSIGEEGLDIGEVDLIVCYDSSASPIRMLQRMGRTGR KRAGNIVLLLMEGKEEESYIKAKDNYEKMQQMIASGSRFTFHDDISPRILPAGIRPVA DKRHIDIPDENAEQSLPEPKRRGRAPKRPPKKFHMPDNVETGFTKASSLTAGPKSKAE KSRKPRTPTPEPVEIPALEEVVLTSAQQRELEQHYRNIGAASPQFIRNPRNDAFPRLQ LVARPTKVVKHGSLTRRMIGTLQKMNNVGPDCGDRFKKILALESARQGDSVIPNRSPR HERRRRLSKTKPRYNHLSTSVDKETLSTEDSQLVTPEHLLSSVVKGQKQQPFYSSQRS KDDDSDDNFDPPDLATLLTRSAERNNALKTSRFVVSDDSDD AFLA_080550 MKSAQYVPQLVASLSPTPTIEIRLTSKQTVFTTGETLQGELVLY RRNAPQSARVSITLIGRTSTTVTKTTPFPNSGSIVDYTFLQMHHIETQGSCHDYRIFP FSFLIPGALPSDPCFEHIPPGTAAHHGKNHLQLPPSVDYNSDKYCPEMCNIIYYVHAE VDIGNQRLHATRQIQLLPLYPEQPPRLWPEGEGSEIQMADSTGLRTSLLGAKTGHVTV RAREAKPLYLPPDQRHGSTMAPIRFDLSLESVLPEPTLPDSCQVKVTLEAVTYFTLLP MDQLPNWQSTVNGQGQFFRASVCSWKNKSVGLQWIEKADYSHTTSIVVPIPVSGDVAI VPTFRHCYVAREYFAKVEVTVGYSRTLRVKVPIQIYNSLECTLKNCKRLSPAETLAPQ P AFLA_080560 MWQKVFGHSQNKPMGMSQYTYRPLAKGTTKIRLLRLLPHENKAA RVECELIEYTLTDSVGQHPYEALSYVWGRGGGPQSILIDGRAFRVTQNLHSVLLRLRN HSVVRLLWIDAICINQKDDREKSHQIQLMRKIYGEAWRVIVWLGEEADQSSEALEAIR KAAEDASVDDLPKSYSRDSPDREKHLPSSLALVQRPWFQRIWVLQEVALARDIIVMCG PVEMNGYAFISGLQSLEVLRTAHPKLQGLVQSAYYLIKDAVFRPSYRFNTRGTLSIGE LIDMYHTRHASCLHDKVYALLGMCSDDPNTDALRPNYQLPWNKVFERVIKHIISPDIS VKTWPDREAAIIRGRGYMLGIIKSVAMPGSRYDRQEVKVLFRDTVEALYLYMTSGVQW LLQTPAEPIRAGDIVCLLQGASAPTIVRISDSGLRIVMSSVVPRHKAIHDTSGWVPEG LPSEASVLHDLVLQWDWAPTLDGLQEKDRATVSRERHNSQTSIWKSHHEDGLHNPFVL HDILTIAIPSRYNVQTSDVCGIGGAPDGASAMKALLDQAGYILPITEDIVRRAADHQD LGTELLKILFEHKGNALPVTEQVVRAAAGNPEGYFVMTLLFKQKGDSLPITEEVVKAA VGNTGYGGSIWEIIFKEKGDALVITESIVEAAAGNRKEGASIMRYLFKLKKSLPITEA VLIAAATNPGPDAASSILRIILENSGGKLPLTEGVIKAVAANSSRIRASILLQLMTQK GDEQQHRALDLSTMQRLFQNLTESI AFLA_080570 MHIFAICVLHTSRHTKTKPTPYPTLGRELTVIANSDLLRRLNPR DTKKNLQAITSIVPDLTEDLLSSVDQPLEVRRCSKTKRDYLLCDYNRDGDSYRSPWSN EFDPPLDDGTVPSERVRKLEVAANEAFDVYRELYYEGGVGSVYFWDLDDGFAGVILLK KGVTPGAKSSGEWDSIHVFEATDRARMSHYKLTSTVILHLANETESLGEMDLSGNMTR QVEVDLPVESDASHVANVGRLVEDMELKMRNLLQEVYFGKAKDVVGELRSKPPLSTPC PSHVLIFHRSGFLVGSKQGARYSAGDDYVHAQVVTGDCQRYLATAVVAVVVCCVSSIS RLPIFHF AFLA_080580 MADSKEAGKPDQIFTSADRIRQLNEVDKDVARLIHSAGLAIQAL TNARSSDSAGDNSLDSHKARFKEATSQYFALLSSIDVRLRRQVYALEEASILAPDSSS RTGDLPGASGGTAAAANPLDVSWLNSRKDTVGKDKEAELWAAARGFVEQISNPGVKAE NTREGMEVD AFLA_080590 MSAPGGAPSPAPRGGSMGPGGGMSMPPQQSMGATTPVAPTPGPP PPPPSGAMSQQNLNQIVIDYLAKKGYSRTEAMLRMESANQEIDGRPLPPLGEDARPKY RLGFDLLKVWVEDNLDLYKPELRRVLWPLFVYSFLNMVTSFYPQDAKQFFDINKNLFL PEHTEDVRALEPIALPEHVQDNSTAKLYRGNKYRIVLSNPAFSNLMQFLESKQKEGGS VMSAILSSYCTIITKERAVDDRFSFAAMLGQAGAGQTFPTEDEGIPGHHPGSAYTGDN PAMAGTLPRLRLGKLPMEQTLEADVRGELADEDVKNPPAPGQNTLLQEFDQMIKKEEE DEAPTRADIPYPPSTARDVAMEVQKVKENRDRFRIEGRTGGVGPAVSVCMFTFHNTYD GINCLDFSDDNMLVAAGMQESYIRVWSLDGKRIPSTYESVEDTQPSNSRRLIGHSGPV YAVAFAPSIARTDNAIAPTNARWLLSSSADKTIRLWSLDLWQCMVVYKGHDQPVWDLQ WGPFGHYFATGGHDKTARLWVTDHIRQQRIFVGHDQDVDCICFHPNSAYVFTGSSDHT VRMWAVTTGNAVRMFTGHTGNITALACSRDGKLLASADDHGSILLWDLAPGRLLKRMR GHGKGGIWSLSWSVESTVLVSGGADGTVRVWDVTGPAQDSTQGRVVGEGGAGTKVDGG NAPASGTQASSSVAPKKKGKDVVVTPDQISAFPTKKSPVYKVKFTNMNLIIAGGAYLP AFLA_080600 MSQLQKSFAKAKLAKLPPEAPPFSMHPPRDEDDSESASSTGTVV PSPSRQLFARSRGSTSNSVETLNWTDFFTQELFLIQETDSARITHHVYLTPPTNSGPL FVMHHGAGSSGLSFATCAEEIRKILPKAGILSIDARDHGQTSTYTETGEGKVELDLSL ETLNRDLVFIVRETQSKMGWESLPDIVLVGHSLGGAVITDVAKKGELGPKVLAYAVLD VVEGMPFLQKKSAAGSLVGRVRQWTLSKAWKSICLRGPLGFPPLPQGLNGSMFLSYLH HRPLRLALSTRGIHPPCTNNLSTRSRTIRNRTSARVSVPSLLYEEAAPTDPSKPWVWR TNLAETKPFWENWFIGLSKKFLEARGGKLLLLAGTDRLDKELMIGQMQGKYQLQVFPE AGHFVQEDQPVKTAQVLVDFYKRNDRSDLVLPPKVADMQASAAMKQGAGAGAVPPFGR GQGSSHKQ AFLA_080610 MVALWNKKKDDPDREESQTRDEESSSRNHREPQYREPDERTRLL PRDHAAYLRPDDPAVSPYNLWSVRALRALSSLFLVISFIWWAFLLVSIFVSPPMMHTR GSGFFSFAYTSLTVGYLIIALLFFSVPSKPMTICGAILFVFLLVDMCIILGVAHIRVE EGWVGIASVVWATFISIYAIGQNRYVAWGKKEEEERLTGREETRRPLREWLAVLIATI IMVVLAIVSILFTATLIIRIQDSSLAAPGKKYYVNGNHYQVHLDCVGNPGRKTEDGDR IPTVLLEGNHGPVEHTLQPFIDDAYRNGSIERYCYWDRPGYAWSDNAPSPYSAGMAAD SLSEALALAGEEGPWVLVSAGVGGIYSRIFASRHLLEVDGIMLIDTLHEDYLDSLGSP GRGFILWIRGVLSPLGLDRLAGAIFKGRTREDRVIGRSAYQTAKVIRAKLQENLVAKS MTASEIQTARHVQMPDTPLTVISSGVEVRKSEKWRKAQEELTKVTKNLKNWDIVRGAP HEVWRSAEGRSIVEKRLKQMVKGKGKK AFLA_080620 MASRPIVLRFESRNGQFRLTVSPQELFPSLKQKILEHLPPDTEP SSINLSNKPIGTGGDERLLDTLDGIALGTVGLKHGDKLYLGYQEKQSLQDGSANGHTT NVSSRRLNGAPVPQTETVSLRPQPTSPTATIKNPWDVVQQSPLDDALDKKDGKIKRNR DMKMCKHGPKGMCDYCMPLEPYDPKYLAEKKIKHLSFHSYLRKLNAATNKAELKSSFM PPLSEPYYRVRRDCPSGHPSWPEGICTKCQPSAISLQPQEFRTVDHVEFSSPDLINSL LDFWRKSGAQRLGFLYGTYEEYTEVPLGVKAVVQAIYEPPQVDEIDGVTLHEWHNEKE VDEVARLCGLEKVGVIFTDLLDAGQGDGSVICKRHIDSYFLSSLEIIFAARLQAQYPK ATKWSRTGRFGSNFVTCVLSGDEEGAISVSAYQASVAAVEMVRADIVEPSAEPSVMLV QSEEDDSENKSRYIPEVFYRKINEYGVSAQQNAKPAFPVEYLLVTLTHGFPTESSPLF VEGNFPIENREVIGESQELRHVAKKLVSHGDPDKAIRAVSDFHLLCFLHSLSTFSKDE EALLGRVATKHDPADGVQLISTPGWATLVTILQESGS AFLA_080630 MAPKVAIVYYSMYGHIAHLAEAEKKGIESAGGQADIYQIAETLP KEVLDKMHAPAKKDHPVIAPEDLKNYDAVLFGIPTRYGNFPAQWKAFWDRTGGIWATG GYWGKYAGLFVSTGTLGGGQESTAIAAMSTLAHHGFIYVPLGYKTMFAQLSNLQEIHG GSAWGAGTFAGADGSRQPTALELEIAEGQGKAFYEHVARVSFA AFLA_080640 MSAEVSHAASQILASGSERDPSYNDFSFIPFLRNSFGFGLACDV PVCKAYSEGHCPLGPACPDRHPTPSRVTTSTTTASGLAPSTTHGSLVCKHFLKGLCKK GLKCEYLHEYNLRRMPECQSFSRSGYCPNGDDCLYQHVREQARLPPCEHYDRGFCPLG PLCAKRHVRRRLCQYYLAGFCPEGKGCADAHARWIENLPKPSIRVEKTEEELERERIL IREEQEREKEREREWRSERGRGGGFMRGRFRGRGRGL AFLA_080650 MPLSGGIFPHWRRKAAERSSNSSYEVPYYINAAYYPNWRIYRKQ PPSSLRLGFVSHIFYAFAWVKEDGTVYLSDEWADAQMPVDGTQGCIRAFTQLKPQYPK MKIILSVGGGGKGSENFALVARSQSRTETFVRTARALVDQFGLDGLDIDWEHPADPQQ GMDYVRLLAKLREALPLPRFVLATCLPAGQWALRNIDLSKASLYLDLINLMTYDFAGP WTNESGHHAQLYSPSRNPGAVSCQSSVQYVISQGVDPKKILLGVPAYGRSFLGSEKPG QRYAGTGGEDGVFDYSDLPRPGAKEHHDDKLGAAYCSGGDGGFVTYDTPRTVQQKARF ATKTKLGGLFYWHIGGDARGPRSLIETGYNTLHEM AFLA_080660 MGVNFKVLALSALATISHASPLLYPRATDSNVTYVFTNPNGLNF TQMNTTLPNVTIFATGGTIAGSSADNTATTGYKAGAVGIQTLIDAVPEMLNVANVAGV QVTNVGSPDITSDILLRLSKQINEVVCNDPTMAGAVVTHGTDTLEESAFFLDATVNCR KPVVIVGAMRPSTAISADGPLNLLQSVTVATSPKARDRGALIVMNDRIVSAFYASKTN ANTVDTFKAIEMGNLGEVVSNKPYFFYPPVKPTGKTEVDIRNITSIPRVDILYSYEDM HNDTLYSAIDNGAKGIVIAGSGSGSVSTPFSAAMEDITTKHNIPIVASTRTGNGEVPS SAESSQIASGYLNPAKSRVLLGLLLAQGKSIEEMRAVFERIGVA AFLA_080670 MILLIMRRTTVRTYPISVSCITGAFQGIWSRLGPKSPSSAVLDL NSLKSTHVKIRHLRYFGRRRLLCLLITLPRFFPTFSVCNCGVESCEARPLEQNKRDKS LPGLKLEKQLGLPRHKMSSDKPEVTEVGMVASPAATKPSFKSRVAAHFKKWWWAHLIA FVVVVLVVALPVVYVGYPNIAQDNINDSKLEVKSMVISEPTPNSFHVDQQQVIWTDSV FHPTIYSFNASVGLLGAAAFGVATIPQLKSRDGVEVHVDQRLDLTDVSAFGDFATAVM QNEYVDLNVYGKPDLKQGALPKITVTYNHTATMKGLNKLKGFSLSGMHLTTKASDGTN TQGQVLIPNPSVMTISLGNVTLDLSVNGTAIGESYIQDLVLKPGNNTFDMRAKVDQLA IIGMMKKYPGTVVPVDITGSETNSSVYDGQALSYFSRALASNKLRVDLNITEVVGSSS SLS AFLA_080690 MSPPLCTPVRSRGLGLGDPEESPKGDGSMKRRFLPLRPTLLFGS LEPWRKKMEIELLAGFLVRVSAPVQ AFLA_080700 MDARPRFGELRSPSHDTLRSGLPSPRIEHFDGEIPPTLSPLDAF AAQGRLLAKQLEESARRDRRMSRLPPASVARSLSQPRPGYFRSPSSNDSSRSGRGNDL TRQPTLKANPELEEPKFRPQSEHPRLSGVPNFANGDAPHDDANRTLENEPQVVSSEED FLEATQTGSSQEDTAKGVVPEAPTRFYAASPPSMALTGSPGNSLTDAASSRLNIPRGL APPVSPRSRPSSSNRALQPESSDDDYSSSTAGSTFSKPRKLSSCSATSLPQSPMTSMN RSHRRSPSLNSEASNNGGHPPRPSYNFSRPLSRSSTSLSAPVPTGTSEQTQGTKPRGS KPQPIVVPSVADMAKSMREEPSSAVSYTYAKYPLPRGRQVSRDSVVFSGLHTPHFEWQ EPLFESPDQLSATGPPRSSRTPSPPPSHHSVSSKKARSMYDSPAFGRQLLTPEMLPSA PQVPASPEARRSSEAASTDQPVLGEPALSSSPTPSSAPAPEAPTNEEATDVTSSADSA STVRPQTAKTNASSAVITADEHVTKGIECHEKGSLQESTYHLRVAAKQDHPTGMLLYA LACRHGWGMRPNQQEGVRWLRKAVDSVGLELMDDSNPAMPSRVRELQKAYRAQFALSI YELGVSHLNGWGIEQDKSLALRCFEVAGQWGDTDALVEAGYCYSEGIGCKKDLKKAAK FYRQAEAKGVNMVGNSWIHKDKYLSDENPNTGSRGRGRHGGTPDKKQRSKSRTRSLFH RKKSTAAEA AFLA_080710 MRRLPPFNSLMGADPSLDSQHCFETSWLLPPLAYAIFRGAIALY IFVTIFFIWGWDGTHGNREAIGQSFSYFTWLTYWGLGFYHIFACIHTTLYALTGRSVL FDRWPRGLRALHSLYYTTITTFPFLVTIVFWAILHPSWFDVTFNAWSNLSQHGLNSLY ALLEIILPATNPHPLMTFPFLVLLLLLYVSLAYLTFHTQGFYTYSFLDPGVNGEKSGK VAAYCFIILAAIVIIFGITWGVIWLRRKLTGGKVKRSRFDHERPIEMRETEV AFLA_080720 MTSDDQFFFDYLASIPHDVRRYSLDVADSIDRQFDHAAKVIRDT LSHQSWLPSSVRPTPPPSRVRASQSLVDRAHDWILRNRAWSAAILAFVGTTSVLYFGN KKLGGRRRKARRAGNGARKEIVVVAGSPHEPMTKAIAVDLERRGYIVYITVSSADEEQ LVQSENRMDIRPLWLDLTATPSSTSEIHPSLTEIHSLITQPQWPMPGVPPHTCQLSGL ILVPSPNYVAGPLATIPATSWADTVNTRLLSPILTTQLFLPLLTARNTNSTIVLIYPS ISSSLSAPFTSPEVTTARALSGFATSLRRELCLLQHSNIDVVELKLGNIDLGPQYRNA QSHITGTEVLTWTTQQRSLYGSQYLSSIEQRPVASAGPSMIRGSAARTLHYAVLDALE PASKDIFGRKKSKTPVIYAGRGAWSYSIVGDWAPNFLVGWMLGLRSGPTTPSHSPSGS SSETSWEKV AFLA_080730 MREIDPLVFEYQHDRHRPRESEALTMLKKIASLVKPIMRRRTWK VGTLSEFYPHQQNLLGLNINRGQKICLRLRYPYDERQFLPLEQVVDTMLHELCHIVHG PHNREFHALWNQLRDEYEELLMKGYTGEGFLSEGKRLGGRRIPLHEVRRQAKAAAEQR RALSAGSGQRLGGAPVLRGTDMRRMIADAAQRRIDVTKGCASGAENSNELAEEASRNG FRTKAEEDDANEQAIMQAYIDLIEEEERERYGPSYVPPSHENPAGPRSTLSPPPVPER TRPTMTPQPREPIDLTVDDSLFEASWTCPTCTLENPANFLCCDACTAERPRPSNRRSV SGPAKIQGSSGSQNKNKRRISYLDSQPTFKNRTNAVETLAALERNTAKRPLGWICQFC ETFMEAEWWTCSNCGTVKASS AFLA_080740 MCYISLLSVTDTRKYRKFEHSKTFGLVASATSNAIWARDDEFAG SARQTGSGRAIVGAGEEVLCWDIKKGELLGRWQDSSCKAQVSVVTQSKTDEDIFAVGY EDGTIRLWDSRTATVMISFNGHKSAITHLAFDSAGVRLASGSKDTDIILWDLIGEVGL FKLRGHTDQVTSLHFLVPSMELLNAAGLSEHAGFLLTTGKDSLIKVWDLSSQHCIETH VAQSNGECWSLGLSPDQSGCITAGNDGELKVWSIDESALIEISKEKVGSEDRRILTER GTFYRNGKDRTIGVSFHPRADYIGIHGSEKAVEIWRIRSETEVQKALARKRKRRKEKE AQRAAEKEGVAAEADNEKSDDISSAPVTEVFVPHTIVRTGGKVRSFDWIRIKSTHSIQ LVAATTNNQLEAYTVNTAKGKKDEDEEDYNRNLAVDIPGHRTDIRSLALSSDDRMLAS ASNGSLKIWNVRTQSCLRTLECGYALCSAFLPGDKIVVVGNKDGQLEVFDIASSTLLD TINAHDGPVWSLHVHPDGKSVVSGSADKSAKFWNFQVVQEEIPGTKRTTPRLKLVHTR TLKVSDDILNLRFSPDARLLAVALLDNTVKVFFTDSLKLFLNLYGHKLPVLNMDISYD SKLIVTCSADKTVRLWGLDFGDCHKAFLAHEDSIMGVAFVPHNRDGNGHNFFSASKDR VIKYWDGDKFEHIQKLSGHHGEIWALTISHTGEFIVSASHDKSIRIWEQTDEPLFLEE EREKELEEMYDNNLVDEEDEEGEKAEAVDAGKQTSETLMAGERIMEALDLGMEDLEIV REWRAMKAAQPNIAPPDRNPLYLALGNISAEQHLLNVVQKIPAAALQDALLVLPFSKV PALFTFLNIWADREWNISLTCRVLFFMLKTHHRQIVASKMMRPMLDSIRSTLRRVLAR QKDEMGFNLSALQYIGNQVKEQGTKDYVDEEQWEEQQKTTATGKKRQFISIA AFLA_080750 MLLLDYHNVLIHALLTERFSGSPPVSIDQIASDFDGVTFHLSTP ESKTKILISINVKCFRELVQYGAQQVLEREYGPYIVAPEPGYDFSVLIDLENLPAEQE AKDDLIMRLALMKRNAMAAPFERAFDEFAKLSEEASKYSTEAAPQGVKEGGEVMAIHY REEEAIYIKASHDRVTVIFSTVFREETDRIFGKVFLQEFVDARRRALTLQNAPQVLFR NDPPLELAGVPGLKDSTEGQTSYVTFVLFPRHLTPQRRYENISHIQIFRDYFHYHIKA SKAYIHTRMRKRTADFLQVLNRARPENEERERKTASGRTFRIQS AFLA_080760 MSTEQAAVQPIDPIAEYYDLGSFRRTITTKSPEAQEWFNRGLIW SYSFNHDEAKRCFMQAIAHDPSCAMSFWGLAYATGPNYNKSWRLFDPRDLEDSLKICY NASRKAKELLSSTTVTPVEQALINAIQARFPADHPASYDFPTVDKAYANAMKEVYQHF GDDDLEVVTLYADALMHTALRKMFHVQSGLPIAGSPVHEVRAVFDLGLRHPSADKHPG LIHFWIHYLEMSATPAVALPAADRLRHLVPDAGHIHHMPTHLDVLVGDYRRSIDSNTA AVLADEKYLAKNGAKNFYSFYRLHNYHSLVYAAMLAGQSKVALRTLDQMESSLTDDVL RVKTPPLADWLEFFKAVRIHVYIRFGLWDEIKNLPLPHDQDLYCVTTTMTHYGKGIAW AATGNIPEADKERELYHAAAERVPPTRKDFPNLISDVLKVATAMLDGEIEYRRGNYHR AFESLREAIHHDDSLMYTEPWGWMLPTRHAYAALMLEQGHVEEAATAYAQDLGLDDAL TRAHQHPNNVWALHGYHECLVRLGRKAEARIIKQQLDVALSVADIQITSSCFCRLGVP GAKEEVPACHR AFLA_080770 MSNIHKLKRALPWVQTPILINAPMSGAATSDLAVAVSRAGGLGQ IGFLDSKRSLAGQLERAKHELHDIMNAQKDIPEPVLPIGVGMIVFGSPVAHWLSLFSK YKPAVVWLSFATTAEFKVWAEGIRKASPYTQVWIQVGSVSAAVEAAQACRPDALVLQG SDAGGHGHALGASVISLLPEVADVLRDRGLDDVSLIAAGGIVDGRGVSAAIMLGAAGV VMGTRFLGAEETKLPRQFREAVFDASDGGQATVRSRVFDEMWGPSPWPEVYDGRCLRN SSYEYVKRGMSMSDMRLQLHRDLQGTQGQQLDFKETVTVWAGTGVGMVKKSDRAADIV EQVQCEARRRLQDASSWL AFLA_080780 MLPIKAPVKLACLPCRAIKTRCDGQNPCTNCYNNRRECRYRPSR RGGARKGNAFKAKKRAIAATNTPSPFLSLDPIETQGLPYALANIQDVRDLSVGDLTSD ENSNPAFELGSLAIRAYGCEADLINAYYVFIHPYFPVLPPPAVAQYEDRPFAISLRTV QANQTFLPYWPTSPFALALSAILVLFPLPEDPHSMSETAASARRSYAELYANAAMSSI ENWSDLPEPATRLTSTAGGPTRWSSLHPDLPWKLESILALVLLGMYELCQRGNFSKMR ARTNQALTTAVDLSLHTADPDEPGCSDAQSRAWWMTVSLPALTSVLHYRLIACCRCFS VIILRFSVIRALSSSSTTLGSAHRTQYSVAVLNARIRLHRFRAFLDHPLFLGEHCGLT SINRIDFLNSSHPLSPSRITKIHSTFPFTEQESAAICLKSSLAVSRVFRHLTPPNLYY TDAPSDNEASPRSSTSRFCPRSLPYMACCQMQSFYALTMLLRRVRTSLSSGDLQACYH LLSQPEPGSEVQDAERLVEELRNGLESLSASMIADVAFEGVVGMVQEIEGVCFATLS AFLA_080790 MGSVENSPVPASAEYYDLGSFGHTITTTSADTQTWFNRGLTWCY AFNHLEGAYCFEQAISHDPSCTMAHWGLAYALGPNYNKGWDRFDPQDLAVCLKRAFAA SRRAKELSVHALPWEQALADALTLRYPSETPLADYSVPSAEYAAAMKSAYEKYGSDLD IAVLYADALMNLTPWALWDLFTGQPVKGAPTADVQRVLERALEQDGGYQHPGLLHLYI HYIEMSPTPELGMNAGDHLRDLVPDAGHLHHMPTHLDILVGDWRRSIASNYNSTLADD KFLRRAGAVNFYTFYRLHDYHSLIYAAMFAGKLKTAMDAVARMEASVPEEVLRMKSPP MADWLENFMTVRVHVMVRFGMWEEIIQMELPHDQDLYTVKTAMVHYAKGVAYAATGRV GEAEQERELFQRARTRVPETRRAYNSKCSDLLAVASAMLDGEIEYRRGEYEQAFDSLR KSIELDDRLPYSEPWAWMQPTRHAYAALMLEQGQIEKAESVYRADLGLDNTLIRPRRH PNNVWSLQGYHECLVRLGKTEQAAMIEPTLRLALAVADVPIKASCFCRLDTSQAPEVI KKGCGDKGRCH AFLA_080800 MAYDIDIESAMALGTRCRYGLRHLALRFEHQHIRDGHIKPSTWR YPAPCSVAWNLLTGIGQYKNIGISGLQTLILERSGITPWQLTMLVKKNPNLTVLKLKT CHGAQPDFLNWLGGLEEDPDDPAETVNGYAPGYRLEVFWLENCHEILTHPVDDYEDFP KEACDSGLEWVRGLRNLKEANSTQSLSFSKCMNIPSEYVERANKLIWKIPDITLPFYN YEEDTPIEVDPTFL AFLA_080810 MAPIPRPALPYTISSPEWNIFSEAVKALEDAIDDDASDWLHSVL SVFSKVYTVAKIIVTILMYYGIEVEDIPDSVPAHIRRAEQIVTSNSITDLIEAAESLY YSVYARLIMEIANVDLSTYFNLELRRTSRKDGFTLPEPDHLLKILAHCKDTLEAPTVC NHVNEDLIKFHQDEFIRRAMERAIGTGFFLDDLLGYRRYTMGIVSDTSSEFRSKWNHD TFLYQIPPEEILTVQSEKYLSFIPKPEAVLSFSGFDLPFIDRNSVDPGLWERELVKDH RQSALAKMEGKGIGDVRRVDERRRPSDYMKERKCVCRSSCICSWECTSDPERPCPCAD RMMQIMLAKRRKAPGTRDFATRCGSLAKAIFECASLIKRDIDDIEIALELDRAFTLVD SEIEAQRRTPLKTNGVKSSSEDRSFDMG AFLA_080820 MVPKTVSTSATVLISSSFSSPLSRNPQWIANFRRPRTGVRRYNR AAWPSYGITTPVPDRPPQFPSSGSRKSPFCFQTGYALCAKRPSRPFPPPFLSPPSSSF SDPLTTHYHSQDKRLSVKGELVRGLNNGDDAVLVADNFLGVDDGVGAWATKPRGHAAL WSRLLLHFWALEVERGVNNNAPLDPVEYLQRAYEETVNATTAPSEWYGTTTSVTAILH WTCDDAGNEKPLLYVTNIGDCKLLVIRPSEEKVLFRTKEQWHWFDCPMQLGTNSVDTP RKDAVMSQVALEEDDVVLAVSDGVLDNLWEHEILSITLESIKKWNQGRHDNTDLEWAP PEVLAEERMVFVARELLKSALAIAQDPFAESPFMEKAIEEGLAIEGGKMDDISVVVGS CKRRAS AFLA_080830 MRAKRSKKYRKLMHQYELAFGFREPYQVLVDSNFLNAVHSFKME LLPYLERTLQGKVKPLLTKCSLAVMMANQPINPRTNNPVRPAQLPPPTVLPLRHCSHN EDDTPIDEAECLLSLLSPSADVKRNKEHYILATADPATPKAAPQNDKKRKRGVDEAEV ALRKSRMFRSAARAIPGVPIVYVKRSVMVLEPMSSLSEELRDGYESGKFRAGLNDDAV PKRSGDGEKKKKGFKKVKGPNPLSVKKPKKRDTESARPAKKRQAEDGEGKVSAERTED GDSAPKAKRRRRHHNRGTKNEGEDGGDAAPAVTMEE AFLA_080840 MHSHLHTPYNANCEAIMTALDECHAKGFLHKALGNCNDIKRDVN KCLAAERYERAKRNREAARENRKRIEKIWADEKAFEQGFSSTPAGVGAEKKE AFLA_080850 MSSMITTTSWVRRGVAAQMPIKYEIDEEEMNRISTLAKMQLEDA QSDLKAAQEGDDDDDDEAMEEDDKKDVMEEDSGKKTEATTADDDDLKEYDLDHYDSDD VDEDGEKATMFGNVKSLAYHQPEEEDPYLVMPPEEEDEEREELQILPTDNLVLAGKVE DEVAHLEVYVYEDHDANLYVHHDIMLPAIPLCTEWLDMPVGKTAEGRTTGNFVAVGTM EPDIEIWDLDIVDCMYPNAILGQGGEGEAKKPKKKKTKANDEFHVDAVLALAANRQHR NLLASASADRTVKLWDLNTTKCAKSYAHHTDKVCSLDWHPKESTVLLSGSYDRTVVAA DMRAPDSKARWGVDTDVENVRWDMHDPNYFYVTTDGGMVYRYDVRNVPANPKDSKPVW SLQAHDDSVSAFDINSAIPGFLVTGSTDKQVKLWNVENDKPSMVVSRKLEVGKVFSTT FAPDPEVSFRLAVAGSKGVVQIWDTSTNAAVRRAFVSRMPSLEGEVQERTVGVQADQN ESDEEDAVQEVGAAAVGADGWESMDED AFLA_080860 MSSLTRAFTKRHKRPEVSAPMPYREGQVKFSSGTINRGKISAPV ELLSTTNMLAYNAPDLHSAGSSSTSSLQSPDDSELSFAHQSFGSPVTTPSDSPIEPNP LSSYFPKRSATVTSHPRSSTSTASSTDAPLVPKRALSHTKRSHQELARQRSISRLSPP PLNSVRSSPAIRPAQEYSNPEPHPFGKELEQVNEVVEEFGGARVLDEEELVLQNKGLM KFTVDEYLVEIEDLYGSIFDDRMGPIACGQWL AFLA_080870 MVALATPSRNPATGIRQPSRSKMLATSSPVPILKTAKETPTAGI KHKMEDMNLSLSPSSSGSSDGEGLPSPRKRARVQFKDVEMVSFDERVQGNTGAPEKSA AVVREEVRRAIQRHISGTDSEAYDRIKEIFSVDPRRGEEDGMFSYDVPTHTTLRYHLL GLLSNVASLDRSCNGLVNAVLNSVWLGRDESYVKLYIRFLGNLAAAQGSYLGPVLKML VTYLGELPKGTGKLPGYAPVLVPEIYTRVHMAIRHIVHLIPSGSGSLSPVLSMQFPFD TDSPKANIAYTQNLIRVIGYAPELQADILALLTEKLVKIDVQIQVDMDDLDDEEEEEV LHAVSPGAIVFGEDDDDDNASVASDDSIDAESQRLKTIKDNVLKLDGMIDSLFAYYAP TFASGTLDDKENTLDLLLSHFQSIILPTYRSRHSQFLLFHFSQSSPDLVDRFTTACIE IVFNKMQPAITRQSAAAYLASFVARGAHISGEIVRDVFGLLGGHLRDLRDLYEPSCRG PDLRRYGPFYSTAQALLYIFCFRWRDLTTAATEGDSIEQVDELEIEDITFPPMVKEAL HQTIYSKLNPLKVCSPAIVSEFARMSQHLNLMYVFSILETNKRIRMTSFRNLAALADP RFSLVERETRAGDDLGYQLDAYFPFDPYQLPRSRHWVEADYIHWRGIPGDDQDESDSE VDDFESDDDLSDETGTDDE AFLA_080880 MDGVKRYYSSLETRLGNWIVYGGRAHLGYYPPDVWWPFPVHHAL IAMEDQVFRSLRLNPGARVLDAGCGDGQVAIHFAQKGLQVHAIDILPEHVQQAQQNVR EAIDKVDWNAKHNSDTVTPLDALTVQQGDYHDLQTEENGSLDGIYTIETLVHATDLNR VLSEFYRVLKPGGRIALYEYDHWGDDDIQQTNQSEKEAMDKVRLHGAISKTANELSQS LLEDRSEHVCSQKGLAGMLNKAGFEDVQERDISPNVNPMIRFLAYFLYVPSMIVLTLG LEAYFINTVAIVANYQRGWKYIAVTARKPATVSG AFLA_080890 MASSRWWLLVQAVFWRFLMRIGMFIHHISFPRPPRRSFVRSIPS GSSRVDLYFYCPPEYSRDIKEGRRFPVVVNFHGGGFTLGCPTDDSRWAQSVLAEVGAV MVSVGYRRAPEHPFPAAVDDGVRAIQYLSAHAIELGLDVSRIALSGFSAGGNLAVTVP LRLRSLMCQESRDPWLDRAESTEQLVDASASDVNIVALFCWYPILDFEESREHRRAAS IMPDKTLPEFFTNLFDEAYLPDLAERRSPYASPVRAADALLADALPHDIFFYICEWDM LLNEGQQFVRRLQNINKHVRAMMIEKVPHAWDKSPNPWRDQEQVDILYRDACADMKAI FNA AFLA_080900 MSIKRALSKIVPNKIDTNDSSPAPSRRSGSMSPRRSILSGFLRD RGGYASSSDDFSDDSSSPTTGTMSKNQQKRLARQQRRQERSRLSEEHHSEESERHKEA VAAAAREETAEMKARYGELPLLQSRSRPRELRTKFEDISVDSVGKEVLFTARLHIIRR MSAKLVFLVFRQQLYTFQGVLHETPGKNSIAMVQWVEHLRVGSIVQVRGTIQAPEVPV LGCTIHDVELAIDAVHVVVRREEPVPFSVYEAEIQTPEEERVEGRRSHIPDRTRLTNR LLDLRTPTSQSIFRIQSAVGNLFRTALDEQNFIEIHTPKLQGSATESGASVFEVNYFG RDAFLAQSPQLAKQMAIAADFGRVYEIGAVFRAENSNTHRHLTEYTGLDIEMAIEEHY HEMLEVLDAVIKNILKGIYGRFRREIETVKQQFPSDDVVWLEETPIIRFSDGIKMLND SGWRDEEGNPLPVDDDLHTRDEIRLGELVKEKYGTDYYILDKFPTSARPFYTMPDPDD NRFTNSFDIFIRGQEIVSGGQRIHDPQMLEENMRRVGINPDTMEEYMEGFRWGAPPHA GAGVGLERFLMLLLKLGNIRLASLFYRDPRSFPAKPPTLQLRHPESSTVEPSWVRDRK GHLAPDESHLQPIEHLIANYGDATSTSWGDERFKIWRDLATGAAISYVPSSSNYAVIP GDPLCDSGQYSRVITQFLQWMRRETKYKPIWLLCSPQVEAILGEKLGWRSLSCIAEER VDPSRNQAASDGEIARKIRHSESEGIKLVSMNQGEMVPDNIREKIDQRIQDWLSNRKG TQVHLSEIRPWRDHAHRWYFYAVDKEGNICAFVALATLSPSHGMQVKYSFDFPGSPNG VIEHIVTHAIQTAARSGVKSLTFGAGATTTLTPGHNMHGAKVKMLQHTYETLAKQFHL VRKSEFRAKLGAQDDPLYIAYPPHGLGSKGIRAVLHFFED AFLA_080910 MMLLQYLLLLCTLAFPAIANVEKTIFIAPQPLIIPTVDPTLDDL GLDRLSSSSPVLRTRINATFPTNEFPGTDSWYFLENLTPGQRYEVRVCWLATQPTAFT LTTHTLPQAIDDPALFSSISLYSQAHLASPQSNTVPRKSSSFHDQAPTSDSVLFLRVT AAADYFSLNKALMENVPPVAADIILDPFLWNIFPQSLVPTACYICVVGCLAVVIAWWV LGELGRVVDYMNSQHPDNKKDK AFLA_080920 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKKED PDHGFSTFFSETGQGKYVPRTIYADLEPNVVDEVRTGTYRTLFHPENMITGKEDASNN YARGHYTVGKEMIDQVLDKVRRVADNCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPSYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKASHEANSVNEITMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKETHAAVAT LKTKRTIQFVDWCPTGFKIGICYQPPKQVPNGDLANLSRAVCMLSNTTAISEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSLEEEVEAEY AFLA_080930 MADNTRHPNLNLTPEEKRIFYQLFQAADTTNLGVITGEVAVPFF EKTKLAPDTLGLIWQIADRENRGLLTPAGFGVVLRLIGHAQAGRSPSEELALQPAPIP RFDGIQVDTSAPTREAGATSPPPTTGPSIRVPPLNLDDVNKFLSLFEKSDVSRSGILS GEIAKQIFERARLPNEILGRIWNLADTKQRGALDATEFIIAMHLLTSYKSGAMRGIPQ TLPPGLYEAAARRGSSRASIGSRPGLDVPPVPAIPKQFTGPQRTQSPINRPFGSPVSA QSTGADWLITPHEKSQFDSIFSTVDSAKLGKITGDQAVTFFMNAQLPEETLAQIWDLA DIDADGQLTKDEFAVAMYLVRQVRSGKEPLPQVLPPALIPPSMRRPGSAQMAPGPIPA QATGIAQAPAPAPAVRSAADDLFGLDSLSAPTPAAAPAQVPQSTGGSNVPFQMPASPT SRASPPSTSTTFKPFVPTSSFGQSLQPQTTGASSGVGPTTRSPASPSDDLLGDNDPEE SNKLTQETTELANLSNQIGSLANEMQNVQAKRTSAEQELSQTSQQKRDFEVRLSQARA MYEQEVKNFKALEERLNASKAETNKLQQEYALIEGSRQDLQNQYDQVSAALTADQQEN ASLKEKIRQANAAVSQLKPALEKARSEARQQKGLAAINKKQLATVEGEKEKLQEEMDS LSKEQTYEPDESAAAASAIPRVSSPAASTTSQNTNPFFKRQMTGSSESNALSPQVSND QQRAFDSLFGPSLGAPVNTATPPPPTSFRADSHPTSIKSATSGAPTPSASPPPPATAF FNEPPAPPQSRQLTPNVLPFGESQSATSSTMVSPPASRYDTPDLSATSQAGASEAGPT STPAFDESEELKQKFPEIPGATEQFANAAVSPSNEEQPVEKKDPSFDELFGGPAHQRS KSQNKNDFEEAFAAMKQGSVPNKPNGAPAASEFPPIRELDDDDDDDDSTDSEAPMGFD DNFTPVAPPQSQIASKPPAADSQQSPPKYEESLEKEAAGEAPEFNGLLPKREDPTAFP DAPHSVESSTGAPVVHGEPQREPIKETAVPPTQKPSAPDFEAAFAGLNLAPAKESEDD DDDFETPDNKNNHDFDFSFDSPTQRKASSPGPSAGNAASSDFFSFDKNVAHSSPGGAA SPSNSNEKPQTHDWEALFAPLDNVQSPGAANGTNTATATSPTGHDGKAPGWALQTGTE DDQILQRLTGMGFPREESLAALEKFDYNLDKAVDHLTSKS AFLA_080940 MPNIQHSLDTTGAPHGDGASSSQDYVDPKEQFVTRASAEYKSFT ENVEDGEDVENIDTLIEELESLDGNLDYNDSGQDEKSTPCCISEELLQTDPSTGLTEP EVLLRRKKYGLNQMKEEKENLILKFLSYFVGPVQFVMEAAAILAAGLQDWVDFGVICA LLILNASVGFIQEFQAGSIVDELKKTLALKAVVLRNSHLAEVDASDVVPGDVLEIEEG TIIPADGRILSSSVLQVDQSGITGESLAVDKADGDTCYSSSAVKHGHARLVVTATGDY TFVGRAAALVSAATSGTGHFTEVLNGISIVLLVLVIMTLLVVWVSSFYRSNGIVTILE FTLAITMIGVPVGLPAVVTTTMAVGAAYLAKKKAIVQRLSAIESLAGVEILCSDKTGT LTRNKLSLAEPYTVPGVTSEELMLTACLAASRKKKGMDPIDRAFLRALKGYPEAKKAL TQYKKLEFFPFDPVSKKVTAVVQSPHGERIVCMKGAPIFVLNTVKKDHPISEGVETAY MSKVADFAVRGFRSLGVARKCNEGEWEILGIMPCSDPPRHDTAKTIHEAKSLGLSIKM LTGDAVGIARETSRQLGLGTNVYNAERLGLGGKGTMPGSQVYDFVEAADGFAEVFPQH KYNVVDILQQRGYLVAMTGDGVNDAPSLKKADAGIAVEGSSDAARTAADIVFLAPGLS AIIDALKTSRQIFHRMHAYVVYRIALSLHLEIFLGLWIAIMNESLNIQLVVFIAIFAD IATLAIAYDKAPYSRTPVKWNLPRLWGMSVLLGIVLAIGTWVTLSTMLSGGEQGGIVQ NFGKRDEVLFLEISLTENWLIFITRAEGPLWSSVPSWQLTGAILVVDLMATFFCLFGW FVGGQTSIVTVVRTWVFSIGVFCVMGGLYYLLQDSKGFDNIMNGRWPGSKASRQRQKE DFVVSMQRTSTLHKKSSLNGTDTGTELPR AFLA_080950 MNREIPGFYYDPEKKKYFKIEASHKAPAGSQYSKDAVKRKRKDQ EKRQRKVHLTRRIAKEKIKRAAFLANPLIGAEREIGTQLVTKSSRQDQRGLLYASQLR RNQLHQFEPWPDEYSIKHVLRNQRSGILVASGHRGGESSVSICFPDCDQEKWTYNRTM ERVLFKEPYRLSSISLSHTGYLLATMDSGPNGDSFLAPRMLPDPDEGGDYRWPPSFSH PVRLRMASSLWCSAPCPVGTMPFFAIGTSDGLHTLEGFGSYWALSKKSFANDVYSGKP ILHRRIDSSHALVTSVEWLSAQVIAAGLKDSAIFLHDLRSAGTATRLQHSHAVTKIKS VDPYRMVVAGINSLKMYDIRYPANGLQRNPNPNSKHHTSTKPYLSFSDYSPEIIPDFD ISPELGLLASASDERKIQLFSLRTGEQVPSPLSKYQYDHSISSVCFESGNGSLHGPQT PSILVCAKDTVDEWIW AFLA_080960 MLPIEIPRALSQNWPCRELQTRQLASLLGPLGPSPATLVVHGIS ATCKSTIVRAVLSALEVPHAIVRSTECITGRHLLTKILWATLEALGKRDEWENYGKGR CEHVSTLAVLLSECLASRSGKAIEKFVLVLDGIDKQREAPQTLLSALARLGEIIPSLS IVLILSATPRPLFLQAAGVPHISFPPYTRNEAISIILNSRPPTVTGLSAEVPPKIYPH FVSAIYDSLVGPTASSIPTFRSICEKLWPQFVSPITNGETPPGGSEEWDFSRLLLKNR GLFRHHGEAALVHHIVTEEPTSFTNGSMSKSMLPAVSTPSPLPSLPYFPTLILTSAYL ASHIPQRLDTIFFSKFSSSSLSARNKRAHHRRRLKLLSKAQAEDARTASNDPSTPSKK GKRQKTRITKSTLESAFATSSATTSAAGATGITGPSTILTARPFPLERLIAIYHAIDP NPPANPILQAAVSDAIYAELATLRRLRLVVPAAGRESGGRMGLGSGGLNSGNTTSDAG EKWCVNVSGDWIGEMAKGIGVEVGEWLAGGLD AFLA_080970 MPDPLDLLSSFEKKRQHIEYMREQKRRFEEDMKLLDMQHEKEKL EMDRIAKDLAKAGISGPVSEPTTPPEYRENSFSSGFTRPTRFSTSSVTSSPGFFNVFA PSQATTPQGQVNPSAAQTPTNRFSVHSVPGSRRNSEKEDFGQEPTSPFRPGPAIHRYS MPSAGFGSQLRPNISGFNNTSGLESFSTSKYLFHNEDDRATLKEEDRIPTPDIKSYLK LTDPDDKFPTLSRRDDSGLLSANSDALDLANSRTPNPETWNSHSRHRSSHQSMPQNAL NMFRLEQMGSPTSEGHSNTSRHAARHSLEANLLYSAEGSHEGMTATSSNRPNSLQSSY STNDLPTVKGDGFNPAITPPKTHAEHFQQHNANMGRIPASAVNTRQQKDSPERDDPNM QGSRSQQTTLQPNATPFGPQLTSAASISTAAPASLGTFQQPFYGYGVQPYMGNPLPVN GQLQSYNPGASYGAFPAYGNYRLAEGPAKTMGSRRSNGEGESAQLSRFTNFPLEHYRG ELYGLCKDQHGCRYLQRKLEERNPDHVQMIFDETHLHVVELMTDPFGNYLCQKLLEYS NDEQRTALINNAAHQLVKIALNQHGTRALQKMIEFISTPEQTQTVIHALEDHVVELVQ DLNGNHVIQKCLNRLSAEDAQFIYDAVGANCVVVGTHRHGCCVLQRCIDHASGEQRAR LIAQITSNAFALVQDPFGNYVVQYILDLAEPHFTEPLCQTFRGNIPALSKQKFSSNVI EKCLRTADGPVRGQLIEEMLSGSELEKMLRDSFANYVVQTAMDFADSETRTRLIDAIR PILPSIRQTPHGRRIAGKMMAAEGSGRGSATTSGQVTPNEMNSAQLPGPLQGNQKPFL YQHPSFPIGSAQFGVGSTTNTPSGGPNETPSGIFTPPVQHSNGSLNAQGQLYAYF AFLA_080980 MAGIPGIDLNEHQPNPRYLFRQPVALQWFENGRLMKRHEEERQA APHSGRFELFLDLLYVAILANFAETLAEDISGAKLVKYILILAPTWHVWADLRELMNS FYNDDLLQRALILWIMAVLVMYGNNASLVDEDLGAMRATVGSYMVARISCSAAHLLYS FASYHHRKQQRLWVSLTLISMFIYIPLYFESVSLRGKIAAAAVGVVFEECSWVFCYSP AAKRMLKAKYTTAVDIAHEVDRYAAFYIIVLGEYLYQIVVGSPAAVGFNLSLLHAIWV LIIAFCLNWLYAHNDGALQSTHPFRYSVYTAFGWAVLHLPLVASLLASGHVAAASAAE DRFRDPERWLLCGSLGTGLYCLYGLALLYEDRDAPGMLMLSKPKTSISLQ AFLA_080990 MLCSCGGLLFGMDTGIIGPVTTMDSYVSQFGSQSATVHGLIVSS ILIPAAVSSFFAGFLADKLGRSKGISIGALIFALGAALEAAAVHIAMFIVGRCVEGIG EGLYLGTLVVYICEISPTSVRGVLTTGPQLLITLGLVVGFFTCYGTARIESSFSWRTP FLILACLAVTFSVVSWLWLPPSPRWLRIHGRETEATAAWDRLGVTHAEREKMEVEEDR ETNMQRRAAPETGNASTVERIASGPRPQIQSVKDKLFDIFSKDVRTRTALAVFLMGMQ QLSGIDGVLYYAPLLFQQAGLASTDASFFASGVSALVIFGVTIPALIWADRWGRRHST IYGGIGLSITMFLMGALYAGNAVHSSTGAGRWVVIVSIYIFAVIYSLSWAVGIKIYAA EIQPQRTRASATSLAHGSNWTANFLVALTTPILLSRSSFGAYFLFGGCTLITALICAI FMPETKGRSLDEIEEAFKSKSLGSQSFVKIIRPITRQTS AFLA_081000 MPPRRKPQAPAARSADATTASRRAAAGPSSTQKRPSVSKQASNP TQPEPSVEEQSNQADEHPHVPYRHRDPFDALLEPFYYNKSLTDPINTAKDKWNLLPAF LKVKGLVKQHIDSYNYLVEVQLKKIVESSSIIRSDIDHNFYIKFTDIYLGAPRRADEQ QDVGPGFTGSTVSPHECRLRDTTYAAPIQVDFEYIRGRQRVKKTGCSIGRMPVMLRSS KCVLSDKTPAEMTVLDECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVETDPKKEIVQ ASVTSSSNERKSKSYIVLKKDKLYVKHNVLSEDIPIVILLKAMGIHTDKEMLLLVAGI DKVYQEDFAINFEEAIKLGIYTQQQALDWIGSRIKINRGKQNNYRRTHVQEAVEAIAS VIISHIEVKDMNFRPKAVYVAHMARRVLMAKNDPSLVDDRDYLGNKRLELAGQLLALL FEDLFKKFCFDIKMNVDKVLNKRNRAEAFDAWTVMSMHSNHITQGMNRAISTGNWSLK RFRMERAGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCLADTP EGEACGLVKNLALMTHITTNDEEGPIRNLIFMLGAEDISTLGGKELYGPGCYTISING TPMALTRRPKSFLNAFRRLRRMGRISEFVSIYINHHQRAVHVATDDGRICRPLIVVEN GKNRVRSHHLKKLREGTMSFDDFLAQGLVEYLDVNEENDSLIAIYEKDITEATTHLEI EPFTVLGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIASNQFLRIDSILYLMVYPQK PMVKSRTIELTKYDQLPAGHNAMVAVMSYSGYDIEDALVLNKGSVDRGFGRCQVFKKS VANLKSYSNGTKDILMPPEYDNDAPIRKHALLESDGLAAVGEQVNAGEVYINKSTPDQ SLASGFPGSDMGRPVKYTPTPMTYKLHDPAYIDQVLVSTTENENQLIKVLTRQTRRPE VGDKFSSRHGQKGVVGIIADQADMPFTDQGINPDIIMNPHGFPSRMTVGKMLELVAGK AGVLAGQHGYGTCFGGSPVEEMSQILIDKGFSYGGKDYLTSGITGEALPFYVFTGPIY YQKLKHMVQDKMHSRARGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGTSQLLLE RLMISSDRHEIDVCEQCGFMGYLNWCQRCKSSRSVVKMAIPYAAKLLIQELMSMNVTA RLKLEDEFPETRGR AFLA_081010 MSQPNTRENPLSAYTKLSPSIYIQEPQSQSESNGAPKTIILAFW MNAPPRALSKYVTEYTRLAPTARIIIILSSSKDFMTRSGKKAQEARLAPAVQALQASF SSSSSSEEKTKHQEQVFVHMFSNGGVFSTISLLTTYRKTVGRPLRISSTILDSAPGVA TVSGAMKAFSFALPGPWLLRLLSKVALWVFFVLGALVRRLVGMSDAVSVARKAMNDQR LVCGGEGGDSGKPRRCYIYSDADELVDWRDVERHAVEAEARGWVVTREKFLGSPHVSH MRADPERYWGIVKRYLLVGSG AFLA_081020 MFSSNYYEDDPMDGVFSSSMLRHGGATRRFDEYYRCYPVAMMPG PERENVNHGGKVIMPPSALDKLTRLHITYPMLFELHNGARERLTHAGVLEFIAEEGKI YLPFWLMQTLLLEPGDLVQVKSTDLPPGQFIKLQAQSTSFLDISDPKAVLENAFRNFS CLTKGDVFTFAYNDQVYEMAVLETKPATNSNAISVLETDLEVDFAPPVGYEEPQRPSG TSTPRSGVSATKLPAGGLLHPHGTMAQSINYAAIAPESTDAAAGAKAVSSNFLIGGQR LNAKKGSKAPTPKASTPTPGATNPQHPPPVRRTNGPMPLRLPPNQLFFGYAIKPVQKR DESGQVVEDEKPRFQGSGQTLRGKKKDTGGSATPT AFLA_081030 MSDFQPRPKRAGEDFTRTHQHSEDDPNEPSGKKARFDLRNPSAL APDALEDDAVLDADEIGRRGQKVRRKAVNLDGYDSDSDNEGFDARAEVLAKKKQKENA ADDDDMFAELQEDFGAEEVDADDALRKNKKSVRFLRDDEIEGQVASSKGGGTLHADLT KGPNEIDDDEAESESDVADEDRARIDENMDEELGAGSKKKHAPLLDAFNMRTEQEEGK FDDQGNYVRKAIDPDAVYDSWLDGVSKKDIRRAKEAAEKREADRKEKDRMDDSVLTGD ALKTIITHLDRGETILEALARLGKGAQKKPKWQNKRNKNRSKQNGDTEDTEMTEDDPK EVARKQAIDAITGAADILMTRGQADIYDSERELLTRQYRRETGEDWVDPPSQDTDPVS SEQTPAMWEFRWSDARDGGIVHGPYDSATMESWKNAGYFGEGVEFRRTTDEDGWNAQA SFVQ AFLA_081040 MAAQISTVAETKELRGLNLIAAHSHIRGLGVDADSLQPRTSSQG LVGQEKARKAAAVILQMVKEGKIAGRAVLIAGPPSTGKTALAMGMAQSLGSDVPFTML ASSEIFSMEMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETIYDMGTKMIDSMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGA DTKFVQCPEGELQVRKEIVHTVSLHEIDVINSRSQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIIPGVLFIDEVHMLDIECFSFINRALEAELAPIVIMASNRGQARIR GTTYSSPHGLPLDFLDRVVIVSTQPYSADEIRQILAIRAQEEEIDLSPDALALLTKIG QESNLRYASNIITTSHLLSQKRKAKEVSIDDVQRSYRLFYDPARSVKFVNAYEQRFIS DQGNVTFSAAANGGDAMEIS AFLA_081050 MSSEHTFTSNARDPRLNRPPPPYGTTSQDTQSRRFFTSPSASRQ YEPRADAPGDQFVQRLSDLIQAAVKTASLTSEKEVIQKKQSTTEGLLKRTKAQQGFPS TTAFFQQTWNDEGGHLARIDGALKEHLSRYDQLEKVLKANWTSSIIAGPSLVDKYDQL KEEIETTKQDAKRSKDEAISLRDQNRSLEDTLKSLQARMTILEKSLENHGTSLSQQTK DQSSRLDEISLEFEKRLESVSTKVEESVSTKFHVEVDEWQKQRTALGTELESLRTCQK SFSVAIGKVDQTTKEQQQKISDIESLGQRLDMLDTRLGSVESTRTAPPAVTATNDSNV DKVSASHLQTKVESLENILKQLQGLQEMKDDLHFSEVEDLKKSLVQASEELVIVKNNC NVLSSEVKTLRELNPSTALQQVANLSGSLQSTQQLVETVRVGLHSLETRYNSLTTEPL ARNMVVALQEMYPSASQLLEQYSGLKTVVDNLCQSQNTVIRQAQQEAALRLDEINKLR NDHASLSGSLAPLWEHYEQQKRQNPPPSHDDIGKLRVELQSLSTKFEESISKYDTQFR SQKQSDDRFLDGLREERNKLDSRLSQVASSLESLANDVEKVRSVNTGSLEKLANDIEK VRSVNTSNLERLANDVGEVRSVNTSSLAKVETHASDIKSLQDDLHELKKATSDRHQAF LDQLRDITKAHSAHDTNISSLLDRISELERSEKLRHKELHEQLDELKKAVEAKVFHPR AVSPIVVEDQALNPTDDSPADPEEAARILNVAETNPGLALRERKKKKKRPRPSGLSED EKTPTIARQESPRSLSSGASPFEQGASTENKRPKKKKKRKMASTEPIPLD AFLA_081060 MLPFRVTLRGTSSIRAFSTSRVLRNDLSYQVFGPEKDETSQSPI VFLHGLFGSKQNNRSISKALARDLKREVFILDLRNHGQSFHSKEHTYSAMAEDVVNFI HQQKLNKCVLIGHSMGAKTAMTVALDSPNLISALIPVDNAPVNAPLKSDFGKYVRGMQ QIEAQNVAKQSDADKILKDYEESLPIRQFLLTNLVRGDHGAMKFRVPVSILGDALSEM ANFPYAESSSATYDGPTLFVRGTKSRYVSDDTIPAIKKFFPKAQIADVEAGHWLISEN PEAFRQSEY AFLA_081070 MSAPKGPFRLVTVNTAPERAKRLIGRMVEALKDRYTIIYEANCE TIDEVEPKVKEFMPDVLFSASMWTAEEAQRIHSIAREIRPDIKTHAIPEGLQVKYGPD AIVDHLLEVVPPLLDA AFLA_081080 MFAITDYFYPDIDARLRPIVTFGGVKWGGQEQTNGPTVPSSIKV DEKATDVRSIQASLNSEVPDSAEILCSDKVRGANTHDFPTIRLWGSSQRRMSAGCSRN FFI AFLA_081090 MSKIASTFSRLVRFVPKSNPSKVLIGEPVDAQLDVGLALYQGKE VSVRPFTGASVLNPGQKTESTEIISRILSPLSQNEVGSIRCIGLNYTSHAAEMKLSIP DVPTLFIKPPTALSDPWPAPTILPKITQVDNTGDYESEMVIVIGRDAKDVSEADALDY VLGYTAANDVSSRTSQMNQSQWCFSKGFDTSCPIGPTLVSAALFPDASKFQIRGLKNG KVLQDCPLTDLIFSVPKLVSFLSQGTTLPAGTIILTGTPPGVGAAKNPKEFLKDGDEF AVELLPHVGTLVTKIENQK AFLA_081100 MSAFPFPIKPVFCEGHTPCECDETYFQALRDWVRLAAAFGWPET VRKVALSYLSRVKSLEDTVIMIDSVRICPHGQMAMLGAELPLEYRERYATINVAWLNN VPLVYTLFRWFNGDTIHRICLESAAEWVAYEEHMLDNEASSGLFKRKDFKCLQDPTSV AQIMQDGNRTVESVVSDVRVVTIF AFLA_081110 MQKLANLVLVAIHACMGTFAAAGIIPAYATIAEEYNVTVQKASY LTSLQIAILGGAPLFWKPMSNRYGRRPIFLISLMCSLVCNVGCAKSTDYASTAACRAL QAFFISPASAIGSAVVMETYFKRDRAKYMGVWTLLVTLGIPLGPFIFGFVAYRAGYVW IYWVLAIVNGVQFVLYLFLGPETRYVGSNVNPNQAAWKREYLSLRRIDPTPFSWWEFV KPLTMVMYPSVMIPAAAYAMVFLLSNVLATVEVPELLQEKFELNTEQLGMQFLGPIIG SVIGEQLGGRLSDLWMNTRAKKIQRKPEPEHRLWLSYIGFICSIVGLIVFLVCTQESA SGHWNVAPIIGIAIGAAGNQIVTTVLITYAVDCYPTEAASVGVCITFVRQIWGFLGPF W AFLA_081120 MTASMEMQRPHQNDDVQDATQPGKVDVDFVESTAGAIPTSYSDI VESRISKAHRDYLLERHGTLELDPIPSMDPADPYNWPSWKVSTHFCLK AFLA_081130 MKALVCRVCQKAFSKAEHLRGINTQDTGAKPFVCKECRRPFARQ DALARHEKLHVRAAANTKPSRGQHVHEIPQPTSLEALPPWDTTKAPVDAASTVPAPSQ TWDTSQPEQNSGLQHAASDLDFTLIWPDSESLFQSIMSSDTTDQWQMPLGALPFPPVV QDVNSMSFGSPNSFDDRSSSIGTIPSGGSHQAVRDVTEMVASSSSSVTAAIKATSITS VFLDECLHMFFVRFIPTFPILHRATFVFRECTHPLLLNAMALGSLYLGPKDAVAKWQS LITHRGPYDACKGVQLLITALLGQIYGALSKNRLIRTTSQVFRPLGFLWARHCGMLDS EPFSVESLPFPNASMEEKERQWRTWAAREIQQRALLAYHVLDGLVAQMSGDGTSTRHV ANPLILPSSEAAFDASNVDEWLAHMRSQRFDQPSFRLVFRSLFPPVSSFRPLDYQLSA FSLRVILEGLQSLVSDSDESDLVAVGVPGRSDVRRALAQVHETVSMSIHLSAEERLEV LLRWHTICLDTMINSTVLCRHVCSCYDIAQHVSGGSRTVKPGFDMTKWVYTPDARRAL LHAIAIQDIIEQLPRGRAHVIHMPSSLFAAVTIYVVFSLAGVATIHLPRTIAWQDALL SHADLNIGCDSSRASTGSETRRFVEEGHTDSPPGLGAVRNLLYEMNSMQKLFRCLISQ WGIAHDMEEIVNQWITLCH AFLA_081140 MALVQSLEESASSESIWRHLRGHHGISKTSFNVPARITDHPSTP TESKVTSGLLYNDVAVEHSGRGIQLTVNEQQCLISLCRNMMDHYELGHYPKSFWIRIS AALQEQTGRRYSWQSCRRRIIGYITKRKAYWAAYKYNHIPECDMHSEVADDVDSWMGS CDRKLGRPVRHEVALKAAEKPEEAPPLRGSVQQLIKYTRVSNWVESLPPPAEMDPLPT KFGIPSLSWCPPFSSHQSFSHTQSPSQSPVLNEISMYRQRAGAPRGIHLAPSNTPSYR GQPTEQQERPKLSPLTNGAVQPQASPGVVPETSHNTQAGNKRPRDDDDNDDAAHDRPA HRLRQDEPSHPSATITLNQESALNGTTAVDSSVENTFGKLWERVAPLFKDPVLAQGPA ALKSESIMRDLFSEIGTALTKAFTRMREDNDEPEKI AFLA_081150 MLSAAQKLNALEFGEERFPDPIHVFVQFLHMVSPGQVVVTCKHL RVSSRQCVVRVEVARTTASGKPSTPATVGIVTCANISKEEGLTQHSKPAFAVPLPNRR TECVKIDDPVVDSTPVTSKLNWVSPKAANGLWGHRVGGHHREVWVSFRDGSNISDLLH LALLSDMPLQPPATHQAGFYFKYALSTLCMTVEFKKRPDPSTQWVMIRSNSHLVANGR YDVNIQILDEEGNILALSNHVVYVSELRTRGKGAKL AFLA_081160 MVVNWKNHESIDRLIASLLAAHPDLKLDYHAMALFFGQGSTYDS IEGRFRRYRKIADELRDEAHSRGITDIPRNAGRNYTSGRSATSTPRTPRGPRGITKST PSSSRSRNCHQTPAKRNTKPGRSAMDAIYVDDVDTEEESKIKPEIPSVPSDSGEDDVK VVDSPSIKIKKERVEHNTAGLFSAMTPKKEEQTSGFGPSAVSTPARGIQGHDGARYPV AMNEDPFSMIRDYLKHEHSGNMDDIYRGAA AFLA_081170 MPMRWTPENDQLLLLKILETHDLSVDTKRVAEAWPGTDSSNRPT PRAITERLVKMRQMVKAANNGADGHFSIGKGAGTNPGSATATPRKRGKNATAIPKTPT SAKRKNASKFDDVFGDDGDVLVKEEGEGTPVKKPLRPASAGVNQGHAGVSLKTEPVDD DAVLMDESPSKRVRRASVLPPGMVSTYGEEEGSQTELDSEISEYFPEEHIKVEEDFLM A AFLA_081180 MPINWTDPQADAKLLVGIITLHNVKLDYKALAEYMGQGVLYVST RQRTQELSQTAGCTSSAIQHRVQRIKEKFRIDPPAEGTATGTSPGSAPQPDEGSAPDS SPTKPKRGRPAKRGAKGSPSKKAKASVEHDSA AFLA_081190 MFQDSARDVRVEDNRFLAAELRQTDGNWRFARVDLNEHIGNENG HFQWGGRNFSETARNVHFGFEGGAHVPVLRAELRTPAGTWEPRDVNLDERVFNNNGHF EFQY AFLA_081200 MDISIHDLSSYHDVEPHFRELLNSSEVPTWPSTLQDIELIQLRK WDDTTADVFFTSLLDAAPELRNLRRLVISAILKIGWRDRASFREKWIGRLEKVFLRRS ALPDATLSTIPRASRPPVPGSSTASIVGDNTTTRPDSLCSGFSTPSKRKSARLAQQKL SELEDDARLSASRRPTPDSDDNKKPLAIQGMCDVVMVRIDNQRPSETQFNEEDFLDDE VSGDEDWNGNDMDAGDTAHAW AFLA_081210 MESSFPNVMNPSERSDIGAPPMVVQQYARYPPTLEPGLQSASSL GSARSRMAASKSPPLHRKATPRSIQSSPTVPRPAIPPSSAARSGPSMSPPVYDPLRQR QPPRESLDQAESRSLPSRDITDETIDDAYVMFIFYCNPNVPLSVDTSELRKTFRCPPR SDGKSFSIFTLWELIRKLDSKELKTWISLATELGVEPPDLEQGQSTQKVQQYAVRLKR WMRAMHVDAFFEYCLGHPHPYYTQLPANNAVVSESRDGVPLEEDLALRALVPQWKPKR GRKRAEERESINDRLSKRPQLDTSVGILHTSTFPAHSATFPQSAIPFSAFPDEIEPND PWIAATSSFGANGPSGNPVPQQGQDLRWRSVEREASPSSYPHSAILPRGHHPSDVFLP PTEPRSAVTPSSEKSRPKRRHGPAVSSAWPTNNGSSTGKTRGRPPGRGTTSGPFSSFP VNPSRSEPSHLHSSNARPPSIILDQDPSGRYSNAQYQQSPTPFPGGNRPNKLQLQVPQ HPGAPVRLATPPTLMVNGVNNASFKVEGQQRNSTSAPPHDTTGAATNAPTGAHRSTNP NADISSDELVRVLSSDLLHGRVTGRPTPLSVEEARTLSLSMVANLTASYSQLPLGMPV LLSALHLGLGQHFGYPGVTESAMTIDIKAPTAPSVDASVAPSTEERSSNFTYTIFQEY KHGLHFSTKVTYGGFTINKLEANKTGNAPGVTEDLDNSLADVNSSTDGEFEVDGAENA VPEMTWKQRYIKLRSQMQKKERALSQYKRKILESVMADI AFLA_081220 MAPITDSEQIEFLLSCVRHANSGKVDFGEVAKECSIVSRGAAPE WLPSAKRYERLSKGRNNAPGAGSSADSPVPSPKKTPTKSSVAKRKTAPKTAASKKAAA KKGKAMKVFAVALAEVLVKQRTDLAKVEEHSSGEDTEIDEAVLGVSDDALFDQFCIAD AFLA_081230 MASGRSTTPEEGEFLNQQDARFIIECLRNIDESRAVILANVGAA LGYTNTASVANRFRALRKRYGFTNLEATTKPTNASTTSTTSPTAPSGQGKRKGAGAGR KKNVAKTGESEDPFVTDNSEAETIIAVEVPKVKSTPKKGVRKGAKVTSAPIPATDLGP TKGDKHPKPTLEPKIKTENVKDESIDVNLLDAVNDAMVKYEDSEGFEMA AFLA_081240 MTTVRRSKAMPTDGPTVKFLYTIIKQLDLKSIDWGLVANQLEIS NGHAARMRYSRFRQQMEGITSTPRASRTKKSPNRSKLSPCKADLLKEANSPDMKPVLK QEPLASSSEPTSYIKADPHAQGFPALADIPGVTYHIISDSPARTITPPYAQMTVSPTE LTTYSATSSFLSPTIGFERQPSSAYSWPPTKLESDEDHRLSDVFIKVEEPQVEVVNAS SGEVEFCGMFAPGLNIAREHKG AFLA_081250 MSLVAIGACYVDTILTTPHYPGEDEKLRATNISRRRGGNCPNTL EVLQQLTVHSPSQTGVSLNLIAVLPAKSSIATQQIQSAFEPRVQLTHCIYREQYAEPA SSYIFKSQSSGSRTIVNYNELPEMTVEEFKRIADDLGSKATWFHFEGRIPDVTLACIQ YLRQQFPSLIISVEVEKPGREGLQELAMEADVVFYSKSWAQVISLALTMLRTFC AFLA_081260 MAAVYKSVSKKQAKQLAREQEQDDSDAEMADMADLLADADDTSD SEEEEEEDLESAKKQLAAGYMPKTRVLMLTSRGVTSRHRHLLADLAGLLPHTHKESKL DTKKKTAGYNLLLNDLADLHSCNVIFFLEARKRGQDLYLWLARPPNGPTLKFHVNNLH TMGELNAGFSGNCLKGGRGVVVFDRSFDEQGPVMSQPGNEYRGLVREMLRGVFSVPKR GVKGMKPFIDRIIGVFGVDGKIWIRVYEIRESEAGGKKKSEDGEEAVKPVPKGKDGLP EVSLVEVGPRFVLTPIVILEGSFGGPVIYENKEYVSPNQVRHDIRISKAARHAKRRDM QTDRFAKRTNLGLGEGQRKPGPLDNKQLFA AFLA_081270 MSFDHLATELLLHVFRSCETISDILNLASTCRRLRTVFNRSNKL QIFTDIAEREYGPLNEIIQIVTQNASQPAHLIRKPPMTNPLFKQIVQVGRVAQKWETI YPVKKWKVDYENRRSLTNDERFRLRRAIYRLWLYHRAFHTRTHDRFSRKLPHVVTERA QLLHNWSTQELADIEDVRLIIGDVVQNHICPSNGTIQRKFRKRFPESHHQLAFNIHLN YPAFGSLGSPGLFDNPNSVDQYYNTAHPPNLTESPAKYRSRFRNDFFHDPGAEGWGDE IPHYYVVQDMMKLDPGQVLWLREHAPLKEQVEDYVHSLGDWFRENGETFGDTLEWVMK ERGDDIEEFRAAISDREVGIIWDECIT AFLA_081280 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYDNVNR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDSGDSGQAGLGDRRPVVDINPSQDPETKQGCC AFLA_081290 MTEVSDDDDVSLTSTVPSPPKENYYVDTIHAERETSQGIEYLVG WEDYPIERSSWETAAQFDDEQTLLDWEDKKREIAAGRLEEFDLTDFNRRLHEAEQACQ KRKHKRHLKREKLAKGQLEPSNTRFSSTSNGPRFTDSNVSLNPGTGTANLYPSRATNQ SQVGPSRSQSIRGGLTRPPLVGFGTGRGGRIRSRPRRSYDADPSAPPKMFKLLSTKHR YEKARGYEPAPNVNQLELMRPSEWSSTTAAYSSNPGFQQNANFKEQGPGESPRRTISD VPKAKWIKKEGGTYFVNPGELLCTLYYGPDKKEIGEVRMLGIEATHKYSYITDEPSHV AFLA_081300 MDMDQDSKDPTAAVNSDQRQPQLGMRLPFDLDYEFEKRFGVTFQ TLATIAEKRLAGSFYVLFPQGSGGIEEECQAVVEFLKAHHSEKNKAIVYSNRTPEDWE KFTQAKNGVVLIHESSLDFYKLQGLNNLCRQSTFNFWSFILNKELGDNRPYFQRMFQT GGVILITADYMLSDPRGTVVVLSWFEDYAKSRYPGTWKLMLRPDVLNWLQKQIEVTGN SSYLWLAMYHLIMQITFIGDTKSRDILTGAEAGYTPNTVISPSKLPGYGFRTDDEIPD IPKDRTLTQEQRNADHLVEFYAGWALINCYQFRKFYVLTASALPRWDEWHHLQIRVGS TAFMKYFEINYRWYWEKLKHSAARSNYHSERSSQTPFTPQTPKAGSSESAISRPTPSY IPPLSHHYPQPYQ AFLA_081310 MLWLPLRPSAPLRAHHHLILRYRRAPSSIVRLTQRGFASSSATF PTLSQFSRSDFSNQPWSGSYEPGLPTAGPLGSTPAFGAPRITPKTLKQYLDQFVVGQD RAKKILSVAVYNHYQRVQELQRRDEEAVELLAKRARRESVDRHPVEVPFSISDCTPFT QAGYIGEDAEVCVHRLLAAANYDVEQAERGIIVLDEVDKIAAAKVSHGKDVGGEGVQQ ALLKIIEGTTVQVQAKQEKNAPRAGGTPNTYPSNSPLGNSPYPPSNGGGMSQKGEVYN VRTDNILFIFSGAFVGLHKVVMDRISRGSIGFGQPVRTPSNSDERPGQSTTANNQPVP IVPGSEEEALYKKHLPFFTSASPESPDGEPTYFNALDLINPTDLQNYGFIPELVGRVP VTAALSTLTQPLLVRILTEPRNSLLAQYTTLFSLSGIELRFTTPALHKIAANAFTMGT GARALRTEMETILSDAMYETPGSSVKFVLVTESVAARKEKPIYFSRGQGGRFHAMIAA EESQWEERQRREKKQRAWKAKAQAASEEHPSISNFREYRTRAAGF AFLA_081320 MAPIRSTKKQSAVKMPASGKGALSSTKSSLFNDDFRTSKKDKRQ IKHAALMSKIEKNSQKTTKRRRASKKLVANLESLADALPETEVEMNDPNNQVNVIKQK TLKHKPGAMKRRQRLEQTERDRFAKNMAQMSTIETTTTPNTESDNQTSSVSNRWAALR SFISQTMEQQPAFKATK AFLA_081330 MLSPVHLLSRRTCLRSIATAIDKPRFPVFLQQRTLSTPFSSSLV YSKALKFPRPTSTRLISCSFSRLYTTSVNDLAKMSSLTPYQRKHKVTVIGSGNWGTAI AKIVAENTASNPAIFEKDVQMWVFEENVEVPKTSPHYNPSSPLCQGPQKLTEIINKTH ENVKYLPGINLPTNLHANPSLEDSVKDSTILVFNLPHQFIIKTCEQIKGKILPYARGI SCIKGVDVREDGISLFSETIGKILGIYCGALSGANIASEVAQEKWSESSIAYDPPHLD SKAPSPNRSPSSSTVDVVHFTHKDVSGQLSRVKLQALPSEYPPIDHAVLKTLFHRPYF HISVVSDVAGVSLGGALKNVVAIAAGWVEGMGWGDNAKAAVMRVGLLEMVKFGEMFFG ATINTRTFTEESAGVADLITSCSGGRNFRCAKLSIERKQPIGKVEETELNGQKLQGTL TAIEVNKFLKNQGVEEEYPLLTAVYKILEGTMSVEDIPSYIER AFLA_081340 MPVPRQVYLLPLKDDGSPDVPGGYIYLPPPTNPPYSVRFVIEGS SSICREGSLWVNIPEDRKPFNRSAFRSFRLHPDFNKNIQIDIPITSPGSFAFYTAYSP LPDFSVAPVETSEPVKTPTHYIDIAPRLLLGGRDLPLNALSIFSVISKFMGRYPTDWE NHLNGISQRNYNMVHFTPLMKRGASNSPYSIFDQLQFDETLFPNGEDDVAQMVKKMEV DHGLLSLTDVVWNHTANNSKWLEEHPEAGYSVETAPWLEAALELDTALLQFGEDLQTL GLPTEFKTADDLIVVMNVLRERVISGLRLWEYYVVNVKADTRRIVDKWTKCPGIDLES DQWSRFALKDFKNLTLKEQASFVREHAIPTSKSILGRFTRAVDDHLGAAILTALLGPA DSNHDEAESRFTQLLDEVNLPFYTEYDADVSEIMEQVFNRIKYLRIDDHGPKLGSVTK ESPLIETYFTRLPANEVTKQHKSTALALVNNGWIWNADALRDNAGPDSKAYLRREVIV WGDCVKLRYGNCPEDSPFLWEFMTKYTRLMAKYFSGFRIDNCHSTPLGVAEYLLDEAR KVRPNLTVFAELFTGSEEADYVFVKRLGINALIREAMQAWSTGELSRLVHRHGGRPIG SFDLDLPTAGSSHAIASASTDSQEETIAHIRPCPVQALFMDCTHDNEMPAQKRTAVDT LPNAALVSMCASAIGSVMGYDEVYPKLVDLVHETRLYSSAFSGPSKGELGSEGGIGSI KKILNELHTMMGADGYDETHIHHDGEYITVHRVHPKTRKGIFLIAHTSFPGQDGHSIL APTHLTGTRVKPLGAWTLEVEAGEDARAEVLADKDYLRGLPSTVRETNAIKVEERGHN TIISVLESFAAGSIALFETSIPTAEHSAGLDCYITEGADQAFSKLSLVDLNFVLYRCE AEERDSSDGQDGVYAIPNHGPLVYAGLQGWWSVLESIIRYNELGHPLCDHLRQGQWAL DYIIERLEKVAQKDGYNALNDPTSWLRDKFNAVRELPSFLLPRYFAIIVQVAYNAAWK QGIRLLGESIQKGQEFVHQLGMVSVQQTGYVKTASLWPTRRVPSLAAGLPHFAVDWAR CWGRDVFISLRGLLLCTGRFDDAKEHILAFASVLKHGMIPNLLSSGKLPRYNSRDSVW FFLQAIQDYTAMVPNGIELLGEKVPRRFLPYEDTWFPFDDPRAYSQHSSITEVIQEVF QQHARGLSFREYNAGPELDMQMKPEGFQIDVNVDWETGLIFGGSQFNCGTWQDKMGES EKAGNKGFPGTPRDGAAIEITGLLYSALTWVGNLYEQGLYPHEGVDIGDGKSVTFRDW AAKIKNSFERCYYIPESPNDDSRYDVDTKVVNRRGIYKDLYKSGKPYEDYQLRSNFPI AMTVSPDLFDPYKALTALALADSVLLGPVGMATLDPSDLNYRPNYNNSEDSTDFTTSK GRNYHQGPEWVWQRGYFLRAFLHFDLARRATPEGRTETYQQVTRRLEGCKRALRESPW KGLTELTNKAGAHCSDSAPTQAWSAGCLLDLYYDASRYALKTA AFLA_081350 MSMTEAAAAAIITAAVINDKPGGGHEPTWGDQTRGQRDLYTQLI ISLTLGLSAFLSFCVLRPKWTELYAARRRQRCAASHLPELPDSFFGWIPVLYRITEEE VLHSAGLDAFVFLSFFKFAIRFLSAVFMFAVVIILPIHYKYTGKRGIPGWDDNDGNAL GRNKDKEPVTDPDYLWMYVVFTYIFTGMAVYMLLQETNKIIRIRQEYLGSQTSTTDRT IRLSGIPQDMASEEKIIEFVEGLQVGKVESVTLCRDWRELDRLVDERLQILRNLERAW TKHLGYKRQTEDDSTLPLAHHRPRGSSLFSEDDSERIQLLSESGRDHVADYAHQRPTI RLWYGPLKLRYKNVDAIDYYEEKLRRIDEEIRVARQKQYTPTELAFVTMESIFASQMV VQAILDPHPMQLLARLAPAPADVVWKNTYLPRSRRMMQSWSITGVIGFLTVFWSVLLV PLAYLLELETLHKVFPQLAEALARNPIAKSLVQTGLPTLVLSLMTVAVPYLYNWLSNL QGMTSRGDVELSVISKNFFFSFFNLFLVFTVFGTATTFYELFKHLRDAFQDATTIAFA LANSLENFAPFYINLIVLQGVGMFPFRLLEFGSVAMYPINFFKAKTPREYAELSTPPT FSYGYSIPQTILILIICVVYSVFPSSWLICLFGLVYFTIGNFIYKYQLLYAMDHQQHS TGRAWPMICSRVLVGLMVFQIAMIGVFALRKAITRSLILVPLLGATVWFSYFFSRSYE PLMKFIALKSINPDGGGNLSPSPSTFSSPSGLDRDALPIQIGRQGVEVRLNKYVNPSL IMPLDGAWLPGRSVGEYPRSI AFLA_081360 MSFFIENPNVGDTNHLEDSRIRGYNPLTPPNLLQHEIAMTETSR QTVLQARQEASAVVHGTDTDKRRLLVVVGPCSIHDPEMALEYCDRLLKLKEKYKDELL IVMRAYLEKPRTTVGWKGLINDPDIDNSFKINKGLRTSRQLFVDLTNKGMPIASEMLD TISPQFLADCLSVGAVGARTTESQVHRELASGLSFPVGFKNGTDGSLDVAVDAIGSVK HPHHFLSVTKPGVVSIVGTVGNPDCFVILRGGKKGPNYDAQSIAEAKAKLSAQGMEPR LMVDCSHGNSQKNHKNQPKVAAVLAEQIAAGETAIMGVMIESNINEGNQKVPPEGKAG LKYGVSITDACIHWEDTEAVLENLAQAVRTRKEKLAVNN AFLA_081370 MGVSYPENQLKEDGSDVVVPSASSIRGTFERLWELIPGARSKNT TSEATSCHLPETTSNRRRASVAEHSLERDEHLTEHLPKVLSRHDDQSEPYPPQETVLY LAYGSNLASKTFLGMRGIKPLSQINVIVPELRLTFDLPGLPYLEPCFAASQFRDASCK GTDTADITDSDLEGDLTSEKTVLISQREHDYLPDDPLVGVVYEVTLTDYARIIATEGG GNGYKDIVIDCYPFAESYDPTEPIPDCPESKPFKAHTLLAPVTSLDEISRRAYAQRTH SFVPRSGPAVRKPGYSQPSARYLNLILTGAAEHNLPVSYRTHLSRVPTYRITTVRQKI GKSIFLVTWGPLMLLMLRLSRILAGPDGRSPHWLMKLSDIIITAMWGSYETIFRPLFG DGERTLE AFLA_081380 MLARTVSRSVPFRGIARQSLNRASTRASSSAAGAESASSPFHLT VTASVATAVAVGSAAYLYGQEAFASTPAEEGLHPTNYPWEHAKWNKTFDHAALRRGFQ VYREVCASCHSLTRVPWRSFVGVMHTVDEMKAMAEENEYDTEPNDQGEIEKRPGKLSD YIPAPYKNEEAARAANGGALPPDLSLIVKGRHGGCNYIFSLLTGYPDEPPAGATVQEG MNFNPYFPGTAIAMGRVLFDGVVEYEDGTPATTSQMAKDVVEFLNWAAEPEMDDRKKM GVKAIALLTGLFAVSVWVKRYKWSPIKTRKIVYSPPVSRR AFLA_081390 MSKRVSRTTEMASLFALIWEEVTKWLIANFVVLSLGQEISSFEG LSDSHAQVIDSVVVTECSGEDMESGAYRLQQVELDVQAYQLRAAFEQESSQQTQPLEE SMDSKDEDSKARVLNLPSRELDGLWESLLFDQPLKSMLLRAITRMVSYSSRKLNRWTI NWNRLILLWGPPGTGKTSICRGLSQKLAIRIGKPYPQSKLVEINAHSLGSKFFGESGK LVSKTFENIESLLEEEEDTFFCVIIDEIETLAARRERALGGNEPFDAVRAVNALLTGL DRLKTHANVVVICTSNLVTALDQAFLDRVDIKQFIPYLSNRAIYGIYKECLEELSRCG IIEGTSFDVVQVNPENPQTALQYMLLNYQMFPDAVPKQLGDAALESMKSTPNALIRIS VGGLFDDYLLCL AFLA_081410 MPPKVSNGTGVGGKPRRDVLASVRMASMDEVSRAALPAEIMSSV LDYLSPVDLIRVARSSKLLREIAYDDTRWVQWLRRMGCWNELEARKHVEEAFGTIADV ESVRQKEAAEQSQRSSLVGAGTENKPQISLKTLSDGFDQITLSTPATTGKSNELEDDS VLGALQQVRSVRGEARNEYGKVHAALAPFYNDITTEGASPDNLLFKKYTDPQHQARIL FQLQSFAKCDLTEGWHERLSHLQEAISMFETAAIKEFRHGYETEDIDNRMRQYAHVLY TLNGGAAAVELFIHHNHLVTRRSDYGKPGDCIDASSQSVKLDQTQAFFTRLSVAYNEE VAIINRAFPPSLKVALPFIAKVGQDVLYPFLTAIFDELHRINKESYLTAVSSTFAQCM NLSDTLLPIQSSAVNFEEFLEHVIAKVYEPHMDLYLAEELDHFRKCSEAAVDEWDRQL SEQAASTESFLMSNINRQADKKDFLTSFKKVIMAPVNLLPSFSGTKTNESKSDEETSA GDASALKGQNRFSTISMPTTPVTEAPTTELAAKAAIMKSKMEGIRSLFSIEVALSLVH AAKSGLERAAQFVQIGGDTGALAKQQCEAIFVALVRILGHRHLIVGFNKAVDHLSNYR PREQGERDQSGVEPLVTFLELVNVGDLILQMIDVFYEQELIGKKLTDRNDFVDPAVKE KKKFEQNLDERVAAGLNKGIDVLMEEVDYILATRQLATDFNPSVSTDPYRQTMDVSVS EAAVAVVDVVSSHTQMLVGSTDKSTLDVFNQEIGLRLFTALCKHLKRQRISVEGSLKL ISDMNHYFKFIQRFKNNELLVYFKAFRELSQIYLIDPSDAKELATIIADSGRFQGIWT VEDVCEFAERRADWYQVKRDVERAMYGIGCNLM AFLA_081420 MFIIPSFKHTNPIRCSTPCLQLMLILILANLANTQQTIQTTILV TATPTSPHPPSYTSPEVFRDTILSSSNTYRKEHNASDLVWNETLTRYAKDWAEGCKWK HSVRPTFLLYLSHGPYGENLAFGYQNASAAVFAWGDERRMYDFKKPTGFTEETGHFTQ LVWRATTDVGCAAIDCGYGNGTDENEKRGDTGSYTRAQGWYVVCEYSPPGNVMGTSRT AGGENGLFRVNVQSASTYSGPYPTDSGSPPASTGASGADRTFMACGWIWVWVGVLILM VMG AFLA_081430 MAPSFDTLSEQDLHEEEEEEIDFSDLKEQFEVKLEEGLDTFIVI DGLPIVPEESRQKLIKFLLRKLNAVGHTSEDAVFMPTNDKNMSEGFAFVEYETPEQAI AAVKQLHGTPLDKKHTLAVNKLMDIDRYGREGRIDEEYKPPTVEPFKEKEHLRSWLSD PNARDQFALYRNDKVGVFWNNKNNPPENVVDRAHWTQLFVQWSPKGTYLASVHPQGVQ LWGGPAFSKQKQFPHPFVQLVEFSPGESYLTTWSSRPIQVEEGHPVLSFEEDGKNIIV WDIVSGKPLRSFVSHDLAGGPVEGDAAPKKKVQWPAFKWSADEKYVARMLQGQSISIY ELPRMNLLGKTSVKIDGVMDFEWSPATVTRDGVKQYEQLLSFWTPEIGSNPARVALMS VPSKEIVRTRNLFNVSDVKLHWQSQGTYVCVKVDRHSKSKKSMATNLEIFRVREKGVP VEVVDSLKDTVINFAWEPNGGRFVLITTGEAPSGAAVLPKTSVSFFAPEKKGPQAGNF KLVRTIEKKTSNAIYWSPKGRFVVVATVHSQSNFDLDFWDMDFEGEKAEGEKDLAANL QLMKTVEHYGVTDIDWDPTGRYVVSSASVWTHSMENGWNIHTFAGQTLAEHPTDKFKQ FVWRPRPPTLLSKEEQKQVRKNLREYSKEFDEEDKYAVDIANTAVVETRKRVLNEWVA WLRREKELMAEEKDAYGIPEDADDAKVAKDAPPVSEDQGEAVVEEIVEEIVEENEEVI G AFLA_081440 MSLSPEARDQYVPIIDSILAKSDLNTISEKRIRKGLQEEVGYDL TPQKAVIKQLIMERFDIFAENGGIEASPEAAVATAPATNGHSSATPVEASSPAQSSKS QKRQADSVERESDKTPPMKRKKPDHDVDADALFAAKLQAEENMRARPTRGASARKVQP AKKKTTKAKTSKKVKAEDDSDVDSSSDSKKVNRSGGFHKPLTLSPALSALLGGEESLS RPQTVKKVWAYIREHELQDPTDRRQIRCDEPMRAVFKQDRIHMFTMTKILSQNLYSPD E AFLA_081450 MSDTQPPQGSGSQGPKRGGRRGRGRGAGQPSARIENSQQSTEGS GKGSRSRGSGPRRGGGGRDKQNRSAPNKDSGPEPSGQSTQGPTTVAEDKGKKAAAALA DDADDGEICFICASNVEHTSVAPCNHRTCHICALRLRALYKNKACAHCRTESSYVIFT NDHVKPFQDFKDSDFSQKDDNLGIKYENNEIFEDTVLLLRYNCPDTDCDVACLGWPDL HRHVKSKHGKVMCDLCTRNKKVFTHEHELFTVAELRKHEKYGDHVPGAVEQSGFKGHP ECGFCRQRFYGDDELYAHCRDRHERCHICDRRSGSRQQQYYIDYNALEDHFQKDHFLC LDKECLEKKFVVFESEMDMKAHQLECHPNGLSKDARRDARTVDLSSFDYRTPYQPQRQ RRGAGRGRDPNSEPLPVSSAQSLTRAQIAYQRQMAIQSAQSVSTRSFGGQLTRNDTQT VHAPPRTPASRTPPVASTPVPELQNLSLGHDSGSATPEEQARRLRHAAVIERAANLLG NDQNKLNEFRSKVSTYRTSALTATELIDAFFSLFDTSTNELGKLVKELAEIYENDSKR TALLKAWNDWRAINEDYPALPGPGGVLPGMSPSTVNGSGAGGKRVLRLKSSTAQSSRS AVGRSGALPSGSSSSNPFPPLSSTVSKKSTAATNNNTPWATASPAPSYAGPSSRPAPK PSNTPRPVNTRNAEAFPALPAAPKPNVLMAGLTRGTVRVRWDGRDAPNSNAWTSGGSG TSTPGEPESDFGESSAGGGKKGKGKKGKQTLFHFG AFLA_081460 MSVLMSRASSLGATDSFVPLSRQSSGPLRTRPPTTATSVASQEI ICAVSESRGISSTVGLTFINLSTAEAVLCQICDSQTYVKTVTKIGVFEPTEILFMNTA KDSKLFYIIQENIQETTFTFLDRRDWSEKTGHEYVDRLAFPEDIESIKITLGGNYFAA CCFAAVLRYVELELNKTFTSHSLRIRFEPSQGSMLIDLSTIVSLELIQNLQNARSKDS LFGLMNESLTPMGGRLLRANILQPSTEVSKLSARYDAVEDLSTKGEMFVSVRQGEKHR SLASPILNCYASNFQQPSRLCAPAGHRAVEELIESTLNEYVTYQTRPLDLRNQRIYCV RAGVNSLLDVARQTYKEANMDAADLITKLSATEVNTLPEVFINIYRKKNRIECQTLDL VKMNQKIIDAHNEVINMSDQTVHELIEDIRSEIAHLFKISEAIAMLDMLSAFAQLATN YDYVRAELTDVLAIKSGRHPIREKIHTKKFIPNDAYATQQSRFQIITGCNMSGKSTYI RSLALMTIMAQIGSFIPAEYASFPIVHQLFARVSTADDLEANVSTFAAEMREMAFILR NIESRSMVIVDELGRGTSTTDGLAIAIAIAEALVESHALVWFVTHFHDLAVIMAERSG VVSLHLAAEISPDTSKMTMLYKIAEGPETNRSYGLALAKLVDLPPGVLEYAQTVSEKM NQIAQRRHSKSRALAVSRKRNLILSLKEQLLQARDGKLEGETLRKWLKRLQDEFALRM AAIDEDESVSCGSEDGEEQERSFSELIEVTGPNTVDHQSDREESSVPLLNSSVEERSI IEISSDDVSENSSLEE AFLA_081470 MVTGSGDSTARVWDCDTGTPLHTLKGHTSWVLAVAYSPNGAIIA TGSMDNSVRLWDAKKGQSLGGPLKGHAKWITSLAWEPYHAQETGRPRLASASKDSTVR VWDVVSKRIDIVLSGHKGSVTCVRWGGMGKIYTASHDKTIKVWDAKQGTLIQTLQAHA HRVNHLALSTDFVLRTAYHDHTKKVPEADADKVTAARKRFEQAATVNNKIVERLVSAS DDFTMYLWEPESSNKPVARLLGHQKEVNHVTFSPDMAYIASAGFDNHVKLWNGRDGKF ITTFRGHVGAVYQCCFSADSRLLVSSSKDTTLKVWNVRTGKLAMDLPGHKDEVFAVDW SPDGEKVGSGGKDKAVRIWRN AFLA_081480 MKFSMVPSLLLPLLAAAAPAAPENPPFGVMSARSASPIHLLPMT ASGQKFYLGGKTQSYCPLPESKDCPPGTETVFSPGGYGLNVNVPGGQQVYVDPKGALS FTQAHSAYIPEGSAVGPFTYKPGEQWGYYSFNGWGASGFMACPTEDNRWQVFAAVQNA TVPKGNVADCLGFDALAPKAAKEAAAWQYI AFLA_081490 MYAIEERAHPPPPPPLSMDRIPPPSSSYPTPGSGGGMVSAGIPS SSHLAPLSTVHEGRIWSLQVVQQPIRARMCGFGDKDRRPITPPPCIRLIVKDAQTEKE IDINEIDTSFYVLMVDLWNAEGTNEVNLVKHSATSPSISTAMSSSYPPPPQTLSPTYA QYPQNAYGQPVPYPQMNSYYPGNPQLQYQNPYGASPQTSYYQPYYPTGGHMPQANISP AQPVSTGPGGMFTRNLIGSLSASAFRLTDPDNKIGVWFILQDLSVRTEGVFRLKMSFV NVGTQSSDSPNGGVSVINHGSAPVLASVFSEPFQVFSAKKFPGVIESTTLSKCFALQG IKIPIRKDGVKGSRGRNNDDDDGDDYD AFLA_081500 MMEDIDPVSAPKELNMEELELMIQWCTETYRSISRDDTVEWVWR VAVPREAMRHPFLMHGILALSALHLTFNSSGTTKENHLMIARSYRSQARVGLEKVKGK LNDSNSNAVFALYHILIVSAFALPLIIEPHEDQTALDELCGVFRFTKALGDAIPAIID RVKIGEMKELIESSDSPPRMPDTSRLAIMALLRMNAALTRQNPEHESDVYNPAIKYLG ESLDKLARGGEIMVVAFQWIFQIPPRFVDLLRERQPFALIVLGHFAVILHSLREHWWM GEWGARLIRQIGQHLDTESKQSLNWVLDATGCYIPPR AFLA_081510 MSRYVSHGRGGAGNIFSGESHTTPKDLVTPTIKQDIYTTGRGGS GNMVVNDPQRPEIARESQDVEAPPLRVEEAPHHTGRGGAANAYIPSPEEEKKAREEEE EQLRRIRTASRDRLKDAERAAEKRSESSSS AFLA_081520 MPRLNANGDIYNPPAINRSHNESRRRRRRLVNGTRNETAGDRSP FVVTHYDNDDSHDSEQTVDETLAADVDELIAAERQRAAERQREERGQRAEQGPQEQGP HQPGPQQSGQQQSGQHQPESHGHELQQLEPQQQSSELPPREPMPRERVAPQQGSEEPG EPLQPIRLRV AFLA_081530 MGYSLVHPRSVGHAGPTLRHLRTSKPNQDKGETLICLVYSRLNQ VIGERNIEGALLSWLPSMEFAQIGLELRAVACITF AFLA_081540 MRLLNRKQRLSLITFAVLYFSLFFICRANSARDPGSYFFQPHEG YRPTYSLTRIQESLRYLSRYNQTTTTPADPYNQPPPTTKEHVDLCVGIVTVKRPLTQN IDTTIASLIDSLSQHQRSQISIHLLFALTTPTDHPDYNHPWVHNTVNRVLTYETQNIS YTTSYLRTLEGNKKFTPEKSLIDYALSLRSCYDTTDAPYFLMLEDDVVAQRNWFPTTT QTLHSIEEWVRRGIINPDWLYLRLFYTEKFLGWNAENWKQYLSWSLAATVAVAGLCLC LRRTVRPAQEILSNAFLGLVCFGAVPAVILLYFASGRVTVQRPMRPGVHLMNRDGCCS QALVFPREKTPAILEYMKKMEDATKPKPVDSTLERLANEHGFDRLAISPPQMQHVGAA SYKEDEKKWRKGEYPVRGAHGVWSMEFEKAYSEYEAVPYGGHMVDTYWPR AFLA_081550 MSSNTPSIVIPQSYERLPTTHIKVSHYPAGAETATPVVVVILNR PEKRNAFTGPMAEDLERVFAMFDVDERVKVVVLTGAGNTFCAGADLEIGFPEGRDERP VDHRDSGGRVALAIHRCSKPTIAAMQGSAVGVGMTMTLPAVIRIAHATSKYGFVFARR GITMESCSAYFLPRLIGYSKALYLVSTGAVLPSASPHFGGLFAETLTEQSEVLPRALA LATDIAENTSTMANGLNRALIWRGPESAEEAHLLDSPVLYHMFGSRYVDSKLFDSGIL LGGEAYWGFCRDNKEGVMSFFEKRKPNFKDTLVDNGPAIYPWWTEADISRKPKVVKGD SKL AFLA_081560 MHATSISAPVRTAMMFGRIPSKALPSVAFPANSTAFYQTLCQAR PASTSAQIKTSFKAPCARPQVQMPTYRVASMRANSTVSEATRKEAAKLTWNSFFQLRA SRRRYSLASSVVSSMASTFIGVQVLSSQDLESLGAQVMGLDPFVVLGMATAACGAVGW LIGPFVGNAAWGLVNRRYRQAFMIKEKEFYDRIKRFRVDPSSNSIANPVPDYYGEKIG SVQGYRQWLKDQRVYNRKRRNFIL AFLA_081570 MQYLPTKDYDIPNIDLLSLIFDSPLSLTTEKTVLHAEAANPTNN ITKAQARTITKRLAHVFRADFGIGNNGAGKDAVLCISSNQVLLPAVFFAIIAAGGVYT AASTAFTQSELSRQIQQSKSQLIIASPDSKTKALKAALACGIPVERVLILESSNHKHL LRDTVDPERNYLFQNTKELDWERVTDRNELETRLICLLFSSGTTGPPKGVMLSHMNLV SEAIIPQLVLRESRKGKPHLEVPYRTIGHLPTAHIAGCQGYFITPAVAGGTVYWMPKF NIDQFMDYCKKYQVTFLATAPPVYLAVAESSRVTDQFNSLIRAESGAAPLPTEVQRRA EEKLGCSISQRWGMTESTGSVTTMPWGEADSTGSISPLLPNTRLRIVDEQDRDVEQGM EGEILVKGPMVTKGYFENQEATAAAFAPNGWFRTGDIGVWKDGKIYMVDRKKVSPCIT AYVRCCDRLTDYQELIKYKGLQVSPVEVEACLLSHDGVADAAVIGVPDPSAPGNELPR AYIVLENDRIISEEELKTHVKSNMAHHKQLRGGVVFTKEIPKSSSGKILRRLLRDQAR KSAEPRAKI AFLA_081580 MASTSSTAQSLLKKHPLQRIHSPSRGFSALVHLLGLSSFVWSFK YMHENPNHANEAYGWHFQYLTVIGLSLSTLTFAIGLLADVTLSARLFLIKNLLSICSA PLEVLISILYWGLRVIDERLVVPDWAVIPLDADISFHAIPSIVLLIDLFLLSPPWTIS ILPALGLSSTIAFGYWFWIERCFSYNGWYPYPIFEQLPFEGRIGLFALSAVVMALSTG TLKLLYGRVNGYGTQSKPHSRPGAISQNGSL AFLA_081590 MVTDDIDMDLWMKRRWPRIPPLSQDGGTPEDKIIRIPAGDTSLS PLRMGNSAAITCSTAYASAPVNTQLRLIETADRSPPI AFLA_081600 MSPSSPGKDAAASWSGLSPNMATVVSREAVPSYPSSISHSLDDF SYPSPADTGSSIGSLAPFTFSPVTISQPSSFLRHSAEVPEQPLGHPSPGQGSTPDQGY PHSQYSSPTDAVSAYATYQSQSSSDPHEGMSPLSKSPQHFASPSVTQDAESMPGGVAS STEDFFNKIMNSPVLSENRPQVHAGEPLVTGDLRPLGIGHEVDVPEGISSAERKWHAY LTSVTDNYGLDCGRPDLDLNKNDDHSAIDINYALDLINTQIESSAASNNRQGDTSSTD SQQNSLDGVKFAYYASPVPINIPRYLSPLPSTLVQTPINLMYFHHFINHTARMLVPHD CSDNPFVSVLPSTYSASHRARYLEHPEPANRIAHWHMLLS AFLA_081610 MEGCPCLILSAFTAAAILLFTFIPILFVFYVFQHRFLHRIEARM TPMTDSVTPEGCQGIERASHPDKATPDALEATVSPAPGSRRNSNLMDSRLEDIQVPTL LPQITKKMPGPVTTSAIVKLTEELHLGGPAPLLDGGLDHLHIPTLEPKRVAKTSAFDA EEESVIVPWDGKIRWAIEGPSVFDDEEDELGAFYFRPNLFSRNPFSDIWMFQYGLRYV PAKGDKNVYRTVRIENLPSTLTLKDILPAVSGEIFSARLTDTTPIVGYNTAIVTFVWQ SDAIHFAQTSRNGIHLGPTVAKVIPVNTPTYPISAELKRLIFKEGATRCLCVSSLRES LKSEVRRVMEKSPHSAYIERIEDGLVLGETYIRFHSIKVAAAACDQLKNHPCFTECGF RFLKKASDMRTAVSGERTFRTKVQEKRPRIGIWD AFLA_081620 MTQPPPPTNPTTPKMRPQLTPTLLSTLFTSLTRPTTKWTLHRTL KSDNPLDINGDLHGTATFTPLSPNTNTNTTTAKDLLYHEEGEMPAPPGLRANLGVGVG LRFTKKYIWRLSEKGIISVWFAKVGGGEDGPDYLFHEFEFREEGQGQGQGEGVKEGEG ETFVDAPTPPLVDGEDTVVFRARGNHLCINDMYRTAYAFRVRGEDGEVVSWASRHVVK GPKKDQDIVNLYRVG AFLA_081630 MYSHILLILSTISSLLPRVTAQTFPQIDLGYATHTPTFINTTKS GTKVGLYNNIRFAQPPTGTRRFRKPVTPPLHEDGIQDGRDRLTKSDCVSSVNSQAPFP GINGTSWGQEDCLFLNVWVPEGVREGDDVPVLHWLHGSAFAFGSKDLFGDGYGLMDLI MGEENRFIFVASNYRFVPFPVLFMYVANQVTRMGLYGWVSSAHEDMDANVGLHDGIAA LEWTKKYISRFGGDPDNITVGGQSAGAGMIAMMLVGNGGNGTLPFQKAFLSSPGLMPR RNVTERRQEVYDQTLHAANCSSLSCLRDLSPSALAATNKKVLDLPGGSGGGTFGPGIG IGPFPDGKYLLDAVPVMLQQGRYHKNIQAVMSGNMAAEGLGLTPEISTYEGFATLVRR LVPGASNATVQHIRDMYPYPDSQLQLVANSWTTDIVFACNARAVAKAYGNRTQRYLFS VPPATHGLDLYYYFYREGAEFPGLNVSHARQFQLEVLKFTAGKFKQHNRTDNWPFYAP GAKMVNVTAEGIEQSVDPWARMPNCEIILKTVMDKRNGA AFLA_081640 MPRVAIVTGSARGIGKAIALRLASDGYSVCINDIPGAKDEIVRV VDEINATSTPEATSSSRPRAIGIAADVTSSSAVEAMVRESVEKLGPLTLMVANAGIAQ IKHLLKVTEADIDEVFSVNFKGVFNCYTHAARQMIAQGDPQSAAGVGMYKIVGASSIV GFRAFGALGIYSASKWAVRGLTQAMAMEMAPHKITVNAYAPGVVGTSMWDKIDEELGT IEGRAKGETREVYSNKAALGRTSEPEDVAGLVGGFLASQDSDFVTGQTMLVDGGIVFS AFLA_081650 MSQFMFFPNMHWRNLVLNFPESDHHSLPPSSWRVTQKINESIIS YTQKEAEETKELPLACAKFECETLEDSSNKAILIVYMEIPCEDTECAAEGTYETPLSV RVEFTAHYLLTLNGCRYSPGAIQYKEETQTSGDRHAFMPGGKIYYLVIGKLPGVPLGN GLISYTEDGRIFFEGLFWNLSRGERDQIRLAFQDAYSYIQGPFKPLDLANMGIPRSGQ LDPYGPKVLEIWGLAIAPKGTVDYDIPIDCLEQFGWIL AFLA_081660 MIQLKDLPPPPPYSETQPSSFSDTPYDEEAASESTEPRGLEFDP RNTIRPGDTQRWWSRNVKRQIFCLFCVVLVVAATPYVVFMVLMSQWRF AFLA_081670 MQDMVFALPLITASWVGVTAASSARATGTTQPNWFQTSPQSYQG PTATGSAPFLAVTNPAFSSHGTYIANEPLVTDQPISGAHGRNIFHHAGNLSPYFSSEE GFGVDEYPLPAGANITQMHMLHRHGSRYPSSSEGYPSWAEGIKNSTTAGNRFKGALSF LNDWSYGLGAEILVPKGRQEMFDSGVLNYYNYGHLYNESLGHKLVARTTTQDRMLKSA ENFLAGFFGLDWTDKANLLAMIENVGFNNSLIGTYSCPNAMTVMANTSIYEPMNQWIN IYLKSRTTTLKELSGSYNWTATDSHNAQALCVYETISFGYSQFCQLFTYKEFEQFSYA YDLMFTAMVGFQNPAGRAQGIAWVEEFLARVEGHVLQTTGTNANMTLATNPVTFPVDQ NLYLDFTHDSDIFATLTAFGFRQFAQFLPPTGPPKNQQFSTSKVVPFGGRTNIEIIRA PHKVSTKRSRNETQSVYVKDTKDTYYVHFLQNQRTLPLHASFPECEYRDDGWCELDTF MKVQRKSLQRSQFEYACFGNWSTPAYGDVTDGVPPRS AFLA_081680 MPPKADLSLVPNSISYRPVDGSDPSFQDDQNDISPVGSDLQSEA NEVTEDDFYHRPILHPQLRDQLLHGQLYEKKAIVQRGFNYEVTFMDMKIQIKHFELDV ERGIKLRVDISEPGHRHHKHFVARPMDNDPAARLAIRAMFYTKLGDRVEMYPQSEKYD KKLLMQVNTLFDVLKGMPLAVVQVEGDEVVVANVGLGASLEQIIATPRRFLSIQKSAD PRLSKFICPGDFTDGS AFLA_081690 MEDESSGFCARAVTHRGQALRTLGSQLAKGDQCSKTELELMLAT VYALTFQANYMADGLFDFAIMVRGCSIITRRILNKYKRSDMFTLLTSDLIITNIVPQL PLTPYADSEDLNRSIETLESIEPLLLSDSHRNAYSALLNTYNALKRSERDGFVAFTGF YDDWGRMSNREFMEFLDPGNYVSRILFLHYVVVSIMLQPVFRILRKPRMLVFPKDELP LLQRGVYIYECLPSEVRGLVEWQFQFITVHKASLEGPGLRPVMEDSSELTTV AFLA_081700 MSYQDNINKIYSYLRNLKLTLITQSLPPDNPISSKTPNQPQAEM GDSIYFYNPSLAASILFTILYTIPLLYHTYLSLIAPYTGHQKKVPYFIPIAIGAATEV AAYAIRAASVHQQDNIGLYATSSSLIVIAPVLVCASLYILIGRLIRSSAGGGRATSSS DTETKEPVQLFGRFSPSWIPRVFVTSDVVSFLTQAAGSGIASSNDWTGKEKDVGVGVL IAGLVLQLVTFGFFLVVVVWFDRSFASGEVGEGVRSVLRGIYIAGFFIMVRLIYRVVE FCMGMDTYTWTHEWPLYVLEAVPMLIAMMVLGWYHPARWLPAGFGGREK AFLA_081710 MSAEEFLTDIEGGAVPVNCHNRVLRIAFIYKDEGLWNGNSVFDV VEKPHGITKTDNHSTLDIFYLAQLAAAIYRSSSVWPSASCHRRWPGMWFWLSHQPWSI LAREAQEGWKRKNTFSYLQSHCNDVTDLSKCLDRFSKA AFLA_081720 MELDDESHQMSDLLDSTKLRPRYNSTIFAITSANSYWVFTAPEG FLKQDVQNSTSDNEDTATDVLNRLRAEAQNGTLQRLDISQCVNAYATDFQTTSGSLIL VTNDTDQSQSVAQQTTFLPQENMRTSASDLYQWICSAQAQAKEHTCSQLLSDVKDQVS KNSWYVDRYRVGYCLAELPPQRCKLEYSVPLAIIVIVFNLVKAIIIGYTAASATKKPI LTTGDAVASFMQKPDEFTRGQCLLSRESVKTRPCRTSYKSSTFNSTPKRWHAAVSVKR YVLGLISYTVAIIICIGLLIFVVLSMRDKTNTWTMGLGAINTQTLITGTRWVTSLISN VLVANTPQLLFSMIYFTFNAIFTAMTLAAEWSRYANHRKGLRVSGPPEVAQRSSYFLS LPYRFAVPALTFSALLHWLLSQSIFVVGIESYTGNLQRDPTRDFITCGYSPPAILSAI LVGVAMVVWLVGFSFRRLESGMPVAGSCSLAIAAACHPTLRVKGDEYVDEEGKLGAEH MRVQWGLSMSMRTGREIVVSRVRRWEYRRMEKSIGDATKHDDELMYLYDVMS AFLA_081730 MARWPLAWTANVPSTSRLFHFLLITFVFSLSVRADDDTTLIPTA ASDSFPACALSCSILQQAQTGCVPPTAVSSDRATYVSCFCQSSLITQLHNSADGTCAD TCTNADDRTKLQTWYSDFCSSGGQNKGTTASESSAAASPSSSTSASTTKSQNSYPAPK SWWSTHYQWVIMVIVLAVGFTAITIVGVWLKRRHDAKYPNLYHAGSGSNSGSNSGLLY SRGQNTSPGPKQPGQFMPAPSPVNHDEYANTDSVASSSRTEVAAPGPRPSRLQRTPQS ADVGDIETREVTR AFLA_081740 MGDIHLRLFPSAAPKAVENFVTHARNGYYNNTIFHRVIRKFMIQ GGDPLGDGTGGESIWGGEFEDEFSSLKHDKPYTLSMANAGPNTNGSQFFITTEKTPWL DNKHTVFGRAVQGLDVVHKIENTKTFKEKPEQDIKIVSITVS AFLA_081750 MEVNAGWRREAVAGGLRQLLSSGHIANFSGRPAASANPTQIFAD DVIEEKGENARLSAFVGAIAVGDLVKSTLGPKGMDKILQSASTGEILVTNDGATILKA IALDNAAAKVLVNISKVQDDEVGDGTTSVTVLAAELLREAEKLVNRKIHPQTIIEGYR IASRAALDALEKAAVDRSADMEAFRKDLHSIARTTLSSKVLAQDRDYFATLACDAVLR LKGSTDLSHIQIIKKAGGKLSDSYLDEGFILDKKMGVNQPKRLENVNILVANTAMDTD KVKIFGARVKVESTGKLAELEKAEREKMKAKVDRIKAHGINCFVNRQLIYNWPEQLFT EAGIMSIEHADFDGVERLALVTGGEIASTFDHPEQVKLGHCDVIEEVIIGEDTLIKFS GVAAGQACTIVLRGATEQLLDEAERSLHDALAVLSQTVKDPRVTLGGGCAEMVMSKAV EQAAQNTTGKKQLAVDSFALALKQLPTILADNAGLDSSDLVTRLRQAINNGMTSSGLD LLTPGGGIADMRELGVVESYKLKKAVVSSASEASELLLRVDNIIRSAPRRRERM AFLA_081760 MARWTGNKFVWIQAKGRAATTPSEIYMAASGVQGSDKLNLSMDV EFPNNGKMIKFVEL AFLA_081770 MTRNSTIDEVTFVTILWVCQTISFFFVAIRLALQYHVDRKWHTS DIMILVAWLFSLGNGITWSAVYKQMYQVISLAKSSTIDFSEVPANIGWMQRRYLNGQL SAYLISFTGLWLIKLSFVFFFRQLGNRYRAQQILWWVVLVLVIGCYGGTLGCLDYKCE MSSLEYSIEVCATAGAMHSQQVRLRVATTLDILSDAAIIILSGNVMWRARVNLTRKLA LIGVSFLTAFIIIIALLRLFLSVSDMSIIDPIWLGFWNALEICVGELTPFNRLLL AFLA_081780 MESGSAHTPLVLVQSKKHNMDASINGLELCESRRSSLSFGRTDD TAHTLSGSNTSLVFYDKGFQGKADLPCEKCPSHIISSGLPS AFLA_081790 MAALWMARCGVKTRIIDARATKVFRGHADGMQTGTLEIFDSFGI VDDLYNKAAPSVEMTIWAGSKDVPLKRVARFPKWSPDLGQYHLVHTSQGNTERALLDG MKAFDGLEVERGVLATAINIDEAGIHDPKAHAIKLTVRHLTDKELAAASTPDTIPQPG DFNYNSADEPYLKRKVAGKEGRTEVIHARFVIGADGSRSWTRSALGFDFLGDDGEEDV GGILDCIATSNFPDIRIQSMIVKDGRGAGFVPREDGLLRIAAPVPSRSEATPEAILRS LREILSPYEIDITHIDWCGVFGTRRRVSSSSSKHSRVFLVGDALHVHSPRAGIGMNFS IQDAYNLGWKIAHVVKGISPLSILKTYDEERGLTTKQLVAFDKALSDESPVGANFSAH GTYQQLRDNLPFSSTTAIEYEAGLLVAKQGGLIVSKQYLAPGILVGRRLPSQTVERHA NGESVDFGKSFPSDGRYRIVVFAGMISQPEQLRRLENVSQVLELPGAFLRRLGERAIT PQDVFEILVVHSASRDDVEIADLPPSLFKNGDPFRQVFVDNNESRSWTLSEAYSRYGI SRDRGCLVLVRPDRHVMYIGELEDVTEMIKLLTSILL AFLA_081800 MHFQALPILAAMLALPALIMGEPDCPYGGYWTWSHEEARSAAAH GIDKWCNNIAPSTFKGGQEVTQCLEVDYDPKNVNLWMKNDNSGDKVLSIDQCKKLLKK IVDSCPAGGSDRTLDGWAPQAKPGGACWV AFLA_081810 MGPTQTGVVSGCQLFHTVVDGDDFPSIESDYGITLAQFYQLNPS IGSTCNTLWLGYAYCVKGPSSSATATAISSTASPNGHTQAGTVSNCNLYHTVVSGDSC DHIEITYGISFAQLLRLVGRFPTDIGRTLYPATRQYSHKVRTTMNISDNDRPHKLSKR VPTMETNFNHANRLERLLQKDRFKTWGFVIYRCTYRSDSDWNRFMTRLLSHVTEYLEF YNGLDLLDSFAPTVFEDQSFDGATTTLLRKHFQEWAATAPQVEQANDHSRFPQSDRYR LFLMVDQEALESVLSVSDPECRSETGFVRLVNGVWKPEEPDEEELEELEISDPSELEI HEPLEGCALEDVGWMKVSYEDAETRAFLKIGDNVDWSSYYQRPPCIVTWI AFLA_081820 MPPDWLYAIFLTVFFAARGVAIQLDIQDEQSIKSAAATAAYNMM SYYHGNESGQTPGKLPDTWWEGGAMFMTLIQYWFWTGDTSYNEVTTQGMLWQKGHDDY FPANYSNYLGNDDQVFWGLAAMTAAELNFPEKDDDSSWLSLAQGVFNTQVPRWDTSSC DGGLRWQIWPYQAGYTTKNAISNGGLFQLAARLGRYTKNQTYIDWAEKIWDWSATTPL LKTADWNIADTTTSEANCKDHGDLQWTYNYGTYLSGAAYMYNLTDGGEKWKEAIDGLL GTTIAKFFPHEYGGDIMSEISCEQSMMCDRNQDCFKGFLSSWLTFTTTIAPFTQDQIL PKIQASAQAAAKQCSGGDSKTDCGRSWYKQDKWDGSKSLESDMSALSVLSSTMIAHKK EHQAPLTAETGGTSKSNPSAGSGHKDQQTGTPKPITTGDRAGASIVTFFFACGWMASV SWMVYGG AFLA_081830 MKLTTVAAILAFAYATAAAPQGQEGGDGQHGQHGQGEDETIIKD YCCPVGVNGYGQGYAILGGAVGCSELRPRGTCTEDWPVSWSCYEKPGSSKGPSGQTAG VILHRV AFLA_081840 MVLYRRVSPNVSRPLSTGPEVHHLQDYQVPVSNPEGLITCRVYT PAGPGPFPVHLNFHGGGWVLGGLQSEAAWCRSICNGSSIVVIDVDYRLAPEHQYPIAL YDCWAAVRWAHTNAQSLNVDPNAISIGGLSSGGLITAVLAHFARDCFPPLELKLQLMV VPATDMRYVPLAIRNAEPLTTESCPYPSAIFCADLPWSPLARESWFLNYYIGTDEEVR SKVLSDWRMTPVLSPSMKGLAPAHIVTAEFDVERDEAEYYGQLLREAGNQVTMKRYAG VPHAFAHYNHPTRGLSKSREFIRDTIKLLSEVHGTDKRNQVA AFLA_081850 MAGLTSFLTDDSSSSSIAAETSSRSPSPVERVSLFEDRVDGTPI SSQDSDTMEQIQTVRQATSVVLQGSPKTCSRTLFLLPDGSGSATSYASLPRIDKDTCV IALNSPYIKDPSKLSHCSLGDLIEGYLNELRRRRPTGPYHLGGWSAGGILAYRLAQIL SGEGEEVRSLILIDSPPPRGLDRLPQHFYEMCDSLNIFGQLGKKPNVEESKRRATKKP DWLIPHFNGVIDILHNYWAEPLVDSQCLKVSLIWACTSIMDDANLPPLMPHKDDTEGI KFLTEKRRDFSGNGWEDLFPGSQLVIEKAHGANHFSMMQGPFVIELAQFIRKAMF AFLA_081860 MRWRLPGARSTLPASVALLLLPVLVAPQQQPEHHDLPSTLSVPL GSTGHAVGKDLYTPLNVKSTDASALATMALAGPGRAVRAPPAQVSSPSAGLSTPLNAR SLQDWEVEDFVLLATVDGSIHARDRKTGTARWALEVPSSPMVESIYHRANRSSFDRTQ PEDDFIWIVEPSQDGSLFIYSPGPDAGLQKLGLTVKDLVDKTPYSGTDPAVTYTARKE TTLYTIDARTGTILRVFSSRGPIPSGQECRKVDGLDMDSDDCESPSGTLVLGRIEYAV AIQNTETGDPICTLKYSEWAGNNRDLDLQDQYYRTMDESHIYSMHDGVVLGFDHSRME RPRYTQRFSSPVARVFDVIRPTNLDSPDAPTPLVLLSQPLQPPDPDYGSLDDRDTRVY IDSTEAGGWYALSEETYPLVTGRAKMAQCYEKDYFRHGQALMSLTPGQQRDALAGVHS LNGPRFVRHIPSLSGSSRSELSNGTPQDLARSHSDLALPPALRHSTIIRKGWDNAVDI FVTLILLFFGAFIYFNSHNIQELAKQKLDIKNIISSYQPPLSTPSTPVVGAQLKRDAS PARPVPNVTVDVSVPEDQQQGGDTTPKPKKDRNTLGADSTPRVRIREPSRGPDGDEDV DEVALDGAEKPKKKARRGRRGGKAHKRGKKPDSEGDSQTDRVVNDVNNLQPKSRLEPD VQMVRTVSNEIMEMDGVLQIGRLRVFTDVVLGHGSHGTVVYRGSFDGRDVAVKRMLVE FYDIASHEVGLLQESDDHANVIRYFCREQAAGFLYIALELCPASLQDVVERPSDYPQL VQGGLDVNMPDILRQIICGVRYLHSLKIVHRDLKPQNILVAMPRGRSGARSLRLLISD FGLCKKLEDNQSSFRATTAHAAGTSGWRAPELLVDDDKSSVIQSTESQHTESSEPAVV DPQTNRRATRAIDIFSLGCVFYYVLTRGSHPFDKNGKFMREANIVKGNHNLDELERLG DYAFEARDLIQSMLSLDPRKRPDASSVLTHPFFWNPSDRLTFLCDVSDHFEFEPRDPP SEALLCLESVASRVMGPEMDFLRQLPTSFKDNLGKQRKYTGSKMLDLLRALRNKCHHY NDMPEHLKAHIGGLPEGYLSFWTVRFPSLLMSCHSVIVDLKLTRIDRFKRYFTVPE AFLA_081870 MVFIRLIVKVYPREQLSHAYPTPNRKPPTASSEHETKPASFLLA LPNPEETSLGELARLIRSKWAKLRPNAEPLDIKKLLDDSRDMVDLDVDMTVADVWVNQ ARAKRDEDDQVGTVRVVQRPAPYAPVRFPSVDQDWGVTKEEKFRGKFETIEEAGETET DSESGSEESEEEEEESEGEKGNLIDAQARAVNGRDNEESETDESEEEDSREESDSGSE EDDNEQVNGRANVEESADEGESEESAPDNDIRMEDSLPETRVLKRKMSTEELEPRKQP RLAQSSQASAGDAENVHGTPVSSPLGTRKRDADRAPSFSGLGRRLSFTERPALSHGLG LGITKSPPRKQPFLMADLLKDSTQSESGPSDTRVSPTSVPPSSAPAIRRSSGNQHPST PAKLQTPADKVRLLQSALRKDSPAERSPERRSVSFAEGEDHAVPTSVPVTRATSRVIH KEQKNGTNSGSKRALPEPEEPSDKEEEFSTGINLEINEYERALQTHDLDEKSEYTRKV KLAAKKWQIMKNNKDKGRKRMQERYKNAVNDLRILHRDIVELWEFNKPPSPRPKSQIP NGTPATKKLSRDPTSSQNRKSQDPWDVEILTPRADSKKLIPKNLPPSQTSRKSSDREE AQAQQQDKGHQSVEIPPPKAGSSAERRLGSKKLSQERPPSPPSESESEAESEKSGSEE ESTAKQPERKKSSSQEKSPSPPAGSESEDESGSEEEEEEEEDNAAPNQADSLTNLTST VEASIPDPVPESGSGSDSEEQSGSDEEDEDEDEDEEKQGEEEEKDRPTDEESQNKDAD QHEEKEKEMVQEPETQKDDIVPETEEEREQETTTPAQSNPPPTETLSKPNPAEEETES DADEESDGEEEEESDNESDKENRSLPKPASTAKANVLRRTSLNPPSSQPNPPSSQQQP TSSQSTPTGSRPTRNTLKSLLFQQRAEQAQARLKKEEEAAKRKSQPRKDIFSGPSDSE SEDEDESSSSSSSSSESESGADAGDILSSGRVGKLRAAIPRK AFLA_081880 MASSAFPPPPVSSIDWNNVGFKVRDGRCYPSINVPRRNQGGKWS APKFVNSPYLPIHGMAPGLNYGQQAYEGLKAFRRPDGSISVFRPDRNAVRMRRSAEFI SVPPIPEDLFVESVKLAVAANAEFVPPHETGAAMYVRPLVFGSSAQLGLSPPDEYTFV VFVMPTGVYHGVHAVDALILEDFDRSAPEGTGSAKVGGNYAPVLRHSGRAHSEGFGIT LHLDSRTRSEIDEFSTSAFIGVRKDASGAVTLVQPDSKNVIDSVTAASVLEIGERVFG FKTERRRVPYEELQEFKEVIACGTAAALVPIRSITMRSRGDRLTYEAGDDGQSGGEVC AKLLQTLKGIQAGKIEDQFGWNFTVERPPEGWATGGGETESDGANVP AFLA_081890 MIDQPESGWSLTGYIVENPQDESMACEIAAKATTIDGKSPKPED VNPHGGCSITNHFFRDDVIKYCCGTPIGNGTNTNTTVCPENKTDFFIDDAKPVLGHAM LANVTSLSASSNNGSNGSVTCPVSSPCHETAVGTGVGVSLGVVALGAIAWAVYERVRA NRLKSSAAVMSPNMGMGLPTAGSAPGYQGHTLPVELAQDRQVVEIMEREI AFLA_081900 MRFLTSILALTAIAGVSAVPTSNSATTNTVISGEFTYNGEGVFS AVVALRHPMQIVYLGNVSAMEITVAGHATVDACSASLDRALELAGPDLGIVAS AFLA_081910 MVALISFLLLSTVTAIPAPISLTTRDQATPHAPSPWDAGATTQY PIHQSCNATQRRQIEAGLNETIALVDHAKAHILRWGNESTIYRKYFGNRPSFEAIGAY DIVSNGDKGSVLFRCDNPDGNCENEGWAGHWRGENATAETVICDLSYSTRRSLTSMCA LGYTISGSETNTFWAADLLHRLFHMPPIGQNWVEHFADGYGEVLELAAGNESTSTRDS ETLQYFALEAYAYDIAAPGVGCAGEYEESQEESEDGGDDLPAVSSVLVCLFGGVG AFLA_081920 MVNMLRGLFVASLAASVVHAQSYSGCHTHGSVEYCYGTDGEETP ITTHAQATATSVSATTTSSAQSSAVTGCHNHGDDVYCINGEGNEVQVSLIATPTGELP AQYTGCHSHGGSQYCMDADGNDVQILEEGESGDESDSTSESSSSSSGEQNCHFHAGVE HCVGAGESEGSSEQSCGVQSRDYDMPLRIGTLFVILVTSALGVFLPMLLVKLPFPTIN TMASTVIKQFGTGVILSTAFVHLYTHANLMFTNECLGELDYEATTSAVVMAGIFLSFL TEYIGHRFVAARASKSTPECCEDSPSNNESATPKENTAQRTMQLAQLSHSHGTDGTSP NTKLSVLVMEAGVIFHSILIGLTLVVAGDSFYKTLLVVIVFHQFFEGLALGARIAMLP GRIFPSKAVMAGTFALITPIGMAIGMGVLHSFNGNERSTLIALGTLDALSAGILVWVG VVDMWARDWVMEGGELLDAPLVRVLVGGVSLIAGMVLMGVLGKWA AFLA_081930 MTNNIDIHYASESESAALGHINIASFRHGLVWLNALPGMDPEVC MPMKQARCLEKLASPDIHVFSAVDTSVDRVVGYARWTVPWEENKVELSEEGRTMVANA ASLRPKEMRADIWELSLKLMKEKKAVHTTKDDMMLDILAVLPEYQGKGIGSKLLQWGT EQADARNARIYLEATIEGYPLYRKYGWHEVDRIEIDYAQYGGTGQITFIIMMRDPQSS AVAPN AFLA_081940 MALQIVPMTKQDIPEAVECVQTAFADDPYFHWLFDSSEYNIHRN AASLAAHFQYGLNCNTPMYVAKVPISDKHPRSIVGVSWWFSPQPASKSVSWSVWAQDW LLSFRQFLFNVRFGGRGGLNLHRYNMWKEMQRKTHDVVWTDPRGYYFCNVLAVSSEMR GMGLGRKLVEVVTQQADRDGMPCYLESSKGVPNIIIYEKLGFNLVTEIDCVDGNDACK LYCMVRKPKTKA AFLA_081950 MINPDPGCGVDIPAPFYSLSFAPNAEFSRFFPKQQEVLQYLESV ALRYDISGHVVGNTEWISASFEDSTKTWLVKLRDVKSDQENIQESKILVSAVGGLTNP NPFHIDGIERFQGSMIHTARWDHSVSLNDKDVVVIGNGSSATQLVPAIASNVHSVTQF IRSPQHYFPGNDITIPSSWRTTFRRLPALLRFVRLLIFLYLETSSFQFDLTKTGERMR EEAREKSCRYIEQYAPEEYWDLLMPTADIGCKRRVFDHDGYISCLNRDNVHLTNDPII ALREHSVLTQSGKTYPADVIVLANGFSLTQFDAELRGRHGHSRKGHWQEFGYIEAYNS VAMSGLPNFFYMMGPNSGRGHTSIIYSLESYANLIIRVIKPVISRHALTVEPKVSSER AYNDQLHTALEKTVLTNACRSVSYLSLV AFLA_081960 MAISDTPPNCVSVVIIGAGFSGLVVACQLKQQLNCDDFVIYDRG ATLGGTWAANTCVYLFHHKDTQLA AFLA_081970 MKMLVFLLPIVSVAAIGSLLCSLMIAAFLRRRLIPLNSHIKRDF IGKPLLFPARLTHTRRFPETERYNYWYDYFLIGIPVGLRGRVGNLLSIDSLPQRERLW EKCWFTIDPTYYLDRGSGDRSLEEKLHVFLKSVGEDPKEFPYAYLISVPRFLWFQKSA ISYWYLYSSNRKLTAMIMEINNSFFEKRNFFFRVTGDGMAVDSANNWSTTTTVSAKGY HDKLSLHFSPSMPKSKQYKGSWEKDIFGSPFEKVGGLMVSKSVDPVLGPSIQSNLSSN TPDGQVKVTSRLSSWGEPVDPLAAPGWIIARFIARWTHVGVLSAPRIVKQALRIRLRG KLTYLKRPEVRPGSIPRKETEIERQVWDLELPFRQYLSGLASHTSFPVSIKYIPPKSI HFDDMTFYSPSCTTWSSQPTLTIQPLTPRFYTSFPQYDSPRAAFFTETKATPTNSDES SCRLSISDHSLLELDQVLATAGQTLDTEAAKLGARNPKDWKCKILQKVVSFLRNSPAE TFMDRFVSHYAHPSLQYRPSSNYATYQHGV AFLA_081980 MKFLSVILLTTTAGLASAAGSAGCGQPLPPSQNPGGSSYGVNFT LSAGTQRFYRIHIPSNYNVKTPTPLIFSFHGRGKTAESQEKLSQFSNEDWNPDAIAVY PQGLNKEWQGDPHSKDVDDIAFTMEMLDYFQEKFCIDSTRVYAAGKSNGGGFTNLLAC DPTASTRIAAFAPVSGAYYQDVSEEACHPTTVPIKCSPGRPSIPILEFHGTADKTIPY GGGGRRGECLPSIPHFVREWSKRLGYGLHNTTKELYDGNVQEYQYGNGDNRGIVTHYR IGGLGHDWPSVRPNSDNPNGTYLDATPIIVKFFKQWVLPGSSEDNQSEAR AFLA_081990 MFEMAMAVLMFNEIQARPSEVEFLEKLKESKYSVVFKVRFRERI CIMKVILDEIHEANVLHGDPMPRNMMVSLGEQDRVHWLDFDSAQTFPEGGDRSPKQER WMKEEVELLDYFVDALAQDHKEGKLNRTISYYYDWFA AFLA_082000 MRLAYLILSVFVATVAAVPNQCTPNGLPCDSQTPCCSKVCVIQG DIGSPNENGTCEDSSDKIDKLMEDPQFRTVDPCLAILTVQDQ AFLA_082010 MTKSVNGALDWAVLVFSRALCRTTQVEKAGSMSFRTRSMSFAIN SRKGTRQLLDMACPEMRQCHMLCSNIMGQLNTRSPSLHDLTWVSPATC AFLA_082020 MDGSLTRQAQSQRRKMLTEPLNEATRISPKYWRQFSATTAILIF NPIRRIDRIGNHALDVATVNAAGSNAMKLGQRAVAVCATPCSVTISLRNRVPAYSQQQ KTRKGSQRQQRPGRCQLSATKHLSLHIKPTSGHRSVHTELASPYQNNYSNVPHRSEFF RPCPVDLLSLLSSTWSSSITS AFLA_082030 MKLQQSAIQGRDYHVVAERHYNIAIREVAAAVPRLNKENCHAIY TAAVHIFVCSLAMGPRPGEYMAFREDGQDGLLSLFIGVRTVLEISSKLFSPDVVLKGD EGESGSESDPAAETTGPVRSSTIASEYGYWMDQLRHLIESELVREGTLNPVYRTVFER LHECYDAVYSPFSPVTTALLSPCIFGWLYRLPNAFMFGLRQRHQPALVIFSYFVLLLD ELTWNWFLQDWPRHILIGIHRNLDVYHQQYIQWPMHCVTSKA AFLA_082040 MDPRHTHKPTRVIREISRRTRTGTIPSLTVATQAHCVRQTPPTL PRNRTSPPNTWDLSKPLGLMSWCLPCLTFGKTQARNHDATLNGFSYCNADCTIFTGLG LIYSHWIIQTIRRGEMRERYGIKGSCCGDGCATFFCSCCALVQEEKEAELRTRAELGY QMTPGMEYK AFLA_082050 MVIRRSPDSRLLHGVFHVFPELQEWRTKDLFSKHPTEGGLWRFE SRTDDIIVLGNGEKVNPIEMEAAIEGHDLVRNAMIAGRGMTECVLLVEPDWDKFGDRD LDDGFIDEIWDSVEAANKQGPGYAYIEKDRIGIASREKPFQMNAKGTLRRALVCKDYE SEISALGDDDPLNPVGSSSDAFQGDDVQTFIRQVVSSVSPNLELEEDTDFFATGLDSL QVIRMARIVTRGISMGSKESRGIHIDPQVIYRYCTIKSLSAYLSNAIEGNVSNGEAED KVEDVQATLKHITNKYTASLPKIEHKALQVPSQSNIILTGSTGSLGSYLLDVLLSEPS IQKVYCLNRSSDAFERQRSSFHDRQLNVKALLSPKAEFLTTNLDDKTLGLSQSKYTEL LNKTDAIVHNAWKVDFNLSVHSFEKDHIRGTRNLLDLCISSPKTAHMYFVSSIATTSG WDPSRGKIPEDILPDTVEPPLQGYGQSKYVAENICLAASTRSSVPVTILRVGQVAGPT TKSGGYWNLDEWFPSLVFTSKSMGSIPESLDMPVEWIPVVQNTLAQVVLEIIQCGQDG KKGIPASVINLVNPTRTTWATLLPTIQRRIGANLVSLRGWVRALGETDAAIVEDRPAY KLLSFYERLARSNGDDSFLQFETNKASAASPTFRALGPIDSSLVQTWLDQWVL AFLA_082060 MATAVGNRLLPHIVDNDAETNPNGTFGLILKDNIPNQWIPLTKR QLAQAVNHVAWWFEQTVTEHCDTTTVAYMGPNDIRYVICAIALAKVGYKTFLPSTRNS AEANAHLLDAVGCNCLLWGGQSHPAHGQALVPDLQVWQFPSLDDLLTSSVSHYPYHKT YQEAEDETFVILHSSGTTDAIFHGHQIIHYSSKPDIDSVLDALSTLRPRGAVIPPSLL QDMSRTPQALKTLSKTEYVIFGRRATFRRGR AFLA_082070 MRRQNAARPENGDPTVLPNGFIDHKEPFTTISRSQDKPTTESDN SISILNERRWFHWHEPGTSKADKKLIFKLDWFLLSFSCLYFFIKQLDGNNISNAYVSG MKEELGFGPGNELSWMNTYFNIGQIVGGPLSNLALTVIRPRFWLPGCLVAWSLFVLFL FKCNTASQFYGLRFCIGFFESAAWPGVQYVLGCWYRKSEMARRSGLFVMSGVLGQMFS GYLQSALFTGMEGKGGMSSWRWLFIFDFVLAVPVAIYGIIFYPDTPENTTAFYLSESE RERARSRISEEGRTPVGKMDGTVFTRILASWQLYTFSLGYAFWTLTCGSYVTQYFSIW LKSLNVYSVPQINNIPTALGAVNFFFMLTSGFVADKIGRRGPVCFAVGCLLTFCFAVF TAWPASRHLKMAAFILSGCYGCYTPLLAGWVNSSCGGDQQLRAFTLAFMVSLGQAVVI PFQQLQFPSGEAPTFTRTHGWVSGLCFVIILTLWTGFGIELVEWLVGRKEKGKGVIVE ESNVDA AFLA_082080 MVEFVTINGAQLAYRLAGPANAPLIVTLHGGRGFDYRLLSFDFR GHGRSSRTEPYSFRQLVEDIEGLRRHFVGGENPCIICGGSFDEAEAIQVLEGRMHRAP GLSTRMLRDKIFGQFGSDLEFQLIMYAAAPLYSESFNADIAFGRNLDTVFYAKSHNDL YAEPEKFFDYRDDLSTVTAKTLIIVGERDWICPPAQSRVIASLIPNAHLEVIQDANHS VHVEKNAEVIGHIRKHLMRPAAIS AFLA_082090 MKYHTQRYRLNQSSPDRDGAERQPEQRWPYAYPPPACNRCRQYK KKCSKTLPSCRTCADAGRKCSYFASDRMAASSPEVLHARIQWLTQYIEDNIPGATVGQ NMPPKQKEASQAVDEGAGERGSPRNPVQFQHNSQAQNTSSSLDNEPDNAEQKKGTSVM IWKGIDSKGPQSLACLNAYFHHVHRAYPFVDKTRIMQVQSANVNVVLIENDADSMMLY LIHAIGRTTLQRSGKLSPLTGEEVKLPYSIILQYCMENESIDSVQILLLLALYSLFDP HGPGPWTTVGILTRQAMAQGLTLQRTTNVDQTSPADEPSNRLFWSIYVLDRMVASSVG QPPGLFVPDAHIPLPAVTVTEFASAQRAEVSSMLLVTRHVIQLRRLESKITDAIFLRP RADVRSLTPSDRAAIISELHYAVDNWYSDGCLISRPEPSNVRIHDTMAWLNARYYQLL MLIYYPTPFNQPSRPGSHEHLLNVVQKYIHYSQALLELGQLPLNYITLTRFVPACLVL LYCFGHTTAMVFSAKQEIQSCIAILQSFSSGWEHARSLAKIMTDFASVVSMYESRSAS CLVTLQTTPVLRHAAQPSLYPRVLSLRAQLIEVGGRIMGKANCYQFIEGWDVEAISSS SRPDTSSSTDAAVTRDIAALSSPLVGEVGWDFL AFLA_082100 MLPPPDINISIDRGGTFCDVLVQVPEREELVFKLLSEDPQNYRD APTEAIRRALEIIEGREIPIGEKLDGSRIASCRVGTTIATNALLEGKGRKFAFITTKG FRDICVIGDQSRPKLFALKVRKAEALHSKVVEINERVTIEDYDLNPFPLDKSREIRDP SLVRTVSGEIVRILKPIDLEEARQALENLRADGYTSVAIAFMHSYVYPNHEDQVAALA KEMGFDYVTTSHETSPVIKFEQRSSSVCSEAYLFPIVKDYVAAFESGFSTLPRKVEFM SSDGGLRQAVKFRGNEALLSGPAGGVVGIARTCFDNDEKTPVLGFDMGGTSTDVCRYD GKYDYLTETVVAGRKIITPMLNIATVAAGGGSMLFARHGLFAVGPESAGAHPGPACYR KGGPLTVTDANLFLGRLVPSSFPAIFGPGANMPLDYDITRTKFEEITREVNTQTSQNL IPEEVALGFLDIANETMSRPMRNATEVRGFAPSAHALASFGGAGGQHACAIADKLGIE RIIIHKHSSILSAVGISQAELQLETSAPFAGTFSLEILPRLEAQIQTLKDKVQKELIA QGASAGDIEYEESLSMRYIGTDTNMTIVKPDDSDYGKSFVQTHLREFAFILSRDIAVD SIKVRGVGRNHTSDRKVSPYMQVEKLKKRDQYIHSSSTQRVYIDNGWQNAPIYHLNSL SRPSKLHGPALIIDATQTIFVAPLFDAYILENHVILEKSGNQVPDASLGEDEAINPIQ LSIFSHRFMSIAEQMGNTLQRTSISTSIKERLDFSCAIFSREGRLVANAPHIPIHLGS MQYAIQYQHRLWDGKLKPGDVLLSNHPEAGGTHLPDLTVITPVFIQDGDCQTLAFYVA ARGHHTDIGGRGITSMMPESKELWEEGINVRSMKIVDSGTFLEEDVRQAFLDAGNFPG CSPTRRLQDNISDIKAQISSNQRGIVLLQKLCREFTLPIVHKYMHAIQANAEVAVRKY LKGVAQTREMPLTATDYFDDGTMLKVSITIDDTGSAIFDWEGTGPQMWGNYNCPISIT HSAIIYTIRCLIDEDIPLNDGCLAPITIKIPKGSILRPNANVAICGSTLASQRVIDTI LRAFNCVAAFSGCANSFGWGVGGKNPHTGVIEPGWNYGETVGGGCGAGPSWDGEHAIQ AHSTNTKITDPEVVEKRTPVIIRQHAIRHGSGGLGEYCGGNGAVREIEARVPLKFSIL SDRRIYHPYGMNGGEPGDVGRNYVFKWNEDKTVLEKLSIGGKAALGLDAGEIMQINSP GGGGWGRIRPRQCQSGLEVGVDEPKP AFLA_082110 MTQNRLDLRDALFDSSEYLADTLARCAYVEKAIYRNDAGNRAEV GRALIGVYKVILQYAAEVLTAQQSSIGRWIQDTITGITKQRLGELQSSVKEQEQYLHQ WVQMDQHLRHNEQAELLLSQCDRIIESVQALIQNFGLPIAEGASYDSYDNQHEDKCLP ETRTELRHQITHWAGSPEGECIFWLNGMAGTGKSTIARTVAQSLREKGLLGASFFFKK GEAERGNAKRLISTVAKQLITSKRQLAPGILAAIQSDPDIASKALSEQFDKLLLQPLV NLRLDEPTSTVIVIDALDECEQEEDIRVLLDILPQESQRWVHVVPHTGGSWSAEFQSL KGHSADQSGLFPPDDQVLASGSKDNTINPWDYSNSVVSVDFSSNGQMIASGSKANTVK LWDPNTGQPLRVLEGHSDSVASVVFSFDSHMIASGSYDRTIKLWDSKTGKQLRTLDGH SDSVVSVAFSPDSQLVVSGSDDNTIKLWDSNTGQQLRTMRGHSDWVQSVAFSPDGQLV ASGSYDNTIMLWDTNTGQHLRTLKGHSSLVGAVAFSPDGHMIASGSYDKTVKLWNTKT GQQLRTLEGHSGIVRSVTFLPDSQTVASGSYDSTIKLWDTTTGLELRTIRGHSGPNIA KTSKSSTV AFLA_082120 MVSKSPTGPCSAMVARETSNLKVAGSTPARGFFFLFLHFSILPL DPCRDVVMVVARQTYRHGSAGLPGTLFIFTHLSTKNPFYGGSIHNYLVSKIS AFLA_082130 MVDGESTDKAADNIYDIRHRCNPGCNSLQFFTKFKVDMFRGRIP GLKWYTLY AFLA_082140 MTSQWKCPAKDQSLRNIQRNRRRLGDSGLPATVVRCSKSGATRR NPAVNDASQCSSIVSTVRIGGKDGRHQPKLQSRVLIFELEDKSLSTE AFLA_082150 MVVEERKQTIVFGDLTCDSVAGLRTLVTVKDNPLLISFFERVTT GLREEIGLLPFSQRQRFIRFTTFEELLARVQRSTCPHPALEKALACTYQLACFIRQYT SPGHKYPSTQQTCLVGLCTGLLSAAAVGCCQSITDLLPLATHTVLIAFRAGLFVADVR DRLEPQTGAPLAWSVLIPGLDGDTASLTLQKYNEEKGLPATSAPYISTYANTGVTLSG LPSALNDLLDSSCLPKNRALTIPIYAPYHASHLYGQKDIESILRKASATEFASYQCQF SILSSITGQSIQVDTFGALIDYALNAILREPLRLDRIVSSLGEALLSDSPIRGCTIFP IATVIGQSLAAALRKHGAPDITVDPCMNSSIAVRDDRTSTTGHLGHSKLAIIGYSGRF PDANNNEELWQLLHEGRDVASITPSNRWDVKTHVDPTLKKKNTMGTPYGCWLKEPGLF DAKFFALSPREAPQVDPAQRLALMTAYEAMEFAGLVPDSTPSSQSDRIGVFYGTTSND WGETNSSQNVDTYYIPGSCRAFIPGRQNFFYKFSGPSYSVDTACSSGLAALHLACNSL LKGDIDTAICGGTNVLTNPDITAGLDRGHFLSRTGNCKTFDDDADGYCRGEGVCTMVI KRLEDAKADNDPIIAVILGAYTNHSAEAESITRPHIGAQKAIFEKVLTSAGVDPYSVG YVEMHGTGTQAGDAREMKSVLSVFAPETERPRTDAERLFLGSAKANVGHGESVSGPIA LIKSLMMLERNEIPPHCGIKTKINSGFPTDLMDRNVHIAKQPIPWERPEGGVRRIMIN NFSAAGGNSSVLIEDAPVFEPKSKEAEPRSTHVVAVSAKSSTALIANIKSLLSYMNAT KPELPSLSYTTTARRTHHPFRVMVSGPDLPEIHALLENKLASPTVQNRARAAQRAAFA FTGQGSQYIGMGESLLNFSTFRSDIERFNGIAETLGFPSFLPLLESGNGDISELPPLV VQVGTVCTQIAMARLWRSWGIEPCAVVGHSLGEYAALNIAGVLSEADTIFLAGKRAQL LQEDISANTHAMLAIGTSVEETRSLCDGLEYDIACINTPKETVLSGTNKQIDRILDIL SSTSLKKTRLRVPFAFHSSQMEPVLEKFKAAARGVKFYEPKVPVISPLFGEVLTSKEP FGPEYLARHCRETVNFATALESAKADGVISSALWVEIGAHPIVSGLLRNNLDSTLKTV PTLQRNKDTWKVLTSSLSTLYESGVDIRWSEYHRDFIPGLSVLRLPSYNWDLKEYWMQ YVNDWSLYKGDAQFLKGTPGLSTTCVHKLVEEKKDGNKITVVGEVDVLRDDVDPFVRG HRVNNLPLVTPSVYAEMALVIGEYLRKQQTKLSGTLVDLQHMDVQRPFATKSKGKGPQ LLQCHVVLDCETFQGSVEFWSVTPEGKKLVRHALASITFPDAKAAQEEVQQRAQGIMK EMDDMAARLNTDDRVQKFTGKTGYNLVSSLASYDPEYMGVSSVLLDSGRLEAVATVKF NNPRTDGVYHVNPYLIDNLGQPALFVMNANDQADLSKEVFVNHGWKSLHFYKPLSIQK TYRSHVRMSGPDADGLYGGDMVVFEDKEVVAVYKGIKAQGVPRRLMDYIVHMRDDTKA GAPAGGTLNASQSAAANPAADPSAQADSDNWQAALKIISEESGVPIAELSPEAAFDDL GVDSLLALLCASRFREELGLHYESSIFLDHPTIKELEAFWKQGAPETGAVTVSGRDAV LNSMFTEAEAEVDQDKNSSDEDRSSLGTSSYEVISPNTTETTPEITKTSSPKISATSL LLQGNPALPSTVKTLFLLPDGSGSCSSYAGLPRIHPSIAVVGVNCPFMKTPESYTCGI DEVTQMYITEIRRRQPHGPYALGGWSVGGIFSYHIAQQLAAQGEQVSELILIDCPVPK GLDHLPRRYYEYCDTIGLLGDVNGVKRDPPPWLISHFEACVNSLHTYHATPFRPNNAP RTQIIWACDAIDKHCEPKFDRRPDDPEGLKFLTSTRTDFGPCGWETLLPEEDMTLDRM TGANHFSMMKGEFAKRLSEMIEGFLMIGN AFLA_082160 MKKIYNVYFLCGFATLGGGLFGFDISSMSGVLGTAAYTNYFQVG SGQYKQGSITCAMPFGSLVGALCSSFIADRYSRVRAIQFSSILWIIGSIFMCASNGIP LLVVGRVIAGGCVGIASAMVPVYQAEIAPKEIRGRVISLQQWAITWGILIQYFIQYGA SNIDGGPNNPTQSTAAFRIPWGIQIVPGVILFFGMFLFPKSPRWLASKDRWEEALQVL SKLHGQGDVNHPKVLAEYKEIQEALALEREQSATGFQELIKPRIFKRVILGMSLQMWS QLCGMNVMMYYIVYIMQSTGAGSPLLTASIQYILNTALTLPAIIYLDKFGRRPAILIG FFLQAIFLYLEGGLQGGFGAPNPHTDPKLDAISWTVADHPAVGKAIIALSYLFVCSFA TTIGPTSWTYPAEIYPAKVRAKAVSLATASNWIWNCLLALFVPPLLWSINWKMYMIFA AFNTAAFIHMFLTAPETKGYTLEEMDDVFDSGLPAWRKLERKSRMEELEKEIIEGNLK ITPAHEATGVSATHVTPEKQV AFLA_082170 MMAPSIDTMAEELDTSITESTMALSVYLLATAFGPLIIGPVSEI YGRKSIFHITNIWFLVWNLVCGFAHSKGLLMAARLLAGFGASAVYSLGYGVLGDVWSA EQRGRSLSLYLLIPLTGSAVGPIVSGFIVKYSTWRWMFWSTAILQLTLDLSSLLFHES YAPLLLRRRAEELRSNTGGSRYHAAIEMREAGLSPPRKLSRSLSRPLRLLAFHPIIQM QAILEGIDYGLLYFALSSFSALHVAAYGESVEISGLHYIVICIGTVSGSQLCGPLMDY AYQRLSSNTGETQVPELRIPLLLPGALITPIGFLLYGWAAQYHLIWVVVDVGAALLSL GMQIFDTTLHAYVMDSYPEHVSSASAATQVLRSLLAFAFPLFSNSLYDSLGYGLGNSL LAFLSIGIALPATGILWRWGATLRGRQQSSY AFLA_082180 MDTKRKQADEEGRNIEEADMDNVGNGTHVATRDLEKVSGSAEAV WDEAFLVTFDQNEAQNPLNWTKKRKWGVTAAISGTGFVRIMVSTV AFLA_082190 MTRPNPTDQGHPLDLYKSDDVVVEAYTDLQTVITFIKEYFESFL SGDEQYMAITQVSDDNLLEFNKWRACTRPRTFLVRTFPNHNTLVIKFKSPLCEQVSEA MYQRFYIRKYQQHHGLTSDILSHAGPTIYTLQNGLQLEAEQAYIPLASRAPDNYPSLV MEFGDMASIGALRVDAQLWLENTSGRTKFVLVVAFDIEKIVFECWEYRDGEVECIHEV SVDYQSGRVRHAPLMIPLASILDEMPDLPGITEDATIAFSDEDLVSLMRETVYSEAS AFLA_082200 MYILYDTEHESNDSDSEMSSFSEEEATCERGAPTTSDPNSQPVK YAPTGSISEVHNLYESKPDKRGRTTWTKEYPDDLTVPVENTESGQYALLVRNVKCYDG RKPLQIHSIVVQSELLKEFLVRVFMDYPGLTMTLKRVEFKPPFMPFVHRWEEFQKARD EVKDPMTKSVVDLLYNILEEELRETITRRKDLILNGVVTHDMLWAIFEPGVNVYCIHG DHERVLQSLSASTNCEGVFVVSAKYVDYDGNGFGYRKQGQCIPPFQGTVPITSLPVFP LHFHPNAGGVRDNLISRGRLWEEHSGYHYKQYEGPGFTKFMGQTMQLNVKSRIIIDGE AFNTFNPNDSTRIDGCTKTLSDEQRLLATPILRGYSLKDKRWLEFYLEGVRDIVWDSQ AFDSLVLPAEQQRLKGLILAIAKAQSKQMDTFDDIVQGKGRGVIIQLSGPPGVGKTLT AESVAEVMRVPLYVLSAGDLGTSPGNVEKALKDILRMVPKWGAVLLLDEADVFMETRN SKDLERNELVSIFLRLLEYYEGILFLTTNRAESIDPAFESRIHVSVRYPDLDAKSRRQ IWTQFLGANGGFSSEQLDYLAQVKLNGRQIKNVLKTAHLLAREQDHEIGYDHVRTVLD LRAPSNANLMDETD AFLA_082210 MSLNNVITSLSTLPRELAHQILNDIRIWDILRLIIHNNAHINTD ILTHPTLGRLVHHDLKILDEIRPVADLYRTVCADHGLTAAPLTSPLALNTQTYKSDYQ EIINYMHCRLRDELYLEPWKREVLAHYAPLPAVWDSSTIDGMVARWNAIQNAQEKLNK RKASQLHKAADLLEANPEILKKMIDPSQTPRKNIPHILQRLRGTEKQILRQSLLRGGA LRGMSWFAYGHFPVVPFDQALGVVLRGLEGLGVEFGLGEDGADSRTSRKETRDLGEVG GSVTVVVEGLNFVYDGQDGGRLPRIDMEEGGGSWYFIPRGPADALLYTKDGMEGQYEA HDEREIAWLEAFVEVYRYFEGQG AFLA_082220 MSQTEEEDYTQFVQTQPPATIAACLRRDQLLCQENHLPSPLAMH LPTQPCELLDAISKHLIPDTTIPAPIRILQCQGIISGIKASVNQMSSIRTWTAEATEK GTGIIPTTKDFKSALRVLEYLQKYPSISMLTGTSPFPDVIASVKTQLAFEDGLRAVNH LHTAHKDSQLGSKASYKSRRPKRNCYMCHFEIRTDEAHDIYPSLCRPCGSFNLASSAI SQPPNLDLAGKTALVTGGRINLGYETALRLLRCGASVIVSSRYPRDAVVRYAREVDFG AFSSRLRVVGADFRTARDAFRLVEVVKRLLDDWEGDGRETAGKALDILINNAAQTLTD PVRSETRAIVREEQLEGEVGELGLIPDYGERYVPKLRGGVGSAWGGIEDRVRLQIAGS AEPESVEGHGMVQKGLGPDGMAEDDSKSSWTQRLDQIPYEDVISAQAVNAFVPLILCR ELLPRMGATGKSSRPLGYIVNVSSREGILESRTKSASKAGHHVHTNMSKAALNMITET ESEAAWKRHVAMNTVDPGYMSAAPECQRADGCPIGFEDGAARVLWPIAVGEREHRVIR GRFMKHFGHHDAIISRGI AFLA_082230 MSYPIEKATPTTDSPQETSDVERCKSNEKNSEQSQQPTAERQKW YQWFAPTDTPAERRLILKLDGLIIVFLFLAHWAKVLDSSATSTAYVSGMKEDLKLYGN QLNYLNTVYMVGFITMQIPLTLAMTRCPVNYFLPAADLLWGVFTLAQYKASTVTQLYA LRFFVGALGGFFFPAVQWYLGSWYKRSELARRGAIFFIASQVGSMSSGYIQAGAYARL DGRYGIEGWRWLYIICFACTIPIAFLGLCLLPSTPDRCNSRYLTQDEIRLAQERMAAE HREPRQPFTIPRIITILKGWRIWILVGFAFFFSQADGVSSNSGLSLWLKAENYSVESI NTITTVSPAVTIVASIVCGVISDIYDAKVSLIAITALLNIFACLVLAIWNVPVGLKFF AFFLSGTADGIAAIIYAWANEICARSAEERALVISAMNTIGNTFGAWIPLFVWKTVDA PRYLIGYNWNLALDVCMLIMLFVLRYFWVREQRRDRA AFLA_082240 MAIPTAGVIVIVIVACLAVTSLGAAMWKQVYPTDHSAHRYNYSR EQELYMRSVRLKNVGGLRQESRTKEPTPRDLESAVYTEDGSSRF AFLA_082250 MRRAGLASFVLQSLVLHTNAVPSTQSDTYVSRVDARGFGDASFD YIVVGGGTGGNVIATRLAQKSLKVALVEAGGLYQLESVAAVPAADVLPVGSDPNTKAL HDWGFVAEKVAGANGRSIHYARGKCLGGSSAMNFMIYQRPTRESMEQWATAVNDSSYT FDQVLPYYKKSVHFTPPNTKTRFANATTGFDAAAYDAQGGPLEVSYANYAMPFSTWMS RGMEAIGINETQEFNHGTLMGAQYCASTISPKDQLRSSSQASFLASIKAPSLTTYSNT LAKKVLFDKNKKATGVRVKGPLGNTFTLNAKKEVIISAGAFQSPQLLMVSGIGPRDTL EQHHIEVLADRPGVGRNMWDHPFFAPSYRVTVDTFTKIATNLLNLVKDFLNSSIMKTG PLTNPVADYLAWEKIPDSLRSQFTSQTLKDLATFTSDWPEAEYISGAGYMGTVSNLLT DQPKDGYQYASMLGVLITPTSRGNITLKSADTSDLPIINPNWLDTKSDQEVAVAMFKR IRQAFQSEAMAPAVIGEEYHPGKRVQTDEQILEYIKDNVMTLWHAACTCKMGTSDDEM AVVDSQARVYGVEGVRVVDASAFPFLPPGHPQSSVCKFWYYWYGLDNG AFLA_082260 MASFTSKPDDFPKILKGRRVLLTTESLGPVNGVSRTTLSLVEYL RRNGVDLAVVAPQYQGFRYQAQDAADCRIPGYPLPYNPDLTIVYPFRLDTVYKQTFQP DILYVASPASLGFQILLQTRQLRKPSTVLLNYQTDLSAYSEIIFPAPLDRFAVWLLAT VQGFLFSHPAVHTIFYPCSAVLNYLKDAGAPVERTVRLGRGVDTSLFNPTHRDNAYRR EIAPKGEIILVCVCRLAPEKGFEFLAEATIRLAEQKIPFKLLIVGGNRNPVVEARIHR LFDAVREHVIFTGFLTGQPLAHAYASGDIFLHCSITETFGLVVLEAMASGLPVIARDQ GGPSDIVQHQKTGYLVPPNDIRNFVGLVRDVSINSHLRSALSTSARRYAEETTWEKIN NRVAWQMANAFEQRSAEESLGGSDEPVVANFMLPILEKLRLTLAVGLVYFMWLIAVVP LIIHGQRIVPRALELVHSMPVVGRCIRYRSR AFLA_082270 MLAEKETHLMDIPSSPLGTSEGSLYEDSTPPTTPASSPLFGASR GDELASSSFPSSSATYNLSSEQRVHDIPCVATLWRSSEGQFKNVCVVGAGYVGTYTLL HDYPLKFSTLRPEVPFEVLSNPEFLSEGSAIDDLVKPDRVLIGSSGTPAGRRAAAMLT SLYSTWVPASRILEINSWSSELSKLVANAMLAQRISSINSISAICEKTGAEVDQVAKA VGMDTRIGHQFLKAGLGFGGSCFRKDIASLTYLAESLGLDDVAEYWNQVNVMNVMQRN RFARKVIDRFEGNLHGRKIACLGFAFKKDTGDTRESLAADVVRLLMEERPMEIAIYDP YCQTEDILRELEVVLGTHTEKNSVVKVLADPYLACSQAHAVLVLTDCDQFRNVPTNPR GRSLLAYQSSIARADQEVYDSLAEVIMTPVKPEEETWTFNGISYRLVPQEDCAADCAS CRSTSSRPATAEPLEWARIAYNLKEPKWVLDGRCFLDVREMEKLGIHLDTVGRRPGAT QSSDTTSVGI AFLA_082280 MTDVNKGANITLYWLEQSRSQRIVWLFEELGLTYNLKTFKRTSE MLAPPELKKIHPLGKSPVITIETEQSEKPLVLAESGNITEYLCDHFGGEKLIPKRYPE GKEGAVGGETEEWMRYRYFMHYAEGTLMPFLVFQLVMDRMKDAPVPFFIKPIPRFVAS KVEEAFLSRNIFGNFDFLEERLKTAPGGGPYLCGQQLTAADIMMSFPLIAASLRLPLK EKYPHLAKYVEMIQAEKGYQRAVKKVEEIDGKFQASL AFLA_082290 MAAARDAPKPQIDRQSTTPFHLKLFYRMNNFHHLSDFAPQSSPA SYGGPVSGPNAIRARSPPPPPLPAHLQIYTWQSCSLRELSQLLTSALPSLLPDPPVGT RLCFRLIYPDTKTAAQMGPEARGRYLSKDIGSVVIGPRDSPYRDENDEENSAPTGPRT GPLRLQGHDADKTLQDVRFVIGDYVDCAILPPLEDGSVAPPITAGRGSIGSAVGGGMR AFRDNGFAGRPGRGGRGGGERIPAGDWRRGERLPEGGGRGRRGWGPY AFLA_082300 MDFAALMSKEISKAKSGGSSSSDKKDTSNPPEKKYVRRSELEAA RIAAYNEEQERAQREREERMAQKRKLDEEEAERRQEREEKKRRLAEESRKKREEEEAA QERERRKRLGLPELPPASEKDEDKDKDEEEDIPDEELVTKLREMDEPARLFGETHRGR LRRYRRLVERSLTPQQQLSDGPIPTTLELVPEKDMKISTTLPKDTEGRKYLFRQLASY FNMVLGEWELALAKRDISVKQSLQGRQAYNAMVQSRENMKPLFRKFEKVDVDDRVLEH VVEIVHNAQLRRYVDANDAYLRLSIGKAAWPIGVTMVGIHERSAREKLHQSDQQAHIL SDEITRKYLQSIKRCLSFAQTRWPPDDQLQIMG AFLA_082310 MSRRECSALTGRPEAHRLPSMDTDTIIIVTVTGNGPSAMILSFI LHGHVPYYFPNPPHPDPLLHAKLKDHPALLDADVDALTEHFAASRLSYSTQALPVNVL FDTLFRPSIDVEELGSVSNIEWRYVPEKAVPHLVFGNAPRPGGQWTENLVPASWEIQT LSYASMLSLPGYSFAEHYRTVTGKDLPAFTRPSRQETAEYFRMYPEAVGIADVFKSNE TLAGISRTENGFFIESHNINCKNLVLASGIFSEVIQPRPLLQPLLSLQPVPDIPLLII GSGFSAADVIISAPTDQRIIHVFKWDPESRPSPLRGCHQQAYPEYAGVYRLMKRAAVA AAPTNHKRPARMKRTTSSPFLESRAWDEVYEGYPNTEIIGVDMKKEFATVTFRRTDGT IFSRPVRGMVYATGRRGSLGYLERGLLSEIVGCDDKGDVSPTVSGQTLRHKAFENMEI ANNVFIVGSLTGDTLIRFAHGSCAQTAGKLIRTYTGENNAKSNAAASPRPQGSSPGVM QGFDGHDVYGNGNHRAQLDKIDSCRTEYPMPEKSGLLGSIWKALTAIW AFLA_082320 MYHLARSLYMYATSKEEYSVLLLGLDNAGKTTLLSQIKALYQPR PEGAPAPNPGKTVPTVGQNVATINLPEMYLKIWDVGGQLTMRNLWQSYYSSCHAIIFV VDSTDVGQDPDIARLPSNRRSSSASGPSGGNADAFTEQTVGINAPGSDFGRLDECREV LESVLKNADVAGVPILVLANKQDREDSVEVVRIKEGFVRKVFEGESGGAMRDSRVLPV SALLGSGVQEAVEWVQTRVKWNKEGRPPVMR AFLA_082330 MVSDFFFPQPGGIESHIYQLSTKLIDRGHKVIIITHAYKGRTGV RYLTNGLKVYHVPFLVIYRESTMPTVFSFFPIFRNIVIREQIQIVHGHASLSSFCHEA ILHARTMGLRTVFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKENTVLRA SLDPLMVSVIPNAVVAENFRPLEQGEPPRPIGPNDIITIVVISRLFYNKGTDLLIATI PRILSSHPNVRFIIAGSGPKAIDLEQMLERNVLQDKVEMLGPVRHEEVRDVMVRGHIY LHPSLTEAFGTVLVEAASCGLYVVCTRVGGIPEVLPQHMTTFAKPEEDDLVMATSKAI AALRSNKVRTDRFHDQVKMMYSWTDVAQRTERVYKGIQGDISPEEFYGYYPGQGWEAS GDRVRSFALIDRLKRYYGCGVWAGKLFCLCVVIDVLIYVLLEMWFPRANIDIARSWPK KLKQKETADSTRDSPHRIGSTT AFLA_082340 MAGGHSAHDVSSKKSLSTPLSAKKSHKRKRDLDGSVVSTSTPTP TKKPKKTQSPSSTPTPLKESRKKKRKSESAASGAVQEESSQEESAVSPVSRKKASSSK NDSKDKTKKKSKKANRESLRGNNDSSEELGDIEEPNSDEERNVEKHVKSKDIDAMSEE EYNAKPQKNKYSGILSKFEKAVKATESAKAKSGEEDVENESTGPITAEPVIAQGLEPL PQPEAVPEQDEKPSYSSLPSWLANPLRTSAEEKTPFSSLGIEENVLRILESNGYKEAF AVQSTVIPLLLQGSKNHPGDICISAATGSGKTLSYVLPLVTALEQVPAPRLRGLIVVP TRELVKQAREACELCAAGSGLRVASAVGNVAIKEEQRSLMRVDEVYGPENFKLRQQDK LTDNDWLNFSLQDYISDAGDQSESLPGYIRKAEPNVDILICTPGRLVDHIRYTKGFTL KHLEWLVIDEADRLLNESFQEWVDVVMNSLDARKDAGTFGFSGKFLANLGLPIQTKDP RKVILSATMTKDISKLNSLRLSNPKLVIVGSAEQATSREDESGIHDRIGDQYTLPPRL KEYSLSVGDGSQKPLYLLRLLLTHIKVNVNKTTKRALTKTSVSDDSSSEDSTSEESSS EDSDSTSDSESSDSDTDSETSSSDESSSGDSESDASSDDSSSSSDSSESDDSSASEDE VSVDVKADKSRPRASVLIFTKSSESASRLSRLLALLDPSLAKEIGTIIKSNKSSASRK TLTAYRQGKISIIIATDRASRGLDLQSLTHVVNYDVPTSITTYVHRVGRTARAGREGS AWTLVAHREGRWFTNEVIQTSDNRITRSTKVQKVAMKLDNMKDLKSKYRQALDALEKE VKTGGTGKATRTEK AFLA_082350 MIEHLESCDNPKECNYEYEQDSSAEIERPPARTLTPRSVVRPLL LTTEEGMISWRAPETAAKYIRGILDKEGAVVT AFLA_082360 MTAASNWWTSLGTQDTRLYGLPVNYEEPDMWQVRGQIRRDGKPL SNGVSAHVFIPGWFGVPSDDLVFGEEKIILSDFGESFNPHKTPRFSSKTLPLLQPPEA IFSDEPLSFASDIWTLACTIWEISGQRPLFEASWPTADRVTAEQVEVLGILPPEWWGK WSRRLEWFNEKGELRPETSRSHDSIRRTWDQRFGYCIQQPRAEAGLEKMTEKEKSAFE DMLRSMLTFRPEERATAQEVLHSDWMKGWGEPALEELGYV AFLA_082370 MIVLNLLRAWIAHESLLKVTIFGLLLQLSFAHSFSNDVTPDSLS PRQAHFVNGPMKFDSSLGEEAAQQVIWSQHGNSQRATIQVYVSRGFLQAQNTMQNPTP EDVCFTLSNFKLIGDKYSTTRVDFTRHSYTEVRVSIDLPTKRSADQVQAAVTMKANSL LVDMQNQQTQWGQESRRPKASFEKDGLHVQVIKHYGSQ AFLA_082380 MSVTFDVFRGSPEGKIVADKTTRTLEYNEVFIETTHSGLCGTDE HYLKSGQVLGHEGIGIIKALGPGVTSVKVGDRVGFGYTHSICTSCDNCETGWDQFCRN QKQYGFHDFDNGTFSYGAVWDVNCVYPIPESVSSVDAAPLMCAGATVWTVLTEFGIRS TDRVAIMGIGGLGHIAIKLAVALGCEVVVLSSSESKRAEAIEYGASEYHVFRSGEPIK DFKPVKHLLLCGSGSVSYPSLMPLMDTNGSIYPLTVAFEPSPVPMLDVLFKGVRIQGS LVASRKGIRTLLEFVARHKIVPTVMTFPLTVEGIEEAMQTLREGKMRYRGVLVKEN AFLA_082390 MPGAASIVAVLAALLPTALGQANQSYVDYNIEANPDLFSECLET GGTSFPDCESGPLSKTLVCDTSAKPHDRAAALVSLLTFEELVNNTANTGHGAPRIGLP AYQVWNEALHGVAHADFSDAGDFSWSTSFPQPISTMAALNRTLIHQIATIISTQGRAF MNAGRYGLDVYSPNINTFRHPVWGRGQETPGEDAYCLASTYAYEYITGIQGGVDANPL KLIATAKHYAGYDIENWDNHSRLGNDMQITQQDLAEYYTPQFLVASRDAKVHSVMCSY NAVNGVPSCSNSFFLQTLLRDTFDFVEDGYVSGDCGAVYNVFNPHGYATNESSAAADS IRAGTDIDCGVSYPRHFQESFHDQEVSRQDLERGVTRLYASLIRAGYFDGKTSPYRNI TWSDVVSTNAQNLSYEAAAQSIVLLKNDGILPLTTSSSTKTIALIGPWANATTQMLGN YYGPAPYLISPLQAFQDSEYKITYTIGTNTTTDPDSTSQSTALTTAKEADLIIFAGGI DNTLETEAQDRSNITWPSNQLSLITKLADLGKPLIVLQMGGGQVDSSALKNNKNVNAL IWGGYPGQSGGQALADIITGKRAPAARLVTTQYPAEYAEVFPAIDMNLRPNGSNPGQT YMWYTGTPVYEFGHGLFYTNFTASASAGSGTKNRTSFNIDEVLGRPHPGYKLVEQMPL LNFTVDVKNTGDRVSDYTAMAFVNTTAGPAPHPNKWLVGFDRLSAVEPGSAKTMVIPV TVDSLARTDEEGNRVLYPGRYEVALNNEREVVLGFTLTGEKAVLFKWPKEEQLIAPQ AFLA_082400 MSDFFAGFQQVISPSLSQLGGEEGAYDSDGGLVLRRLWQYRTQR ISAFVATGNLHLAVVLLSCVVLLIAIGLRYAARSGRSKSRVSAESLNEDLPIRVSLPF AFLHLAAAIAACCLGWIDAQHANWKQPIAVSYAVLLGLMQFGFKNERARAHLYRHVNA VTLAMFLLACVEDLLPLLIIGAISGLSVIRGGLIACLAAVLIVAAATPRPRRLLVSDT EAEETKTVEELSPEETCSLFSYYCSYEWLTYVILRGCRRDLTMDDLPPLPSYDEPSKW LKKIKRQRLKGGKTFRTLCRLLKTEIKGMMCWSSISAVLDFVAPYSMLRLLAYLENPE DAILHPALWVALLFIGPMSRSLCYQQYIFTATRLLVRVNVSLVQEIYQTAMRSYLYDD SIVETSTEGRPKSSRSMRDSAKGAPKSSQANITSLMSYDVDAIYNSRDIFFVATAAPI ATTVAVIFLYRMLGWPSLFGVSALLCLSPLPALASRRVSRIQRSVMRATDVRLSKISE YLNSIRTLKYFGWEHAAMESINEARGVEQRRLWKRSVYAAAISMAGDLLPMMSLLVMF SVFVLFTNDTLRAATAFTSLSIMETLRSQFVWLSNISKASAQGAESLRRVDRFFDTAR EIQHHPEGPLELKNATFRRTPIAAFRLHDVSVRFRPRALNVVTGPTGSGKTSLLLSLL GETVLESGTASCPRDVAYVPQAPWLQNDTIRDNITFFSPFDKARYNTVIEASGLAPDL RQLPAGDLTVVGEKGTSLSGGQKQRVSLARALYSQSSTLLLDDIFSALDTHTTTLVYD KCFRSGLLSDRTVVLITHYPAALQDAELHVRLDHGKASTVETPSSLPQALLQRTRCST PATDTDSLSLGNPLIVEEPLDVPSEPTTTIAPAHEQQSPQVSRIAKETSATGRVPRTL ALQYILLFGGPCYALLAMAVTIAVQLAYFAITYWLSIWMRAYEEYENANSLYYLGVYA ASIILFLLLQLSGNLLYQYGSWSAAKKMHRRLVTAVLSAPISWFDQNPIGRLINRFGN DTRSLDTVLIDWLRMSIENGLRFLLRIASVASIMPIFALPAAVICTVGFIIGEMYTRT QVSIKRLTSINYSPVFSHFTDSLSGLCVIRARKDMDLVFQRLLAEKLAVHARSAETQY NCNRWISVRSDLCAASVAAAAGCVAYFWSGPAGLVGFSLTNAIGLSQNILNLVRTMNE LEVELNCFQRVREYADIEPEEHLSEDHAKSSVVPASWPTSGRVEFHNVTARYQEDGPD VLRNVSFVANPGERIGLVGRTGSGKSTLGLSLLRFVNIASGQITIDGVDITKILLNRL RTSVTLIPQEPVLFSGDVHSNLDPFGESSETELASALAACTSIHVPDGSDQADHAHPA KTARPLALDTPVAANGENFSQGQRQVLSLARAMCRRSKVVLLDEATASVDHETDMHMQ RVLREMFPDCTIIAIAHRLRTIMDYDRVLVMADGEIIENDTPANLVKKEGIFWDMLRN TGEYDELVQMIETKPSTS AFLA_082410 MANVVAHVCLALSQVAFLLSVLGCFFLMVPALWISLYVAAFVWV NRVICALIFNNSDEVLESKVPIEERPEHERERWIFINGVAVGDGIVFDVIECLIQRNF TFATPDTRDGYAITKATLLNPKYEKVVLILHSQGGIEGSLIIDWLLDELPENVLRKLE VYTFGNAANHFNNPYKSLLGSGETPASDSTISNQDALVQAEKSVLHIEHYVNAFDFVC VWGVLQFARVPNRYMGRIFVRSGSGHQLNQHYLNTMFTLGPDRRVLDTNKFMEMGART VEYEKILPKHVRHKRNEVLVTNKRPSDRDRTVQSGLENQHEQRPLKVKDLSRLWQYRN GGSPVDG AFLA_082420 MGTTFLGKIHMMLPWRLQLSSHCRGKPTRTRIGSITNFLLPGNN TEPYRHNDPGKTNPRTAYHEKNRDMAM AFLA_082430 MSPPQSAGGILPTSRRKQIPGTLVLNWQSPETQASKPLIRRPMT ACEACRAAKVKCNGQRSCERCTSRGLHCTYTPSPNTQDINASGNLGAETVTLTSPPNS QSSCTPSIQMLPSQTTPDPIPVSLPDDIFPLPSCSASAVQSVPTDNALEHWREETFNQ GLEQFDWVFPEADIGPEVSLPTTSILRPRLVTGSSQPSQNPSQSDFNNFFPHPPEPIP RTVSRGSAPLSDPPVISPRSQHQSRNCQCRANMMHHVPKIECAIQEKPKPQLDKMFKV TGDVIRSCQESTRCGCYVGPVDLVCIMSVFEQTAVCFDYIAKSGFDGTVKVGIGNYCV SLNDDASLKRMLVLDLVRQADTLLDSVSVLAQNMFVSLNEPSAKSLNRSPACLNQLNL DYVREATASFKKLFRLITEYFGGKD AFLA_082440 MSIQALPQTLPPSTNPTQTIDRYEPSWYQPTLPRLPETARQIFR DYSHIPEDNILEHIYRVRNKAWDVLPYPCIGVFRFLDFGANLSPIYPEVIQRLRAGQT FLDLGCCFGQDIRKLVHDGAPSENIIGADTEGRFMDLGYELFRDKDTLKARFYAASVF DEEFLSEWHGKIDIIYVGAFLHLFDIEKQALVVARLVELLRRRPGSIVFGRNLGAERG GAFRMKTLGWDVFRHSRETMRLLWEGAPEGDWRVDAELMEYRSEGWDDSRRGWVGDET KEMRFVVRRL AFLA_082450 MRKKEIKNTISSKRSPFLPQRVKIPTIRMRWTGMDPPILTIPVT GPLGDEACLSVSLLVLSSRRESIASSAVAPAVPQILREFNSNNAEIGTLVVTIELLGT GVGPILMGPMSEVVGRRIIYNCANVGFSAFTIGCALTPSLGGLVVLRFLQGCAASCSL NNAGGTISDLVPIHRRGFAMSMYSVGFLLGPAVGPVAGSFLAAAKGWRWVFWLLLILV GDILYLLNGTMGVICALTYTETYAPVILERKVKKLRKKTGNQALYAKDQRQLPVRTVL KRAITRPVKMFLFCPVVTGLAVYNAVVYGFTYLLFSTFSVVFEDQYHFDQGRLGLVYL GLAIGFLVSLSIASVVNDRTHARLSRKHGAPKPEFRLESLIYGAIAIPIGFFIYGWTA QYYVDSAVPIVATGIVGFGVMFTFVSSTLQTVALG AFLA_082460 MEYVPPTRQTTDEIRTTWLTNLTKEASQTTNELHPVLQEFQHLI ESNQRIYMLFQSMWNQAREKTPNQNRSHEVQNYQQMLQVLNYIITHAPPYMNNDNLAG VPMIGLFQYAIPTISGYALFIDPEVNTMLKKVLDVWGTFLSSSESVSVLDTSSTGWFG PEAIHKLNTTGNIGGTRYGFDELYICDRNAPYYGFQSWDAFFTRSFRENIRPVASPDD DSVIANACESRPLLLPGM AFLA_082470 MALHTSVITTGPITPQVELKADEGGEFIVHGPTEPPLQELTTAE LLQQQYDRYPEKVAVVSRWQKTTLTHRSLFDSSREIAQALVAHGVRPTDRVVVLAGNS IEYIELLFAVGGIGSVFTIMNPTFTAEEVLATVDFIEPKAIFIADRIGFRNNAKLLKE LADKHQNPSLIVQLGTAEKVSSNVLSWHDFRHVQNSKTQPDLHSLEQYWGRGDPQDAL SIQFTSGTTGSRKATVGTHSNLINNALLVGSRLGLTPDDILCCSPPLFHCFGLVCGPL ATVIHGSTVIIPSDVFNAYASLRAMSEESCTVVNAVPTMFQAMLDHAKAKTLALKLCL RTGIIAGSSLSETLIQRLSVELGLTGLAYPFGMTELSCVSFMTTPSKVSLLNDRSSVG TPLPHTSAKVVDSDLITLPPDTRGELLVSGYLLFSGYHKNPQKTEEAIVRDAQGQPWL RTGDIVTLSASGACTVVGRVKDMIKKGGENIAPGDVEKVLEQHPDIATAAVVGIPNVR LGEMITAFIQRAPDAQGGLKSKDVKIWLRSRIATHKIPDHVLWIGEVAGVPDRLPVNA SGKVLKTELSAIASSLVRGDLC AFLA_082480 MSVSVLLQPREAHLDDPWKTLHGSSAELITKAHLRDLWAKILQQ DPSDFSEQDAFFEVGGDSITALDLATAAQAQGISLTVEQIFMHVTLEGMAKEALATRA KAEEYTGACRPLEPFGLLHTGISRADNIQAIGKACGVASERVENAYPCTPMQESLVTL SDGDENLSVRQLVYRLANDVPLGRFQQAWVTTVHAHPVLRTRICQPDGELRFIQAVLD EEMIWNTSTSNLCRFLEQDAGDLMKLEDRFFRYTIVLENENREDTRRYFVWTVHHALC DAASLLKILDDVAARFHDEPTIERPPFEQFIESINRTNSSEERHFWQQTLSGVDVTPY PSVPQGSDFHVNPSSTLERPITLVDRPPIGLTRALLLRAAWGILLSHHTGTENVVFGV INSG AFLA_082490 MKCLRSVASLSKEEVRLLTRVLSIGFIDPDGHEPRDKGEIMRAI KKLPSALQRHWLKDLIGRAPAVALCDLHTKLNPYIIRYVFELLRCEVITHLECLYWYH SVLHDARLDVVDLKVRDIVYSLGDIRYMWTPSRRAIQGSPVTYQQNKCEACVLARIVK GRKFLQHLRTALLSRTATRRNHRVPTFLPFVEESIACHEGFVDKIHWRSSTLATTMKR QRKHAHRALMTSDPVASLKQDLSRTVEGAVVPIGIDPEVLESFQEDQEEGGNHGVRHT ISEQVPKKQDSDTDTLAGIVGLYNEPQPLNWISSPTSCAMESQSDLSSVTYTDDSPTH ASPISPKSTSWLAPATSTPDPLRVLSPSTQKKKAPMKEPVDDSNDGQASRYIPPREMP NWKHVLCKPSSLDLFANGGQNLGWEESQGNGGCEQIAAQYRNLLSPAQPYYESEHGEL FSESEYEDNPIGNRESQDTNWSFLFRF AFLA_082500 MAVNASVAYDLPPLPAYTLTPRPPLLSPIPDNVVALILPIIAYW ALSMVYHYIDVYELFPQYRLHTPAEVLKRNHVSRWEVVRDVILQQIIQTMAGMAVSHF DPVECIGKEEYDVAVWAQRIRLLQRTFPRLLAVFGIDSMGLAKSLSKNGYSILGGVLA GGRYPGLTQTLVLENGVEAIAPAFAGWELSMASFIYWYFIPTMQFVWGVCVVDTWQYF LHRAMHLNRWLYVTFHSRHHRLYVPYAFGALYNHPVEGFLLDTAGTGVAFLTARMTNR QAMWFFTCTTIKTVDDHCGYAFPWDPLQHFTSNNAAYHDIHHQSWGIKTNFSQPFFTI WDRLLATQWKGDVKLRYERGREAAQKKLDDDAAFGLGAKGENVTSSATVSSDELAETT ARSRLRKRTATFDGLKGSKHGVASSVL AFLA_082510 MIIYKDILTGDEIISDAFNLKEVDNILWEVDCRNITIGDENIQL EGANPSAEGEDDDAGGAGNAEQVLDIKHNFRLNDYPKLEKDEYKKAIKGYMKKVLAKL EEKKAPEETIKEFKENAQTALKRILANYKDYDVLVGESFGADAMHILINYREDGVTPY ATFWKHGLEEYKV AFLA_082520 MDTSPTSTSPGHGPSNLGATAQSQLNNTSAPVSTPQSTASRSST AKAGARQITRNRASYSCHTCRRRKVKCDKVHPICGNCVKNGTECIYDAAPQKDTGSRN GQTAGGHGIKRRRESSRPLDEDIDDIGSLYGHLRQAGSPEQKYGSQAIEARLDKLTSM IERLSKTNGPLDAEQRLLLAQNVNAEVGKGEARPGNGAPVKSAGASRPGSPRRTDSND EFPIPAGLATDLVDPIGSLNLGHLSLEDGGRSRYVGTTYWAYISHEINELNQLLKYQS RSHQDTTANESSVDDNMTDTMAKARGSPWKTSIDSSGGVRRDRVSGPEEFQKSVLFPT GDSPSVKEKHVEPEMLDHVPTKRQSHILYKGFMSGIHAISPVIHPPTILKLYNSFWDW YDYSSYSGDSCPDPSFIPLLYAIWYGGSVTISIRTIKAEFNVSSRSALSKTFNDEVTR WLTKISFPRSPSLQGLAAYLLVQTILSKEEEPLTSSLFISLAMRVAQTMGLHRDPAKF GIKPYEAEYRRRIWWHIVHMDGVVAMSSGLPPLVSDENFWDVRDASEVKDTLLGTPEA EKYEELVASGMRPPDNPDDPTLCGGPSMVNVYYLSARGKYVMARAVRRILKIQLGTKP VTRRDMEELRSNLLDLQLKLNSIINRIPVIENYQPSSSANNRSLSFSVSPVEMRTSDM ELPGEGPGRCTEQYHSPVLVSFHKWARILLSLFIDKAFCVAYQPFLKNAKSRIWPAAR HSALRHCHGFMEKFISLATDPDFQPFQWSWPGNHQPMHAAMIMLIDLYERPYSPEAPK SRAFIDKIFSLTGPDGGVVGGEDGISAQRPLKDGGREAWDMIRRLRQKAWQKAGLDPH KLWTEQAQIQAGAASGPDEYPCASNPYYANSGSTAPTPSISTMTSRQQLADFSKMFYN MTRSHMLPNPVSTLRPSPLRYQLPQTSAPTSVPDTPPNLPTPQILQSPAAKATATVET PPPASTIPSPDRIPPLSTAIPFTSTPPPPLSFMDLASPSAHSMAAGPTPPSMMDPNLN FDWDQWDAVFGQHLPVADELMELDPVAGFEFGDLGGGMVGGGSRSGSLGGSETGLGSI PGPDWVGYC AFLA_082530 MSRHAQVEEVYDSDPDEVFPSDSTPSNFTNESLLSAAGISPQGA SSIPMRPAPEPRREIPKHYQCLYPVYFDKSRTRAEGRKVGAELAVENPLARDIVDAAQ MLGLQVGFEPEKLHPKDWANPGRVRVLLKDEDGKLANPQIKNKHHLYILVAQYLKAHP TTEKSPYRLRISGLPMPEKLPPAPPAPRGWKIGTILPIHSPAYSGGGVSDNPLKDAMA EMQNMQGMPGMPQIPGMPGLAAMMGGEPSGGSGEKEKKKKDKKKGKA AFLA_082540 MSKELNNSAVLHRDTRFLPRKAVGGKGIYIFLEDGTKFLDSTGG AAVSCLGHGHEKVKQAIIDQTNTISYCHTAFFGTGVSEELAQFLVDSTGGKLSKVYMI SSGSEAVEAALKLARQYFLELPTPQPQRTRFIARKPSYHGITLGALAAGGHVLRRQPF EPLLPQNISHVSPCYPYRGKENGETDADYVARLAAELDAEFQRVGPENVCAFVAEPVV GAALGAVPAVPGYFKAMKAVCERYGALLILDEVMSGMGRCGTLHAWEQEDVIPDLQTI GKGLGGGYAPVSGLLIGEKIVQTLDKGTGVFRHGQTYQGHPISCAAALAVQKVIQEEN LLENIRNLGIYLETQLKGRLGDHPYVGDIRGKGLFWGIEFVKDKSTKEPFSPEMGVAA HIQETGLDPTYGISLYAAAGCVDGTRGDHVLLAPPYNVTKDEIDLIVETTARVLEHVF TKVVKV AFLA_082550 MATKRKASALNASVDDEPVDPSDELGFYCLGGGNEVGRSCHIIQ YKGKTVMLDAGMHPAKEGFSALPFFDEFDLSTVDILLISHFHVDHSSALPYVLSKTNF KGRVFMTHATKAIYKWLIQDNVRVSNTASSSDQRTTLYTEHDHLSTLPLIETIDFNTT HTINSIRITPFPAGHVLGAAMFLISIAGLNILFTGDYSREEDRHLIPAEVPKGIKIDV LITESTFGISSNPPRLEREAALMKSITGVLNRGGRVLMPVFALGRAQELLLILDEYWE KHPELQKVPIYYIGNTARRCMVVYQTYIGAMNDNIKRLFRQRMAEAEASGDKSISAGP WDFRFVRSLRSLERFDDVGGCVMLASPGMLQTGTSRELLERWAPNERNGVVMTGYSVE GTMAKQLLNEPEQIPAVMSRAASGLARRGGNDEEQKVMIPRRCTVDEISFAAHVDGVE NRNFIEEVSAPVVILVHGEKHQMMRLKSKLLSLNAEKTVKVKVYTPANCEEVRIPFKK DKIAKVVGKLAQIAPPSEQDDGHLMAGVLVQNGFNLSLMAPDDLREYAGLTTTTITCK QHITLSSASMDLIKWALEGTFGAIEEIGPKTDVKEELVENEKVSKEISKLKEEAADEE IPIENEQAYLVMGCVVIRYFPRTREVELEWEGNMMNDGVADAVMAVLLTVESSPASVK QSAKQKHHHHHHQDTLELPNPHSQLGSEERFARLLMMLEAQFGSDISPIERPRLPTTQ LTNGAAKNETSAQLSAAEQTLKEEEEDDDESLAELEAAELARLHALGIPVPGIEIRVD KHIARVWLEDLEVECANAVMRDRVRVVIERAVETVASMWAEGPPPATVTNGETKEKLK DVLVSNGAEIDATA AFLA_082560 MAQTQPQQFSQSFSPPGSSPSPGAASPVNGGVPPPVKRQHLSPL PQSPYASPSFGTLQLPQNQPIPVNGANLNGAGQQTPQTPAPPPAGTMGPPSRPVEKAT DAAELTDVLASSGIDVREEEAFLTSSYSAPGTQAQQPQRPQQPLPQQQPQPPLNTSFT SQASTVSTQPSFTEPSPYKPPATQESFYSEPPAAAPAPFKDPNEPTREDTEAARRAQY HLQEPFLLTKVLEQKLQRRGFELGVRIPAEGLFHPVPGRPQPIEVTGPDGSSVVRTGQ TILNQEGAPLVDILNLMSISCEERLRGVIDYSSTLARSRRAHSHGVVPVEFRDMAVSA GVPNGAGDKTPLKRPHSATEQPTAKSLAEKYRALMERDNSSEESRAAKRAKRSANAIL GEGGPVRAESVDLPGSGASTPIPEKAPSIDKKGMSKKEAKKLMDAKASEAQQHQQSVE TARLATNSMLSGRMFGTKKSYSWLNRGAAATSSGFSTPSRVSTATPSGTSSDKPGREP AVVPAKRLGLWREDKDKGSGVQVRDILFMLELDGRGSRHVQKAYSKDLKEDRID AFLA_082570 MSTPSTATATHPTHHQQHYGYPHHPPYQPNPPYPTTTAAATSRL ATTYPYAVNPATATLPFTHLQRSASAAPTQTGRRKKPDWGEFYKNGIPKEVIVIDDTP PPEQSKGSARNFATTSTATAASNGNLPQPAGKKRRTGVESAYDVTYYDRPSFSINPQH YGEDSSAASISTDRTTSLHTTAPTSLSQGSSGASNGVYYEDANIGQKRKRVTTRKSAR DEQKRRELENAGDAFLNYIPPPKPIIKAKDVPVPVVRDYANRGEKYDDDDGHYIVTPD TPLTDRYSIIKLLGQGTFGKVVEAFDKHRKTRVAVKIIRSIQKYRDASRIELRVLSTL ASNDRQNRNKCIHLRDCFDYRNHICIVTDLLGQSVFDFLKGNGFVPFPSSQIQNFARQ LFTSVAFLHDLNLIHTDLKPENILLVSNAYQTFTYNRTIPSSSHAISRSARQRRVLLD SEIRLIDFGSATFDDEYHSSVVSTRHYRAPEIILNLGWSFPCDIWSIGCILVEFFTGD ALFQTHDNLEHLAMMEAVIGDRIDTRLVRQVMQGGRSGSQNQSAKYFIRNKLDYPNDE TTRASRKYVRAMKQMTDFIPTTTKFHRLFLDLLQRIFVYDPKNRITAKDALKHPWFKE SIVDDGTEALRIGEQLQRNTQRR AFLA_082580 MGSSETNADLPFAFHELTIPQYHSALIHRRTTCVATITAYITRI HQYNSTLKAILAINPNALHEAYQKDQELARGIDNTDAVNLELALRPLHGVPIILKDTY TTAFLPTTSGVRALRTLTTDTSCTVVQNLLSNGAIILAKSNLHEFSLEGITLSSLGGQ TLNPYDLTRTPGGSSGGTAVALAANMGLVGCGGDTMNSLRSPASACSVVGFRPTRGQV SRKGIVPVTETQDVAGPMGRTVGDVRILFEAMRGEDAGDPATLNALRRQSPSHTRTSK IKLGILKDYFSDGSTAEGLTVNKAIYDALTRLGSNSPSIDLVEIPHMPDWDVLLLRTK ADTQAYEFRTVLDAFLNSQTVTTPHRSLAAIAASGQYNPQAMTAVFDQTLQGDEFTPT SPEYYSRLEMIGSLKGSVERCFQEHGLTALVYPHQRQLVASVGCTVQPGRNGILAALT GRPAICFPAGFSPETPSAPLGIPIGIELMGQPWKDQELLDLAQKFESVLKARKPPILH LN AFLA_082590 MLFVSSSGLESAKQLISSYKQSHIPAMTPELWRAKKVVDSTLHP DTGEPVFLPFRMSCYVMTNLVVTAGMLTPGLQTTGTLLWQIANQSLNVAVNSANANKS TPLSYSQMAKSYLMAVSASCSVALGLNALVPRLKGLSPSTKLMLGRLVPFAAVSSASA LNVFLMRGEEIRQGIDVYPVLSEAEKKKREETGEPIQSLGKSKKAATIAVGETAVSRV LNATPIMVVPPLVLLRLEKTAWLQARPRMVLPLNLGLIFATSLFALPLALGAFPQRQA ISAQSLEEEFWQKGGKDGMVEFNRGM AFLA_082600 MKLTDLFSFSFSHGEPQTPTRTPPTTVFDDSAFQTPKLESSFFD PRVTWDTSDPYASSPEFLRTPQKFGLSTPLNNPLRLPNTGAESANGRNLKESEQETDT AKRIRAIKPNGNFEEEGPRTVESTKSAASMQTPPPSSASRRKVTGLENVSGTGRRPSA SSAIGGHLETPSRLMGASPRLFGDLQTSPDPFQLAGIDASASPFFPQQRLFWDHDLEP QGGDLGLSGSSTDFFDSHTTDSFHMNPAFSQAPHIPQLPSIEGTLDLPEFNTNTYAFT SAAPTDAALFPAPFSTSPRMPIHKAEDPAMFLSSPARRFGGPQPTPDKRLFSRSGRQP YHHQTEESKREQLRRTQSVHQKLPTYVDDDDDDFTPRQARPTLTRSLTQSAISAQRPG FGGMMSSSCGIRKSPSKRSSPTKPQRQPLQRSNSVAAGLPRRSQSVVLRIGRDGRAKA EMQPVTEAPTGLSDPLTGMDLDGSTTESEYDSTEVSEYPTVSSRNPSMTFSDSGSRPH SKGSYTSTAASSQSGRASPWNGSSRGLARRPTYRQGLDDWKRTPKRQSMIIPSDMAYR SASSIPAPLADSEEESGDAQHALRKVLQERGRIPRSHTVNFGSRVNRSSRSLAHLRSS PPRFGAELDLNLQAPNTSPTTMTDPDLATPSTDRYSNPSNGTRCICNSMDNGGHLMIQ CESCSHWLHTKCVGLERANLPSVYVCVFCTHTPSQRNRIRVPVGSVGHAPTSPLAHKS YRFR AFLA_082610 MQSVSDIPKMIDLNVRRIKPAVERHWFQRQGTAVSLSVDRLAPS FNLSTIYLLAGFAPLWPSQVVSIVLCPSPSMRRTITLRDQRSGWRRIETNNRQFKA AFLA_082620 MGGHRRNHPKTFTPPKSPQSILAQARRNSLTSRRSRGGLFGAGS NYRINRSERQVGGRTSKYSKFGLIPRSTEPFEKNCFEKEPFATGYVFVPKGDVYVTRN CRANTKESERTVYTVFDKTGKRTLGIRVPSDIYAAVLESAAATAETRANAVKLRDEKD LAHSRQILRTQFPLMPAESLEAILNHAFLKGSGRVGRTATQSDKRKADLAVEAHIRHT HTPYESMLHAGAGREEARNAVWGLVKAIKTAWEGGDSQPMDVLALRNRMVESN AFLA_082630 MVSCVSIYVRGHWVLGGGKLALRILSSRCTRCHLPAEYSPSSLL SSSQHLQRTSGAKRDIPVLGT AFLA_082640 MEHSESAREMETQKSGSQPEEPTVEGPIWRLIPLGFETLTEPGE RINKFQEELVKYDPVFCLVTPSLESKKVQLYTHKLVIDLTERNLVCIFFDIPSSHTEE GKNVSGLAEPNARYAIEKSEDEADKIPRNHLGKLVYPLDDVPEGKQRICFMLRPVGRT QPEVLDIDLMSIFAGVKEALDDLGEEGRTKTLPIEWRGCYVFSVERIENIETWDKSPS NIGATPLESSGSFSD AFLA_082650 MGPKFDCEPDKIKETAKTYGWGSRAREVERDSDEYKQCIEKAGK VAIILKRLSDQRITVDVSQYRLVCIFLDIKQSELHDILSCITACKDELVRHMFNAKKG PIMTLPLPGDQLEEGHERMCFGFKTIKHDSSSIYVELAYHLERFILKIFPKYIDNGDE RKITLPFMIENHFLAVLTTPDIRDDFALRRRRNPLGLEAKRAYHIPCKEARFADPVSK SVLKGLSSEPDVGN AFLA_082660 MVKVILVIGAVWLANMILGDVLVSPFQYRKGRKNEFGDVVCISH VEEEADEIPICRIDHHSLHEKGYFAPLLGWVYTAPI AFLA_082670 MSTSSSFEPSKIQLRHDPLYYVKPGPDEKEKLESRLHILENQEI TQCFREIEDEPGADKTTITNAIARKKALLKDGITVDASLHDLVCVFVDVSEKPLLKSR QCLLDSKEELQKKLDAVERQPVKGQPFDALIPTNPVEDGCVRICYNFYTKAENGGKVA WLSPRTKPTRRVEGSLFSSLEKGNGT AFLA_082680 MYDSYPYHVIRSDLIRYLILWYYGGFYADMDVYPARAIKECPAL EPLWEDGSKSPNVSLVVGIEIDEPHASPRLMREWRWIRRYQLIQYTIYAPRRFSPILR EVIVRVMAHTRRQINQSNFLRGPRYKENTILEVTGPGVFTDAVLDVLSRTLPPTHSLV ESSVNADADIGDLVSPSTGVTQRRVTWAPFNRIREPVCVDASEAMDGKPMGGVCVLPV NVWGNGQRHSGAEGFSNKHACINHRFGRTWRKGWLEYFFG AFLA_082690 MEGADIVESPRKRLKVDNTSTTEEATLPPSAGTPAAISESDAQA LKEAEVGITEFVSPENAGFSGILKKRYTDFLVNEIVPSGEVLHLNTLAGPQSEQNNND TANKTETPADNKQQGDAEAAVASDATPTMETPAVEFQISDEDKALLDSYFGADHAKKI VSLYRRAQSNEKARPSELGRLSTVVVTDRDLRIKMHQAIRRIFNSQMESSTDAEGLMT ISVAANRTKRKAQGAREGGRNQGRVNWDELGGPYLHFTIYKENKDTMEVISFIARTLR LNPKSFQFAGTKDRRGVTTQRACANRVHADRLAKLNSTLRNAALGDFEYRKHGLELGD LAGNEFVITLRECDIPGIDLQDRETAIKNATESVGSALRNLHERGYFNYYGLQRFGTF ATRTDTVGVKMLQGDLKGACDAILHYSPHVLAAAQDGENSTALISSDDKARAEAIHIF QTTGRINEAVEKLPRKFSAEANLIRQLGRSKNDYLGALQAIPRNLRLMYVHAYQSLVW NFAAGERWRLYGDKVVEGDLVLIHEHVDKDQTANGPATDVDADGEVIIAPQAEDSAYA RSDAFVRARALTAEEAASGKYTIFDVVLPLPGFDVLYPANAMDGFYKRFMGSEQGGGL DPYDMRRKWKDISLSGSYRKLLSRMGADYSAEVKLYSGDDEQFVQTDLEKLNGKQCTV ANADSADKIAVVLKFQLGSSQYATMALRELMKGKVLAYKPDFGGR AFLA_082700 MDHPIAVVVRDLLAGPSSLHSNDRAREQPDCGARCDVWLNKRLL WDASEPFIGPETTINTENFPAATQAAQTAMATAEASDITFQREANSEPDYVESLTTTI SSLQSEATSIQSVPPMGNRPTGLPYNPVITAPPWSSVSSLTTTTRLATQPETTSTAAP TTTQSALEENSSGGDGINTKTTIAIAVPVSVVGLALFAGLLFFFLKRRRQEKKLISAS NPSLANVAPKRELSWGDYDSTRRERTSFGTMAQRPIPHSHTLTPDTQSLNRELRPPPS ADSRNGVRGRFTEQVHSIYAPDGSPMALTAENMSHHEQHTPRSSAWPLSPFGDPVNIN NNDDAGSVVSRLSDRPGATQNRDMDDVSSISSIDDNERRRNTRP AFLA_082710 MGKGKDKDSEREEHVSRPLSSLKDPAAFGPPPKHIKYHGAAAVP NQTTPDRRGLGGPLSQEQIRQQDTRQQQEQAEAEAAIQKPAPPPLPYRANRTGVDPST LPPPPVRRTGSPADSAASGTKPSIPPRIPPRTNSTPQSHSPTPPPAYSPHSSTEQASD GYLNQGATSRLAQAGVSVPSLGIGNRGDSPRSASPATGGSIGQAPVSELQTRFSQMRT NSDSPSRPPPPPARGSLYGRESSTVSASDVQRATSAVKGFREQHDDKIQAGKQKLSGF NEKYGISQRVNSFFDDRKGSTPSDQAPPPVPPHPNLSRSNSSVDTESISQKKAPPPPP PKKSGMRSTPVNAPSPTPPPVPLGTKPR AFLA_082720 MFDLNLETASGPAVVRIGLPPSSLLKFPPDELPTTLPAPQVSEP TWNQPFNIPPQLYNQLLDVRVPITIASVYAVTVCLLNRVNKSRGYKPWGFSQTKLFKA FVILHNVFLAVYSAWTFAGMFQAFRNSWPDRDDPNGLVGVVDALCKINGPRGYGNAAT YNPLTNQWSIHNPEYKLADGGVPDPTDVGRMWNQGLAYLGWIFYLSKFYEVLDTAIIL AKGKKSSTLQTYHHAGAMMCMWAGIRYVAPPIWIFTLVNSAIHAMMPRVGPMVTCMDT SGQGFAIWLNVTYLLPLTYLFVRFFIRSYLSRKDPSPQQPTHMHAAEKAGLDALHRVS REIQKSVEMSGETSEATEDEAINKAQALRKKSQQSAADNSPIRTRASSKQKARLANQE PGQGFSPVKNGAKKLTKEEVEAPTDVSGVKDKNPYDVLERNA AFLA_082730 MTVTVKSTSNGHRSAEAPLDHDFHNAWGTIYSNSGFINDDRARQ VWEDYTVNPARVEQQYHVLLNEYTDRFQSFFKGYWPITFHAHVSDVLIPTTIASLILN TASTCPLVVEDPALSPLLKDDPEPEKVHQFVRGLLGWTDIHIKSPKLAIVDGIYGCAY GPLAHSSDEWEYQIIGSGPESIHIGRSDFSSEATLLNKLIEAKKEGCVAVVSDLVNAS DGSVFPPESFRLLRSCCNQARICLLVDEAMTAIRCGAPLVSQRPEYFEDGSLQPDMIA FGKGTGISGVAINFNGLMMRHLAFHKQELIRQSIRFWRSMVTRPIAIPVLIEALGILN LAKAEDWPARSEQIGRAFREFILRYAGDDGHGKEIVRGLGAFIAVDREISKKFNVMAA FRRRSAWARWIPKLNSAAAVDSQAIERGASVTIKRWTDEDIISSILDSDIVTFLWAED YIQHPLEFGEFLITAKKAIETVGHGANRPRVLNHIDLVQWNMDKKYLLDMHHAGFNIP TTEIFDAEQFTCTSALHQRLQEFQSSGPIVLKPSVSASSNSTRLIPDISALSADDAAY LELCVKGRLGSSLVVQKFESAIATGEYSFVFVGEQLSHVALKTPKNGEFRCQPQFGGE NNCIPIEQIGETTLSTVNSIFDTLKDRFGNGSTGVMGYVRIDGLVAEDRAFVLMEIEA IEPELYLEMGGLEDMLSLLLK AFLA_082740 MAPSLVDSSRLSIEGIGRQIIPSPTKSIDWFKDAIQLDLCQSWS NWRETLASRSIKESTLLKAAWILTLRCFQPEEVISISYDEGNVPQPNPPIAYTVRVEP DWDVRSLLQTLEMNKGHEVTSFGAKPSPSRHVCTAALRYITKFHKLLTPLTSLNGNVE LVVIGRDNRLSASIKRAENVSNSLDESMLWTFQHVLRQITVSPASLKLEAIDYCSESH RKIIKSLTHTKSASNPNCLHELILENCQRHPKRLAVRSFDGDLTYEELDNLSFRLAHH LTRLGVRPETFVLSSFQKSTWAIVARLAILRAGGAYISIHSSNPPAYLDSVIQRTNAK IMLSDPFFADQFHNTIDTVIVVTLEWLQTLPCQIHFALLPVVQPSNACTVLFTSGSTG RPKAIVQEHRSYASAIRDYAENLGLNKGTRFLSFDDYAFDISNLEFLVPLILGGCCCV PGPMKTVQDLADNIQMLDANIAFLTPTVAIKANPEAMRNLKILCIGGEPLSRDLLNNW AGSSTMLINQFGMGEAAVCCAYNDNVHDPGSSPATIGRPSSGAIWIVDPNCPAKLMPL GAVGEIVIEGPHLSRGYLDQNHQASDRTKPAGFMEDLPPWLNELHPNRQITRLYRSGD LARWTHDGRIEYIGRKDTIVKLDGCRIDVVEVEHLARKSLTPKDAIVVDLLGVIDGKE DPCLAAFLYLSGHPENSETAEISLKDASQDPAALQKVAQIKEVLAISLPPYMIPTLFL LATRVPRTPSKKTDRRMIRLLSQNFYEKDREARSRLSSHHPDSQLLPP AFLA_082750 MFRTHVEEQHKAPWGHAWRSSNTFITCTMSLAMFTDELLFAFMI PLLPTVLEHRIGLLPSLTQRYTSIFLAEGAFVSVVSSPFIGAFADAVSSKKTLLLILL VLALVSTACLSLASQLVWLFIGRFFQCITSNALWIVGMSTMAENLGSEHMGKISGLTT TLTATGTTTGPVLAGLLFELGGYWCAWTGAAAFLLLDIIMRLIMIEKRVKPHQGNEDE NEDGEQDPLLQNQPPRLEEDGEGSGSEVRGWRFHVRLFRVPRFSAGVFCAFVYAVLVG CFESTLAVHVRAVFGWGALHVGVLLALIQGPGMLLAAPVGWMKDRIGSRAPTAVGLFG LLPFVVLLGVPGSGLFPGMGVQGWEKSLYVGCMASIGCLLSLLNGVGSMQATETIDLL EAHQPGIFGPKGGYSRAIAVTSMTWMTGLLAGPLLAEFVVGNFGYFELQCCLGELFLY ISSMIMFSDRTRGLVFYSRAYRSGFPGLSCVEVYGTARCVVSMKNSCL AFLA_082760 MADISKSGSDAAHLEERPVVTTANPALADPATRARIEKSLKRKL DARCGFFVIIYIMNYLDRNNMAAARLKGLQDDLGLDYSQYATCLSILYVGYILMQIPS NIFINRIPRPSLYIGAVMLLWGLISTLSGVATNFAGMVCIRFFLGFVEAAFLPGALMI LSKWYTRRELTTRNAILFCGNLISNAFSALVGAGVLSNMQGVLGHAAWRWLFWIEGAA TMFIALCAAVILPDLPHNTRGFTKDELDLAQLRMAEDVGEADVDSEDQGPWDGLFMAV KDIKIYVMMITFTAYVVGLSFNAFFPTLTGTLGFGYVPTLLMSAPPWVFSCLFSLAVA WSSDRYQEKFWHIVGPIIVGLVGFIISMCTLNVAARYVALFLQAASYAGFIVFYSWIS TSFPRPPAKRAVAIAMINAFSQLGNVAGSYVWDLSENGYRKSYGIVTAMFGITIVGCY GFRMMLKNLNKELEEAETNATTEPESDETLARSKGFRYIT AFLA_082770 MKFAIATLAAAALAPLAAADFYIYSMKSGSVNDGMAPINADGFV FLNNPPDCNDVNNKAIFMSSLSDVSGGKHGVRCKGCPDFEEFEFNNKMGYYTIYKNRD YGLFTTDDKKVGQYKMNKSDHFQCGKS AFLA_082780 MDDAKRARSLFFSHGLGPYVLMGNDHQKPLIYVLQSNAYILDNA RGIILFTAHWEASQPHISAGQTPQIYYDYAGAPGLPQEAYEYRYPAPGNPDLAARIAQ TLEGAGFQPVLGTTRGWDHGLFVPLLVMRPQADLPVVQMSILKGVCDEDAAERNLRYG AAMK AFLA_082790 MCQMVVFWHACTHLIVSYMTCAFEEARNHKEQTATTALIDTDCW ICQQHQKYPSWDASTTMQRLLMTVQNMEYILGVSADEEGFLDMVARFYARGDHKRWMQ PGVAKAKYTKDDPYVRGLEVQSYLCTRGQRDFHMNPGYAFDVLFALMKHWDRADYSDL NWSMTGYRPASDFFYVSSSMIGMLADGYGGEHRQRTGGKHVAQRSHCLSNDGGGGVVM PTTQSTMASFEAEMGLGHLRTGSYSQGLPTLDAQTSSFHNVNGNPGSLLPRRPCEALN GPPVAMNQFPQLILRPLSPLGEPGPSIENLNHPRALDERAGHHARTTTLFHPIFSAEY DGDIHTNETFQELDLHHHTRSNSYAYNNTLSTENTPYESTTTPYTGPSPSNESPRHSS KNHDPPEDLDMLLFSPTPGLATIDPSMLNLDSPDQLHLPDDGTCGTGKGKQPIRDISQ LHPRGFVFPDNMDISHS AFLA_082800 MISIEEVTSRADSDSDSAMLCIDTDKQCKIREIITSHMFLGWSE ALPQPCECSSFPALHLSFQPTPIF AFLA_082810 MSFPPDARRRRVGAGTGFSSSGRRTALGYWVPLALTVGVAAAGV AAWIWSERIEDEDNDGNYPRGDDGFPAPGRGGDELPPGYDGDYARSTATDIHDTDDVS MVARMQGALRRTPSPQQIFDGASKRVAAGMAAAGAFVGGALTSIREEDRGDFEDHSRW SEEVESRSRRDLSDLAAPTASGTLPGPGVAAGTSSGSKKKKTVAIVVSSDTSRLDPEE YTSDHASILSHLPEHIDPDTTRVFVMIYAPGLKHAPNQGTGSHPTMSVTSSYSNIAPE EVLSPGEPPIGDLNASDSRQDDQTPLFKTLYTQAQAIAEKESMIMPFSTSTGFVHLVR HISPDIVYVQESLTGKEGEPVQHITRWVRQVVVVVGERGGLVDSEDESALADSGEKWW QKEGTTGIGKRIDVVDVLRTGDDWRRRVSGLD AFLA_082820 MATAISKPTSHPPKMKRPPPPFVPTGVNGVKPQQSSSSPQPTSK RLPGSNQPGPAGSTSGAVTNGVNGAADSNGVKTSINRPKKEAQKPGDQGMRLAKPLAR TLSTDNERRLGKKCPEPYAVSLPVKTTSHILKKYGKCPPSLILHLHPTHFRFEQQDGS FPYNSEMKVIIEHIRAGTVPHDMIEELLRANVRFYEGCLIVRVVDHKSVSAQARKSTA PSTNDSNTPFSIHNYNEHVTPSAYVPYPKQNQLTSEKPTSKEAETQSDTNANGEHASD SQEQPEKSESSSSQHKQTPPKPRVFTTVLHPTPHSLRAELALLATTPDPKAAKQGATS SASRTQAASSAAPPSPGGSTNPPERGPVAKRQKMLVEPQDLAECEAKLTRALAPPLFL EPVQSLDAAQDLLKFMESPLHNDPPPSPKRRKRTVAELAADEALAAEEERFMLIMDER LEPTTSGGAGGPKSAVDDTSGGAPFEPRFSRFKTLENIRMQHEEKAKREHEIKLKQEL AKRQQQEQERERRRALEQRQAEEQAKEDSRRQHLAAQQQVQAQLAAQQQGRHVMPQAN GVSQAPQSSPVARNQTPHNTSSPLPGNAMGAQGGVPMSMTSSMQGAGSPPRPPSALQH AHPNVMGHPMAPSRSQQGHSRHGTPQMTQGTPAMSHATPIMRNVTPTQRMSHASPGRS TVAPTPVMGQAMMGTPQMTGGMGLTPQQQQMLLHQRQQMLAQQGHLGHGQLTPQQFAQ LQANAHAQQNIQSHQQQMLQAQQQNHQQQQQKIPNPQIYQQQLMRAQFAQMQMAQQQQ HGQGPQSQGQQGQVHQGGSQMNPQQQQLLMAAAQANGGQLPQNLQGANMQGAMAQRYN QLYQQRLLRLRQEMASRFMGQYGPPNQYPPSVAQQYHAGLERNAKLWVQEIIRREREA AQQQRANQVAAVQAQVMQQQQQQNMMQNGMGS AFLA_082830 MSLSRYANSCQIDPLVVIAAGTLTMVNLRRPGEFPSLNNIVEIT SITIRALNLSELNAFEFGGSFPS AFLA_082840 MPRSSTGPNPLLQSTLHGTKVSEEIKMESHDLESLSPDLLHFES DRLSTN AFLA_082850 MRTQNNLTVEVDCHSLGSNECPSMTSSFSPMDSPTPTPTSIYSQ GSLASPGWQDAGSYPGHAYERHTGATPMRSAFRLAGMTSNENMGMSYGAMEAQERMPM PDFLSAYDDNVEHFWLPSDGPKTYETGTHSLPYPHTLPQCPPMVRSNYRPHAAYLPEA ATNPCLSRSIFHHAERVPQSMSMGNMMPWIPQATESIAPQTIAPSQVGPVTPPPSYSE FPTSIQTFKTHSPTTPLRSCSIGTASGPDTPISRLSGGAADYLEDFQQSPPFRDGLNR LQRQPSRKMIRKQSSRQNMSLENLPSIIKQVQFKCKEPGCKGRFKRQEHLKRHMKSHS KEKPHVCWVPGCERAFSRSDNLNAHYTKTHSKRGGRNRYVATLDESSPDYDPDFRGQL TPDGLPIRGSTLDDPMPNSREYSVDGLDD AFLA_082860 MAVDRFMEADDRIVRTRTPWENLHKPTRLSLSRVVGGPGPTTDI DMGLSDLIWFDDTRNLLTMLQKGLDIGPVGKDHHSQPTHSRVLTVMD AFLA_082870 MASSNPPFRITPAQSAEHIEAAKALFTSYAEWLGLDLTFQDFAS ELQSLPGQYAAPHGELLLAYNAGEGIPIGCVAVRPLKQRSGEKQGDVQNHRGYCEMKR LYVSPEARGTGLGKALVNSIVERAKDLGYKEMRLDTLPSMVGAIQLYKRVGFVEIAPY YETPLEETLFLGLDLTQDL AFLA_082880 MSIAGDCLSTMYQNVASANCTICALILLRQSLKSTFLYHDQRKQ NMGFNSECDIFSNIQVPDFRLRI AFLA_082890 MPSTPSLPSMGMLALGAMQLAAGAVFEFPSCPKDIPFSCQNSTA VADSCCFNSPGGALLQTQFWDTNPPSGPSDSWTIHGLWPDNCDGSYGQFCDKSREYSN ITAILQEQGRTELLSYMKKYWPNYEGDDEEFWEHEWNKHGTCINTIEPSCYKDYSPQK EVGDYLQKTVDLFKGLDSYKALAKAGIVPDSSKTYKRSEIESALAAIHDGKKPYISCE DGALNEIWYFYNIKGNAITGEYQPIDTRKCHQSMRYCMLSSELIRCQLRLLDVPPQVL STCPRRARTPLLQPGNFVVTRLASLFASTENVQYKG AFLA_082900 MSADSATLQDLDLEDEQYDSADDEDFQVDAAQDDDALTGSDSDD EAIEPATKKRKAGNQAPEQEDPSLDSGDEATIQKAKAKKAKKQKGKDEDGDDEDDVDV DFDDEEGGPGGFVRTRAMKMRTQEERKPLARIDGATVDVDALWEKMNAPDMTLGQHST QAEKKNDTPVEGDKGTEMRDGETPVIEEKRQASQYSEEMVKIKRTYKFAGEWITEEKI VPKDSAEAKLYMANENDVETVTAAENATDIKNTTKIRRPLRKVSRFDPNPSGFIKKSW DKQSVPQKTGEENARGPKINTVEKSRLDWAAYVDQAGIKDELRTHSKAKEGFLGRMDF LDRVGAKEEEERRNIRLKGL AFLA_082910 MAEYHSTCHPDHLEPLELEILHRRDAFRLPPKAVQDTLVEVFFK WVAPILPVVDRDAFLRQYESAEDSPSILLLQAMLMVASRCSTSEQRSKEYTVSPRTFY KKAKALYDAGYETNLITVVQAVVLLGAYWEGPDDLTESGIFYWSRLGIALAQELGLHD SERYTGLQPSERGLRKRIWWTLYTRDRSVAAAFGRPLHINPNYCTVEPLTESDFVEYD GNAPSEPTGEVQARFFMEYVKLCQLMDLGLCLNLSARSTQDARSAGAAQCELGLNEWL VACPPELHWRQTRHTFLSAILFSTF AFLA_082920 METLLSHNELQYSPTFIICHAVTSFVTLKHQMDASLPSLLHGIR LTLESNLELLEALAKTWPIATLFLEFFQTMTAPDQFNKLLSVAVEECHKRAIGDKQDD PEAPRRPTSFKRPKLQQVVLPQSRVVFQILARETQRRQTALLRSHGSGTASREVETTS FGSGSGATPGSADDISPGDLGDALESCEPTAVLRNLREIIRIGNSQGADNAT AFLA_082930 MTLPKPVALVVGASRGLGRQIAIDLAKNDYTVVVAAKTTSNAYE TVPFPPDPNSNKSTIATVEREIKEAGGKAFAIQVDVRDVSQVENMVKETVRLAGRLDV LVYNSGAIWWSSVANTPTKRFQLMQRVNPEGLYATVQAALPEFERNAWKGRIIVVSPP IYSRFFRGKTAYAMGKVAMSVLTRGLAMDFVRQGHKDMAVTSLWPATSTESAATEVTT SKDPSRKADLRKPTVFSDAVIGILNSPAETVNGMLALDEDFLRQYCGVSDFSKYSVIP GSNPRRIMPKKLPVLEVAEQDDEGVRMDSTKLRAKI AFLA_082940 MQALKEVPSPVLTQFKDRPLPICTPYTFTHGDLNCQNILVKDGE LVGILDWESAGHFPVWWEYVATSIGFTAEDAEWKALLRVRLSGYEEGREFWRDLYALS RYPNLNERGQAFVDRLLCAEQAADGKLASTG AFLA_082950 MGKKIECYLDCVSPYSFYAFTYLQKNAAALESLGVEIEYIPVFL GGINVGSGNKPPWTLPAKAAYSKYDGKRAQKYFGHDFEVPSWFPILSLLPQRALTYIK KHHPSQTFSAAFQSCFETMWNGQLDISKPENLATALRNVFSAQEVEKIITAAGTPEVK AELAATTERVVKELGAFGCPWFWVVNGEGKGEPFFGSDRWHFMWEFLGLPFDDLRLRA RI AFLA_082960 MDTGSTTSVSYHVSCASDADESKYLQRVQYLRWVRLALGIIIFG VAVSIIGCEAVPFQHYRATSAYGKVGLYLWPLNFDIRPTVALLSCGCIIAFLNLTYTI ITLLPSPHAHIKRQNLASTAVAISGFLTALVGLIFAIHLPGTNPPNGFAKVETLHSWT CKWKTVHGPLSPKVDDAVTPPPAHFARDCALTRASFILTGLAVGLAILMGVAAGVGVC FERSVSQQREQDTSPLRKINIMAKYPGV AFLA_082970 MVATDKPTITPRETATLPPTSSPAPSPQNGGLAAWVGVFAGFLL FFTTWGFSTAYGAFQHFYQTDLLRDSSPSKLSWIGTVNAFFLISTGVVAGPLFDRGFL IHLMIAGCFLTTLGLMMLSLSQTYYQVLLSQGFCCGIGSGLIYVPALSLVLTSFTSRR GIALGIVTCGASIGGVLFPIIFIHLQPHIGFPWTARVMGFIQLGCSCIAVPLLIATTK PKRSSPRQLIHWDALKEWNFNACGVANFLMFMAYFVPLFYVPFFASQVLETSTDMSFY LVSILNAGSAVGRLGSALLTQRLGAGLILSVSVTTSAALIFGWIGINTQASFVAFCVL FGISSGVLISANPLVIAHPVVSPSPAIIGTRMGMLWFAASLGVLIGAPIAGVIEGHGG GNEYLGLQLFSGAIMTGGGIFMMVPLLAAWRYDCHQKELE AFLA_082980 MPLSKLQGVKLPASADFHVHLRDGDMMELVTPTIRQGGVNTVFV MPNLVPPVTTVDRALDYKKRLQAIEPNVNFLMSLYLHESVTPETIIEAKKRGVTGVKS YPAGVTTNSSSGVVDYTQFYPVFAEMERQDMVLNLHGEVPSTGDVTVLSAEERFLPTL LQLHERFPKLRIILEHCTTAAAVEAVKKCGPTVAATLVPRDKSITAHHLSIIIDNWAG DPFCFCKPVAKTPADRDALLRTAASGNPKFFFGSDSAPHPAASKRGGDKIAAGVFTQP YTTQVVLDSFEQACENGILKEEDITPEVVEGFMSKFGRQFYGIGEEQKEFITLEKKDE KIVNLLQSDKVDVVPFRRDQQTWSVSWSS AFLA_082990 MDDATKSLLTEHFSYTPLSLVDDVINSINNLIYQAISSLEAGLL STPPERLGFSHATNGSTIPDTDEDGNVVYPEARLEIEDGLHKLETLLEATVDKAFDKF EIIVLRNSFRVDDDLLGWIRLKHYEGLDLNPSPDAPTPDTIVALRKKLQETKKLNRAL KQETARNDAVISQLRSILSAVKGADGATSAKQGGGSETSALPTNKDLDLSFLTESPAA KQLRVGAAVGSNTKHTPLTTNTTFILSQLPALQGLLKQLRPKLATLPKSAEATEADSK RDQRKEYIESRIRLHLERTGQLGVGSDGNPVVAGRKIDISEAQALEAVTGLLTQGDKM SE AFLA_083000 MFIEETDDDDSMAQKSTCGTPADPKSVDVIRKSVEDEPMHRTKS QYFDDFFSTRGRELSSRDRLRYKSAMVAELKLSKTVQVRDNEVLASAISSRLARIYEK DESSMMVIIQQGVCIRFGISKDPAYLLKVYALPCLIASITNLRCTTMIQSALRDLLQI EPNRGVVLYLPVPEENFATNGVTYMGEIARYERRTDDDDPGILRNISRGLSRRLKSSS TQSAPRSEATTSSWDPETDAQMSISAKGNDSFHSEGSREVEASGQGNTRGSKSLRHFL SRRAQNPTEADDKR AFLA_083010 MASKATQGSIKEIQGDLFDAPDGAALIHACNCIGSWGGGIAKAF KQKYPAAYNIYHSHCQKYKFSPEYLVTSDPPNQPNNAQSSTRNKEIQLPEGTALIIPP QEKDYKDKDKKHWIICLFTSRNYGKRVSPPDVIIRNTELAVADMVRQIHRLRAEESGI GELWSCRFNSGLFGVEWVLSKRVLEESGLDFVVVRPVDEDE AFLA_083020 MPPERQPAQKRRQLPFKPPSRQSSVTAGPSTSASAKPKPQTKSK IKVPAKKPTTNAKASSSKISRPSTSSTRTETEASESPAAASNSDSEASSGSSRSSSPS EEPDYILAEIIHADAEENDILSSEPAIPPKLLTKLVHHHFKGQKTKIAKDANEVVAKY VDVFVREALARAAFERAEGGKGVERGVGDGFLEVEDLEKMAPQLVLDF AFLA_083030 MTIPETQSVSQSNGEAQNAPANANTTPKTKLLGREFYKSIGSPK YIVAPMVDRSEFAWRMLTRSFMPPDDPKPMLAYSPMYHARLFREQLQMRLQHFHPTRA AIKGDDTPYLDGNPAIDRPLFVQFCANNPDDFLEAARHVAPYCDAVDLNLGCPQGIAR RGHYGAFLQEDWDLIYKLINKLHTELDVPVTAKFRIQETKEKTLEYAKMILSAGASII TLHGRTREQKGHNTGVADWSYIRYLRDNLPPETVIFANGNILNYDDLERCLEETGADG VMSAEGNLSDPSVFSKPPPVGSEGREYWRGRDGKGGYRIDAVFRRYLDIIYKYVLEQP VPERKPLYLPSDPEEPEQFIKPTAEEAEEEGPPKKKQKRDKTKRPLSPSLGVMQGHLF QLLRPMVAKHTDVRDALARSRPGDMAAFEHALALTERAIKEGLKEYEQFPERFETSPN QELTGSKATIAEYGRPCILFNRNA AFLA_083040 MDSTGAGVSPSISLSEHGEYAAQISGKDLILHLNPTSSGFQDVE IVKVKESSLKFLKFSRASYTVSPDTYTARGETAPGRRVLHASDARVLVWQLLPLQLHA EIESIEPGALNIDFGSDENEVIVFHAWNTRLTVYALDTGRSQVIKSPKFAHHNGFGYR PKTGQFAILLKPDAVDLLTIHGFRSYELINRAVLPTVDAQGLKWSPDGRWVAVWDAAS AGTKVLVFTADGKLFRTYTGPPGFDDSFDLGVRGIEWSPVANESGASEYLAIGKVDGT VDILRCKTFSCSTTLSHVFQIDDNSPSIWRERYATADGTLEYAESSSSSAFSITAETS GPPRGVSIMTFSCDGNLLATVDQTRPNIVWIWNLESTAVLLSALVHEHPVRQAAWHPS KIQLLIVTANNAVAAVRSWTPDGQPSIIYIPTSRSDSGRYDVRWLSSQGDDAKFWFGT TEEYTLGRIESEGDSSRFKVLNTIKGKASTGSHSAGMSR AFLA_083050 MAPARKKWSKGKVKDKAQHAVVLEKTVAERLNKDVQSYRLITVA TLVDRLKINGSLARKALEDLEEKGQIKKVVGHSKLNIYTRAVTAE AFLA_083060 MSSLRQRQVPAGAGPGTNEPSTSKPTSQKTKSRNEKNGLSVLDI IRVVVTLIVASCGLSYYMTSSESVLWGYRPWFTRWPVLVRYLQGPLSLTPSQLALYNG SDSTLPIYLAINGSVFDVSANPLVYGPGGHYNFFTGKDATRAFVTGCFQEDQTHDLRG VEEMFMPVDEEAELKTLSSGEKKIRREQDRRLARTSVQKQVAHWENFFRNHKKYFEVG KVVGLEVPEEQRELCQAAQQQRPKRSSLKKGN AFLA_083070 MVLPYAIVRRSGAPTGHTAQTKPGQVSTGKPQDAKPRLLLMGLR RSGKSSIASVVFHKMPPNETLFLESTTRIQKDSIHSFMDFQVWDFPGQLEYLEPSFDL EDIFGSLGALVWVIDAQDDYLDSVARLNRTILTVQQYYPNINIEVFIHKVDGLSEEYR TDTFQDIVQLISDELSDAGYENAPVHYYLTSIYDYSVFEAFSKVIQKLIPNLSTLENL INTLGNNCGFEKTYLFDVLSKIYIASDTRPVDMSCYEMCSDYIDVIVDISELYSWDHP DRKPKGEQNQEAESHVVLHDETMIHLMEMNKYLCLVSVIRNPEAKEKKGLIDMNCRTF QEALNDVFSRSWEQDQEGSEHGQTQGQVTEHGEPGSNN AFLA_083080 MTSLNPRDPYTQEELEKLYPRDLKLQLVQVVNARRCLLGFKILT DYFTREDWPYCNVARRMIQMAASNQDLSQWKGFEWRKKTEAFGDRDEAVVAVGATGDI EGICQHGELTDRGRETTFALGQRLRHLYVDQLGFMPKIKSDTEDMYLRATPIPRALES LQQAFWGMYPASARTQDFPPPVIVARSVSEETLFPNEGNCRRFRQLARLFADRAALRW NETEQMNYINSILSKWMPEKSPKVAVDSHPRLSGINDTINATDAHGPATRLPSEFYDK KLRQYMEQIAVDEWFAGYNESTEYRKLGIGALLGDVVDRMVSTAVDGGWRSEASASGS STDNGKAIKFAMSGCHDTTLAAILGSLGASPGRWPPFTSSIAVELFSKADRKSSGEDA GVMLEEFSNPAIAQKKSGGLFSFMKGSSNSNTSTPPSPSETARAPLASFPDPARQSLQ KHYVRIRYNDVPVRIPGCAAKPQNHLAGDDTFCTLDAFKEIVDKFTPKNWREECTENI GAGLYGKGDKEKAVSGF AFLA_083090 MKFFYQVITTPTADTPGTTVQLQFPEKRYFFGQISEGTQRACTE RGVKLAYLTDVFLTGRMEWGNNGGLIGVILTLADGVASANTALEAMAREKEARQQKSG KSAKQSPAKPKLEHGVPYAVKDGEAVAQRGTLTIHGGKNLAHTLATARRFVFRKGMPV FTREYDCEGMAKKGSAEAEDPFEQPTWSDDNIKVWAMPIRPLTSLQPKDVPRVAPQSP RKRSLDEFREEVTTQEVIDPRTRDQIIRQSVITDMFNSTWKLDALVETPLAEVKMPAV MFVRNPETRALEQYTGPAPGSNEPLPDIKVFVRQPWPGAAVEKIPPTTWCDEAVSYIV RNHDIRGKFDPKKAEELKVPKGKDFGRLTKGESVKSEDGQTITPEMVLGPPRLGKGLA IIDLPSPEYVESLISRPEWKSPSVTSNLEAFIWILGPGVGDHPRLREFVASMPQCKHT VSSSDYCPNYLAMGSIAGSSVRMAQLRRDNYPVPVHDNVSLPQPGTRTHGSEVTVRNV QNSPFEAIEPGLIIDMEPNFDINRSEVVPRFNAIEAVQRMPVAVQKRMNTIDRRVKKE EFEEKLRQFRKDLPGADAEIITLGTGSSSPSKYRNVSSTLVHVPGYGYYLLDCGENTL GQLKRVFEPEKLREVLQNLRMIWISHLHADHHLGTASVIKAWFQENYPNGDSQTSALE TDMSKILKEKRLFLVSEENMIWWLEEYASAENFGFGKLIPLSAYPVIQNRALRTKFVY RHCRADGSFPGQEVETYRPRTTELSFDDESSPLTPLLREATGLTDLLTTKVSHCRGAM AVSLVFPNGFKLSFSGDCRPSPSFAAIGHGSTVLIHEATFQDDMGVSAIAKKHSTTSE ALEVGRRMEARAILLTHFSQRYQKIAHVEKNQVPTKRQETVVQPEQPDIPDNEPEEAS QAPASNGVPSFFSTIKVEGKPQVKVPIVAAFDYMRIRVGDMPIAQAYAPAVEKLYDIL ERASEEDSEKQRQQKEKQEAAKMQEKMRRKAKHEKKSKAGASQADVEPTPAVPAEEMD LDKKSPVKRHSAWSASESESGWSTSGSDSEAEMRARRTSRSPSGTVKRSS AFLA_083100 MATLAEHPVVAPSTYDQDIDTFLNLDQLTYTTSEPARPKAALSQ PSIPPTEFNAGDLRSANFAATGQSPIAFQGPSHQYDEHKQQTGLPPGALAQAMTFNQM NGMGYGGGASPGYMMNAEMFTGTHLKREDASLDFNAIPSRNPSEMDLESDNMGTVPGY FFSPNPNKSQFVDPSALGGQEVVPVGPSTQVGRMYPGMHQQQAAMAKAAQQQKHHEMM RQQQQLQQQRRMEEQMQHNGNPQVQPPRNTNPIVEERITRLLQQMRQSSASSSPSDSP SPSILPQMAKAKKDEQDMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYI GQLESEVAARTNEAHELRLQNRALYEENARLTDLARMLLSSPNFSQFLDEMSVNGVST SAQPQPPQQQQPQQLQSQSQPQQQPTMQANVPKDTSHGHGAQEFAMQQNPQVGMVVVP NQGLDVAAMGMNNAGWNSGIDMNYNPSVFAVLEVPEPSVIDTEILSGKSSVGSYLPEI TDSKNEMPVFERMPMSEEPKEASFGVENPDVEFDESDPAFALFADSPATSQQESLDNS YNGFESEKSAHAFELVVETESQDAEKRFSYLCHSMDAAFERVSMVTSHLS AFLA_083110 MASNTNPGNFSNRPHEEVENIARKGGQSSHSGGFASMDPEKQRN IASKGGQASSGSFKPGDPRAREAGQKGGSRTQYDMDQPEE AFLA_083120 MPVTAIESYQQFQELITGDKPVLIDFWATWCGPCRAISPVFEEM SNAEGLDNVGFYKVDVDAQEQIAQEVGIRAMPTFMLFRNGAKVGDLVGANSQGLTELV KKATALP AFLA_083130 MLPAALLRAMADKLVPGIPHSTRIAILQQTETASEEDVKDFAAE EAENKHKTVLQYVLSSDHSRNEVVQKMNFLSKAFETEDPMQPVSAIRKVRHDKAEKEL FLAQKNASLKSGARGLQARKDLKAAEDKFQASLEILNQEKELIDADTVQEDTQAAVDT LQDLQAQFEAMKVVDIEQQARQILIGLGFHETTFDKPFLTLSGGWRMRCMLASVLIQS PDFMILDEPTNFLDLLGVVWLENYLRQLKDTSQTTIILVSHDRDFVNAVCEEIIILRD QKLTYFKGNLSAYEKDFEEQKLYWGRMKEAQERQIAHMEATIRETTKTGKKTNDDNKL RMAKSRQKKLDDRMGVQVSATGGRFKLNRDRAGWHSSSREEIEVPTDEKGTSLILPDA TELRYPGPLISAEGIVFKYKPNGAPVLDGVDLVMHMGDRVGLMGLNGCGKSTLIRLLV GNALPTKGKISTHSRLKLGYYAQHSIEDLQEQGQTDPNLTALGLMAKETDGTMSEGHL RGLLSSLGLQGRIASDVPVSRLSGGQLVRLALAKVIWNSPHLLVLDEITTHLDFHTVT ALASALSSFNGAILLVSHDRFLVRSVIEGKRDEEHQLDDDFEGIDDEMDESQSRRRAV YVLKGGKLKEQNKGVEQFEQSLVKRVQKMLPAQG AFLA_083140 MCLAFSLPLHLMSAPCFIPYNPSVFSSVSANAYDVFAANESDFG DDVHRQAILRLHTKAQNGTLYRLENSACVTAYTTAFQSTYGSLILVTGNATPADHFNL VSTQQVFKTNVAAVGASGYNWICEDLEYHQYEWQLTSCITSLSQVQARVAENNWTVGG YKPEYCLVEELTPHCKLQYSLYLVVIVIAFNTVKAIVLCYVAFNSKDSPLLTTGDAVS SFSRAPDQYSQGMCLLSMESVRQSAKSSRTMTFDTNPRRWRSAMSRRRWSLGITCSVL DPISVYYSIALAACVTLLVLGLFLLFDSTGIWSVGLGHSNTDLFWGIHDFVSGGQ AFLA_083150 MMPHYHIQHRTTALTLASKRRCADIVKLLLKTRFDVSARLQGKS AIQLVARYGYAEVIALLLDAGAEVERRENSLWCAIDCNKAHLIPLLFQHRSNIEAEAY ECKRLLHRAAMQGNVAALETLLTSGAKKKCQICMGTSSPASCSPRRCHCCNTYAPAVG SRYSRPR AFLA_083160 MMTGFLLSRRGLTASLPSLRRYPRTYLRQIGSGRNKAASQYSTA SSPAENGKNDTKPLPATYFPNSETSTQKPSPRWTALKTAKPFSDFLTDTFNRQHDYLR ISITERCNLRCVYCMPEEGIELSPPARLLTSPEIVYLSSLFVSQGVTKIRLTGGEPTV RKDIVPLMQSIGDLRRNGLRELCLTTNGISLHRKLEPMVEAGLTGVNLSLDTLDPFQF QIMTRRKGFDAVMKSIDKILELNKMGAGIKLKVNCVVMRGVNDREIIPFVEMGRDSPI EVRFIEYMPFDGNRWNQKKMLSYQEMLTVIREKYPTLEKVVDHKNDTSKTYRVPGFQG RVGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNAEVSLRDTIRKANNGEPIDEATMN KLQLLEAADKAARINEEGGLVDERERELLEIIGMAVKRKKAKHAGMGELENMKNRPMI LIDNGPMRTLTGSEQSRKWATSAGKRPWSSIPRHLLQSSSPRPGQVRYYHGDGRNVSS ETEPSDLKRQGTATSLPTDSDPDLPHLNTSQNVHMTKISQKAVTERSATATCLVRFSK SRPWELLREGRGTRKGDVFSVARIAGIMAAKQTPDIIPLCHPGIGITGVEVDVTLVDP LPSPKDTDPSLKYGAMCVMATVSCLGRTGVEMEAMTATMGAALTVYDMLKAVDKGMVV DGVKLLEKKGGKSGHWIREEQVDPM AFLA_083170 MAVVPPFNFITLHYAYFIVTSLVCSVIFWGASNPLRSVSYADAL FMCVSAITGAGLNTVDLSSLNTFQQAILFALLMLGHAILISITVLFVRKRAFESKFKG ISNRLAQYRESRPTSDLNVPLDEADFKVVNVQPSNMNAGHGDKAPGMTEVSPVENSTD LTSDDHIHWAEDDQITIGARRRSHHQSHRVFPMVGVGARPDLNNHPKDAIPNLPLREE SDILRLKGIIQGTQKYFASRGFISRNSQFYGLTPDERERLGGVEYKAVSFLAVIVAVY WLMFLIIGMIGVGGWLEANHPDISRENGLSPFWTGAFFAVSAFVNSGMSLLDANMTAL QKNVYPLLTMGLLILAGNTLYPCFLRFIIWSMRCMIPDQPAWKTWEVTLDFILDHPRR VYTNLFPRRHTWYLLGTIIVLNAIDWAGFEILAIGNQEIEQLPPGYRVLDGLFQALAV RSGGFYVVTISGLRQGLLVLYVLMMYVSAFPVLVTMRNTNVYEERSLGIYAHDDPESE SEGQAKPGLFMSLVRHHLLGRQDVPSAEVSRSYFVHQQLRSQLSHDIWWIALAVLFIS IAESPNFNRDPVSYSTFNIIFEVVSAYGCVGVSVGIPGRNSSFCSGWHTISKLILAAV ALRGRHRGLPVAIDQAVMLPNDSLAWAEEEDAALRREKTRAWGVDKMPVGAV AFLA_083180 MAGSQKKSTKKFEKKHLKDVLERRKAAAKIKQRNHLKDKRKADN AKARADAQDSADEHPEETKKQDAFAQMNVDDFFSGGFDIADADAGQAKKAKKKDVTPK TGKRKRTEEQKDEDEASAASSSGEEDGVPSDDEAASDASGSDDFEAHKDQLEALKEKD PEFYKYLKENDAELLDFGDHGDLAEVDELSEAEEEQPAKKKKKAAREEGDEQTPDNTL TIAMVKKWQKLMEEQNSIRAMRQAVLAFRSAAYLNDVEAQEQKYSIRESDVYHEVLVT ALGSVPRVLSHHLPVKETASGKVKVSMDSKKFKTLTPLIKSYTSSVHQLLTNLSDAQT LKLTLSSIEPMLPYLLQFRKLLKVLIKTIVGIWADVSTTEATRITGFLLLRRLMVIGD AGLKETVLKATYEGVVKGSRNTTVHTLAGVNLMKNSAAELWGIDQNVSYTTGFNFIRQ LAIHLRSSITNTSKESYKTIYNWQYVHSLDFWSRVLSQHCDGLAEAKAGKQSAMRPLI YPVVQITVGAMRLIPTATYFPLRFQLTRALLRLSRSTGTYIPLAPPLLEVLNLAEMRK PPKSSTLKQLDFNTAIRAPKSYLRTRVYQDGVGEQVAELLSEFFVLWTKHIAFPELSV PIVVSLKRWLKQVSARSGGNKNAKINQMILLLVQKVEANARWIEERRSSVTYTPRNRA EVENFLKDVDWESTPLGAFVKSQRKMREEKATLLEEARREEEKRRAEEKDADKEDVTM GGFSEGDEGSDDAEDGEDASSDGEEEDEEEEEDEDEVEFEEEED AFLA_083190 MYAQRPLAYAPTPYSYTPNPALSASINLDEEVKLASSSAERDLY ESLAEIYSIIVTLDGLEKAYIKDVVTEAEYTETCARLLKQYKSSLGDDTVANEFVDLE TFKRTWGLECPRATERLRIGLPATVEQASHNAPAANMGPAAGPPGGASGSLILTATEN FITFLDALKLNMVSKDALHPLLSEVIQSVNKVTDGDFENRGKIIQWLITLNQMRATEE LSEDQARELSFDIEQAYQGFKSTLG AFLA_083200 MISADPDEPLHVFDLPQIHTKPSGTELLRALDILAIKPKSFASS GHEAVKAPTVYPTGVPRYLTSIISSPLSWLDTDELREAVWDAAAARLSERSGRSAMPA MTRVFTIPSPTGEELTLTLHEPSLTADNLGMKTWVSSYLLSQRLHSLLESTPQLVPSE TTTPTPKTDRTLRALELGAGTGLVGLSFAAIRGQSASIHLTDLPDIVPNLAHNAALNV ELLTNTAATVTTGVLDWSIAPEPLPTQEEQYDLILAADPLYSPKHPKWLVETIGHWLS RGLNARVVTEMPLRDAYLPQVQEFRERMGQLGLKAVYEGEEVGYDDWESADGGALAVR CWWSVWGWSEKL AFLA_083210 MVIGLLTIAAIPTVTGVALGVSEQRKANERKNDERRMAKFLIDV ETNGETQEDSEVCGKRFVLRNNKVYLDDPNPLNRKLPSHTVTSFYIEYPELEETKHLK RGLGLVTTISDNPPMLGWIYVDKDTHELKYGNRTASVEHVVGPWDWTEDETTITLEES PDFYAVQEEDGDWAVYFDRDGDELEYVLEEQDKLDNAFAPIALKRKLIEQLLQQAQAQ AQQTKKNDNS AFLA_083220 MKKGMQVVEREDDDEDVLDEGSSESSESEDDEKTTEKKSKGKAP VTKSDILVTTPLQLVNALSANKTKPMATLPLVRNIVLDEADVLLDPLFREQTLDIWRA CTHPELRASLWSATMGSSIEDLAKSTIKERKDASSLTKSYPLYRLVVGLKDSAIPNIQ HKLVYAATEQGKLLGLRQLLHPAAAAASDIRLRPPFLIFTQTIPRAVALHSELRYDIP PEAGGSSRIAVLHSDLSDGQRSEIMKNFRKGEIWILVTTDLLARGIDFRGINGVVNYD IPNSAAVYVHRVGRTGRAGREGGVAVTYYTKEDIPYVKSIANVIDVSEKLRGTEGEKS VQKWLLDSLPDLSKKDKKELKKHGVRARQTNLKSVADDKQQRKTRISTKSGFERRMEN KKKGAIAASRNRKLQGPSGAEPDSGDDGWGGIQE AFLA_083230 MPATASRAVLRQSQFLTRRTAVRYASSTPESAQKAGEAASSAAS KASGGLSRVTSTAGPALSNAAQGVGSALRKVGGRTGKVIAFVDSMIPPTLYYGKVGLE LAKLVFRGQNMTPPNLATFQSFYQPLINAFRSPAALKNANFVSPGDIAARVRNASPKE LALAGVTLAEVIGFFTVGEMIGRMNIVGYRGHPEHHGDH AFLA_083240 MATATVLEKANIGVYTNTNHDLWVAESKPTLEEVKSGESLKPGE VTVQVRSTGICGSDVHFWHAGCIGPMIVTGDHILGHESAGEVIAVASDVTHLKPGDRV AVEPNIPCHACEPCLTGRYNGCEKVLFLSTPPVDGLLRRYVNHPAVWCHKIGDMSYED GALLEPLSVSLAAIERSGLRLGDPVLVTGAGPIGLITLLSARAAGATPIVITDIDEGR LAFAKSLVPDVITYKVQTNLSAEDNAAGIIDAFNDGQGSAPDALKPKLALECTGVESS VASAIWSVKFGGKVFVIGVGKNEMKIPFMRLSTQEIDLQYQYRYCNTWPRAIRLVRNG VISLKKLVTHRFLLEDALKAFETAADPKTGAIKVQIMSNEEDVKGASA AFLA_083250 MPHETPNITALEPFQVLSKILDFANEDQRDWWHSTGPMYAKILK DAGYGIHAQYSYLCLHHKCVVPYLGPYPGNGRDRWMSILSRFGLPYELSLNCSNSVVR FAFEPIGPLSGTEQDPFNAHVIWECLGKLAKLGSDVDLQWFAQFKKDLVLDAEETKFV RDNGLDKGQVKTQNKLGVDLKGGKFEVKMYMYPYLKSVATGIPIERLMFDSIRRVDWD RKLVVPLSILEDYITSHKDKTLSARLISCDLIDPSRSRIKIYVAEQTVDWPHLEGLWT LGYRRQDPITISGLQLLRELWELLDIPEGPCHFPDGGYLELNSKVNERLPLLVNYTLH PNDPYPAPQVYFHTFGVGDAAVADAVATFCARRGWTEMAQSYKDNLFSYYPDGDINEM NHLQSLVSFSYRDQKAYLSVYLHSFETGGWSKSYSSDAIQGHL AFLA_083260 MTLSLEYNTLNDIPKSNLLYVEGFELSVLEYFPLKPQIKTFMLS PDEALQRQNTDVLKRCLAKNLLSGPNRWFIYKLGLLLLII AFLA_083270 MASHELKQMAPATSHEVEGRSSSKETDDVNLIRLGKRPVLKRNF GLMSMLGFSCTILITWEGIVVSGSSGGPAGAVYGFIFVWAGVAATFVVLSELASMAPT SGGQYHWCSMLAPPSAMKLFSYLTGWLTVIGWQATFATSCFLSGTLIQGLIVLTNSSY EPKNWHGTLLFWAVAVFSVGINSVGGNLLPRFEGLILILHILGFFAILIPLTYMADHS SAQEVFTHFLNLGEWPTQGLSFFIGLVGCVFAFAGGDAAVHDADSYLQMSEEITNAPV AVPRSIMLSVLINGTLGFSMLIAMLFCLGDIEAALVSPTGYPFMAIFLQATHSVAGTA TMGAIITTMGICTSVGMLASTSRQFWSFARDRGIPGWRLWSRVTPESAIPIYSVGLTT IVACLLALINIGSSVAFNDLVSMSISGLYLSYMIVASLLLYRRCTGGIGSSKSSDDAI VNTAGAKLVWGPFHLPGIWGILVNTFALIYMTIAVFFSFWPPQHTVTVDTMNFSVVGT VGVIILSLVYYVLRARNVYEGPIVEIQI AFLA_083280 MAQFSPDSWTPAVNVLTWFLLVTAILSVITRLGTKYWIFRKFTE DDYFSIASLVLCAAQSIAVSMATANGYGEHYDSLSSSHIKEMMKTIASRLA AFLA_083290 MLASGLKQLQHDHESGARKLAAVSVNILRAVLVNLDDPITLGGT WWQKARITAWHLWKNGRQSMDAAILSFLLMTLTEIETFLLTMGPEETCDKLHISELFD RIQKKIRSYKLRLSYNFGSYAMSKISRTGSIPKHLKILTLSASHTIRECIAQLVRISG AQSIDICVLESRPLFEGVSLASSILEYLENEPNSPKVDVSIFTDASVAFAAQGVDFLL LAADRIAADGSVSNKTGSLPAALSVRHVSPSADIIVVSELDKVAMQSCDTEAHTMENN ESSEVLDAWQQSETVKGLGVIEQKIQKKSRQVSVNVPNVYFEWVPPTLIDAYICEDGV KLPSDFRKRSQWIKEQCERYFDHDL AFLA_083300 MLGSLLLLAPLAGAAVIGSRADTKQCPGYKASNVQENDRSLTAD LTLAGKPCNTYGTDLQNLKLLVEYQTDERLHVKIYDAEERVYQVPEKVTPRVDSGDGS SKDSALKFEYEEEPFSFTVKRDDEVLFDSSAENLIFQSQYLKLRTWLPENPYLYGLGE HTDPLRLSTTNYTRTFWNRDAYGTPANSNLYGTHPVYYDHRGESGTHGVFLLNSNGMD VFIDKTADGKQYLEYNALGGIFDFYFFTGSNPKEASIEYSKIVGLPAMQSYWTFGLHQ CRYGYRDVYQVAEVVYNYTKAGIPLETMWTDIDYMDRRRVFSLDPDRFPLEKMRELVG YLHDHDQHYIVMVDPAVSVSDNGAFNRGLEQDVFLKTQNGSLYKGAVWPGVTAYPDWF HPDIQDYWNSEFSTFFNAETGVDIDGLWIDMNEASNFCPDPCTDPERYSSENNLPPAP PPVRSSSPRPLPGFPADFQPSSASRSQKRIVKAKVGLEGRDLLNPPYKIRNEAGSLSN KTINTGIVHAGEGYAEYDTHNLYGTMMSSSSREAMQYRRPEVRPLVITRSTYAGAGRD VGHWLGDNFSKWEHYRISIAEGLAFASMFQVPMVGADVCGFAGNTTEELCARWASLGA FFTFYRNHNEIGNIGQEFYVWPTVAESARKAIDIRYRLLDYIYTSFYKQSQTGEPFLQ PVFYLYPEDENTFSIDLQFFYGDAILVSPVPDKGLTSVDAYFPDDIFYDWYTGTPVRG HGANITLSNIDITHIPLHIRGGSIIPIRSSSAMTTTELREKSFQLIIAPGLDGTASGS LYLDDGDSLEQKATLEVEFEYRKGVLHIDGKFELHASLVESVTLLGQGKGGSRARRED GTKKTIQTNLELSKPTEIKLE AFLA_083310 MLTSVQPRPDVLGPRASLTTFQKGELFTFSRDEQKPVHALPLRQ RLPAKAWDTHMHVVEPHRFPVDASAVYQPSTHTIEEALAFESSLGIENIVLVQPSIYG YDNSCLLEALRRIGPSRGRGVVVIDPINTDTQTLSRWHSLGVRGVRVNLRSVGKVMDQ DELAQTLLQHAEIVRPFGWAIQVYVPLEMIPLLEPIVPQLGVKLCIDHFGGPDLLSMN WTDGASFDPYSLPGFSSLVSLLRAGNTYVKISAPYRLSKDHEMRDIEMMARELLRQAP NRVLFATDWPHTRFWGTDIAPFTELCLRVCGNDPVLTERVFRRNAEELLDAQTMD AFLA_083320 MVDWSRWKTHPYYALVIFIIACGSIPKGYDEGGFSASVKLESFK EDFNLISSNWTHDETGLANRSANITSFNVLGAAFGALFALDLNDRFGRLNSWRLACLV WASGLFIQVFSSGIYGLLLFARIWSGLGAGALTVTTPLYLSEIAPARTRGLVVSCYMV ILLAVLAMGFFINYGANQHMAPTRTQYRLVQAIPLIPVGLAMMASYIVPETPRYLVSK QKHDEGRAVLARLRGKDINDPELEAEFVLIDSQVRAKASDLATVTPWTAFKETQTNPN YRQRFWLLITMHTIAQWTGGNGITYYISTIFEYAGVTGNSTSLISSGAYGIVKLVFTM AFTWGLIDLFGRRRCALAGLSLQLAAHIYMGAYMGLQPGSSDNKSASDAAIASVFVYA VGWSVGLCTIPYLYGTEIFPTRIRNVSYAVSMSLHWFFQFAVVRVTPNMFASLNVWGA YLFWAIICTLGLVILGIWMPETKGVPIERMGDLFDTPWYLRWRARPKSDDSLETAPSV SSSTGAKQPEYKNTSL AFLA_083330 MVVEHSSSGTADVPAKASLPRKSAFSCEACRKRKVKCNGASPSC SRCAARGETCVYSLAPTLSYTKQLEARVAQLEDALSKLRSQQQSAAEVRKASTPSSTG EGRGSMSPSLRTRIKEEDESSSADLAREFEGLKVEHDGRISFHGPTSLFQLPSGALNE AASTSRLAVQHEARKERLINNAWRERAFEQMATMPEPFQYLLDSHWCWIQPLFNFVYR PAFTRDMKINGPYYSDALLNAILSHSVRWCKSEPRIGHILDSFDGGAQFSHRAVSGLY DSLKVGHLGIPTIQTLLLLSAQECGRGNRSQAWLYSGMAFRMLEDLGISIDSRKYSDS AHLSDEDIEIRNRLFWSCYFWDKMVSLYFGRSPTMQHSRVSPPRTVLDDTSEIEIWTP HGVVFPDGAHYPPTQAHSTSCFMKMCGLAEVLNQILIHIYDPIRQVSEAEFYNCVIEQ ARNLAEWWDELPDYLKLVPTSLPPYSPPSHIVILNSLYHTINILLHRPILCSKTNRES YDKSHLVQCMTSATAILSLFDLYRRTFGDAHVVLSLSYSVYTAASIFLLEIQALKYAA PGTLDKLKFCIFALERVKVSNPVISTALSLVYQELQKLQIDIHIVLPTLQPEQPQPRS QPPSRHSHSPSQPQGLSLQQQQQQQQQQQHQQFHTPSTFSDTSRHVSPSHQPSPDASS IAASVAPSGVNTSFLPGYSFQQPVADFELSQTGVPQMAGAHLLGGMPNALMTLDNPGS YEITPEVFEAFSYAEPITTNMTPAFEPRLG AFLA_083340 MRRLNPLLRQTLLLRKSRPSTRYTLQPPRLQWLSTTSTRPCSCS DPQPEQPPSQSSATPADYRALGTAQDLFTTSIYSPGSPLFLPNGTHVINKLVSFLRTQ YLQYGFREVLTPTIYKRSLWEVSGHWQNYKDDMYEVRGRGAMGETEGEAGEDESYGLK PMNCPGHCLLFKSQNHSYRELPIRYADFSPLHRNEVSGSLSGLTRVRRFHQDDGHIFC RPQQIKSEIASALGFVDMVMTTFGLGPYRLVLSTRPEKDFIGSLELWDSAESQLREAL DNSGREWALNEGDGAFYGPKIDIQLQDQAGKYHQLSTIQLDMNLPQRFGLEYQVAEGE EDYNPATPGRARPVLVHRANFGSIERFLALLIEQYAGRWPFWLSPRQGIVLTVNQDEK VLQQAHEAAAKISGFRALEPGQSGNNAPQPLSFVDSTFLIDVDDSPQTLGKKIQRAKQ MKYNFIFIVGPKDVAESRVTADITGQLQSKPDGNAQKLQDMLVSRFGEKAVQNPRAVP LKVDEVHDLLVQLEKRFV AFLA_083350 MPSIYETPIAEGSWVAPDVRSKSKTAHSLRRRHKAARENTPRTE KHDLDMSKENDSSKQGPSTSQVDEKLSRPKSASRSKTLQTLIRTIINILLVVAISHLL IIPEVVQQYQTLCTIEAISTLYPASCIPPYPQPQTNHHRASRYDTVVSSQTRLESLFN TTLHAMTPLSGTLKQSESKLRYIETELKKVYPGMKHELDLEFSGCWEATRAATKKFDS LKVDIRSAVDNLIATNGATAAGDSQSAAQGARLSTQMSWREQYLDQLTTRMQSKADSL SNDLATLDDHLESISSILAREMTQSSASSGTTDSAAESPGGGLRAFVDKLPSFFRPTI DGENLIRPDLSISELFQDAAEQHRPVVDTVRRLSSELQNLQKKRAY AFLA_083360 MYFNYAAATLAALLPLCSAQTYSACNPLKESGCKPNPGMGSNFN SDFTTGDGALGGWTTTAGKVTTGGQGAEFTLAKKGDAPTIDTSNYFLFGKVEVVMKAA PGTGIVSSIVLESDALDEIDWEALGGDTTQIQTNYFGKGDTSSYDRATFVNMASPQAD YHTYTIDWNKDQTTWSVDGNVVRTLNYNDAKGGSRYPQTPMRLRLGIWAGGDPDNAPG TIEWAGGQTDYSQAPFTMYVKSVNIVNYTPSDSYTYSDNSGSWQSVKTSGSGSSSPEP RSTSSTSSTAESASSSEPATESSTTSKTSPTGFITSTTSSTTGSTTDSTESTTGTTTG TTTGSSSETGSGSTTAESSSSTGASTTESSAPGSSSGAGSSTGAGSSTGAGSSTGAGS SPGAGSASGSASSSAAGATSTVPLSNSATTPYGGSFMGLMTVMGLMTAMLQL AFLA_083370 MLPRSYSRSFPVQAFKNRLLRPVSSPSIAFQVIGRKSISTAANR FAISGTPTRAALDSSSSSIASTAVGTRLDLLNRHFSSTSATPDSNKMPPVEPQQYDYI VLGGGSGGSGSGRRAAGWYGAKTLIVESGRSGGTCVNVGCVPKKMTWNFATVNETLHI AEHYGYTIPKDVKIDYGHFKELRDATVKRLNGAYERNWGREGIDLVHGRARFVEPKVI EVANNDGTKARYTAPHILIATGGRPKLPNIKGAEHGITSDGFFEIEELPPKIAVVGAG YIAVELAGVMGAVGVDTHMFIRGQTFLRKFDPMIQKTMTERYEAAGITVHKGHPGLKE VQLVRDGKGKDKLLKLISNDGSEMEVNEILWAIGRAPEVEDLHLDVPGVKLNDAGFVD VDEYQNSSVDGIYALGDVTGHAELTPVAIAAGRQLGNRLFGPPELKDSKLSYDNIPTV VFSHPEVGTVGLTEPEARERYGDDQIKVYYTKFTAMYYDVVPPEEKKKNPTEFKLICA GPEEKVVGLHILGLGVGEMLQGFGVAVKMGATKRDFDSCVAIHPTSAEELVTLR AFLA_083380 MASEIDPVAGVSPSRDLDNKSDTTDDDLSPSVTTPPASVPSLRP TDKIPGITASSTHLGQISAARRGAGTPPRPQASMSSMSGASQGGLNQDILAKMKAFSL SRQGAPPTLPHAASTGTVPKTPRPGMPPAGLSSPGGQSPPVVNGPLAGALAGRLPPVA RPNTKNWVSSPSIPGSSPSPVSAKPGGLAAKRMKPGLKLSDATGTNGTAGNQSPANGT EGTGETAFSKYSEFIDTKSGTLNFKNKAVLHGGGIEFSSGHKFSISLDEVDRLDELGK GNYGTVYKVRHSRPHMRKPGMGLRGIVSRPTGPEASGSDGVTDNLTGVIMAMKEIRLE LDENKFAQIIMELEILHRCVSPFIIDFYGAFFQEGAVYICVEYMDGGSIDKIYKDGVP ENILRKMALSTVMGLKTLKDDHNIIHRDVKPTNILVNSRGQIKICDFGVSGNLVASIA KTNIGCQSYMAPERIAGGGVQQSGASGGGTYSVQSDIWSLGLTIIECAIGRYPYPPET FNNIFSQLHAIVHGEPPTLPESGYSDEAHSFVRACLDKNPKNRPSYSTLLRHPWIAPL MRPPEESGGDDTSASAGVTHSSVTEDKEVADWVKEMLERRNNGLLHDSNKPALHAVAL DAVPGSPLLDDPSSISVQP AFLA_083390 MIRDACAWKWQCSAISAGETLFACSNGDSTRNKCGDNGASFTVL IGRYQDDRSPKQKHAKTLDYFTISSLAQLCLGTKTSKRPQPLFDFIGIIFCKPKRGLS PREIASFSHEFKRK AFLA_083400 MFDTQVKQSLFLRSMAIFTAPTSFQNAQSLVPRQLLTQHQIGVS PSILGYVAPHSSHPSFSHLILLVFEAVLEVVCVSLPGYIAARQGLFDADAQKLVANLN VTLFTPCLIFTKLGSQLTAEKLFDLAIIPVIFVVQTLVSYICALTVSKCCGFKKRSAN FVTAMAVFGNSNSLPISLVMSLSQTLKGLHWDRVPNDNDDEVAARGILYLLIFQQLGQ LVRWSWGYHVLLAPRERYLEEGEREQSTTSIEQGRERYSDNPEQADPDEPLIRNASSE GSSTDSNDESEVFHSGEQTPVLVRAYSYTKLSPQDTDHPALLSAPPQGPFLPRQSTEG DILCFPSVEASGGDSGKAGLGFRFRTSVGRLGGRVTNTWERQSGALFQRLPTFLQKVL SGTVNGVRRFFRGLWQFMNPPLWAMLVSIIVASVPSLQRVFFDEGTFVQNSVTRAIEQ NGQVAVPLILVVLGANLERSTLPEDAQQDMEHPKEEKKLIIASLVARMLLPTLIMAPM LALLAKYVPISILDDPIFVIVCFLLTGAPSALQLAQICQINNVYVGAMSKLLFQSYVV WILPSTLILVMCAMEVVEWASASF AFLA_083410 MERKPSRRKSRIFETPERNESDTGSQNAPARRTTKYWPCGIVIC PLILCILSALLFLQQFTTPDPLRSVLSSTKVHHGETLHSQVHNPDLARPSIELHPEDH VYRGAVTQHLDWVVAEDYLRPDGVLKQVYLVNGIFPGPTIEACSGDTLLINVTNALQG EPISIHWHGLHVHNTMDGVPGVTQNAIPPGSTFMYNLTIPQDQSGTFWYHGHTGTSRA DGLYGGFVVHAPSSRPTVRGLMARDSAESLQYGYEREFLLLIGDWYHQPGAQVLAWYM SIASFGNEPVPDSLLINGAGSFDCSMAVPARPVDCIEQQANLSYLSDIDTSFRLRVVN TGSLAGFTLSFENKPLTLIQVDSTEVEPQEAPSAGILYPGQRMDVILQPSKEDLTSLT IHLDRDCFNYPNIALTPTQTFPITPSHSPSLPQSLPENNLDLQNTPSKPSLLSNIPEN PTQTQVIYTKIQKLSINHNIPNGFFNRTSWRPQPDTPLNTLPRDKWDDNQFSFAVPDS EWVDVVVNNLDEGGHPFHLHGHHFYILRVYEAPIGWGSYNPFVDSGPPGLESESGYDL SRAMLRDTVYIPSRGYAVLRFRADNPGVWLFHCHIVWHLASGMAMLVDVGEYNS AFLA_083420 MSMLLGVTLAMLLGSRPARAGSLKDIDHVVIFMQENRSWNNYFG TMAGVRGFNDPNVQVNDDGLSVWHQKVDPSMSENATTLLPWYLGYKGGDWNDAIQCMV AGSNGYEDNQASLNHDLNNNWARNNTPWSWGYLKRNDIPVQFAIAEGWTAGDMYQESQ ITSTNPNRVTLVSGSVNIPGSPQASDQGGPYIDNNETPGCDTDNINCYPLKWKTIFEI YEEAGVSWQVYQEKNNFDDNPLAWFQQYQNASASSPLAKKGLSYLGLDAFYKAAANGS LPEVSFIVGPAELSEHPPYMPKDGAWLQKKVVDAVTKSPKYSSTLLIISYDETGGFGD HVVPYHSPEDTPGDWMTDPYGKFGKIYVGPGLRVPFYMISPWTRGSRVFTEHADHNSQ ILFIEQWLKARGYENVETPEMVQWRREHMSDLVSALDLDHPDTSLPTLPDAEEPATLL GNYVGSSNCQASHPTQRPPVPYGQQSNVSDALWFEEGYKEVVGYLTEGRYLVFEKSGY ALTNAGNATRISSSRTGSGYGDKKQRWVIHYSGGQQSGVFHISSALDGKWLGPKGTLL SSNQGSQAADVKITFVGNGQGYTLQYADSTPIEIDSKGALTLQRRETSEEGYKVWSVS YR AFLA_083430 MPSFTDHNGDSGPDIEGFQPIYGTGLSITSLQKARHANGNGNTA IAPDSRKHHPAISKKATARPPLYPTAPLSSQSSAISESGARDEQEMQNGEEDPQNALF HQVLEWLQREKSKRKSPKVKAHAQPDGSGSDGDDEDDEDAGNGDGGNSERTTSHGADN VFALDKLEKILIQYAASRSDGAAPAYPARRSTRRRHVKGLRRGSASESDYLDGDSAAP SVDATLDNSKTLAYSGGGAEDDENEEGANARRALDREAWFVFKSEILRITHTLQLKGW RKLPMELASDVGVVRLSGALTNAVYVVTPPQNIPPPRAEDGSYSLVPRKPPPKLLLRI YGPQVDHLIDRENELQILRRLGRKHIGPRVLGTFNNGRFEEFFEARPLTPKELRDPGT MKQIAKRMRELHEGIELLDNEREGGPMVFKNWDKWVDRCEQVTNWLDKEIQSKHNDIK AVAEPWRRRGFVCGVPWPTFRKAVDSYRNHLINSYGGMQEIKRQLVFAHNDRSCGPRL TMQTQYGNLLRMEPSSESPLLRPENEHKQLVVIDFEYASANLPGFEFANHFTEWCYNY HDPERSWACSSRDFPTLEQQHRFISAYLTHRPGLAVRSSPSITPLMQAGELANITSLA PLDLDAGPDVDQQSLVDAEKAQEDRTEAEIRSLIKQARLWRVFNSAQWVAWGIVQAKV PGMEEGIAADAATNGHQNGANGTESEGTPSTTPPPDTDVEETDEFDYLAYAQDRAMFF WADLLSLNLVREEELPAALIQHIKPRIIDY AFLA_083440 MADAGGWSTIESDEGVFTSLIENLGVKGVQFEELISLDADTIRS LSPVYGVIFLFKYLRDQTPTTPEAPIDGTYDKTAPENLFFAAQTIQNACGTQAILSVI LNQDSPSSTPYPIDIGNELRSFKDFTTGFPADLRGEALSNSETVRTAHNAFARASPFV DETVRTARDEEGDVYHFIGYTAVNGTLYELDGLQPYPISHGECDAEGFPEKVIGVLQR RIARYPEGETRFNLMAVVRDLRMRAREIGDVEMLEREERKRRAWDWENTLRRSNFVGF IGEVLKGVVGMKEKEGKFDEWVQKAKGETERRLRR AFLA_083450 MNYRSKSIIIATAVSVGISLISMCLRCFVRLRVVRAFGKDDATM VVAMIFNLAFAICTFAGAKYGFGQQMTWFMDKPGYLRYALLYWWLGQNFYLVTAIIAK ISIAMTLLRITPNKIHTVILYTISALTLLVGTMFVLVSIFECTPVDFFWNRLTKSGKC IDPNALVGIAYTASVVAAIADFVLGLLPCFIVWNLQMNWRTKIALAGIMGLGCIAGAT VIVRIPYLSAYKHADFLHATHAVSICSNIETGVGITASSLATLRPIFRFLRDTTSGSR SRKRPTENSYPLSSVANNSDKHHWADTTGGYHGMSTTITGRQPSMQNVSTESITPLYQ GMKVERSFQVELA AFLA_083460 MAGPGGGPPRKSHTKSRFGCKTCKRRHIRCDESFPQCRNCTKHN CRCDYMDVATVHDESSNTRKIPDLLMSAEIETEIKNWHITGVPPFPELVQYPRSCWSK LSRTDLRLIHHIIGLSIDLHRRGFSNSTIWAQKMPTFLNIAITNDFVMSSILTLSASH LAWITRNKETKQLAYHHRGVAIQGLHKAIGTFSKGNCDAILAASILLSWQASEWHSWA SLQQGLTTVLNSMHPIWKHESELAMYLENQRYLGCTNTPMMTGYQFQDEDLVSLDQTT IALQNVQKRVAHNPEHYRRIGELLEFVRHFRRDLLSLTPEQAFERMQPLRRWLFWLPP AMLRGGDADLGALAILAQFFGIGVVLDSLFPDLGGAYLGPLSVGPIEDIYRIIITRSM SEPYNPDLQLASTIMELPRHIVAQYKSRLQWSPRTSLDYSPSPASPYHSMQDYTLASS SSPSSTATCAPYTPPLQSPPAVTIASSPFDVNGTYVTAPGAQSLYPPSPSLLSDPREE LCEYSHTGSLHQSPTYPPPYVDDMVCGELSRVDGTVGLNVDLYGEPQQIVGGYSTAEP CWTGSICT AFLA_083470 MDSAKAPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQSRSIIRN VKGPVRVDDILCLLESEREARRLR AFLA_083480 MALGTIHIFLPHRPQALVASMDLFLSQLFQLANDNSTDVRRTVC QTFAQLVDFAPEKLIPHMEGLVNYIIMQQHNQEDPELALDAAEFWLVAGEQAKLQQPL APHMPKIVPVLLQSMVYDEDDAIRLANEGDDAEQEDRVEDLKPTFAKSKGSRLDSSKP EEQANGNAAAEEHDEDDLSEGEIEDSEFGDDPEDEWTLRKCSAAALDVFSNVYHQPIF EIILPYLKETLRHDQWPQREAAVLTLGAVADGCMDAVTPHLPELVPYLISLLNDSQPV VRQITCWCLGRYSEWASHLNDPAERASFFEPMMEGILRRMLDGNKKVQEAAASAFASL EEKSDANLIPYCEPILRQFVQCFGKYKDRNMYILYDCVQTLAECVMGELSKPHLVDIL MPALIDRYNKVSDQSRELFPLLECLGYIAAAYGDAFAPFAPPLFQRCIKIIYENLQEY MASINNQAIEEPDKDFLVTSLDLLSAIIQAIDPQKSGELVANSQPRFFDLLCFCMEDP NYEVRQSSYALLGDCAISIFAQLEPFIPNIMPTLIKQLDLDMIKDDDRHTGFSVLNNA CWSCGEIAVNEKAALSPYADKLYQGLYVIINNEEIIDSVNENAAMALGRLGMCCSDQL APRLGEYAGAFLKSMNKIEFTREKASAFLGFNQVVMKNPQAMEASLADYFQAIAAFPT KSLHQDDYRDIQSSFQQVLQGYKNLIPNFDSFLTQLPAHVTQKLRSVYQI AFLA_083490 MDVILDVLDTLVFDRLYAAVLPRHSATKIAHYFPSNGTELATLN ESVNRYFALSPSKWATQSILPRDHLLRQSLSLFLITWLFGIILYFLSATLSFHFIYDK RAMKHPKFLRNQISMEIAQAVNAMPVMAALTVPFFLAEVRGYTKLYDFSSQAPFPLYT YLQYPIFIAFTDFGIYWIHRGEHHPKVYKHLHKPHHKWIISTPFASYAFHPVDGWAQS LSYHVFPILFPLQKVAYLGLFVFVTIWTVMIHDGEYALDSPVVNGSACHTIHHYYFNY NYGQFLTIWDRIGGSYRKPNRELFDREVRMTQNEIKKQVEEMEKLVKEVEGVDDRCYE TQTEMKKTL AFLA_083500 MDESTQQLIGSEGAQVIVVQGDYDQAVQEAADAAQALDGGILVQ DTAFDGYEDIPAWIVEGYSTMMMEVDEQIAKEGLQCNVVVTPVGVGSLAHAVARHCKS RDAPISVVAAEPDSAPCLHSSLRSGKPVTVQTSPTIMDGMNCGTVSTTAWSDLERFVD ACVTISSHECHAAVEYLATKSIKAGPCGAASLATLKRLAVTEEAQTLLNKDSVVVLLS TEGPRPYPIPKEVSIEDTVGLTQILTTINSSNPSLSLTDGAGENQIANYLAAWFAHRG IEHHWIETVSGRPSIVGVLRGSGGGKSLMFNGHIDTVSLSSYEKDPLSGTLGEKDGRQ VVLGRGSLDMKGGLAAALAAVSAAKASGNILRGDVIVAAVSDEEDASQGTRDLLAAGW RADAAVVPEPTMGKVVTAHKGFLWVEIDILGVAAHGSNPAAGQDAILDAGWFLRALEQ YQQQLPIDDVLGPASLHCGLIQGGEEPSSYPAKCTITVEFRTIPCQTQESILSDLKNL LKGIVQENPKFRYSEPRATMFRPTQKLATDHPFVERALACATAVLGNTPQVSSAPFWC DAALLSEVGIPSIVYGPRGDGLHSKEEWVEVESLQQQENVYRRLIEDFCQ AFLA_083510 MEDASGFNSSQNFSQVTKPDDGPADGIDVPDMNFLTWPLEENAA FDWDALNAELLSASSDPNHEQWSQWIVSDPSEQAHMSSGFPINGDSTVPPPETQLNKT GAPAFIDQPTELYNPVPMRRGLTDVTQFLDGAYCPPHPCSYCRKHRLQCLIIRTTPAN PNPITSCSSCVALFRECSLAIGEKRQPSGFETLAPAIGHLHGLRELSEDVAFADQMTI PEQENELDASMLDHARGRTEPKDSKQFVRKGARVLRAWFLQNQECPYPSEEQKSQLAR QTGFSMKRISTWFANARRRQRQKMEFSGRPQINRAGSPMPTSQWTAMTPLERWQASPP EDDPVPESAIRDAIASSTAESSWSGLPSETNHLPTGSPSLNFDSASSSLFDSSVSGFG SRQSEASSDSISIAWSHQSPNDGALPFPIKPPRRHKRRSSHATEEYRYQCTFCPQCFK KKNDWTRHETSVHLSLESWICTPNLAELELTEPNPLACKFCPFSWPSQAHWEEHDFRV CAEKSMEQRSFTRKDHLWQHLRKFHSCTKTPIPDLDAWRLTRGDIRSRCGFCGLELGN WALRTNHLAGHFKEGVRMEQWEGDWGLDAEISSVLRNAVLPGKRGQGI AFLA_083520 MPALLRKLCIVAAVDGLILQVPGNGLRHNGNNESSSIRIDYKTG RVSFNPTYPADQDERDEGMEAFGLVGLLTVASYSFLISVTQRQQVAQIQGKPVYSITN VAIIPTSSQEDASRAIFQAKEQILQGEGGQDETASEESISDVETDSEDLDVSTVPSSP VRETSHIRGHSVSSIAEDVIGKRARFGRFAANWLSRKTLGLPGFGTVGQEPTDTSKGV GTDVNKESAEATASEVDVSLRQSAGDPTVELLPKLLRYTKLLFTSNNFFFAYDYDLTR HIGGQSLALNNSHLPLHKVVDELYFWNRHLMEPFITIDAHSFVLPLMQGFVGQREFTV ATTEGHRPTRLDNPQESSEGRIVDETHETQAPGLDKRKFLLTLISRRSVKRPGLRYLR RGVDDEGNTANSVETEQILSDPDWDPVRNVYSHLQVRGSIPLYFSQSPYTFKPVPVLH HSPETNQLAFDRHFRNLSRKYGKIQAVSLIDKHAGEKKLGEQYEKYAQAMNQSGGIDG TQLGLEWFDFHNECRGMRFENVSRLVNSLESTLNEYSDTVVHNNTVIRGQTGIVRTNC MDCLDRTGVAQCAFGQWALERELKQEGINIDLGGDSSTQWFNTLWADNGDAISKQYSS TAALKGDYTRTRKRDYRGALNDLGLTLTRYFNNIVNDYFSQACIDYMLGNVSTQVFKE FATEMQTADPGISVQKLRQNAIDTSCRIVISEQSEEFLGGWTMLTPRQPNTLRTLPFE EAVLLLTDAAIYSCRFDWSIDKVLSFERIDLRSVTRINYGTYITSILTDTQTDQRGNV GLVIEYREGDANALRVNTRSLQSNVDTKTLDSNAPSSNEWDVYSWFKGTPHSTTRFIA FKALPLSNSVTQTRKSSITVSETDWVRSVCEEIERAMKTGEAQRPESNDQKEGAPSII EASDIISLDDAKKRTGIFEHLVYDIKKLVWA AFLA_083530 MLGTSFVITKKGLTHASEQHGFEGEGFSYLKSPIWWGGVITLAI GEVANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLNEKLGTLGKMGCALCLLGSVVI VLHAPPDKPVETIEEILHYALQPGFLLYCLAVAIFSTVMIYRVAPVYGKKNPLIFISI CSTVGSVSVMSVKAFGIALKLTLGGNNQFTHASTYVFMIVTAFCILTQMNYFNKALNQ FSTSIVNPLYYVTFTTATLCASFILFKGFNTTDAVNTISLLCGFLIIFSGVYLLNLSR HDPDGRQMLNSKLDDEGVPTDGIASFQTRRSMQSRRSNEPHRRSSSSLAFLNGNGDRE GLIHAYDVENQAYGLSELTEESDGEPGPTYKNKRSDDLERTSHQPNKHDER AFLA_083540 MATTSSLAFSAMETPVVDDTMEMASPYQGHADDFDIDLDDMDDQ ASNTDKDMMGADEYEEALHGTEYEQDGPNDADMIDEVAEPAMLDVDDQYAETSYSVEM QYGTEKIYEAEMLEDDYDEDIDAPFPEAPVPESQEAPASLEPADNQVTSEHILTEKND GEEATSENYAAVARPGDNTESAHLKNDPLEEVPPEHHHQDENNIIQEAEVDQPESADV DNNVVDTNQPEQADIHEVPSDHEDHTAGAESPEVHEDDAGENRKTADENKELESEGKN TTEHDTERDEPHGQETELAEDDEQEQGTTNDSSLYPVRVYYQDNEISLFPPKEGDSSE TFFLEDENLAYAPLGELFQSCRQVLQDNVGDNEVLIMDVDALNIQLTEDSLHISKVTL YQIVDLYLQLCHNDGIDEPEPLYLTLNTKLTISAEVSDLLLAASEGKGLSEIHSWDGY QEVEAASAEIFEGDDREASPGEEQQDISGQQKRHPSETQEVHGNESHVHEQQVAKSQP EEQYPESDAAGADLSGGITEETRDDGETKAPTQPELGNPESHDRQSLNEESYDSEEEQ HTESTATINNLSATDLTEEQPNPDGSTDVPHDDHQAHDIHEEQSAPDNANDESYPEEG EITINSNHNDGTEEFEGDASDYGHDDHHTTESITEELRGESLKENDEDSQSEVEGAAS QFQDDETEETLQGDKSDVLPKLDNTGSDLSGNNVQPSPDLVDDSLGIAGDILDSSIRG SAIADNDIEGTDLPEESDDVTEHIASNHTNQEAEELPFEDDEDYLDLGIAEDLGVAEA LGTQSPGPASTKRHREPEDEFELAESPTPDAKRSRSS AFLA_083550 MVVLAASICTRGGKAVLSRQFREISRSRIEALLASFPKLADSGT QHTTVEQDNVRFVYQPLDELYIVLITNRQSNILQDIDSLHLFAQVTTSICKSLDEREI LRNAFELLSAYDELVTLGYRENLSLSQIKTFLEMESHEERIQEIIERNKELEASEERK RKAKQLEMQRKEAARTGRAMAPRTPSYPVYTPPSRPAVPDAYDSYEAEKKKSFAKPLP TRGKGMQLGKKSKTTDIYEKVRGDLGPEVEESSPLVTPQASTPVVGETSSARASLSAD RDPIHVTIAETISANLTREGALKSFEVKGDLQLRISDPSFTKVRLDLATNPTHGAQFR THPNVDKAAFTDSSAIQLKDSTKRFPVNNSIGVLRWRVASSDNADMLPITFTVWVNKG SDSTTVTVEYELTGSDALRDVVVTIPYGEIEPSVSSFDAVYEVTGDSIDWNIGTVDDS NASGSFEFESTGDSDENGFFPMNVRFSKTNPFVEVDVTAVSLLEMDGEETGFSKEVKS IADGYLIK AFLA_083560 MLALGICVSNVKLATLTDRSRSWKLCGSAVRSALSLGLHLRNIG TCTSDTSKEIRYRVWWALYTLEHRLSVMTGRPSCIIDVACTTPLPVPFDESDFRKESV MRLINRSTPEDLGQPGPASNSSPNSNLRKTGKGMESADANAAAPCKSLYFLQLIKLTS IAKRMTSKLYNPDGVEKAWSSMEFAIRGLMLDLDSWLINLPAAYDFTSTHTSQGSATH RMSLALTFYSTKIGITKPCLRRIESSALGDKSEEFCRKAAAECVESACHMLRLFPEPL EASLLHKLSPWWCILHFLMQATAVLLIELSFHVQHVPEKAAMVSKAAKKSYDWLAVMS ETSMASEKARRMCDEFLRRLGFNGGAEIHSFPCIAGLASRNSPEDEPHDPHPRLTRIA TPDGLRDHSTAAFARPLSVGLEAPEAHEFDQDEKALTVHNLYDGYLPYDPNTGQITGS FFPPEDDIDIGLGPYYWDEAMGDHYYQQPASASPVIPNDPR AFLA_083570 MDPSHSSLPDGVGASLQSPGLRDAQDDPHCSHLTGEASAVINNH KGGDTPLTGPQPAVKVLLSTGDVIIEYVPPDSSPVSPGNASHRWQVLSDDLMRNSPYF RALLDPNKFSEGRDFIQQKAMWSQGTTTEGGESLSDDTSIQYTLPTVRLPVDHLPQKL GVDAIELFLQVLSFNSFEEEEKAKFGGELRIQPTSLVARLIELADSFNSPYVIREALK RSGYAFGKGRIPLSRFDSSALRLNMDACADPYWVKVLGKWCGTTYFTYRPLAVSFRWS RSEEKELGSVI AFLA_083580 MEGSTFESPSFLGNRLISYLQTLATAKKGLPFGLPVCVAPSHTI TTTDALLQLASSVGPHIAILQIHADIIDDWSDETARQLTSLAKKHGFLLWESGRILNA TVDIVGRQKTESREMKNQLVDLIRKKYTKGVIKEASWAVLGTAWASGVAVGNQEADIL IPTLKAAAREAVADAVQTIKTEITANNPSERPSTGHESITFGDNDATSHLSEYAVGDT SGLGLPPRKASTISLTQTITQHTEDVIESPTDSGLYERKESFQSATSSLFVINEDIPP PPLLARGLVLCLPSNTDSSFKSDYRKSCLAAARANQDFVIGFICGELWHLVSQRNDIF DTESLAHEEDQQQPSPYASDEEEPQPCLALFSHVFPRLNLIGNTDLDDHDDENGVDEM SSSAMEASQADIANLPSMKLFYSMAHALKLREASIKGKQNGHTSTSIRNEYDILHIPV VSLP AFLA_083590 MPGRTLPTFTSAEVESHNNAKSCYVTLGSKVYDITSFVDDHPGG GDLILEYAGRDVEEILRDTVSHEHSEAAYDILEDSLIGFIASESTGKGASIDAAKANG VGGTSGPVYAATGMSREEDLSVETDYSKDYQTHKFLDLNKPLLMQLWNGGFSKEFYLE QIHRPRHYKGGESAPLFGNFLEPLSKTAWYMVPIIWLPPVTYGTVLGFAGLGNVYAAA AYWIGGLALWTLIEYLMHRFLFHLDKYLPDNRVGLTLHFLLHGIHHYLPMDKYRLVMP PTLFVVLATPFWKLAQSVFFYNWYAALTVYCGGIFGYICYDTTHYWLHHRNLPPYYKG LKKYHLQHHFADFDNGFGVTSRFWDRVFGTELQTPAPKDRRVYRQLRLSDRVRGDVSM VHSENCSEAMPRGTT AFLA_083600 MSGLDVEALLESTAAAAPPQDARQSQERDDRSKADSSDRRDRDR SRDKDRRRRDRSRDRRRDADGDEDMKSPRSEHGSANGSHRSRKRSRSRESDRRRSRRE RYGDDYRSGGDYYRGGGRARTRSRSPNDDRYYRPSGRARREERDDDRRSRRERETRRR SPSRERTPELNEDERDRRTIFVQQLAARLRTKELIAFFEKVGPVKEAQIVKDRVSGRS KGVGYVEFKNEDSVAPAIQLTGQKLLGIPIIAQLTEAEKNRQARNPDASSGNNHAAPF HRLYVGNIHFSITENDLQNVFEPFGELEFVQLQKDETGRSRGYGFVQFRDPNQAREAL EKMNGFDLAGRAIRVGLGNDKFTPDSSAQRLQSQGANQQNFQGSSFSGHGGRGIQAGG TSNFDRAGGRDSEKGAGASALDDTDVAGVNFNNYSRDALMRKLARTDEPSESSADDKQ KVLRPKTETKPLPVNVNMASRCVMLRNMFDPGEEEGESWIKELEDDVRAECEEKYGHV VHIALDPNSQGDIYLKFDRVQGGENAIKGLNGRFFGGKQITAQPVVDAVYSSLFSRTK AI AFLA_083610 MTSDTAPTPLTLYRGLPGTGIYSWSPFVIKLEARLRFAGIPYRV EAGSMRNAPRGKVPYISIPAEILYEDGSHPAPPVVMGDSTMITKTFIEKGLTNDFNAK LSGSQKLQDMGLIALLEDKLYWYNAYEKWVLNYYTMRDVILAALPWPVRVVVGLMVYN KVTRTLTGQGTMLFSTEEIGSLGAEIWERINGALVEARSRHGGQGPFWVLGGEEPTEA DAALFGFIVSGLVCYAAPNTQKLIREYPVVVDYARQIHDKYFPDYALWA AFLA_083620 MNLTPAKRVTVQVRTLADRRNPRAPVNSFYKLPRSASVPHTGES SHPPASFQPLVDRETTVVRIPLRSAKHHFGASTSRGTRPENEDTYQAGVIDIPAFAKR PPASLTIKRSGANGIPATRESQGAETASGDPQVFYFAVFDGHGGNECSTFLQHTLHEY IQDSAATFELQSSLKKNRDGHYSKDTEPSTGDLPILQDANSQRIGELEKHLVRDWRTL VGGYFKRFVPPHFSHIGKGARGEPKALSDLPEGVTIEEVLEYAFLRTDLEFVSAQAAK QGDELENVGHPLYQDNILYGRDRTPSLHIGGAKRFKGGSTASVALISTPTPTPFWHPS SPSSLLVSHVGDTRILLCSTETGDAIPLTSNHHPSSPIEASRLRRYATTFVTDSFGEE RMSGLANTRAFGDVQSKRIGVSAEPELRRIEMAPAEYSFLVLMSDGISGTLSDQEVVD IVKEARTPEQGSRDVVNFANEVTKEGDNATCLVVRLGGWERRLEGGLGSLGTKESREW RRQEATDPRRSRR AFLA_083630 MGARTRRQQAALAAQSDSNESPAGNGTVEKSPRKTRTPSKKEVK ENVYLFAPNLIGYLRVVLTMASLYYMPLHPRTCSLLYSVSCLLDALDGYAARYFNQST TFGAVLDMVTDRCTTACLLVFLSSAWPRWSIVFQSLIALDMASHYMHMYATLSMGGSG QSHKKIDSSRSWILYQYYNSKTVLFICCALNELFFIGLYLLSFSSPILSPSLLQPVPG SGADAAQPVSTDSFASPWSAGALEMARANKIDSTWPWIITGISAPVMALKQIINVVQL VKASNWLVEGDMANRRALRGKKN AFLA_083640 MSSYNIVVFGGDHCGPEVTAEAIKVLRAVEKNRDVTFNLQDHLL GGASIDATGSPLTDEALNAAKNADAVLLGAIGGPKWGTGAVRPEQGILKLRKEMGTFG NLRPCNFAAPSLVESSPLRADVCRGVNFNIIRELTGGIYFGERKEDDGSGYAMDTEPY SRAEIERIIRLAAHLALQHDPPLPVWSLDKANVLATSRLWRKVVTEVMAKEFPQLKIE HQLIDSAAMIMVKNPRQLNGIVVTSNLFGDIISDEASVIPGSLGLLPSASLSGIPDGN SKVNGIYEPIHGSAPDIAGKGIVNPVAAILSVAMMMQYSFGLFAEARAIEQAVSNVIE SGVRTGDIGGKATTAEVGDAVAAELEKLLK AFLA_083650 MPRHHLLRRHPHPTAPEESPSESTQPRPSRSRLGQHAAGQSQFQ TPPSQGIPQLHPSSGPIEPVESAHPAAAAQAALFSLFGRGVAGRPRTQLMEDDEEEDD SQFEDEDEHDEYNSQENADHEAQLSEEDMEGIVEDDLEVIDEDEDEIMHDRARSPSPL PSNLREISSLASWTVSTHKPGCGVSALRHPSPTQYWQSDGPQPHTLTLHFFKLVAVVK IRVYLDFDLDESYTPTKMTFLAGMGGNDLVEFATWEDETPCGWVDVPLEGVGGRDGGW VRKKRRNRARKGGKGKSSAWPDYMFSDTENPAEFNLAAYEDDDEETAIEDDEDDPYAG SVLKAMVIQMRVMENHQNGKDTHVRGFQVFARDDERRRIGNAPSASADGRIRRHSMRK SLRGAADDGTDGRGVHGDTDPDKVAALEEPDWMGEPVIR AFLA_083660 MTLYLTSRSVLRRNLGDSRLGENTGLMKMVALRTSAGRKASARQ FSKSWKQYQNQNNQENPAPKGFGSRLRFALRNTKVEWYPIPIGLGIGLLGILHFYKSQ RAERERREREAEDEIINPPPRHRIRPSGPWQVQIMSTLPLKAISRLWGRFNELELPVF LRAPGFKLYSWVFGVNLDEVEQPDLRTYPNLAAFFYRRLKPGARPLDPDTRAIISPSD GRILQFGLIERGEVEQVKGVTYSLDALLGAATPSHADHSKKFIDHSTEPSQKDAADMA ADEEFATMNGIPYTLPTLFAGDQGGARKRSASLDASTGSKAAAEAEVKADLARGDGAP WYAPKPKSNNALYYVVIYLAPGDYHRFHSPVPWVVESRRHFAGELYSVSPYLQRHLPG LFTLNERVVLLGRWRWGFFSYTPVGATNVGSIKVNFDSELRTNSLTTDTAADMAAALA AKRGEQYPGFVEATYLHASRTLGGHPLQRGEEMGGFQLGSTIVLVFEAPMGTRKSFDA GYQEGKREGGWNWTIEMGQRIKVGEKLGYVDTEQ AFLA_083670 MLQIIFTARQNEPSQKRLQPDSVLGAFYFTSGAQRHVLDLFSPI FDFGEYTKSLWQ AFLA_083680 MTSSSAPSDNSLSNSNATSGTQAFILHVLCPSLPPPNRFTFNDL VPSITIAGLKARISQSIPNRPPPETQRLIYRGKPISDDDWTLQKVLEPTNGAEFSMHL VLPPAPLPSHVATSPRPSPSPQYQAPAGMPSPDHLFAPSRSAPPYPMQHGQEVRYRGP MGPSEADIGLALRRNIETIRRQIELRERGGSPLSDQQGAEHTQQFPWQRMTPFQSSTT TTTTTSTSTSTMSSQPSGLSASLAQDTRLRLHILRPQIALCEDQLNRGIAPPMDQVIR IRSQLFDILDDQYRNPLSERDGSIEALLTRVFNIYTRADQLRVSQSRATASMQHNMLD SPANDGHGQAPLYLLSSPNGYQALVSSPGAARSIESSLSAIRAAHASQATSHPPQAHP NPNAAVMENAVRQAVLNQRLGNNEPVGFARSIRRIWLFVRLYFFCYMFSEPGTWSRVL LVTLAVIISLLSETSVPRQLYGMIISPLQRHLEGLIHFSADEHVPPRPQGTDAAGSSG YANQPGDNRAAAPTGLRHNLRRVERSLALFVASLVPGVGERHVEVRNAAEAARNAERA GEEEEERRRQEEASNGEGVTEQEQQNQEENPTSRPESSVTNPATEDGAGASIPRGNEH DAR AFLA_083690 MPLDTIYLTRHGHRLNWTIDYKTGEYKSQFPTPTGNPADPTLTS HGVRQSLELAEHLISPEFYPKPFRIYSSPFYRCLQTIQPSVEALKERHNSTPSSETGI DRNADLDVRIENGVGEWFGPTSFFDHPSPASPGTLKTHFPTLLASDPEANYNPHLLPS TRGETIAQLHDRVATALAGIIADVDAEINALEASQPPSERTSKAILICAHAAPLIAMG RVLTGNMPDDADEEDFKVFTAGLSTFVRRKTTPAGDGSAERRELAPGTRVIRSGTVVP GWQDGKGVSGGWDCVVNGDCSFLSGGAERGWHFNGEESFDTGPMAPSSALPTSSQDTS TEMPSTKL AFLA_083700 MPWPTAATEDSSLWKDLGLGSSCGVELRLPLSLSSHEVLIQDKI IQDAQDTSHLVTYLATFLLPRPGDNGHRRVLVLPLMGPCLSWQVLEKTSMATRMFAAR QLLEALENLHNAGIVHRDLNEKNCMWGITPLHDLSRSAKYEVHGRPLKEVIPVVDLWK QGELVGPLKIPENLRTEKSYLGDFGLAVKVNTPVTHKGYPPMKFCSPDRLHGKHPSFA CDMWSYMVIFGVLYLNGYSPFSTVAHGGVISDIVRSLGPLPEQWKGLYVYPGGGLDSW YDQSKTPEPNRNLASTIAYFRADADPVERELVHSIMLKIFTYCPEKRLTATQLLQDPS FRALMEKYGC AFLA_083710 MLRLYPCIRAQGPRWRNMRSQGYEAPFTKLSIQTPVQERWQLYS RIWFCQHISRVGGPSLTVIGQILPFIYSSIQPKLWREFFAGRSGGLPEIEEQGRWDKE RLEWSWLELGSVLMDLGCQSFNHIGLIVIWRFSLRKASIGALLDQDVRA AFLA_083720 MYNEETYPESLQLAVQFVDSHFSTTTSSDSLCTATAEALRDDDQ QGFHLVQARLLFAIALHSRNEIRESVSVLADAVSLTINLGMNRKDFSQRHGNTEIEAE SIRRTWWELYVIDGIMAALQRNPTFRCHTIKTEVLLPCDENLCHNNTIPEPFSMAQFD ARVYGDEDRPFSSFTYRIDAVRILARAS AFLA_083730 MYLSPLKALVCLASFAVVSASPSKSIEQSCHNVASDGWSNLPLK ASIPVQRVQLKFPQHAVYQMHENVKHARTSNPDGYDNEQQHWIALASEGWSEISGPFL EDEVNRHPHFNASVTVDGHNSNVHFMALFSQQADAIPIVFLHGWPGSFLDFTGLLDIV RQNYSSEDCPFHIIVPSLPGYAYSAGSPVSRYADMFAVARTVDALLTGIGLGNRYIAQ GGGMGASVARLLGSYSPSCEAVHVNSLPLISGLPDPSTDTDLSDQDLEILNRTSYFKS LQKADITDHGRQVTLGVVPEKDPLSLLAWLSDKFNSWVDPQHPVPMQTTLIHASVYYL TGMTTSDYYKLSGLDAPEDMIPFVPKPFGYSRFPYDIEGLPRKWAATLGDLVGHNAHV QGGHFAALEQAEDLWNDVEHFVRTVFPDDLL AFLA_083740 MVKTSVLNDTLNAINNAEKAGKRQVLVRPSSKVIVKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKCGVINPRYPVQLRDLEKWTTQLLPSRQFGFV VLTTSAGIMDHEEARRKHVAGKLLGFFY AFLA_083750 MNVTQVLEGTLSPDATTRTNAEQQLVHAAEVDFAGYLVTLGQEL ANENTPSHIRTAAGLALKNAFTFRDHAKLREVQGKWQQQISPEIKTQVKELALKTLDS KDSRAGQSAAQFIVSIAAIELPRNEWPDLMNVLVQNVATGSNQLKQASLITIGFICES QDADLRESLTAHSNAILTAVVQGARREETNMDIRYAAIKALSDSVDFVRSNMDNEGER NYIMQVVCEATQADDLRVQAGAFGCLNRIMAAYYEKMRFYMEKALFGLSIMGMKSEEE DVAKLAIEFWCSVCEEEIAIEDDNAAAQAEGSPEVRPFFGFARVACREVVPVLLQAMC RQDEDATDDEYNVSRAAYQALQLYASCVQGEVIQPVLSFVEENIRNEDWRRRDAAVAA FGAIMDGPDPKVLEPLVKQALGVLVGMMEDSSIQVRDSAAYALGRVCDFCSETLDPDV HLQPLISCLFNGLASTPKIASSCCWALMNVADRFAGDVGAQTNPLSKHFEESVKSLLT LTERQDADNQLRTAGYEVLNSFVTNAANDSLPMVASLSDVVIQRLEHTIPMQQQVVSV EDRITLEEVQTSLISVILAIVQRLETEIKPQADRIMHAMIQVLTTVPPKSSVPDVVFA TVGAIASALEEDFVKYMESFSPFLYNALGNQEEPALCAMAIGLVSDISRALNEKVQPY CDSFMNYLLNNLRSSTNQLKPAILETFGDIAQAIGTHFDTYLSVVAQVLQQASIVTAS SDVNIEMLDYIVSLREGIMDAWGGIVLSYKGKPQVTSLQPYVESIFQLLHLISQDLNR SEGLMRASMGVLGDIAEAFPNGEFAAFFRNTWVTDLVRDTRNNRDFGATTVETARWAR EQVKRQVTLSTAAAMA AFLA_083760 MAEDNSHPPSLRHEPQLTPVAEKSLPAKCKTTTLKYCPTMDLIS LVTEDDELRVFRLNGQKVFGGSFKGDPYLDEDDGGGEIRKLMWKNNGHLLAVACADNT IRIISAYSGKIVHHYPVYEEQSDADRSVKVTCLGWGVNFTDSQVAQQQLKEAAGQISV EDLLSSDVHPSKAAALLKADLPRELALLDIESSLPKLSTLPATGSDDDVFSSRASIDA IFHSAGRNTNDAVDVLLVGFDDGTVHLRIFDCFEIGSFQVGSSVGPSSSCRILQHASH PLSSTHALLASSHNDDSPDSLHLLTLDLRFITRSGRYLSLLAHKTTQLQNLLRYINQV QRQVELEWKNAQELPARYMRSINEDLQEKCHCDFVTAAYHLVVTGDCFEPMKEFLVDI VGERGHKRWEKAVSSGYENVRRLTHECLLPALERCEVLLSRLIGLSKFHKICGVLGLE TADLNGIVETLDCLHLLSHHILINANEELSQFNSFSRWLRHEIEMQSAEPMSQTLEEL QEKTDMVEYPQTLKYIRGALTKSKLRNFIQQLPLIGFARPAPSTSDKWAPTEHDGSFY DTFKKLLEQSNQASDADAPAELPKMNDLTKRLGLQFEKVFGQIALTQRRGILHRSPLA LHPDCDKDIVDLVMRYEDVGEQRLCVIYAATRSIRSKHILYIYRTVLDCENGVSSTRT TGIGAIDLQEGEIRQIQFVEDDTIMILWSNNGASYLLNFPFQPAPTSESPPFVSFEDY DSYITSSTPAAPTVTLDVLAQESESTQWIKHAFTIPGPKLKPTRIYVNGRHDRRAICV LYGDGLRYEVLDLDAAMEDEEEEDEEPEEDSE AFLA_083770 MASTTLMTFLLYTNPQVKSVKLIGSWDNFTKSYPMEHDRRVGPG HWRGCHSFTSIICDGTPKNMGSSRSGGLKMGGTYWYYVKPVTSHCPLLPGQPVNVLHV PVILPDTHPIHSCDRSPSPQQTEERTMDPNDKYMNPRQAPKPALPRLRTSPLLLQQPT PAWSFNTSPLSLLTNRGASQPNSATPKATIHNGQRPSGSKAARSVSPPRSRGLRAAFR QWNASSPDLNVADNQNSDSTKLRLAFKPAMGRTESLETPQNTSKDHHADQAGHTSKGN LLPVRPASSVHPETTILSIQDRRALNPKTTERATPRTPLTLQTSHETITTRNYDNKKA RPHAPQDSVASVDSVSLLATALPVAVEDAVTPTPFTFAEKRLPTLPNTPSSVMDEALR DLEAREKELDAENLRSHFSDFSTTEESTSSSPCERSHFSEWSTDTEVVSPESMTPSLT FNDGNQTCSALEGMNFAEVWKNSAPAETSDPNTPQLTVNSTSSPATLGGDSPLLDLPP PRLTVSLSPSDMDFSSLCISDADEVERDPKRHAAFFGRVEGLGLLRSPGPSTVQFAGN VHSDAEATHNGKNAAPGYDRFSISSLPGQSAAMQEMMDELSYLKSIIQS AFLA_083780 MGSDLSFNHHVLHLLVAATPDIFLPTVVEWLSIVYGIVTSHSDP LFILPDFHSIRFVGERLHGSQKWFASLHHRMVSCKVVIHLSTRPIWLLY AFLA_083790 MYDRHHDRRRQVTTNMHAKSAPVQAGNPDLWSPPPYTPQQPSNA SAPRASSSGDSPYSFLREFDTVFLVDDSGSMRGSRWNEAADAIAAIAPVCTAHDQDGI DIYFLNYQHGGTDPKTGAYTNIKTADDVREIFYGVEPQGATPAGRRLRQILDPYLRRV EAIAAKGKDPSETVKPLNVITITDGVFTDDAESVIINAAKRLDKCNATPWQVGLQFFQ IGDDKHAQEYLQMLDDDLGHKSKNEGMRDIVDTVPWRGDKGQTLSADGILKCVLGAVN RKYDKCNAFR AFLA_083800 MNFYARARASLLSWTHETPLPTADSKWYPKFQSWHHFHLSLQQW VAITDNDTRDHTEPPRDDDEPSDLVLLTWNIDATSARTEDRVTDIITFVTQLDPGVRI VFLQEVSKAALQQILKDERIRRSWISSEQDDTAWGKQSFATITLLSKARFASTALGPV WRVAYPSHFDRDALCCDIFVSFGRERSPTRVRLVNVHLDSLPIKPSHRPRQISIISSF LRSAGCGLVAGDFNPVLEEDATLLESNGLTDVWTVLHPEAPGYTWGTDGEQPFPPNRM DKILIVGLKPPDIKTLEPQRLSILEGAQNPPMDHRKSPISPMEDTPPWSDHAALLCLF GLVGEYITLLC AFLA_083810 MAMIVEDSADRARQLYKPEAATTEDSSSSSKSALEVEMIPETAV LHENAGAQSVMQPIVTVGMVPTLTDQAVSEGLSEKLLRLISSRSNLYVTQRDIISILA VSVMFKLRYICSGNSVLAARSLLLHAIILRECKLDERTNSPPRIATGGTFMECYSNVV LGMLTSTWWQGFAANIHCDIGDMIDGRLFQQTLRALLQPSYRHSFSPTVLSQLEVFES LVKDLCEVDLGFEQRLGFNITTRASKCCTGSERPAGKTARLKDCKSNEQSNIPIAVLP FSNPVLDPHLSPVSLAIDHSAGNLVDVAISRISEELSHWHNHRKTLDTKTIHKLTGWQ LRRNQFFMAEMRQYAASLTNSTGGILKPETVFVQSENNNQHRQIQTSRSGMNDGLEGL QLTKNRSTQKSSRSKIRPSSVRDQAAAQQLIKRDEAISRHWKSWRVKILELDQEHNYA ARYVKLKQYLQALSNDKRSAIESEVLTYGLSTLVVLWIDKCSSNKRDRSMSVAALIWA TTCEIARKKHDVTEDIAKCLQGTISKLGLPAVEISARSKRPLSFQFAAITSTKVDVNI GLSTLEFQLTQAGPYLDRSMGSAPDPRVYDFEPDKWQREILDQIDARKSLFVVAPTSA GKTFISFYAIKQILEDDNEGVIVYVAPTKALVNQIAAEVQARFSKNFKETGKSVLAIH TRDYRINNPTGCQVLITVPHILQIMLLAPANADPWSSRVKRIIFDEIHCIGQADDGVI WEQLLLLAPCPIIALSATVGNPQEFNKWLELTQRANGNDLKMIEHSTRYSDLRKYTYH PPASFVFNGFSTSSLLAPLGLDNSPNMSFMHPVASLIDRSRGIPNDLTLEPRDCWTLW NAMEKYKTAQFPVDESLNPSLALPAFICKANIIQWEAKLKALLKCWMNDDKSPFDAVL NELSYELQIKGQESFQVSSGIISQSDNKRELKRNSILDTTLPLICSLHDQGALPALFF NYDRSHCERICHHLLSQLKEEESRWKASSSAWANDILGWEEWKTEETRSRTQKRKETG RNSKVSKDEQMRETASVEPSKYSSFDPEAPISGFHLADMKKLLPSEFEEYATELRQRL IPEWLIEALRRGIGVHHAGLNRKYRHVCEILFRRGYLRVVIATGTLALGINMPCKTVV FSGDSVFLTALGYRQAAGRAGRRGFDFLGNVVFQGVTYAKVCRLLSSKLPNLNGHFPI TTSLVLRLFILLHESKQSPYAVKAINSILSCPRIYLGGPESKHTVLHHLRFSIEYLRR NYLLDQGGAPLNFSNTIAHLYYTGNSSFAFHALLSGGYFHDLCRDIRHKPKQVLLALM LVMSHLFGRQNLRPAILESQQKATKSSTSVVVLPPMPKKAAQILHSHNEKTLNIYSAY VTTYINQHIKDADCFLPLTNCKCGGDTSAKDINPSMPFLPPTQVTSAFVALSGHRDRW NSIPELCKNVRSGVWLEQAVVPYVGLYPKEGKLPLNAYLLDFFKHGNVHALEKDNRVR KGDIWFVLNDFSLVLATIVTSLENFLKLSPNTDPDLLDIMGSGDAHEEELDISFAKEK VSEPENKPLKSSKNKTKGLPKQPSPSMPVKVKKPKVAESWEDEVSDDEPNPEEKEETT TDLAQETPGNLKRKTRKKNTSIHAQSTNVIDHDSFGSIVLDGQGVSLVLEAFRMLQAE FNDKFKAMWA AFLA_083820 MVFCGKPSKGCGECRSRKIRCDQARPTCSQCIKGNRVCPGYRDE LSLMFRDESQQVVRKAKAGNAGRRARKAPKSTRMLSPSGSSPQGTTTSSSLASDISDF NDLSDLYPSPPAAQQVTGPISPKIAPPPSYQFTEDEAVCFFLRFNAWPGACWIMEFSP DFFVIPEVTLSQQAMKASLVAVGTAMLSRIRQDGPLKIAAEKEYGNALNLMYTAVMDE EEAKSNPTLGAVLLLAIFEVVTSRAPGNIEKWTNHIYGAAALLEVRGPEQLQDEDGLK LSSAAFKERCESPIR AFLA_083830 MLGSKIPERRRAQKVQQQIDMDKVERVEQVGDVKGAGAAEKAEI AASVERVGMVGMADQAGFVEWAGQIDHAGLSSPGLVEKVEETVSEERYWGLGFHSSSS QGLHIGCENQGSVQDSRQEESRTVADARTSWEETHHAPGVQIHVEKTPNPVAPKQ AFLA_083840 MSSYTPHLHDPFVNPSITGSEEETPSCEKQAHMTSKGSGQEVKS GSPCSESDISTLKPYHSGNSREQEENRKYDTSQEPKNLNSPMTQPPSRIPRGPVFQRQ GQESVVTSLKGGRVISEQRSSIPIPIRSPERLNSRSKTPGEGFSGGIRVIEKPRGPRP HILAEQKKDSVPSSVEEAPNQPKESITSSSAPFGGWDLGEEMPKRPTNVYTGEYRTRP ALRIAPSAEKIIMGSDSPSSMYAVTRRSNPTLVQYPDTPKQSLKKGRNTDDTSGTLGY SKQDSNTSGGNPVTLPSNPPQTSLETTPKRDTSGRQFSIPRKPVNSPSLSSLFTPSPE SMQSAPPIPKLPESYKALMKESMASGPVTPKKTASPGAKKFGQSPGESESEMTIKPQN KRTASLQAVSDFPIYDAPRSVQHRTFDDIVSQSPSHNMTPQSARIPDLRSNRMLDGFR NMFKHRSIVDKDEKGPNETSGLAIEDPNSSSAKLITSSECSKLDSGRTGPKASAKHHK LSEGWNKNLRLPIPSADRHKRPTISAPIPITPENMPSFARSTMAARTRAVTSPRGQSA IAPQGPARRTHTVAATTGSPQRTSRPSKGIDAIMSPVPKQTNLPPSAGLTELDTITSQ TKAPQIERSASSSLSKTLDEIHSCVEQLCNRARDESTPSKRERNLRMALSLQQQVSDY NSIDREVTEAEALVSKKRTEKCLAENILFESYAQIRAQMDED AFLA_083850 MTSRQPLRGSCHCGRNQYAIQLPEDVSERAEIYFDSSRDSRRIH GTPLTAWLRVPLDWYQSHTESYFDDESRATIRRIFSPAHAPHTQHAFCGFCGTPLSYW TDVPSEEANYMSVTIGSLSGGDQRLLDYLGLLSEDEDGEVEAEGRRGDQSTDLPLRTT QEPLSPSHTIIPSSGGTKGVARTYRQGTVAGIPWFEEMIEGSRLGRMMKSRRGFGVSD DQSTTIQWEVSEWTHDGSEGAQSSSSGKRKRGQQTSVVESGSP AFLA_083860 MPSAQPPASLASSSSETSCSPGPGIINTTDDRNDRSSSTPTASS SKHAGSSSAQDKEKPRLTEQEKKNNHIASEQKRRAAIREGFDRLTELVPGLEGQGRSE SIVLRKTVDFIHMQLQERQELIAEIERRGGRIDDTFRS AFLA_083870 MSDSKAALLSQSEPIDPPPAYDATDNKPPARTPLPRPPPLSLPV LNELRSKRVILASQSPRRRQIMSHLGLPNLEIIPSNAEEDFPKTMEPFEYVLATATKK AQAVYEQEIMNEEKGEPALILAADTIVVDSATGTILEKPRSEAQHLAMLKSLRDNRDH KVFTAMAAMAPLVSARQPGYALETALEETRVRFDGEVTDELILAYVRTREGADKAGGY GLQGLGSILVESIEGSWDNVVGLPLKSALKLIETVVEKADDDDRLSGGLEEELEEESD AFLA_083880 MASIARQSSLLRQSCLSAFRSPFATRNGAGVSQVVAFHASAKKQ ILPPLPQTIQGTMNDPAPIPTPHPSEGSYHWTFERAISAGLVPLTIAPFAAGSLNPVM DAVLCSFIVLHSHIGFQAAIIDYFPTRRVPKTATFCNWLLRAFTLTTAVGLYEFETND VGVTEAFKRVWKA AFLA_083890 MSQNKALVFKKIPQGYPVPGQDIVVEPVSVDPNSPAPANGVVLQ SLYTSLDPYMRGRMRPAEVKSYSPAFAMDKPIDSSSIAKVLRSNNSKYKEGDLVIGFV PIQEYIVLDEQSIVRIRPLENPLGIEDIRVFLGALGMPGLTAYSSLYEIGKPKKGETI FVSAASGAVGQLVGQLAKHEGLKVIGSVGSDDKLEYITKELNFDGGFNYKNEKPADAL ARLAPEGIDIYYENVGGEHLEAALDAMNNFGRVVVCGMISQYNSAPYPIKNIQYVLTK RLTMRGFIVGDAGMGDKYTKEHQENVQKWIKDGSFKALTHETVGIDNSADALLGIFHG KNKGKAVLKF AFLA_083900 MLGAFRRNGVAHALRASAPRSLSARVTPQQLQWRPPVFSAVSHV PRSLFHASRVSFTAVAEAQAQNAEVDQDGRLTDFKQLADQGLVDPIIIRTINKNMGIK TMTDVQSMTIQETLQGHDVLAQAKTGTGKTLAFLLPVVQNILKDPTVKKRSYRRSGSG ASSADIRAIVISPTRELAEQIATEARKIASGTGIVVQSAVGGTRKTEGLRRIQREGCH LLVGTPGRLKDILSDPESGVEAPKLSSFVLDEADRLLDDGFAPEIFDIQRLLPDPMKV DRQTLMFSATVPKEVLSMVHQTMKPDFRTVQTVKDDEVPTHFAVPQKVVTLDGFQNAL PAILELAKNYQAHMANDRNARPFKAIVYFNSTMQVNLAHQVFKKLLNNPDDRRSGHPL NRMHIMELHSRLSQSRRTLTSDFFRNSRSGILFSSDVTARGLDFPDVTHVIQVGAPRA RDTYIHRIGRTGRANKEGEGWLLLHPGENGWVQKKLGDLPIEKDHSLATAVTNMRQEV GDEVSPSAAESVAQVKAAMEQVPEDIREHAFKSQLGGLLSIFSRPRDMVLAMNELAVH GYYLPGPPAISPGMAQNLGVADVREANISGRRFGSPRSPRGPSRDSSRGASHFRGGRD RGGRDYREGNREERRYDSNRRGSNRWDNRDRY AFLA_083910 MSKLNHAKIVLRRSSERGYAEHGGWLKTHHTFSFAGYYDHRFNH FGCLRVLNEDRVAPRNGFPTHPHRDAEIFSYILSGELTHRDSMIQKGKEGAQGKEFYR MKRGDVQFTTGGSGIAHSEQNEHPSKPVHFLQIWVLPWKSSLKPQYHTQSFSDDAKRQ SFVPIISPLAAGPEATPAQEEAAIPTISGTIPIHADFVMGAGILEPGKTFRWAVGGGE SVVQSRRKRNVYVHLPASRKGGARVRLDGREDKVLEEGDGAFVEGVNVGDVISVESFG EGEAEVVVLDSN AFLA_083920 MGVSIVLGSQWGDEGKGKITDMLAQQATLCCRAAGGHNAGHTIV HGNKTYDFHILPSGLISPSCINLIGAGTVVHVPSFFKELASLEEKGLEGASKRIFISD RAHVCLQLHSVVDGLEEAKLGGRKVGTTGKGIGPCYSDKASRRGIRVGEILDEAVFER KLRSLDAGYRARFGDLEYNVEEELAQFKEYRKLLGPYIVDQLAFLQKYKDSPNTLVEG ANALMLDLDHGTYPYVTSSSTGLGGAMQALSLNPTSIKSVIGVVKAYTTRVGSGPFPS EQFNADGDKLQSVGREFGVTTGRRRRCGWLDLVVCRYSQAINHYTALNLTKLDVLDDF DEIKVGVAYILPDGTRTENTIPADAEVLEKVKVEYVTLPGWKSNTMGVKKYEDLPDNA RAYIEYIERELGGVPVKWIGTGPARDDMIARE AFLA_083930 MVKADVRRDYYADLGLAPNADAEDIKKQFRKLALKYHPDRNPGK EVEFIAKFQAIQAANEILSDPQQRLRYDTDRLRAGYGKYYGPPKSNSPRKAPTKNYPS SPSAKPQTAKPSFSSRPQSFHSGPSSGAQRYASYARAAPKQPWEKMQDEGQTRADAYR GFQDMKGNHTSGWSQFDPRTGRSGYSGAMPRTNASSAGQSTRPKSAYEYFKTSPKSAG SESTRAHSTKKKQGFAPRSAGGDEPMAPNTSSYSNVPRNERPQMRDFFGPAPSPTARK AAAGFQNREENSSTPEVERTGSKYAGTGGERTFFSSAWLGRSASMRESPGSPKPHART NPPSPTPPESGRHRSASPKFKTDHNRNYSSTSSSDTDEDVDPEHKPKAVPKSRLRAHQ RFANFYTQQKFNSRTGHDSESTSSARNMFGAKTDDPFVQSQSPRFRDVPPNGTFNSRS HDDLRKPFSATSWNTTDFFTPSSSDKEQPQPKQGSSSRGRTATRNSPGRASHSASTSN PDPSRSGSTFQQQPTAFAEGKFSADDWADKFKDLLWAMPKDGGHQQQQQPGNTQRPRS PRKHTRSATKTRVAPQAASVATEAEEAKATVGGDHDKQDSAGTGEEDGEAMDLDDDSP AKNTTVESDKAAKSKEVPPSKPTPPSSGNGGKGEDTKPTSPHFDLKNLGKTAPFTSTT DGGIEDLQDIHSSLPFESRAKSQRTSVRDIRPRELICPNPPKRPRPPQAVPISAGSQQ LGLPRIAWDRYVAEMNTYMREWNNFNRRMLHHFNARQEAIETGMAANWISAVGDSTRL KINGQDEDTDDKGGDGNDSDEEMVPGGKGGYSAYLRGLDEDAKVLKHWEVARELHREC ILKLGQTREWILNGGKVI AFLA_083940 MLNPTSPASPTSIGRKRPRPPTEPSSIHPESLAQSHQHTPANVS STASASNSSSFRNVSACNRCRLRKNRCDQRLPRCQSCEKAGVRCVGYDPITKREIPRS YVYFLEARVTYLEKVLMEHQIDYKGAVAFDEEEAVKVETGHDPAQTKMASSDAPAGAE VLASDGSDKLTRMKGEKEGSVSRTDKHNENAEDAENNADPEKEDNWRINNLVSNIGMV SVQGTSDPRYLGSTSGISFARVVFAAVKSSVPGNASERGPMRPSERLPHSATGTAGST GRDSFFGLQTRPMMKCAAFPERELAEKLSGLYFEHANPQIPILHRNDFMELLDRTYAV DEKSRSPRSLYMLNIVFAIGAGIIFEDKPGDEKGSGRERSPSTSKRPRLSNHQYQPEE YHASAIIHLESFVGAPSTNDGFGALEELQAVLLLANFALLRPVAPGLWYIVGVAMRLA VDLGLHYEDGTGIDTLADESLNHPQRRAEDTDKPRIDTRERGRREWVRDLRRRLWWCV YSFDRLVSCCVGRPFGISDQAISTEFPSLLEDQYITKSGIVVPPEGAPSYKHAAHHYF KLRVLQSEIQDVLQHQQSRFAKHKVPYAARRFTRSDLISPFLQGFDSFRSWRKDIHRR LIEWQQTAPTKRDTGVQFSVEFLELNYWQAVIMLYQQSLTVPAELADEVTPAEDVSSP SFSNVDEADDEDDIYYKVAEAGQKVIRIYRQMHRVRLVNYTYLATHHIFMAGISFLYA IWHSPVVRSRLTLDEVDFTVLAATSVLGDLVHKCPPAEACRDAFERMSKATVQMSLST TGFGSQVDLTRFQANAIITQNASAMYAGRNRQNGRYTMDQRQRPPLGQSRRQTQTRST RPIPRFDMNLGDLFNDNSVPERHGTRRSMQSYSSRPEFSDVSSSTFASERPNRPQRTP SMEYYGNYESPVSPQTQQQYVYGNSPQHSGSPSSVTANPSHHQFQPTDQEISSTGISL DFLDFDVAGTEGQVPLGSDENPDYDLQAVPSLGHGAGHSVGIDLGFGMAVDFQHDWSE NANYDLLEGYFFGGSGAGPSADG AFLA_083950 MFKRLSGGLQRQHGGGGGDWSAFPVRQLFVLACCRICEPIAFMS IFPYVYHMVESFHVTDNDRKIALYAGMITSAFTFAEFSTGMFWGRMSDKVGRKPVLIM GLIGTAISMIVFGFAPNLPTAMIARALGGLLNGNIGVLQTTVAEIVTVKEHQPRAYSI MPFVWCLGSIIGPAMGGALAQPCENYPWLFQRGTILESFPFLLPNVVCVIVLLFGIMV GFLFLEETHPEKKHRRDLGLELGNWLIGKCRRSSVQLTEDTDIKVEPQEADYLDYDVP PPEYKSNESSPQLSPMKDLDNLSDDDDIEGQMKNEKCGTPKAFTKQVIFNIIAYGILA YHSVSFDQLMPVFLSTPKSDEDTVLPFKFTGGLGLPTKTIGFMLAVQGVYSMIAQLWL FPFVVRHFGTLRTFRFVLLVWPPLYLLVPYLILLPEALQTTAVYAALICKITFHVIAF PSTAILLANAAPSSKVLGSINGAAASTASLSRAFGPTITGLLHSKGLESGYSVLAWWA CGIVCIIGAIQSFWMEESDSKTDSKQTEQHECNASETNLRGSYTAGKEDATAEEVRRL LSSARTSVDDSEMLSLDLAQTPAKHEHD AFLA_083960 MREQYLGQEWAFEERVKSEELSCVAAYNLMPIYPAVKTLFSPSF WVLVGQMNDNT AFLA_083970 MTSQEQLQNGLTLRYFLSCRGNAHYDRGATQTPPQASRLILRNN GPGSGQMLSKCALHGGVPKESAPASPSFSPSLLTAYCR AFLA_083980 MTTQRLYLSRTLPSFLRRPVHIPIRKGSIGLSWKCHRQYSTGAE SVQPAETPTSPDQPSIPSHLNPSPEDYSRFIFQDKCRSVIYAGAGGNGCVSFLREKYV EEGPPNGGDGGSGGSIYIQAIEGITSLHKLARRGVIKAGRGKNGQGKSKGGRRGEDIL LQVPVGTVVREVSRYDPVAEEWALRKRQRAQEKTEEVDELDEIGLPSIRHDRWVLYPG ANPSDFLTTVFPRNPPRRQQIAALEPKAPIHLDLSQHMDKPILLAAGGVGGLGNPHWV SRSINRPKFASRGEGGMRLELEFELKLLADVGLVGKPNAGKSTLLRSLTNSRTRIGNW EFTTLSPNIGTVVIDDFKGRPLVESKGKTPRTNFTIADIPGLIENAHLDRGLGLGFLR HIERAGILAFVVDLSAGDPIQGLKNLWHELGEYERIRDREPVLKDEDGSLSWVPPSHG LPELQSEIPETFSNSELPDLTLPPIHTKPWFVVATKADLPETQEQFKKLRDYLSAVEK AQVEHPGGHPDGWREKIYAVPVSAMRGEGVQSIPKLVMEFLQ AFLA_083990 MVHLHQIIPIALSAIADPASDDPILECLNHNLEAHLELVLNNPD KALQLADENLRVFPYKDVQTCWRRLYTDATIVKACLNICHNCEYPREGNSHKDNGTRD VSIYPYLLRDMNKDMGSEKEQEALKVPPNAPWLSPTIHILDKALIMTGAPLRESLIES LLDALQVATMSSSGEGGPNGENPQDIDDTSDRAAKRRKLSSPLFLPDTIPAPELKSPI PRVSAPSFDSIEHHIRHIKTPLVITDAVEHWPAMSSRPWASKDYWFDRTFGGRRLVPV EIGRSYTDEGWGQRIMEFRDFVDRYLWRGQMKSSKHPDSEQYQDGVEDDEGHTGYMAQ HDLLAQIPALRKDICIPDYCYIDPPGPEPGTPVYMKKRREQEAKLKGTGSQPASTGQD SYDDGNDSSASELGLPADPIINTWIGPSWTISPLHHDPYHNILVQVVGAKYIRLYSPR TPASQIYPKGMEAVQSSENRDDVEGKANEPRLIDMSNTSQVDLAAIELSPAESDQWDA MWPGFMQAEYVETVLREGECLYIPVGWWHYVRGLKAGISVSFWWE AFLA_084000 MESLKTLLVANRGEIAVRILKTAKKLNLRTIALYTEPDAASAHV QLADEAFLLDGPPSKAYIDGDQIIKLAKRNHVDAIIPGYGFLSENADFARAIAKAGMV FAGPSPECIEAFGLKHTARDLATKAGVPIVPGSTGLVTSEEDAVKVAKDLGFPVMLKA TAGGGGMGLLTCSSEDEVRESFATVRSRGEALFKNAGLFIERYYPSSHHIEVQVFGNG DGKAIAIGERECSIQRRHQKIIEECPSPFITRNPGLRESLGDAAVRLAESIKYGSAGT IEYLVDDETGAFFFLEMNTRLQVEHGITELCYGVDLVELMLKQADAQLSGTKGLEVAF LTGITVDAPSGAAIEARVYAENPTKDFAPCPGTLQSVEWKELPGSRIDTWVYRGIKVS ANYDPLLAKVMLHSPSRTQAIEGMRTILTQSRICGPPTNLEFLAEILTDERFVTGNTL TRFLDDFQWKLVTALKKVLNEGNGDITFSNKLISMIGCGNCVDILGSKKGYTPDRPWL FEEFDQITFYRVSEEEYEKELALFNSGRYEYQWEEVIFDMAEHNKLLHDTKEEVAAIR ARQRKAQDEMDKLEAELLEHWAKEKAEKGVPVDAIENLLKDPQILPIEAPLNANIWKV EVKQGDKLDEGQIVVILEAMKLEIAVRTELHAAGATVEKVLVQPGDSIEAGKPLILVR NA AFLA_084010 MMCRDYEVAKAVMLGIPKGVPVFGLAGTNMEKAANDLGIEFWAE LYGDVKYDSRGLLVIDRKKKPWDLGDVERHVRQQVEEQSVTAVDGTVVQLPLKNYPLS ICCHSDSPGCVDIIQVTRKVADEFNQKDGK AFLA_084020 MEFFDFDGASYGSQSQLRDDDVASDSRDFDENDDAVANYKSLLL DQPLEFPSELPEQDVEPAIKQSPGPAVDNGVYPMGRAKEPCDFCRNMGLDCFVANRGV MQNSGCTCCISLYRECSFTHAKAPGKFLDTLHPINENTYIPTGGLTGKKALRSAYGAT FVGDVDGRGGKGSSRLSREAVRVLKTWLYEHSDHPYPNEQEKDELKQRTGLKRTQICN WLANARRRGKVRPAPRSSSPVPGAMDIPRQQQLDLTLMTPLERWKHSPPENEPAATSD ILRALVNTPLDSARQRPSQSSHVRSHSRKTGSSNDSSHGNSNIFRAPSISSLETSRSA THSSISDLSFASAFSHRSSLGSFGSMDRKERRRRRKAPAPANTFNQQKTRSTRIFQCT FCADSFQTKYDWQRHEKSLHLALEKWTCSPQGGIVFIDGANRCVFCMTANPDNDHLES HNFSTCQEKSPSERAFYRKDHLNQHLRLVHDVKFHPSMDKWRSNTCEIRSRCGFCATV LTTWKDRVDHLASHFKSGYDMTQWQGDWGFDPDIENLVENAMPPYLIGQEKFTLDPYK PSRATGQSPSLTVPGDANCYERLQRELMVFIHNRMAAGVTPTDQMLQDEARRIIYENP DPWNQTCADNPVWLGVLKRVAGLEDVPGSEDVQFANLGMQPPYAAQGGLRRPPVETNS VARSIFRRHPFSQSHSSSGFQSPAFPSTGRSSAAASVPGSSTGSYAGSFGMAPPVTHS GLSTDWGSSLSAGVSSFSTPMSGSVDPFVQMGFDPQFLQQLNDRYGELDLDDLQGLEL GGDSRHEGELLPGRDESKLDTLPDSNVGSAPIPIPSPKQTDILMADAVPYQGPQSPGK GW AFLA_084030 MEKIETMDEQSTLGDTMILSQGLPDFLPAAIRRHIPRLYPSLHR AAQSDSNINTARAGHKPKDHSFSPSLSEPELTLYGQKSGLASGDLQRPATAGSSSNGQ DSCGSSVSGKSSETSYITTFEEKKGVESMGFGMSKYEEESGLRWNHVVPAFQFLQHAG VEAQRRDCDSHLIRSLYMDALCYLLQALPTDLTYEETARIRKSLPGPISNSLAVPSTA GFVNQPVHTRHPAERSYLHRLLASSIVHFFLLLQFLMPYLKIVLHRLYQFERSHRVTE RVVSASLDAADSLGKRSMSLGTTVLSIHDGKVGVAVSSLAAWWVEGIAGGIYEGIGEG MTILGFIRPNPGVVGGTQMQPMPSN AFLA_084040 MHRTLLLATQNAMNVELLDSAPFAEWPGVQRLEGYDEGNYIAVL FLAWAYILSARWFELLSRSLEHRCMIIFKKETSRCEPPLSHTTVQVGIGDDASDDEVH WWNAILSAYEGWGIATVYNGRTHHSVKN AFLA_084050 MSTSRLPNIPALRKHQLLLEFASLNHAAPPGLYVSPAPNDPTLW TGVLFVRTGPYASAILRFQIRFPPSYPDLPPLVTFSTDVFHPLIVPLTTYTFSTNASS EDPVSASDEERLPPGGFSLRHGFPHWFGRGKRSVINSADSSRAVSINGFSTGGDRAGN DSPQSPNDASRPTSPSKNQADNDREESATSTTAEKPVEIRESVPVTALLNYIRSTFDD ETVLDSLPLEAAGNPSAWHAWKAHRKDSSNSAFTSSSKKGIPQARLPGDWHWDGIWAK RVQDEVEASHSEATLFGNATRSGTEDMIRFSRLDDATLTSIKEMINPQVEEARH AFLA_084060 MAKNYDTFVVIGLVTIPIFLIGIIFIMALALSGACSGRLHTHYL FCMNPQDRHKERASARGSSDVPDITISPPAPYAKQESDQEKEMV AFLA_084070 MRAVSAKVQHTVNDEVRHRIKTFYPGGEKLRYQSPKEWMPNAAF VNCYDGPAESVGYHSDELTYLGPRAIIGSLSLGVEREFRVRRIVPSNEDEEASQSEKD TPTPQPERKQSRVVSDVRADAQGQISIHLPHNSLLVMHAEMQEEWKHAIAPAQTVSPH PLSGNRRINVTYRWYRDSLHPRNTPRCRCGMHAILRCAQRKRETRGRYMWMCYGGFAP GKKSCGFFQWAEFDDDGEPVWNKKQSEDEAPILRNFVNE AFLA_084080 MHIPCPLSPTNFEALTEELEFSNENWKQWCYKTGPILLKLMTSS IYSIERQHQYLEFYVRVIIACLGPYPQVVRSSLTQSGLPVEFSVNYQQHGKQPTVRIA FEPLSGARGTEPVAYDRDIAKKFLSTLSELELKGFDSRLWDTVSQDIHLDATEKAILQ ENNINDTYLRTQTLFASMTRAKLEHAWSLGSRLQGPYVEKGLQYLLQLHDHIQISDRE LQIKVEHDDRSDTPKTTPLMWNYEMRSEDPSPLTKIYLHVHGENDLKIATGVAHFMEE IGMVDTGKTYLDTI AFLA_084090 MEKRIQWLETLVKESCPNFDLGDQPTDNLAQEEEPVLIEETSIL SPQRELAASEEQGSGQSRQQYQSGPHHPLRSISRMESRQAHEIGLVSLSPGGEPRYIG PSSGYFFANLVFSSAGRHQKRRNAANDSAGSLSGESTTLAAEILHTPASLPPRRETAA ELSAKYFETIHIIYPFLHQPSHMSYIDQMYSSEDVSPIVAFQVYMVMAIAATDLSRRS KVRLPAEGYYATAMQHFSNMSPDGTLASLIDLGLQRDIRNTPSLKISLLEQEMRTRVF WVVYTFDRTIGTMMGRPVGIRDEACDLRLPMDISDLELMRPDAQERSPEQSPSHISFG IHLFKAARLNSEIKYVMHSISREPPAYAYPPIRDIFIWQREMLERLQTWKAETPHTDN INNMSAKLCEIKYHEMMILVLRPSPAIPDPSEDSSILCFRHAMELLESFRELYKHDSL QYNRLVVHSILLGTLVVLHSIWKFPDISTNIQIDELSKNITTALNILSSIGEYWLEAQ RARDCIDDISGVTMRRLLKTRASGESTATPRLRSRIRTTNNIQQSPNLSSQLPLGQNA TNQQQELIDLDTAGFGDFNTGFPDATLEQSDSLQLFGDSAFEETFGLTGVPDFDGLMW ELFNLS AFLA_084100 MKRVIFCSGQVYAALFKHRETHDLKDTAITRVEELHPFPWEEVR QNLDAYPNAEDIVWCQEETLNGGSWSHVMPHIDLILQKTKSHGDKKVRFAGRDPASGA AVGYKVLHALQEQMLLNDAFQIEWLLSYDCFSFTDIELEFSSWQLPFYKHHTEGCLWE AFECSGSNPRTEKGA AFLA_084110 MGFEYGYSLADPNALVIWEAQFGDFANNAQCIIDNYIASSEEKW LQRSGVVLSLPHGYDGQGPEHTSARLERFLQLGNEDSRSFPSPEQLKRQYQDCNIQVV CMTSPANYFHVLRRQVHREFRKRMSSSSLTIVSYGRALIESST AFLA_084120 MKNDAWDKLMQCLGKSDDHTPDVHEWLIDSWKSVRSPMELERET LPQKLTAIDHQAVETVSQKLGSAVPEGFVPHKNLERILARRKQTVDSGKNIDWATAEA LAFGNTIAGGHDCPCLWTGCRTRYLLSATFSPPRSTVQQNLHPTKHPR AFLA_084130 MPFWPLHRATKEEIVHNDDFLQGSAATYIDMMYSSWKNDPSSVH LSWQAYFHNVENGHIPMDQAFMPPPGLVTASTRTSIAPSSREDSSTVKQLKVIQLIQA YQRWGHEHASTDPLGMANEGKIRRKELQLSRYGLSEQDLDLVLTVGTGSVQDFTSEKP KLLWEVIAACEKTYCSTMGIEYNISNQEQVDWIRARIEGAQRHRFTDEEKRRMLHGLV RATSWEKFVATKFPNEKRFGLDGVESYIPALETAIDRSAEHGVDKTEMGVAHRGRMNM LYNIVGKDGASMFRDFDPKGTSSWGIPGDIKYHYGGSGERVTPSGKKVYMNVLPQPSH LDSVNPVAMGKTRGIQDRLADERESTMMLNVHTDASFAAQGTIYETLGLSGLPGYTTG GTLRVIVNNQVGFTTDMWLRCWMHQYSTSMETMSKRFARRRFLQLISAHDSRKIVWSI LFAIGATDTMRWTRLLSLSLLCTSGLPIRPIS AFLA_084140 MSRRSMHYLRGCYPRALRTTSAQHASSILPSRCRLPSTVRPIVF TQKKNWQSLQLRQFSASALHAAETKIICVPSMAESISEGVLSTFNRQVGDYVEQDEEV ASIETDKIDVAVNAPQSGTITKLIVNEGDTVTVGQAVIEISLEERDTTSQSPLPPQAE QTSKTPQEATQKQQIPTREITPSQEPKKGTTPTPTPKTTPQPVPNSQGPVSAFQGSRS ETKVKMSRMRLRTAERLKESQNTAAFLTTFNEVDMSKIMALRSQNKDDVLQKHGVKLG FMGPVARASALALREIPAINASIENDDTIVFHDYIDLSVAVATPKGLVTPVLRNMERQ GIVEIEQGIAELGKKARDGKLTMDDLVGGSFTISNSGIWGSLFGTPIINIPQTAVLGI YGIQQRPVAIDGQVEIRPMMCTALTYDHRLVDGREAVTFLTLVKKYLEDPASMLIA AFLA_084150 MLHFRTEGYNGCAVKYSPFFDNRLAVAGSANFGLVGNGRMYILE LTPNGIVPVKWFTTQDSLYDLAWSEIHENQVLTASGDGSIKLFDCNLNDFPVQNWKEH NREVFSVHWNLVAKDRFCSSSWDGTVRVWTPDRPHSLVTLPTHSCTYSASFSPHSPDI LSCVTSDSYVRLFDLRTPASASNHLTLQIPIHAAPVSPIPGKPGVPPAACPPSEALTH DWNKYRPSILATAGVDRTIRTFDIRAPQQGPQTVMVGHDYAIRKISWSPHLSNVLLSG SYDMTCRAWNDQSPPGVVGDVDSMRAGPSPTMGVEMGRMSRHTEFVTGVDWCMFGSEG WCASVGWDESLYVWDVRAVMG AFLA_084160 MGPKKGGENSKKAAGNARKAEAAAAKKAVEDQKRAAEEDKQWAK GAKGNAKKEAEEAKKADAARKKAERDALLAAEEASQPSKPKGKSNQPVKKSRGLDLSQ LDDQPASRKGAALNASGIDNALDALSLTGKESSKIDRHPERRFKAAYAAYEARRMPEV EQENPGLRRQQRIELIKKEFDKSEENPFNQAHVSFDASKDEIAAVRDAERKKTEARLT K AFLA_084170 MTLYHSWLGLLYLLCLVQWVSCKVVQFELNLTWENHEVAGATRK TILSNGQFPSPTLRLKQGDTVEFLVNNSMPFEATVHFHGIEQRDTPWSDGVPGLSQKP IAPGDQFFYTWTATHYGTYIYHAHTRSQIEDGLYGAIYIQPDESVEKPFHLIADDAKE LQAMGEAEMETKPIILSDWRQLTSEEIWQAQVASGVENFCANAVLINGKGSVLCLPQD RINALTTPAQRRALGNKTLTDMGCLPPSDPRKANLTPNGFLRGCTPSQGPAEVFEVES ASQYRSWDLINIAGSLELAFSIDQHPLYVYAVDGRYIEPIRVDAITIPIGSRYSVLVK LDQPAGEYMVRAANTGANQIINGTGIMRYRASTHNRNRLSQPWITEVGTNATENTVFL DETRVVPFPVEVPSLHVDRTYILNVDHIGNSYSWTLGNHSYPQSNEEAIPLLFNRSSI STKYTITTLNNTWVDLIVNVTTGGQPPHPIHKHSNKYFVIGSGSGAFRYHSVAEAVKD IPESFNLRNPQMRDTFFSPPADAGPSWLAIRYHVANPGPFLLHCHIQPHLSGGMALAI MDGVDSWPHVPEEYELPAVSH AFLA_084180 MVFDFDYQPKFTQTVEYFASACQFFCGHFSQRKLPFRFETAHLG PLTASTSRYRAWNALHLSYLLC AFLA_084190 MEEPEYKSRLTGSTASPREESDLCLYVPTHEQPSTVEAAHLFHR NSDHTETSSTHGDTHVLAQDCGYNSSASSTERSSSDFLGGDLDYEELRDVNSRASSRS SISSIPASVLTNPISRVKSAVVRRSQQDMLSPSWGDHNENEHGEMEEQLRLTPTVRKH HSAFRKSSSVRALQMHTEDEGDGEYLTPPKRRGGHRMSDGSSQPKRSPYYSPTGLVAK QKSKKDYPLVLLHCNLLPPSLPIPGLVDYPDQKILREVLPSEYWKRWKLLEEKIGSVV LRERGVLISHPEDMYDLLEERLLESLELQRPRFDHGHFLGHEEADTDRDSQSMAEESA TDDEQGEQCLDCGGRVVRHSETGRKWEIRVFAANGLMRAGAWAAAWREMEKVDVEVGL WLPSEVRRELEKRLLEGELSRPSNRLQAPQLKELVNDKFTKVHTDPAHSQASLLEVDR PKLLTARRVERSRLSPAPEKTAPQEHHRQSGRPSYPRSPAAEIELQTLLVNYIRVLAS DRRNVAIALLTVLVVFFALNTRPTATPAHVQPFPPDMLEMVSRSTISPLQHSSAIWEN PTWSMNKDVTTSEVRAAAVSAAHQHLPTTPPSQPMSSDSTTLQYGDASEVGLAKPEKP SSEAEAHFEGTTTVASRGVAEKSSSSAWPLNPAPAVHPALSEAHTDHTELTETAHPVG EALKNGGEVSSAILVI AFLA_084200 MSSAKTIADILSFSELVDGKSFIGNPFTSQPMYIAACAFLMESA YYSSPSSAPGSPPCQPLLTNQNSGFIMPNLDHPSDGAERKPTAKHILLSSAAKENYQR CYKALKALETYWEGTKYILTVLDQKAKGIVDPLLYTEEEMEGTAEIPSVQPFATPGWR QSSAPLGAAGSSDESAVALEVRSPRIDPSQAIGWALTGATNSSQPNLSVLYQMPAAQT DSVPNKPAYSSQYSHSYPSLPMQTSTGPSSSSYTQALEPSRTTTAAPSSPITSGPGKY SPLAPSGRVSTSDASLLLGLNTSFSTSASRPPHSHPAFNQNLTATSSRIDAPTSAYNY NTASTGNDQPTGSAMNSRPSQMHPSAGHVNDILIESQDIDMASLQQQDQFPFTFSGEI LPWLEYLPQDVLNYFGDHPNYPSLMNPDDGAPRPPH AFLA_084210 MSHPSPQKNGGNNVPPPKQIRFVSTDGQPQTKRRRVNAACRTCR KRKIRCSGEQPVCKTCSDYNHICLGYSEPTAHTRSQSDVASRTPTIPPSLGPSDNSNT AVKVESRSPDSPSRAAIPHSDDRPDKSSGASKSSELKDASLVRETSSKMTKDSEQHTV GESPESSRTSLSSSARTHVPYFRYFGPTAIVPGFKQMVVQVRGSRKSNASLSSGQ AFLA_084220 MTSAFFKTEDDFEKVQAARPDFRRDADVIFSKPPKEDWKQGDGA NDDGESLKKSHVEIDPHEEGRPVSSNYKILISGMVPRPIALISTKSKDGKSANLAPFS YSQVINHDPPLFVVGFVGSLEKAKDTLKNLAETEECVINIISEHFVEAANATAVNAPY GVSEWEISGLHQAPSSVVQPARVQESILSIEGKLVETKEFESRTTPGKKTGVLAIIEG VRFWAREDAINEDRSVIDLKVLKPISRLGGIQYGRTTDAIEIPRPQF AFLA_084230 MAQQDFETFKLGDWELQSGEKLINASIAYKTFGDPKSPAIVYPT WFSGSISDNVWLIGEDKTLNPKTYFIVIPALFGNGQSTSPSNYSASDVFPACSFYDNV RAQYTLVTQHLGITHLHAVVGWSMGAAQSYQWATQYPDFMDLVVPFCGSARTSLHNQV FLEGVKGALLAAKHTPSAVLRDTRSPEAGQESRIWNNKEKQIGLKALGRVYAGWGFSQ AFYREKLYETALGYKSLEDFMQGFWEKWALSKINVADLDPENLLAHLQTWQQGDVSNQ EPYNGDFEKAMASIKAKTLVLPGKTDLYFPPEDSEYEVACMKPGIGTLRVFPSIWGHW AGGPGDSKDDVEWLDKQLAQFFGGRFESVIAIR AFLA_084240 MATPPVRQWGVTPPISTVLPTPDELAANDDLISELKLQNNFESP AETEHRKNTLQLIQRVTVEFVKVVSRKKGLSPAAVEAAGGKIFTYGSYRLGVYGPGSD IDTLIVGPKHVVIDDFFSDFPPVLERMAPQGAVEKMTPVPDAFVPIIKLEFSGISIDL IYARLIVPSVPLNLDLKNNDYLRGLDEKEVRSLNGTRVTDEILELVPQQKTFRLALRA IKLWAQRRAIYSNIVGFPGGVAWAMLVARVCQLYPQATGSVIVGKFFRIMNKWAWPQP VLLKPIEDGPLQMKVWNPKIYHGDRFHLMPIITPAYPSMCATHNVSMSTKTVILRELQ RGGDIVDKIFLKQNTWNDLFARHSFFTRDYKYYLSITASSRTKEAEAVWSGLVESKIR HLVGALDRKSTIAVAHPFPKGFERVHIVSSEEEAEAVKNGSTKYQDKGQKTETTDEIN DAAHQAAANSQIEKRDVAETVENKVNGESRTIYTTTYYIGLELKPLEPGQSRSLDIST DAQIFKSTCTSWPGYQPGINDLTIIHVRNFDLPEDVFQPGETRPQRPKKKIIKKSGAG GQKRGIESLDVSAYKKIRMDYGRQATSTEFDPPRLAMLKSSSI AFLA_084250 MSIPQDYPILPRESTLAQLPPAYPADTQDQISQHLASSTLNRLV VLDDDPTGTQTCHDISVLTVWDIETLTEEFKQDSPGFFILTNSRALPPLEAEKLIREI CENVAQVAKSAGETVDIVLRGDSTLRGHFPLETDVAQSVFGDADGLVLAPFFFQGGRF TVDDVHYVAEGENLVPAGTTQFAKDATFGYKSSNLRDYVVEKAAGRFQPEQLHSISIH EIRVGGPEAVYEKLMDIPRGGVIIVNAAAESDMHVFVAGLLLAEAKGKHFLYRTGAAF VSTRLGIRSKSPITASELQLPIPRETGGLIIAGSYVPKTTAQLNVLTSKRGQTGQLAI LEMDVEELIASPESAAGAIQRTVQEAESHLQSGQDTLVMTSRKLITGDNELSSLAIGT NVASALVSVLRQIQVRPRYIIAKGGITSSDAATKGLNMKRALIVGQAAPGVPLWRCNE STSRHRGVPFVVFPGNVGGESTLCDLVEAWS AFLA_084260 MSGVGISNSSESGGSAGWGGERGERIRQILRDEVHRLNPPDPYE RDRDIRGEINGVIPTTAKSPTDKSIRLSPEPRFILIRHWSLYDSMLHSPYLASRLHVW TENGRKRLNKLLAKMGISLSQSHQSYTHMDMELKRVLRQRLLKYAPMYGLDGLVPAEA SGHAASREGWGFVRCWGWKACLSATDVGIIIGAMLEVGPEEALGSWDTKHLSRPRSAP GLNGADSTESDLASIVPRFWCAYDALSLTSESPTLLLEALPLAQHLHRSILRTGTSLL AKHQIRHLRAFRIAVVKDGPDVKLFTNPGALTKLALWIAEAIRVQERERGDSVKIGRK RATGTPLVLAGLDEDRDLYVVVGTGGGGGVVDFAALNKQREERRKKKEAKETKRKEKE ERRAKRASERAQREADGEEDFEESEEEESSSESESESEDEDDTRADKHLLRNRFGIAF QEVVQETNTRVRINSFDHCVVEVQKEDLGAFLEALSFRSVVG AFLA_084270 MSPYFGLLRALPFLLTLFASLASSEHTSNWAVLVSTSRFWFNYR HLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNVFPGTVYSNADRAVDLYGDNIE VDYRGYEVTVENFIRLLTDRLDEDVPRSKRLGSDAGSNVLVYMTGHGGDQFLKFQDSE EIGAWDLADAFGQMWEKKRYHELLFMIDTCQANTMYTHFYSPNIIATGSSELDQSSYS HHADNDVGVAVIDRWTYYVLEFLETQVTSANSKLTLGDLFDSYDETKIHSQPGVRWDL FPGAEQEGRLRTVVDFFGNVQNIEVENTTATDPGSLKEDLIEIARLVEKWRARDREYL VSQNDSSLRAGNDNSGLQVSLSPHSARKNFEPAKMSQEAPWEKRLVGASVLGICAAVW LTGSILGRSSV AFLA_084280 MLGIILFSLFISYTAYLGLCFLRHWSLARKIGLPYVSFPISSHN ILFLSLFETRFVPYVINTWLSPKLADFIYGSAFKTRWAARDRLHRRYGGVYMLITPSV STCMVCDASVASQICMSRHGFPKPIKQYGALEMYGPNIVTSEGSQWAHLRRHTATPFN ERNSALVWEETIRQTKEMVQYWEDEYSRSSSASEFILTDTREDILKFTLNIICSVGYG VKLPFRPGLENSTESAEGLFKDAITPLPGYHFTFRSAMEYLNKHITSMFIANGLLPKG IPRSVLPFFKKDFDAFDDIGRYLRALVSTAETKETLSQNLIDGLVRSKQKIDKDQGLD PELTEDEILGNLFVFTIAGHETTAVSLRFALVLLALNQDAQEYLYEGIREATYDEPRN PVEWDYRRVYPKLVSPLCVMVCVNITYHNQPYLLPPHVYVNVNASGLHYSEEYWGPDA AVFDPKRWDKQNTRSSYIPFSDGFRSCIGKKFAQVEFVVAMAIIFREYRVMLAKSNER ETEDDLRRRAEKVLGESTAFITLSMRDEVPLLFQKRCVSKA AFLA_084290 MDIACRRPLDPLLDFSAWMPKTQPYGVSNDLMASTPGHPFITKL ALSLHDHDGFYLSKYITVFFTTGPMYLSSILTEWFRKVQDGPGEEITMPHGVAILPSM MYDTTAYSFFGHAPGSTWHGNDVAAVSYVYKHWHRQDEEAGLA AFLA_084310 MHNYYLSDPHHRLRHTFQFVLAACGVGSTDAVLNNLLIENIPKY MRGTEPPG AFLA_084320 MTAMPGQPLEAVFHRLSYSEREQLSKDLKSFLLQLRCIPNQTSY VSKETKDKIAAVHAHPYRSVFTHADLHPSNILIDRGRLSGIVDWECAGFYPEYWEFTK LMYGAERFPEIQDIIRDAFGEGNYEEELKAERLLWYDTPLGI AFLA_084330 MSAKAESPAPAAPQQLSGLALYSRFAFAGAVCCSVTHGALTPVD VVKTRIQLDPVTYNRGLVGGFRQVIANEGAGALLTGFGPTAAGYFLQGAFKFGGYEFF KQQWINQLGYETASNNRTAVYLASSATAEFFADIALCPLEATRIRLVSQPTFATGLLS GFGKILKNEGVGAFYSGFGPILFKQVPYTMAKFVVFEKVSEAIYRGFDKETLSDGAKT TINLGSGLIAGFAAALVSQPADTMLSKINKTPGEPGEGTVSRLIKIGKELGLRGSYAG IGARLFMVGTLTAGQFAIYGDIKRLLGATGGVEIGK AFLA_084340 MLQGFEWHVPDDQGHWKRLQRSLVSLKSIGVDSIWIPPGCKAMN PSGNGYDIYDLYDLGEFDQKGSRSTKWGSKTELQSLACSARNLGIGICWDAVLNHKAG ADYTERFSAVKVDPKDRSVEIFAAREIEGWVGFSFPGRGGIYSSMKYSWHHFSGVDWD EARKKNAIYRVASKRWSDDVAHEKGNYDYLMFADLDYSNLEVQKDVLRWGEWIGSQLP LWGMRLDASKHYSADFQKKFVNHVRATVGPQIFFVAEYWSGDVRVLMHYLQKMDYQLS LFDAPLVGRFSRISRTGEDLREIFDDTLVGNKPAHAITLVMNHDTQPGQSLEAPIASF FKPLAYALILLRDKGQPCIFYGDLYGIRRGVKNPMTPSCGGKLPVLARARKLYAYGEQ CDYFDQANCIGFVRYGNLHHPSGLACIMSNGGASQKRMYVGRSHAKERWTDILGWHPK TVIIDKKGYGIFPVSAMQVSVWVNSAAEGRESLQEPFEEKIYEN AFLA_084350 MDSPQYQELGLVSQEQQPIDQETVRATWKFLAGLSSNLTLVGFL VMPLAFEGAKDESLGDKTGTAVAALALIGNAYLLSLILFGAQYRKRTYLIHSVFL AFLA_084360 MRTVPSDFAVHSMHCYFVLAGDSEIPILYHVERVRDGRSFITRT VQARQRGRPIFTTTLSFSRANSGGKKKLEHATSMPDVTLPDDSPADVKRRLYNAGGGP FESHKLGTVNHEDPVMLTGSGDSSKPEDKRIRRCMRARGNISEAGGHHAHLSALAYVT DSYFIGTVSRVHDIPRFASPAELKAALNALKNPSDLDDDEISRAFKELKEEEAAELRR RLEGALSKAEDPKNKHKHKEVGMMVSLDHSIYFHNPWAFRADEWMVTEMESPWAGEGR GLVLQNIWSKDGTLIATCTQEVCSLSFIYWSAVRCPIRFTNVWLA AFLA_084370 MNTLTCVGLLFLFLSFIGARFRNYLPWLQPKHHPQDVSCEDLKP PPIKGRERYRVMMDIRKLDAQNWLTIDKNYMAEHQVRSQLLQQEKQKVLQCLPESYEA CLEALEEVVDFLCQRFPDKFEHKRSGDESTVHNKVTGETFVFGGQNKQVDPLEIAVRL TMEDLSILMKNEDDEYYLAASASLFPVGWTVQERIGWTISRLHEPVPLWHQQVAASVS KFLNRLTPSSPMERSNYFVEVKRPDEDLFETLYRPTTLFEDNPNPSPEDIVIRRERQT FRRLPRTGTLVFGVKTFLTTMDELPMQELRNLAKEIKSWPDYVGEYKGREVWGAKALE FCEKRSREEEKLKEEVGTMEV AFLA_084380 MDLMSSRFKSFTFGTKRNKHSAQPAPVQQQQPPPNASSNTLVAP PSNATSPSSPPSHHSSSTTSLPMNNQNPLGRPPSYTYQRPTSPMPPGQQLSHHPAPLN TNLHYTQQTVQPLGAPPGYGLQQPVAAHGMGQGMPQPQYGVRNPAVEVEGAGRSKAQL IVGIDFGTTFSGVAFAFATNNEAREDIITEWPGAGTHTKQKIPTVLYYDQYQKVVGWG PDIADALAPTGYPKQGVQKVEWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLF KLRQAMRAQLQKTLGEVFTREERNIRYYLTVPAI AFLA_084390 MASDSQHQDDEVRSWAGDFDPLADPDERRVLFAALDSFRQYRRT AHMNTTHRRRQAFYALPSAHWQMLAEPPFSILDNFNRVDDAIDVNAEIADAILATGLS SFGLPANPDPEDPRQNWHNTATSSDVNKAHSTIRQFYRDWSSEGKAEREVCVEPVVHE LRDEFGERLASREEIKVLIPGAGLGRLVFEVCQAGFAAEGNEISYHQLLASSWVLNHT SGPQHHALYPFALHFSNLLSREQQLQRVMIPDTHPGVAMIEAQANQTPFGSMGMSAAD FVVLYSSPSNKEAYDAVTTVFFVDTAPNLIRYVETIRHCLKPNGVWVNVGPLLWHFED GSNRSQREGDHDEHQGIGEPGNVELTEEEVFCLVERMGFNIEKRQAVEDRPMCGYIQD PQSMLQPLYKPSKWVARKKNP AFLA_084400 MTTAVEAPIPPQVENVAPHAKPQELSFPLPKALHTTAHIHLTFL DTCTTVFLATSTPGDSAGSVKPMGSFVYAMPDRTNPRDTISTTLYNLPGSVEYTTRIA KVLARRMMVPVYVGCSIDPTALGLLVEEEMEGLTKIVEVIMQRWEGSRK AFLA_084410 MAHLLQPLPHQMSNPPPPPPPSSYSRSYESSGSPAEGASILPDA PPLNGSAPGSSGLLPQPSGTGGQQQMQQPLQQKRAYRQRRKDPSCDACRERKVKCDAS ESSSCTECTNRKVRCQFTKETNRRMSSIKQVQDLEKQLLSTKQQLQQLRSGMLRPDNL IDLDVDGTGQSQLKLPDIGYRPPRQPRAPVSQDLTEARANLRKYGRGILKVPTPYRQP GPKSLLASDPPPLPPKEVADRLLTQYYECIHSVLPVVHWPSFVTEYEKVYKTGTLLGL PREWAAVLFGIFACGSLHTLEKTREHDGKEFIRVSCGVIDVWKDNFTLDQARAALLVS LFLYEVNAKSASWVWIGSAVRVAQEIGLHLESGPWSALEGEMRKRLWWGVYAWDRLLA LEMGKPVLINDQDCDVDLPCPVDERCISEGGIVAESQQTTPLLATIHVVRSIGQLVRT LRSSTISPATLEIFERHFQTCQATFPPQYHPKSDQYLDPRSILPLIYLQNARLILYRH NISPFCAPEVRSLALDYCVSISQDTAHLLSRCMRAPSGSPGYSSPPGNDDWRPLLASS AGTVLCTHIWRCVLVLLFRQEYDTAFVCVRASAVVGDSRSVNAACGRYIAFFLKCLVD RLRRPDGVDPERDEEMLAYVSGDMQGTTGGSWVWQGSETGSQLETLTDFGSPVPMPHP GARDNLSTGEVEDWEGWDWVEQTVQYLISEKQKQQQEYNRMDVQTSPGGDEPAPQPQS TSSHSRMTIASII AFLA_084420 MMQHRMLAKEDEVSAAGEENEVRREDQEKINRFSRLHQRETLLE EQLKAKQKDKEDLEEISMELELADEDELVPYKIGDSFFQLPLADAQSLLSSSTEQIDS EVSGLEEKLSDLRDELQQLKVALYARFGRSINLEV AFLA_084430 MKASDGNEKGEGKGCGLYTTSAFDQMYDQISYVLTWAGSTSQQT PRMQQSIT AFLA_084440 MRIPAGLSSIILSSALLPSLSAASGFDCAHINVDGYKYDLSELG GVHSLYNVEKTEEHVVNTTYVLNICNILKGASIKGHLKCGTSKNICGFQYKYPVDGSE ETSRAFPIVGLEHLGHGSKDPEITRLKKLDPDEEGLLVKLSGGNYVDDEGKQKDAGAV LEFQCDPERSGLEGLKTTDESDGEKKEAERRRAEGGDDKEQPPDEEKDRSHSLQFKSF GKADDDSYVLKLNWRTKYACDHYLEEKKGDSSSHWGFFTWLIIILFLCIAAYLIFGSW LNYNRYGARGWDLLPHGDTIRDIPYIFQDWLRRVVNTLQGSGSRGGYSAV AFLA_084450 MSQKPIFVATHPRACSTAFERVFMTRRDTIQCIHEPFGDAFYYG PERLGTRFMDDEKARLESGFSQSTYKTVMDRIEREASEGKRVFIKDIIHYLLPPSGKP ASIAPSLLRVKRGVGTEANGHASNGVESNGHVESGQSTKQTSQYPYPTEPEPGNPTVM PKELLSKFHFAFLIRDPHFSVPSYYRCTIPPLDDVTGFYYYDPAEAGYDETRRTFDYL RSVRLIGPHIATKEQDADEIDNKLRPVLNGVGEAHESAEICVVDADDMLEKPGPMIEA FCRSVGIDFDPSMLEWDDESEQKQVCEAFEKWRGFHNDAIESRGLTARKHGRPVKTEE EFDAEWCKKYGPEAAALIRKSVDENMADYLYLKQFAMKV AFLA_084460 MNGAQFTDRANKALLDSSSLAEQYSHSQILPVHLAVALLNPSPD ESKDQQATAHPSHDSSSAPLFRQVIERAHGDPQLLERALMKTLVRCPSQDPPPESVSV SPALAKVIRSASELSKTQKDSFVAIDHLIVSVAQDSQVQRALADANIPNVKLLDSAVQ QIRGTRRVDSKTADSESENENLKKFTIDMTALAREGKIDPVIGREEEIRRVIRILSRR TKNNPVLIGEPGVGKTTIVEGLARRIVNADIPANLAQCKLLSLDVGSLVAGSKYRGEF EERMKGVLKEIEESKETIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIG ATTLGEYRKYIEKDQAFERRFQQVLVKEPSVNETISILRGLKEKYEVHHGVNILDGAI VSAATLASRYLTARRLPDSAVDLIDEAAAAVRVTRESEPEALDNLERKLRQLQIEIHA LEREKDDASRARLEAAKQEAANVTEELRPLREKYESEKQRSKAIQDAKIKLDSLKIKR DEAERSGDTVTAADLEYYAIPETKALIERLEVDRAKADEERRARSGDAGETLLADAVG PDQINEIVARWTGIPVTRLKTTEKDKLLNMEKHLAKIVVGQKEAVTSVSNAIRLQRSG LSNPNSPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGA PPGYVGHDAGGQLTESLRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRVTDGQGRIV DAKNCIVVMTSNLGAEFLSRPATKDGRIDPQTRELVMGALRDYFLPEFLNRISSTVIF NRLTKKEIRKIVDLRLDEVQKRLEQNGKNVTIECTEEVKDYLGDAGYSPAYGARPLAR IIEREVLNRLAILILRGSIVDGEVARVIMRDGRIDVLPNHEIPVDEDQDMLDSEDEAI AEMEDGSGDMDLYE AFLA_084470 MAFLASHRAAGHISGQCLSVDGGMEGRLIWKENEAGKETKNQIT HSESSIVQSIPRAVSKPKQNKIRIAVSIDLDAVSGWLGTGKPSFPFLSDLQMLTNPLQ QPGQHPDNILADYSSGFFAAKVGVPRLLRMLKKLNLADRCTWFIPGHSAESFPEEVQQ VVESGCEIGLHGYAHEGAYQLTVEQERDVLTRCIDIATKLTGKKPVGYRAPLYQLRES TLDLLEEYGFEYDASLTDHDCHPFFAPKRPPLQPINFSLPASTWMHPIPPTTEDRRPL VCVPCNWYMEDMTPMQYLPHTHNSHGYIDVRVVENLWRDRFLWIRENEDEPIFPVLMH PDTSGMAHVIGMLERLLTWLKGWGDEVEFCQTGEIARWFRDKELGSSGSS AFLA_084480 MDYRRQFVDRSINVGTWYTLPISIPVNSFEPAIELCGVCTSPVP HTVNQRPSYRDSCILNGMSGKSLASPTFLGLEDLHVFITGAAGGIGKQAVREFLDQGC NVTALDIQALEVSDIQGEAYSRLHILKGDVTDEESVRANIAQANKRFGPVNILIANAG ITDESKDYPIWELPVETWDQTYRVNVRGTFLTIKHFLRAARVSQQTLGKELDNLAIVL TGSETGKFGQEGHAEYASGKAGLQYGLVRSVKNEIVRLNSKARINAVAPGWVDTPLIE GRLDDPKEMWAEAEATYVYHVQFPTATAYRSSLTKVGYLSRRSQDRRM AFLA_084490 MVFAIIWYTILCVSLNEVVIVGGSNLMSEEDIRNLTPAIKADRV RGSKWVFVSEHSFVLAVWAMKTCMLIIYARITEGLRQRKWVNYLAVYVGLGFIGVELS LFLICRPLSNYWAVPTSYQCSSYQYYEIIQGCISISADILMLLIGLPLLLQVRVPLKQ KLILVVIFGMGIFVIVAAVLTKVYCLVPSLISYVYMNWYFREATVAVLVTNLPLIWSL LRDVFPALKSWTGGSKRATDRYRSGPWTSKASGYGRHYGPSSQLRSGDFSMHDYNRSI VTPHKPASDVSIQASDDRDVSDDGSDRALRIRQDVTVTVERESRPPEFWGSHHTKTES LNGAHQPQP AFLA_084500 MAAAEGQEPKKAGAIESAAEDQSPGELINASGHRQELDRNFSLL SICAVAVTTGNTWIAQGGSVVTALSNGGPSGVIYEFIAVSVCYWLVAASIAELASGMP SASGVYHWASITAGKYGRACGFFAGFWNCLAWILGAASMSAILGQQTVSMYALMHPGF VPQSWHIFVSFIICTWLCCAIVLFMNRFLPHIGNLGMFFILAGVFITIIVCAVMPHVN GTGYASNSDVWRTWQNGTGYSSEGFVFVAGMLNGAYSVGTPDCSTHLAEEIPRPSRNI PKAVLAQMTVGFITGVLYMVAVFYSITDLDAVISSVYGFPLAEIYHQATGSRGGALGL LIVAFLPTVVTCAGCYITAGRTLWTISRDRATPFSGWLARINTRMHNPFNATLVCGVI VTILACIYVGSTTAFNAFVGCFVQLSSLSYCAAILPHLLTRRSTFTPGHFWMGRIGYV INALSCIYILAFVVIFCFPYALPTDAASMNYASLLTGGLTIFVTIWWLFRMRSYEGPK FVPLTDKVLMDDAK AFLA_084510 MREKDRDQKTRKLTVFRRKPTTKKNKTWDGDGILSVRNGYAHLR DVSGKDMGRAIQASYLEPGTMLSIGGKEVEIDSEMSKNEYLSGKQFLEAKPSPTPPVA PPKKGFVSVSGNKPSTSAAKENPGEKGQMQIIPNPASRKQSSISSAYKRPLLENTIIP QKSEEEPVPRHDPKQPGALVMKRPASAPKGKRIVDVVVDPILAKHLRPHQREGVKFLY ECVMGMRSFNGQGAILADDMGLGKTLQTITLLWTLLKQNPIYENPPEVKKALIVCPVT LINNWRKEFRKWLGNERIGVFVFDDKRKRLTDFTRGRAYNIMIVGYEKLRTVQEGLAQ GAGVDIIIADEGHRLKTLQNKSGQAIQSLNATKRVILSGTPIQNDLKEFFAAVDLVNP GVLGNFKAFVREFEVPIVKSRQPEATRKDIEKGEARNEELRELTSQFMLRRTADILAN YLPPKSEYILFCDPTPTQANIYQNVLASPVFQCAVGNSENALQLITILKKLCNSPSLL SPRNVDEKPSETIAALLSSLPPNLLRHFSPSSSAKIRVLDQLLHILHTSTSEKVVLVS NYTSTLNLLATLLTSLSLPFLRLDGSTPAQKRQSLVEDFNRFPTNRCFAFLLSAKAGG TGLNLIGASRLILFDVDWNPATDIQAMARIHRDGQKRHCRIYRILLKGSLEEKIWQRQ VTKLGLADSVMEHKDNAAQFSRDELKDLFRLDQDSKCQTHELLGCDCGGRGQNVSTSD SHAGSPADGQGNVLDSDDSEEVEEDFPDVLTLIKASKLDMKKQERMIEATRGARRGGR TTKSTDGTKSDKQKDKMQQSLSQYSHIDPCHLTTTTDDTQDIQQVIDDDVLLSMLKEE DNRISFVFKKSSVALAKDTDSSMPVVLSD AFLA_084520 MPSPATPHRPSNLGGNTKSTPTKPKPLDIGQPLGIYDTNSVREK VRKWQQQGGGVITADEVVYYEEDEENSTVDSKSKPTRGPTTRKRSKSTPRKRVISDEH WKLNRTPTQAPASKLPPPKRISQYTTNDPIRSPRAGRNESRKEDKPRSSPSARSVERE KAGADSRSRERRKSRVYREGDSLLGSKIEEETASHNGSTKSTSRPTSAGKPHAAARPE LADDASKKGSVNDDDFEWTASEADFSELSRRRARGTTPNQKSRAPPPKIPKGGIFEHM FGESRKIFVKPEAPKPGPHRGSKIEAWLSGTSDPFFDDVDPDVEVPAPLNTKSNRAKL STKREEGRSHTTDSGAESDSRRKSTSKRRVPSREERVKSMTDEIMTSQEPQLETSSTL SEKRSTGHRNSRSSSSNKGSSSPEKETSSEVQDITLTETQTVSEVSEVSGSNAPVPLH RERPFPSTGDHRLSTIASVESIKTGDDARPQEPAPDVPSEARGSTPVGEKEEQEERDQ FDPDSLPVVSSQLKRRLTTHDDLMSVLSAPNSRSRSIRSARSIRTNKSRITNATVPEL LKELSADEVKYMRELKTLVGGVIPVLLTCVLSKSDSAIAAGLFRPSMDPKDELNFSKP IVDMGVAVERLKTLHKRIPQDNADSLLTWAQGAQKVYRDYLKSWRLGFKDVIVNLAPL DESEAANNADTKSLDEGMARDENGDVIDSDGEKVDVAYLLKRPLVRLKYLAKTFKGIN MLQPSEKAEDVSVAYQGLVNEARRRAREERARLEDESAASIDPTRARDPATLGPLAGV TVNRARRVRARDFFNLSLYHSTGQVIDCRAELLLRDNTPDNGAGGDLLICEIDHADRW LLFPPMDLAFVSARNGDMKGEIVVMLRSPPGQPNAWQEILVLRIDEEDVGFEWVQMLG LNPVPPVICRSQSFVERAKQRQRAQVASSCTETKELPTSSITVDIPIGERAVSGTLRR CSPRENSSGPSTVGSSLATDSRTSLQTAITRESDYAAGESDSPTKPSPRTQQSILHSR DPRKTATGDERSPTSLKRSKAKRVVRHDEDNPPVPSLPKDHNAGGPKAEPTTHRPDHD EKKVHKEQHPPKTPTKDEPEAISPRVSSVPSMDLPIIPKLRKGSSQTYISESLESTSD DEYVLDSYSIPQTPTRKKGHSRSNSDTSEPTNGEEPPPPPPHSRSPSSTGSSLSNAPI LSPSGPLSRRRGSSPLKHEYEPSTASDETYSDSETSTVRRYDLYSESDYSGSDSSDDD SEDELPPLQEHHVSKLTVPASVITSATSSLSPSNSVSQGGYRSVPSQPNKSSTAVASV FAWSDKGSWESLLPDECNIHVSPGLVEAYDVKSASASDDDHTPSKRERPLIALELTPL VPIRRGTAIDISIRSPPTERSKVSWSNNIMFRSRNADECEILYGLINQARTTNPTYIA LQNARGPFAQQPTPLERPPKSGGLFGWPRRRKSYRASSSPRSLADNSESSVGTMSSAF SALKRFGAGGKMFSIARSSVTSRSDQKEDSLYSSSAGSGTNHTSTSGIGRIAAAIKNV DGIGLSNAKIRLYMRETQSKWRDMGAARLTIMPATAEPRRSETAVGGSNDTNTGAESV EGSPPASGATSPRRTIDSEKRILIRGKTRGEVLLDVCLPESSFERVARTGIAVSVWEE TEGGAMPKKGGVTGGSSKIYMIQMKSEAEAAYTFGLVGKLRY AFLA_084530 MHCMFEGYFMLNHNRMASAQDFFGQLWKEYALSDSRYMTADTMV LCMETITVLVWGPLCLLVAFSIFIRSSLRHPLQLTVCLSHLYGDSLYYATSLYDHYVH ERPYCRPEPFYFWVYYFFMNFIWIVVPLCRFTPLYTLGNDLLRILDYFVQSVRAVQNA FDRLAATSADRKTQ AFLA_084540 MAPGPFRRKPLGVDVPRTPASESPPPIAALFVIRFDIKAGYVVS WKRTVPGAEVEGIVEYKSLPSGLHNVSEDLVYFVHEQYAGISAFINQPAEEAVRNAKM FSVGVLVPLSSGRLGKSWRHAPKLRELAQIYALDMSHTEPLLKYWETYEIRDSDLSGV PPDSPLESPLSLRLRAHGERPDHSLRNRTFSDAIVLETPRPALTPFHPASSLPEFLDC FGPLIYPLYRATLLRKKILFMAEAPVHMPCNYGVSANAQPDASWIACSTDSVLTMKSE LFDVLVTLPAPYSKDAAEKVFPKISILPIPTAKHNTPQAVQLKATQRDARRYATLRKG LRQVALSEDGRSGEEDDSDAASTYSSSPIVEPISWTRLAYTSFIWWASAGEKRDGLSE EEEEEHQIQQDTQLLASVEHPTSPPSGSVSRRSLQPSDTSQEPPEIAIVAYFRRMTTQ IFVTLSDAIARHDSQNATDEEVEPNDDDHIPYEDDTGRQPTQEDDDRSPLLQEEVCSS PRNNEESIKITTEDMAEMGLDVWSAADRIFVEELVRCWWDRKAYVDSARIRCCGISIL AFLA_084550 MKLKDEEDESLGRTAILCYPADDVKQMQKFMWDMGREVQIGLCD MLANRSGQEQHPGYVKDYTEELFTKRVS AFLA_084560 MLKSLSKSDNTAMTKSDIFPATGFGLLGMTWRPQVTPDVQAFAA MKAAITSGATIWSSSSVYGMTPEPPTAGLWLLRRYFEKYPEDATKVTLFIRACLDPTT LSPTTTRASVRASIEECNRILGGVKKINVFGPARMDRNVPTEETLGALKELVEEGKIG AVGLSEVGAATIRRAHAVCPISLVEVEFSLWSTDILTNGVAETCKELGIPILAYAPLG YGFLTGQVTKLEDIPKGDIRHMFGRFQPANFPKNLELVDKLKVFAKSRGVTPAQLGLA WIRAHSNIGGCGTIIPIPGATAAQRVEENCKVVDLSAEEKGELDSFLRSFDVSGERQI VGMSSALWG AFLA_084570 MSSPSKVFNNPLSSPTKALNPLSPERLNQQTYPNSPTLPNDVRN MQRKPRGLSDVQAKVAYLNNLSRGNSPAAPSTQSSAGASAALQRAILGREEAESALDN VSAQLSEAQSRERRISERLESLLEELQTAKTRQAHERAVFEKEIRKARKEAFRAGSVL VKTQEELKQARSEAKVLKDEVQSEREAKEKAKQEAFERAYTLAGLMEEIEVLKGRLRT AEAKSHAVTLEARAHKMHKGDVGRVSLAEGDLAFLQTPTPRRPKRSAEESEDTPLESR FNHSVAQDTPPKRPRVSDVTPRNEMQKLDSPEANGDSIHELQVQLESERQLRVNAEDM IEFLKVECMFKRCTCRIEEEREKSHIQDTTEHATKKLDGARKHIDKDEHAGANPHIEN SPPSPDKSSGQPIESAEEPETEDQPQPEETTITFSPATGTFHTIPSPIRRSPTKKLEY DIVELPQLVAENQSTPQPQITSPLAKYDVPWDETPFEAVHEIQARAPLSPSRVRPSPA ASDVPWDSEVPEQHRFEGNIDGHDSIRRIPLRNESVEPDHLHDVPGTPIDRAEALAQI RARRGRTNNMKRSVSANESTLCAGGIGVTPVRAARRIPAVQNPDGRYSEIRSRRDMSA PVRMFHR AFLA_084580 MHEIVTLQLGQRANYLATHFWNLQESYFTYNGEEESPVDHDVHF RPGVGADGTETFTPRTVIYDLKGAFGTLRKYNALYELTEDANLGQGLWDGKEVIQQQT PISQSDYQKNLDAGLPAPKLTTETVRYWSDYNRLFYHPRSIVQLNDYELNSMIMPFED WSVGEDLFSDLDKEHDLLDRDVRPFAEECDQLRAIQLFTSSDDAWGGFSARYVDRLRD EFGKKSIWVWAIEGGSRVSRQTQLKRDMNKARTIYSISPQSSLYTPIIDPPSHTLSKV HFDPHSEWHTTALISSAMESVTLPTRLRQFHDFESSLAGDDGTHKIFELQSSVTADDG GNRQHLPVKGPLTETNASEQGAAKSQAKFELDFTYDGRGSSNSHIFNQLQVWRGTNLD QDKGSVAQEDIGLSRKQRYYNSAPMFQSYPSHMFSGAKQNKINVLAALTASSRTAERI KVLETVAGRIIGVDERETLVNGLGEIRESYETGWSNDSDFDDI AFLA_084590 MAPKLRHASPRLFTSSPVLSVLIVPFLLFFLAFPAPAAAAGSAV IGIDVGTEYLKAVLVKPGIPLEIVLTKDSKRKESAAVAFKPTRDNAPFPERFYGGDAL ALAARYPDDVYANLKILLGVPFNGDGNEAVQTYLSRYPALKLENAAERGTVGFRSNRL GEEERKDIFLVEELLAMQLKQVKVNADNLAGKGSDIRDVVITYPSFYTAEEKRSLELA AELAGLNVDAFVSEGLAVGLNYATSRQFPSVSNGQKPEYHVVYDMGAGSTTATVLRFQ SRSVKDVGKFNKTIQEVHVLGTGWDKTLGGDSLNDLVVGDMIANLVQDKKLKDRVAPS DIASHGKTMARLWKDAEKVRQVLSANTETGASFENLYEEDFNFKYRITRSTFEQLAEQ HISRVGQPLEQALAAAGLQLNDIDSVILHGGAIRTPFVQKELERVCGATNKLRTSVNA DEAAVFGAAFKGAALSPSFRVKDIRAYDVSGYPVLLKWPSESRERQQKLFTPTSQVGP EKQITVKNLEDFEFNFYQQIPLEGNVVESPVLGVQTQNLTASVAKLKESFGCSPANIT TKFSIRLSPVNGLPEVVNGAVSCEVENTKTGSVVEDVKGFFGLGSKKEQAPLKEDGEP SESITLEPEEPQASTTSSSDATSTAPAKENKKTSPQTNVETIPIRFTSSPLGIPALSK TELGRVKARLAAFDASDRDRILREEALNELESFIYRSRDLVDDEEFAKVIKPDQLAAL QEKSAAASDWLYGDGENAKTSEFQERLKALKDIVNPALKRKQENAARPARIELFQDVL KNAKTVLDLMEKQVKQDEDLYSSSLSAPSTSEETSSATSPSEPSSTADPLEDLDEDPY SSTASQTISTTATAKPTGPKYSIFQPSDLAGLAQTFESTNTWFETQLALQEKLTESDD AVLTVAELDTRLRELERTMNRIYEKMGAAAAKSGKDQSKKNGKKAKSEKAQKEKPQQE NEQKQKANVKDEL AFLA_084600 MSPSLQNTTKDDDLSSKRRRFQPPITSFFPATTGTDHPDSLCAP HLSYTHYSTTTSSPMPAVDAKIQASLLSVGMRIRKSVAEGYKTRIPNSEDKSTLYTNK TPIAGGPTAYTELAPFCGLTKSGDSTTQPMTHPSSLSYNQIDQLITDDGDAFSLPPSS QESVDSVQTTAQKRAYDCDEDDLDDAFDEMPTGNNWQNFLDPSIGMNSVPGRTILTPS LGQQRRQFVAMKTQATIDVDDFDEPTFLRRREEVDMDLS AFLA_084610 MVKRKLGALEKVEADLPNLQHKIRRDPKSYIEDFRAQHYQYESH REIFMAAPTSATDTGIISLRELIDFVAHVADCYPDITKDFSQQLMDILTQHHLVLEPE LREKIVGSLVLLRKKELLDSATLLQTLFPILITTPSKTLRALIFQKILMDLRSSNAKT TNHKLNRTMQTVLYNLVTSDRVSSKGLWAIKLIRELWKRQIWSDAKTVEVMKEASLSE NEKVIIGGVRFFLGGDQEREEMEDESSDEEAIDLGKVKHQVGINKKTRKKSRAVEKAK ATVKRKERKKNQPHPLNFSALHLLHDPQGFAEALFSKHLQNSKSKLNLEQKLQVLQLV TRLVGLHKLHIMQLYSYFQKYLTPRQPSVTSFLASLAQASHDLVPPDVLEPLIQKIAN EFVSEASASLVATAGLNAIREICARQPLAMNETLLQDLVMYRKSKDKGVVMAARGLLG LYRDLNPEMLKRRDRGKEASIGLRSGEKKEKRFGEEETGGIEGLELLEQWKEEERKKK RAEKGLPSDAEDGEDEEDEAADWDAWNVEDDEDSDDSGGWIDVQSDAEIDLSDSDDEK DARPSKKAKQGAYNEENANSETAQDKTEAQKPNIATIATTRILTPADLAKLQELRTQA AVDALVPGAKGRRGQTNASRHKDDPLTAEEIEGLAALSAGKATREERIAHAKEGKTDR AEHKSITAKRKERKEEQGKSTTNKEKARRKNIFMTLGKARNKNKRSLVETRNILKAHQ DRQKRGGRRGNNG AFLA_084620 MSDHGEVEVENTAAFQVLPKEALAEMGTVKLFNKWSYEDVEIRD ISLTDYIQIRNPVYLPHSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAVRI VAHAFEIIHIMTDQNPLQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQS IALLTIGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR AFLA_084630 MMFESNTVGDILSTIDETDFLGSYQKRRTLLLSQFFGPNSGLEV NTPGSGGPSSSLTSSNYIPPAILSVRPPTAESTTQGYSSFTNERGNTPGSGSFGYDRR ISADTQHTVDRDSAFLQALDRMPSSGSYDSLFLPKSQQAPVTPEDTRTATLLSQNYAF NPGSYQDHSDEPAGVYEMPPPGGITRQSTMLDSQQGYFSDFAGQQQDDYRDSYGGGGF HRYSQSDAFSPTANMAPPLIPASELPHGPAIEHLLPLEPRDIPFAVHDPHDKNMPMSN FDNIPAVLRHRSRIHSKQAAYWVLDQKGKEIASITWEKLASRAEKVAQVIRDKSNLYR GDRVALIYRDSEVIEFAVALMGCFIAGVVAVPINSLEDYQSLNLVLTSTQAHLALTTE NNLKSFQRDITAQKLNWPRGVEWWKTNEFGSYHPKKKDDVPPLVVPDLAYIEFSRAPT GDLRGVVMSHRTIMHQMACLSAMISTVPGSSKVRSHGETIMSYLDPRHGIGMILGVLL TVYGGHTTVWLEDRAVETPGLYAHLITKYRATVMAADYPGLKIAAYNYQQDPMATRHY KKNSEPNFGSVKLCLIDTLTVDAEFHEILADRWLRPMRNPRAREIVAPMLCLPEHGGM VISVRDWLGGEERMGCSLTHEMDPNEREDFKKEERQSEKTKDNSGFGSSLLGGGSSPA PISKEQTKNELQEVLLDKEALKSNEIVVLAMGEEARKFAGSMPHAVRVGSFGYPIPDA TLAVVDPETNLLCTPNVIGEIWVDSPSLSGGFWALPKHTEAIFHARPYKFEEGNPTPV LVEPEFLRTGLLGCVIEGKIFVLGLYEDRLRQKVEWVEHGQEIVEHRYFFVQHMIVSL LKNVPKIHDCTAFDVFVNEEHLPIVVLESYAASTAPATSGGPPRQLDSVLLESLAERC MEVLYQEHHLRVYCVLLTAPNTLPRVTKNGRREIGNMLCRRDFDSGTLPCVHVKFGVE RSVMNLPVGVDPVGGIWSPLALMSRQEMLAMQEKQYSGVDYREVVMDDRTSTPLSNFS TIVDLLHWRVSRQAEELAYCSIDGRGKEGKGITWKKFDLKVAAVATYLRNKVKVRPGD HLVLMYTHSEEYVYAVHACFCLGVVAIPLPPIDQNRLSEDAPAFLHVINDFNVKAIIV NSDVDHVMRQKLVSQHIKQSAQVLRIGVPAIYNTTKPTKQSHGCRELGYVVKDAWLQG STPAMVWTYWTPDQRRISVHIGHDTIMGMCKVQKETCQMSSARPVLGSVRSTLSLGFL HTCLMGIYVGAPTYLVSPVDFATNPMTLFVTLARYKIKDTYATSQMLDYAMTAMAGKG FQLQELKNLMISAEGRPRIDIYQKVRLHFASASLDRTAINIVYSHVLNPMVVTRSYMC IEPIELWLDLRALRRGLVCPVDPDTDPTALAVQDSGMVPVNTQIAIVNPETCTLSHVG EYGEIWIQSDACAKAFYGSKQDFDHERFNGRIVDGDPSVAYVRTGDLGFLHTVTRPIG PGGQPVEMQVLFVLGGIGETFEVNGLNHFPMDIENSVERCHRNIVTGGCAVFQAGGLI VVVVEVTRKAYLASLVPVIVDAILNEHQVVADIVAFVSHGDFPRSRLGEKQRGKVLAS WVTRKLRSIAQFSIRDMEGPENPFSEAPQHRVSRSSKPGSMMGNSTRRSTVIPDSDSA VPRSPAPVLMEQPEAPGSYHTKQEREEPAIDSPITIPETIPSVPHIAEPTRPPTSSTT GGLPAKAEAPATIGNPDFGFDFGDFANSAATATAPLEASTPAIENLPYRNPPRGDSLA HKQQYAGVPSGFSTGQPDFYDGRPDAPDVGAGDWPQEALMYQSTLGVDDTYGQGGVPS TPSSNDDMTRRRFDGNNYGI AFLA_084640 MHSQALTTEILLDKEATYIALGAAVRVATSLGLQQQLKDPRLSP PEISERYIIFWCMYSLDKSLSLRLGRPPAIDDRDIEIDIPDERQLAVTNPRTAVLLLA HMKLSRIASEVYSELYSARSRRYPALKRFRTISELDTKLQEWCRSLPGDVQPGKPIVC TKENVRHAITLHLEYFNCLATLHRCWAFQDHLTLFHSLSAFIILFANVIQNPGDSYIT EDVQLLGVILDSLFPSLQASGTFLPNLVSEIFSKMRLMAVEYVEKVQVQLKTVSKRPR RDSDEAVMHLPHRPATENHGQPHNKGLDSTADTYLTNARHNASHNRTEPTSLNETELP HELASRDSAWGSLYDGLDDPPTDEMPSEQNPLNENYSMGSLAESLPGSIPFIPWSLDF DLTDLWQFGKQDLVEGHFRE AFLA_084650 MTSRGLGALVCEKFAAEGANVLINYHSNVEAAQQLADKLENEYS VKCHIAQADTLVAAENDQLVHTAVEKLGGLDIIIANAGWTRFTRPGDIYDMSHDEWNK CWAANVMSHLQLMQTAQPIMSKNPDGGVYIATSSVAGITTSGSSMAYSVTKAAGLHLM KHFAFTFGPEIRVNAVLPGLLLTEWGMKFGDNIINALKERSTLRHETYLDDCADAYIS LAKNTSATGQQLTVDAGLALGHLPSAKV AFLA_084660 MPMLKDPSKKYKRFKPLHLPDRQWPNKVIEKAPRWLATDLRDGN QSLPDPMDGEQKFRFFKMLVDIGYKEIEVSFPSASQTDFDFTRRLVETPGVVPDDVWL QVLSPCREDLIRRTVDSLKGAKKAILHIYLATSPCFRRIVFNMDKQKSLEMAVRCTKF ARSITKDDPSTAGTEWHFEFSPETFSDTEPEFAAQVCQAVKEAWGPTEEAPIIFNLPA TVEMSTPNVFADQIEYFCRHVSEREKYVVSIHPHNDRGCAIAAAELAQMAGAQRVEGT LFGNGERTGNVDLVTLALNLYTQGITPNVDFSDINAVIKVVEESNKIPVNERWPYGGQ LVVCAFSGSHQDAIKKGFKLRDDATAASDEEVQWEIPYLPLDPQDIGRTYEAVIRVNS QSGKGGAAWVILRSLELDLPRALQVEFSKIVQKKSEEVSRELRASEIVGLFEEAYHLK SNPRFNLVDYNITTDRSTSPAPPEPGKALNTKNLKRRFTGVIEIDGVQHAITGVGPGA ISSLAGALSTLGIDLDVVDYKEHSIGLGREVKAATYIQCTAAGSKEQVWGVGIHQDVV QASLIALLSAASSFLTSRAGSPAPFRPIRSNTLTDEDLQALETLTGSSDLATNLAKAA NGSSKSQVDIDALTRQAESQ AFLA_084670 MAPPKKRTAPKDDDFVLTLSDDENDVFSGINEDGDDHLDEETAK STTKKRKRDTAETTQSKNKKQKQQKQSKNGKQQKKVEEAASEPEEGSEEEEDAGEDDG ALNSDFEFDVGAAAQKDVVEGFDGWGLDETKDGAKKNGDKQGVDIDEIISRRQAKKEA QLKKKPKKQEVESEDEGSGNEDDASDGGMSVDFQDDELMAEDGFGMGADGEDESGQSD AQESGSEDEHAGSDSEDSDDDDDDAASDNDSVATPVQHPDDVASDNDGSDIESEVDAE EEAKRKAFFAPEEQTSEQSAAELSKKSFQEFNLSRPILRGLAAVNFTNPTPIQRKTIP VALLGKDIVGSAVTGSGKTAAFVVPILERLLFRPRKVPTSRVAILMPTRELAVQCYNV ATKLATYTDITFCQLVGGFSLREQENILKKRPDVIIATPGRFIDHMRNSASFTVDTLE ILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDSVDKLIRVGLNRPVRLM VDSKKNTSMNLIQEFVRLRPGREDKRLGYLLHLCKEVYTGRVIVFFRQKKEAHRVRIA FGLLGLKAAELHGSMSQEQRIRSVENFREGKVSFLLATDLAARGLDIKGVETVINYEA PQSHEIYLHRVGRTARAGRSGRACTIAAEPDRKVVKAAVKASKAQGAKVASRVVDPAV ADRWAQKAKDLEEEINAVLEEEKIEKQLAQAEMQVTRSENMIKHEAEIMSRPKRTWFA SEREKILSKKAGAAELNGLDSVKSKKEKVRLSNKDKKRLDDSRQRNEGNIGWKKGKVD RESQKQGKIQKGKKENKKKGKK AFLA_084680 MTTSEESDVVVLHRGSKTSNTDAEITPVPQKEYIHETRQCSLSP PQRRHAGGRVTSNVQQHIGQKATPASKENFSTDDEPVQEASPTSSKEEALEELNRLRA QIVRQAHLDRFKDLDYPTANPEILERCRSGPGTDPSSEFYISIKECRIAPILSASVPG KTALTSGGGHKTIKPSVSGAHKVAAQWECYERSPTVYPIVKDGELNLSSEPTNDHKLI LAQLAQTAGLQGGTGGQNTGSGQERKHSWTDIYYANWEYYPHACSSSYEAFRDWFRRW LDGTIQICCYADIYHHAFFDGTAHPDGIRTMYIPDLEEQTTLLDMDDKESQLHCHETV QGYCHNWELHNKKERDEEQLRLRITREHYLEGLRNFPQPSASVLKENVYLRPVEIGDV PGLLEIFNWYAHNSPLSTYIEPLEAGDIRQCIDDSTEQKLPFIVAAERRIRATPNNGP QKILGYALATDYLGRRTSGRFTAELELFVKPGHTHKGIGKCLIDKLLEVCDPTYIPKR GYFFDCNTEERTRYWAGGQRRLGRLLFAISYPSDKRSTYSWIEEWLKSQYDFEEQGRL NGARVKFSHL AFLA_084690 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKRIMKEDVTTVSP TLGFIIKTIDFQGYKLNIWDVGGQKTLRSYWKNYFEKTDTLVWVVDATDRLRIDDCRD ELAGLLLEERLTGASLLIFLNKTDVEGCMTEDEVHKRLLHCSSYPANNPNTLSSGLNL IRSRPTNGRYCPAVL AFLA_084700 MSSSADAEGSEDVNDFLLRIRELGEKRDKEDEERTRKLEEEILQ GRKERQARRAERARSISPTKDSPSILDNARLSTSSFSLRAIDPPEQLEPTPRTPERDI SSKSEAIPDDESVTTNGSRRGSRVEINELESSPKAPSVSLRSRAGTLSWQQRPSSREL NRPFFSTSPVRENRLRAMSSTSIDDRGLSGSPTLRSPPTKEAPLDQAEKNASSPMRTV TVEEKLQDLSTQDKDPKASEIEPESTHELEKESSQPEVGEIRSRSPSRASSTFAESSL GHRYSSVSSVSTATGLGSPVPLSSAQKLEPRKTEADPEDQTTTPLSPRRLSPERSTSP TKGLGGFVQSAMMKRSDSVSKRWSAQLPSGLSRGHSFAGNRNSFAAPSKNDLLSSPRL TPDSSTLTTHRPGSSHRPSSSHSEATIIKESERPATPPVSSGNDTIRPEWSPGRPQLA LHTRSASALENNDSSSLPATSPVVSRTMDPKRWSPTKSTWLESALNRPDSPRHKKQPS QSTTWNRERQSRGSIDMGRSNTFKEVTPVGLMRTAAPGSHSKTSSVSGMPDLFGTTDT ANKVKEAPAETTAPDTEGNNIQSAEKSSTDPSKTAETVPESTDQITEPTTTRRKRAPT LLTPTSNASFDSPISPTRISPTRDPLLNRPKPQSPVIDFRANLRKREVVKDQGPKEEP EFKNVFGKLKKTESSNYVPPDKLKDNILRGKAALNATGGPKKTQKVDELKESILKQRE AIKSSGGSMRRNTVGENDAPMKVVPEAIAKRQNLTKSSSAKSNVSDTPVSLSPREPGT PQLSPQLPSELEHSPSPQLATEEHNADAPNTQEQAPEPDHPISEQNSKNEPNESKDQL EDAVIGEREKSSEEAIQPVRALPSGNVVQATNPPASTEGLATKGKLAGRINPALAGLL SRGPPATVNGSNNALLVNDTVSSAPTPATATLTHMTKNRARGPKRRLPNPVASEAVDP PSKEATESYETPDFPDISEPEDWDCAAEEPNPREDLLSSKVEEKKDPVKDFGAKTERA TWDVSSPPDEETGAFIKDLVPKTETPSHGVPPSLDIEVDASMDLAQKKEPSLEEPLQF SDIKGDQLPTVDSAPQEKPDSQETPRFSTSMGNEAPTVVSVLNEEEIISPEPVLPVIN EGTVSENCTSKRDTISRLTMSPDTRESETMNPVSKEDTVVEQIPPPSEIEGHEPIGSL ESEKADSPKSLLSPSIMRSDVSSTGPVLEKETESQATAASPDIKEPGTSPSPTQSPVG NSSGWPLPDGDIPAPAASETGLAQPSPSTIGKPIEFKRNIPHMAERDSKQNFGAIQSK PATEFEKLTQKFEEAHGDVEHRRDSFKPVPPPKAAPSTPTPEFRQSRSSPIHFSSPSP SPLRSSFKQNQIYPSPTAYRRTAPGMSPSSPRDKSLPSPPVPPKSSPSLDQVSSRRSS ASLVPQADESLEAISGFFMTFPNPRDSVNIDAQLMLTSKNENQKIRTLRKQMWEITGD GKKQDLPVNQEYILYEGSMYLCVHLFEADGGARSETHLWCGDDVPDAAIDDAQSFSKK VAKENGCKLEVIKQGKETARFIQALGGILITRRGLSSRSSSSAFFMLCGRKHLGQMVF DEVNFSRQSLCPGYPFVISAMFGKVYLWKGKGSAAEEVGAARLIGMDLGLTGEFEEVA EEEEPESFFECFPQYGESEDYMRPDYWRLKPNHACYRPRLLRIDHELGQQRPTGFWLR RPGSASPVIRPNDTVQEIEPFCQKDIKTNGIYVLDTFFEIYVIVGEQASNRPADFVSA VVFAHEYGILAASLQDRPFIPKSFVSLGGVPGRCCTAFRKWEQPTLRMPPQVFPLNAA IEAIRS AFLA_084710 METLDSSIHRELSRLDPAVPFRASTEHIHHTWARTFFSRPELYI QPQSIEEIQKLVTLARRCRRRLVTVGSGHSPSDLTCTSSWLVNLDKFNRVLDISPEAG DVTVEAGIRLKDLGKHLENHGLALSNLGSIDEQSIAGLISTGTHGSSLRHGLISECIT SLKLVLANGQLVRCSATNNPSLFRAALISLGALGIIVEVTFKAEASFKVAWRQTRRAL SSVLAEWSTGLWTSHEFVRVWWMPYEKSAIVWQADKTDLPLRAPPATFYGETIGYHIY HNLLALANYFPRILPWVEWFVFGLQYGFRSEAKVTEAVEPARAGLLLNCLYSQFVNEW ALPLEKGPEAIIRLSAWLNGDTETARIPFPVEGLWVHCPIEVRVTDSTHNKNPRPFLD PSHHDGPTLYLNATLYRPYLRDPPCKDRYYEAFEWLMREMGAKPHWAKNFKVTGRHEL QGLYGKNMDEWLKVRQEVDPDGMFLGEWHRRNLALPGGENEETMSTETRILPLLEREK SRRMANFRGAGDGMEWIGDKQQRGDSGNRVNLLLTQEKKYDGSSEGMSPTTATSEESF DLLAAGEASTLLPDHE AFLA_084720 MMSRQPKLRPKSHIPGFPDAMYDLATMSSMSQMEARTSLGEDKH DQRVFRVKRKHVLKACDRCRVKKTKCDGKQPCNRCSAYNHPCLFRERKATQTKVYSRG FVEMLESHHSLVVKALQRLYKLCLNKDGFPGEPLTESPDGYPLTHAILDRLGLIKQAE ENADEQDEDSEDLQYLRYMASTDCSATTDPSPEPVTPPEPSPSHCSPVNPSTKTDGPY NWEYQPVHAAHHEQYASYQHSGFYSVTMPRSAVEATGHVAESKCSEALPPVSNPENSY YFYTGTNGNAESTKGPLHPGVTAGPRTHHHSTGMPAELLSNYSLHLHDQQSLYQGLAP SWSSYPCG AFLA_084730 MSTDYTYDEQGQFFPFFILTLTGLVTFPLTYNLLKPSKELENTA PRIKSDYKPEHGDLIEAQKRKRLRKERRIKRIVTVVLGYAVMAWMVYLIIVTARTVPK IWDPYDILGISRSADEKAISRHYKRLSLIYHPDKIRPDPAKNETIEMLNERFVELTKA YKALTDEEVRNNYIQYGHPDGKQSFSIGIALPQFIVTEGNGKYVLLVYGGLLGVLLPY IVGRWWYGSQRYTKERVLVASAGNIFREYKDGITGGGIVGALSSGDEFKDMLKGSQAE AGLAKLEKMVLADDSSFLSSADREKLKELDDSTRRKALALLWAYLGRIDLNDATLNGE KYEAAPIALSLNEAFTAISLAFGNLRPILGSFQVSQNIIQAIAPGSSPLLQLPYFTDD IVKSVEGDDAKTHFNVQRFMSLPEDKRHSLTVGAGLLSEKQYASAISVAKQLPALEVS KAFFKVMGEKVITPSSLVQLVVKARFVPPGYSNVPEVTPADLEDVDPDEDDLDALMGR KSTKTKKLANGEKVESKVEAIQPPLAHAPYLARDHSPRWHIFLADPKQGKMAVPPFTF TTFDKPLFDEAGKPTFNVQTLKMQFQAPPQVGDFTFVMHLLCDSYLGLDTKMEVTLHI DDPAKAAALEEEDDISEPDEDSIAGQMQALKTGQPPKKKAKKPEDDSSEDESDTDGDA GDTSDTNTETDVDD AFLA_084740 MGQRHNRRRTRPRSRNRSANHSPIELPPYNVNYTRSPAVLKTTP AACDSLDSISIPFAPTWHYGYTTWQKRDRTLRLEALRLEAEQCRLFGGEPGDDVGLCY RMLEYFGGLDYIDSSQSPRLLPG AFLA_084750 MRVSEPSDVVIRSDDTCHIHLTWSGSERRSAAKQHARKVAMKLG VSSGLIYLVGQPTVNWGDSDQPQPFRQRRYFYYLSGIDEPDCYLTYDIQADLLTLYVP DFDLRRAVWMGPTLTIEEAHKQSDVDRVNFFAALQHDLEWWTTKNKGTRPIYVLHDSQ QPLIPSKRLWLDNERLLPAMNAARVIKDEYELRMIRQANYISGLAHRKILEDIHRMST EAEIESSFLATCVSHGAKNQSYAIIAGSGENAAVLHYVKNNEPLDGRQLVCLDAGAEW RCYASDVTRTIPLWTDWPSERARNIYRVVEEMQEECIRRIRKGVRFRDLQLLAHDIAI KGLQKLDILTNDCTSAIYESGASAVFFPHGLGHHVGLEVHDVSKRPITALDGNQANWG NHNFVPLLTDSSWSVPLLDEGMVVTIEPGIYFNRLALLNAQNQPLAKYINFDEAEKYI PIGGVRIEDDILVTAKGYENLTTAPKGEEMLEIIRRGIDNS AFLA_084760 MRGSEASGLSALWGAPSTLTTSVISGPTTSPASQSRYATTDPQR VASNIEHVLLAMSSPSQDGGLVSASGNSSGSTGKGILIGVLSAFGSAAVAVLVLAIFF FFKYTQRGRIILDRIGRPGEYDDEQAFLREETEALEAMDDLSRSEYLRAKAFIEANPP ESMQTDISLSQFLAIQEKGVSAWEFQPELEIANCFVEARTEIEFYDSECSVQTNLPVP KQNDVYYWEAKIYDKPENTLISIGMTTKPYPLFRLPGYHRASVAYQTTGHRRYNQPFT PTPYGPPLSQGDVIGVGYRPRSGTIFFTRNGKKLEDVVHGQKAQNFFPTIGANGPCTV HVNFGQMGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLESGRESAAQISQRVY QDANNARTSSTVRIAPSASPGPVRSPTDISLAQLAHIPSNEDAGEGSSRINVGDGEHT PLLNTQDIDLAPPPEYSSPDSSRRGSEDLPRQNQPPIPSYDAAVGNRDGNPSRPSGNN AFLA_084770 MKMNQSRTKRASGRGKGRVKTKQDIADPELNVEALTTGPFGRPP EPGKGDLQVIVLGPTGGPREDRVTGLLVRSTSTSWRPDTVIAVDAGTLLSGIIHILET YNDMDEMIVQTGPFTEMPLPFQTAPANAAHILREVIGTILVTHPHLDHFSGFAMNSPI LEATNGPKTVAALPSVISAIKTHIFNEVIWPNLSDEDGGAGLITYQRLQEGGNLRMGR DETRGYTRACEGLYYRCFGVSHGCTRRHYAPEAEMRRSLSNAMYLGDPFMMRSASRAA ISLTQEEPGYMSPAMPRPSNPRDTWMSVESSAFFIREQHSGREIIIFGDVEPDSISVE PRNKRVWEAAAPRIASGKLRAIFIECSYTDDVEDESLYGHLCPRHLIAELKVLASEVI KAKYPSSSGIGKRKRPSRETPAGSQPTSPKTRRSQDVLPGSSTTAITSAPQVVAGSST EPSGTGATGTLDAESNWPHEAPLIHLKVYLIHIKEDMDGGPCPSDTIVTQLRDQAQAA NLGCEFHAPKRGESVQI AFLA_084780 MSSQPQFIHYPETREGPSVPYRNEDQSIPVFRGLPLAIGATLIH NVNFIQSYFWRNAGFDIIHDIPHLKQYPARYDPTVIPILENKPEIPSSPAELPIPSQR RNGPSGYYTSADYHALYKSGELKPLAVAETLMPLIRRDAETPGKHSVAFLDSQVERVR AAAEASTKRYKDGKPLGPLDGIPVVVKDEVHIEGYRRTLGSKLDFTGEFTGTSWCVKK WEEAGAIIVGKTTMHELGLDNTDTNNNNPNHGTPRNPHNRNYYCGGSSGGSGYAVGAG LAPIALGADGGGSIRIPSSFCGIWGLKPSHGRISGTPTVSLAQTVGVYGPMAASIDDL ALAYRIMAAPAPAEQDPSSASFPDPLTTLQVWSSKPRTKTIGIARDWIDRAEPPVRAV FDRALDFYRKQGYEVIDITIPYLPEGQRAHILTIMTEIASGLTPDQVGKLSAPNKVLV SMGMWQISGQDFLASQRLRSIIMSHLAYLFGKHPGLLILTPTTPIPGWRINGEADLSR GLSDGKSSVRNMEYVWLANFTGCPAINCPAGYVQDTRVPVGLMAMGEWGTEEDLIAFA RDGEAILDLSENQLATKEHLGEQSTGLRIPYGEGSLWEDVIASARQ AFLA_084790 MDSSYKARKEAFVSNLAGGSILEINAVTLVAPTSVLLWSVLQSR LSFFIPYGALALVTDFFLNVLPILFATTLYSSAPWTLNILLALPALILLFTSTPSRTQ QKAKPPRPSAAAKKNTPKHASDSPEPLPVHPFLTTYRAAMMVITCVAILAVDFRIFPR RFAKAENWGTSLMDLGVGSFVFSSGVVSARSILKGRNSHSKKAGLWQRLAASARHSIP LLVLGLVRLYSVKGLDYAEHVTEYGVHWNFFFTLGLLPPFVEIFDALAAIIPSYEILS LGIVVLYQVALESTDLKSYILVSPRGPDLLSKNREGVFSFLGYLAIFLTGRAIGIRII PRGTSASRSPQQARKSVLISLGLQTLVWTTLFVFNSTHAMGLGAGIPVSRRLANMPYV LWVSAFNNAQLFLFCLLESTFFPSIHRETGKDGELERTSFATSRIMTAFNKNGLALFL VANLLTGAVNLSVPTLDVTTAHAMVVLIAYVAMITGVALALDRANIKLSL AFLA_084800 MNQLRGGWSMIEAGLNLKQGGFSKYPYLSYKTIYRFRTPRSRSS SISRNVNDHFRQQNSADTVRLF AFLA_084810 MLVIPKDALPPSLGHSLGYYSKHMMASIPDPQPKKRESRAGTRK VTSLSAEQLERKRANDREAQRTIRQRTKEHIERLEHQVAELKSKGEQYDHVVRRNTAL ENEIRALKQQLSLARSGQAYSGPGEVVPTKCAEGSIHTTLNTSCNVRRVNAQCPCQLS RQKGNFVGTPSFTPPNNINKYRNIPKETITAMIGLVDSDTVGKWSFKEVYLNCVIVA AFLA_084820 MASITRALRPLCRTTPSFRMAARRPLPSHLARSTHAFSTTSRRR DVDLSTLTPTPITLLSETESMMADTVSKFAQEQIGPKVRDMDEAEAMDPAIVEQLFEQ GLMSIEVPEEYGGAGMNFTSAIVAIEELARIDPSVSVMVDVHNTLVNTAIMKYGDAQA RRTWLPKLATGTVGSFCLSEPASGSDAFALQTKAEKLSDGYKLNGSKMWITNAMESGV FIVFANLDPSQGYKGITAFIVEKDTPGFSIAKKEKKLGIRASSTCVLNFDDVVIPKSN LLGEEGQGYKYAISVLNEGRIGIAAQMTGLALGAWENAARYVWNDRRQFGQLIGTFQG MQHQLAQAYTEIAAARALVYNAARKKEAGQDFVQDAAMAKLYASQVAGRVSGSAVEWM GGMGFVREGIAEKMFRDSKIGAIYEGTSNIQLQTIAKLLQKQYTN AFLA_084830 MLEYTEKRGKRKENRGELGKKRVLERNHEGAADEPRLTAAAPSI DRAVGMYGVNSRCRKGWTMMAEYHYLATGFRPHNMRNLIVKPCSVSLMDGAMGFNSPI LAVIKIIKAKANMSFLALGDPRI AFLA_084840 MSGTTHDPRLLYSVNNITAFHIQNGEETELTPSGPQTLSLLMVP TSASTPQSSHDAPAEEDFYLHLNLPPELDLALPASTQIYHQPPNSYLIPRWDLGPDAG AFIRIQFPAIGSGPDKVSQEDVDTFETILAQCTAFLERAAPPKEHAPYNPADFAPGAG YVSSTSPDQKDPHGRIVLVDEEDGSVVGEMEGYDVVEKPGVKPGSKRPVEVELPTEGE GNKVSVSNVSEEYLQMARHPAYKDSTLVQTSATASRLIVTGSSYVANLMTSGAETFTK KTKPNPKPMTFSEATHSRVRKVGNLTHGAAGISAKTVGQVGKVAQNFGASLTRRNPDA KRKGDKAVNGDYKPGILNKSMIAFSTLADGIEQSARTVLTHGSAAASTMIGHRYGAEA GAVASDLTGGVKNVGLVYIDATGVSRKAVLKSVAKGMVVGRMRNGQQVLVGSGDGGDV PPAAGGRSNITSGSGGRGSVARRPSPTPTPPPAYGAPNTTSLGGISMSGGKH AFLA_084850 MNSALSYQQLYSVLAPTGFSAMNDLQSQSLPHTAHASPALTSAA PAPAQNNHRSTVYPDLLGAPPVPPPRTSSTQRSHGSSERAPGSRHGKSKGDERSTDHR ERRREDSRSRRPAPRTSEDQSGGTGEVNSRSPAASSSAPMRSGNPAEAFPGQGTLVKE SSTLINQVLVSDPSVDIEREQVRQAGTSVSPSTDGTPTPGLGLVGSDGVDDGGRGGLR SRHDYNENSIKRKETTFGQYILGQTLGEGEFGKVKLGWKRDGSIQVAIKLIRRESLGS NPSRLPKIYREISILRDLSHPNIVRLHEMVETDKHIGIIMEYASGGELFDHILNNRYL KDNSARRLFAQLVSGVGYLHKKGIVHRDLKLENLLLDRNRNIIITDFGFANTFDPLDE LGEEIEYNLTNKEFVKRMRLDKPNAKGLRRGDLMQTSCGSPCYAAPELVVSDSLYTGR KVDVWSCGVILYAMLAGYLPFDDDPANPDGDNINLLYKYIVTTPLTFPEYVTPHARDL LRRILVPDPRKRADLFEVARHSWLNEYSHIVSHITSSTTKVADIATTTVSHGTTLSGH VRCLPANSLPRSEQPKEAPSLGRSASVREPPKTYQSTVPSLGGLVHHAGNISQEQPAE SSKTPRDTKRRTVQVEYVAPSSQTTRGEGMGSATAGPSSAAETAEHVPTGARSNSRDA ETVSPTSGVPQDAPMAPSAQAGDSKAQRPQSGHLPRSTSDSTALTGAGTMPQPHSMRP TTGASMSSFNTGRLPSRGSYGQPVAPTVAATNAHGRLAQPKSKQYVISNPIPQDPSQP AAASIGRPSTQALPAKFNTTPRQEPPKGHKRSNTVSGIGEKLFGRSGSIFGGRGGQAN ARQKSGKRYPPTSMKDPYGGDMPRMSMDSRRSMQYGSNRKTSETGGESRPRRFSLLPA SFSLKGLSSSRSQTPDEESQVDRSTDNRAQQKPSTGELRPRARATSHGTQDAIGVMPE GAPADEVFVQDEPINYQARIDEQFAVLHGTQSATYQPTSYSAEQVYQNDNDHYYRNQY ANHSTPNYYEEYNGPYDSAPRQSTSVSRPTRGASVLQKNHRKFADAYEYERDSSHHSG SSGAARKVMDFFRRRAKSRAADDR AFLA_084860 MYTYTPTHKFLVLSPIHSGPMNDQAESKEPTQVRERSSSQSSTA SAGSQLRGAFSPLPGGFLYLGHEQDRN AFLA_084870 MNKGHPIHLTHFLLAVVICQLLRNSYKIQELFFQKKIFFSSLKP TLGNYDYQHACSPVAIRME AFLA_084880 MSIEVDWRAATSGPDGEALAERIRSFIHDKFQQVALPRFIRSVQ VHSFDFGTIPPDLEVKDICEPFADFYEEDEDDETSDVSEELVSGHGTQWHRDLNEPPF HEEMAMNRPLRDPFDEAFHSSTLRSPMEHLNPHFLPRAGTPGIPGGTSTLGYHLMSLG GLSGTQTPLAAVAGGTPFANGWTDPGMGASSRGHPSISGPTAVHPSRMEADIDTSNPT SRPSTSSTLPSHPSASNQPSGDATTGKEHGSLAEDEHLDDPMTSGHPLRLPPRMRERR PEDFQVLCHAKYAGDVRLSLTAEILLDYPMPSFVGLPLKLNVTGITFDGVAVIAYIRK RVHFCFLSAEDADALIGSDQQEARGQDDRPWSSADPTASPKRQGGLLREIRVESEIGR KEDGKQVLKNVGKVERFVLAQVRRIFEEEMVFPSFWTFLI AFLA_084890 MIKFWCLALGAAYFTNALTLEKKDLPSVLEVPFESGRFAGAHHH DRRGKRDHTVKLEFYGNDFFYANISVGNPPQKLKAQFSTLDDSSWVVVSDNKELAKPI EQGILDGYNANASTSSRSVKTHATVDGFDQDEVGNIDIMSDTMIVGDVKLEAIRFATL REKAAIGDTLGLGYGNGNSEFISVTQALVDAKAIQSPAFSMWMEENHDQTYIPGTILF GGVNKAKYVDKLHTLPVISPPDLSKLFRVNLTGLSVGTGSTTKSVSPDSFSIGAVFSS ATDFIGFPKAITQDLFSQLNVTMFYENGQPMFPCDKPPENKMLAFHFGDVAFNFSLDP FIAEAPSTTDPKQHEEGYCYLSFLTIEPERSKELGGAIIGANFLKLVYTVFDMKNDEV SLAQRCWEKAPDEIMEIRSGKDGVPDRTTQEKDKANTADKPKKTDESTGSNLKSGNGL KAVIAAGTVLMVALIWG AFLA_084900 MSSHDTRSLRQSKRFSVTALYLSMSAKDRDLEISDDLAKAQKYL RELKSKISTQSKKNFVLEKDVRYLDSRIALLIQNRMALEEQNEVANHLDEAIDPQEGF FPNDEKTQKYGNLLFLLQSEPRHIAHLCRLVSMSEIDSLLQTVMFTIYGNQYESREEH LLLTMFQSVLTYQFDNTPEYSSLLRQNTPVSRMMTTYTRRGPGQSYLKQVLADQINRL IEYRDVDLEINPLKVYETMVMEHEEKHGSLPADLPRSITAEAAAENAQVQAIIAPRLR KLTEIANGFLTTIIDSVDETPYGIRWICKQIRSLSRRKYPDAHDQTICTLIGGFFFLR FINPAIVTPRSYMLIDATPTEKPRRTLTLIAKMLQNLANKPSYAKEPYMAKLQPFIQQ NKERVNKFMLDLCEVQDFYESLEMDNYVALSKRDLELQITLNEMYATHALLEKHSVAL AQDQHSRLHELLNELGTAPTQVPRKENRTITVPLFSRWEAAVDDLTAALDITQEEIFF MEAKSTFVQILRSLPPNSVVARRPLRLDRIAEAAATLKNDAVMVRKGIRTMELLSQLQ EMGVIDRSDEFSLLRDEVEQELVHLGSLKEKVLDETKKLEEVFATIRDHNAYLVGQLE TYKSYLHNVRSQSEGKQRKQQKHQELGPYKFTHQQLEKEGVIRKSNVPENRRANIYFM FKSPLPGTFVISLHYKGRARGLLELDLKLDDLLEMQKDNLEDLDLEYVQFNVSKVLLL LNKRFARKKGW AFLA_084910 MDSAADFPPFQQQLTSSLVQMTRTVGQLSAEDLSFHRTSSAELS ESIDEQSGRILSLTSSLLKAATAGTDLPVPTLQDEDSIEDNWRGVVDVIDALLERADA CLDEFTGVIKRLSPSQQEQSAAKATKKTTSKFPTIYDYGPSKIPKPQLYFERQVDNAD DSPFKPLLRTKPHAVVPLEKSVESSDRNPYETEIRAARYPESTYAVSSPVPYQPWEST TATFVDTLEGVKEMLEELKSAKEIAIDLEHHDVHSYQGLVSLMQISTRDKDWVVDTLK PWREELQMLNEVFADPSILKVFHGSSMDIIWLQRDLGLYVVGMFDTYHAACALNYPKR SLKFLLQKFVNFEADKRYQMADWRIRPIPEGMFDYARSDTHYLLHIFDHLRNELIENS TPENNLIDYVLEKSKDEALQRFERSPYDAAXXYKRMYKDSSP AFLA_084920 MSIISVKAALDTCLAFLNHFPLFALMLRVKDSRRLPGGVHFELR EEHDKSSNTDSASTVPYIHLESIPLTLRAMFDQYFQLGHRLRKHYLSPRVIFCLVTGR FVPPIHRRNLYSMQYSMLPARRAGMAEVWSLLTQPRKANSGSGGSSTMVGGMGATANG LLKVPNGFGQGDMRRRGHR AFLA_084930 MSSRPELKVDDEVGFIRFYRSLAANSNDETIRVFDRGDWYSAHG AEAEFIARTVYKTTSILRNLGRSDSGGLPSVTMSVTVFRNFLREALFRLNKRIEIWGS VGTGKGHWKLVKQASPGNLQDVEEELGSVGGLSMDSAPIILAVKISAKAAEARSVGVC FADASVRELGVSEFLDNDIYSNFESLIIQLGVKECLVQMDANKKDVELGKIRAIADSC GIAISERPVADYGVKDIEQDLTRLLRDERSAGTLPQTELKLAMGSASALIKYLGVMTD PTNFGQYQLYQHDLSQFMKLDSSALRALNLMPGPRDGSKSMSLFGLLNHCKTPVGSRL LAQWLKQPLMDLAEIEKRQQLVEAFVVNTELRQTMQEEHLRSIPDLYRLAKRFQRKQA NLEDVVRVYQVAIRLPGFVNSLENVMDEEYQTPLETEYTSNLRSHSDSLAKLEEMVET TVDLDALENHEFIIKPEFDESLRIIRKKLDKLRHDMGVEHRRVARDLDQDIEKKLFLE NHRVHGWCFRLTRNESGCIRNKREYQECSTQKNGVYFTTSTMQTLRREHDQLSSNYNR TQTGLVNEVVNVAASYCPVLERLAGVIAHLDVIVSFAHASVHAPTPYARPKMHPRGTG NTVLKEARHPCMEMQDDISFITNDVALVRDESSFLIITGPNMGGKSTYIRQIGVIALM AQTGCFVPCTEAELTIFDCILARVGASDSQLKGVSTFMAEMLETSNILKSATSESLII IDELGRGTSTYDGFGLAWAISEHIVTEIRCFGLFATHFHELTALADRYPKSVKNLHVV AFIGDGTDDDSEDKKSKRNQVTLLYRVEPGICDQSFGIHVAELVRFPEKVVNMARQKA EELEDFTSSEQQDQQSSMAIDKYSQEEVEEGSALLKAMLLKWKSETESSGKELTVEEK RQIMRDLVKADEKLQANKVFQGIKAL AFLA_084940 MPRTSTRQAHLPRGQTGIQSFARTTKPGLRSAADGKQAVASLPV SPSKKRKLNELENVDCNSPRIQEKGEPTTEALTPSKSLRIKELSLSTPRSGHYASPTG TSRTAVTGEISAIPTSPSKRARGKATSRSCAAVLHVRPTCVNDLIKLHSAFLKAITFH HAHHGSVTPADLREFLPCVERLWKKRKVVVKDVQRLLWIWEQDSEATGLNFRIANYGL GKICLERVRREQWVIDDNELQEQFEQIVDLLWEKALDAADGDESQVDFIATLGVSSIH ESLTPFTNFRKGQQRLQDLKGGVIKMKTEKLKAAPVAESPEKTPNATNARRTGLLDRI RSKALRQSKLPPPPSKEMLLRRAAVERIEEVAGVLALLRPAGYVGSGPKATVAAQRKP FRLEMIVQNVQDSSKNPISEKEVEICVEVLARPDIAGQWVDFVTVNHIKSVVLKSSAD INLKDIGAKVRELKFGEDEPASASNP AFLA_084950 MSNRTGLYAALAAAGVGGYYLYRAGGDPKAAKQEIKHDADVARN KVPSTDKAERAGQKVGAEAGAHIDEAVSNARTQAQEASNRASGLAHESLDKLNEARQD ASATLKANVDKFDKTVEQKTSEAKGSLSSWWSGSK AFLA_084960 MEFFYSGRGVASIVHWDCLVSIYLSIYIYGRFLCVAGFDGRVLL YSGLDMVIMRAFCK AFLA_084970 MSSFLPVNNISSPQDRVMEEATPPTTPRPHLTNVPEAQDAAKMV DMEKDGSTPRNVNNSDHSSQPAGSQGSGLSVSEEASHGDSEGDRDGSDHDSDHKGDAP PSKKKKGQRFYCTDYPPCNLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQH AQTVHVNEEIPGDSLAATGTRFQRQIRTDRVRPQGRARAGTGGSQGTHSRGHSRNLST SSITSTASTFSQPPELRRRPPPLIMANDGRARLALDTMGDPPSTPPGQIRGVPGPAVA GSPYAPSHIFAGGNGSPHVASPMSAASHASGFWDGKTAARRLSVPSGANPFASQPVNA YPPGYANAGSTAAYHPQGGVYASPVSSSYSISRDETSHNAADADLRRRTWHPSTYSTV SRPATSGYGNYQPTETLPHSYGANTQDEQPPRLPGIESFDKVVSRPLTPPVRRPSPMH LDNGNKPPPNYTFGGGFNYNKPSVRPPPPISGPGHRRGHVSWDMSLHTNLTGLHIRDK PLHKDASHWSQQTIAELHNVGSRPSSSYHPQQQYQDYRGHHSRGPSYSSTIHATRTSP EDSSSSEGVHTPSTASLEYHPAIVHNNGYIEPHHTPFSSDTSQTASQSDGYHSKPKPG TDFFNNNHPSSRESGMGRLEALVAVATSENKGSAKLFA AFLA_084980 MTRKREVEVGWLRREAVVKREKFGRLDEFQTSRRVQVVDMERPQ NADLRRDEEKEKKRKEAKQEEDAR AFLA_084990 MDRGCERLWTLERLDPWYDRDYQTLEGIHYVPLSSSESKKGIAR METPQLFESLIRVGSSGSSRQYLELSKVYFTCCSVYYITGSGIEVVMAIPPGLQSWRC YHAATRLDFTLSNPLCILFEPFKRGD AFLA_085000 MAEGEPSYIDYEAFLDPDFSPASFANSLVVATNNATDTPLDLST PLSRVLFDLQEIDTHIHTLTTKSALPLLTHTRDQTAAADHILKEAEEQVSSVTQGYQR LEKEVLRKWEAADEVRIATEKSLATVRLARAVARCLTLGRQLEGQLAEVTGRGGLADG TASPSPGRDDYRALERAASTIVSLRRMFTATGPGEEGHGLEKVKVIRTLRSELLIPAE NMVKSRAQQAINRFTMSAQSGYKQAGDARARLSSATTILYLLSPIPKDLSSASDFQPE LLLSTLQGYMHTAIMSSLNALSRSLSMLPTLDKTLVEISAKCQDLFALESILASLRPP SHPLFPPAPTPETQSQGGSKNNLLQPLLNALDASSLPSHFWRSLASSLTSRVQEIVNR GGVSARTLRSNRDRLKKDIKECVLRGSQLPASTDKGRMGADSGMKGNWEREAAVMVSA VASVLDR AFLA_085010 MTTGFAVRKNGSCLRTETDCGETVGGFRGCCPGGTFCPHAYNID CCPAGKNCTESLVQEPRCANATWDLYDNGGYFCCPHDTIGFALAGQYDGCAGTGYSFG DQDTRLEVISSGTVALPLSTSTTSGISSISTATSATTTSAAATQTSSPSSSSGVDKGA VAGGVIGGVAGVALIAAFVWLFMRRRSRPQPAALQDGNTPIHDYKYVSSQPVEVDGQG YRYELESRPNAPVHELPAQLPGR AFLA_085020 MSTSTATENTTQQKVVVASADYSNMNVKPAIEDAVFQATARGDR NGTIKLPGIPAFTDLYEKRKWMKEHMAAAFRFFGKHGHGEGISGHISMRDPILEDHFW MNPYAKHFSAMKASDLVLVDQDGYVTEGGNQAPINEAGFMIHSEIHKARPDVVAAAHT HGVYGKTWSAFGKGIEMITQDACNFYGKLGVYVDHGGIALAQEEGQQIAKALGEDKIA CILQNHGLLTVGRTVDEAAFLLSSLDHACHSQLMAEAAAANGVPKKIINDEVAQYTAN AVQTPVWLSCCDNLERACTDHDSTISIPNSNLSSTSSWRRQTGRCFCDGRGNDIAMSN ILQDSYLRLRTCS AFLA_085030 MPPRRRPPAGARTDLPPLKIVRKIVILQLAYYASATALILFTTV VYGTPFSLDLVLSWDSLRGDTTIGWMLGLVWMLNSLAGIIFLLLLVVRSKLIPDFALT IHFLHLLATSLYTHSIPSNLLWWGLQFASASLMTFGGIWACQWRELRPISFGGIAGSG AGQSSGSSSEPPVDRGSPSRGRGRERGLQSDGGEYEMAEMKGVGEQAV AFLA_085040 MGWLPWSSDSKNTASDGGRIAPDRSSRQKCWEGRDLFFSCLDDN NILDAIKEDKEARRKCGKEIAEFESACSKAWVKYFKEKRVMEYNRDKTIERIKKEDAA KVQDLKAQGWNPR AFLA_085050 MTPSSPDEALHFRGKTLTPESPRPLHIAEPANIPVLQNQMDPIF NDTSTYEKSESAVEHRAQLHTDLPSQYAHYAGSGDVQGKYGSVQASGQFQTQTQGSYH HSGAFQADDGSMNKNAAASSHASLPSDQSAYVPSMATATTSAAQGSEPNSFLTTVPTS DPATLIPHHPFPDLPPSAPHASQSIPIASEIDHAASSWAASSAPQDRLDTRNKPHNTG EDGVDFQNLLDNLPPSSTAAPSAPAVSQAVPSGDASADPQATDEALQSSLGLPPRPPP QEKPSIHPNYNPSDDIRSYHQLPPNASNAQPSYSAQQSNYQSNPALPPLAGAGAPGTT SGVSSLPPPPVASFQQSPPATAEAQAPSSPVSIKAGRVDKQQVRQSKSTDDDAPWGPD VQKKYDEFLHDERVYVTEGLWDRFPAGSRLFVGNLPTERVTKRDLFHIFHKYGKLAQI SIKQAYGFIQFLEAPACKQALDVEQGAVVRGRKVHLEISKPQRNTRPGPAPAEPSRAP PARRSRSPEYSRGGPPSSRNPRAPTDRYDRPYESPRVPFSDFRDEPTHRRRDDYRPPP RSPSPRGFRGRDGYRSRDRTPERYDRRERRRSRSPYARDRRYRSPSPRARGYEGDVEL PVPRRAPRDVPEVQILVLEEVDRNFIFHVENAFRNRGLRVDVLVLGPRIPLNAAVQRQ ISEGVLAVVRLARPNQFSRKIPLQVFDRSGGADNVRFNEYPDVEPNIAAEIVFHAQSV QRGAPPTPFPPNPAFGVPPLAAPPMPQAPLPALSNPPNIANLITSLDGPTLQSLLGAL QQRQSAVPTAQQPFSTTASTPNAGADLASLLSAATRQPVPANPQHPLPPQPFPIQAPN APVVSDPNLISLLAKGLGGQQAQNQATVGPHVQNIMNQLGKWKQ AFLA_085060 MVTLQLYPRIVHWFPPLRSFSALTARTFASKQAAATERLQDSNE DLAAARKWLTGLTSKTIPRQICEISFSRSSGPGGQNVNKVNSKATLKVPLHSLLPLVP RILHRPLRSSRYFAERSESLVIQSEESRKQAANVESCYEKLHQLLKNTARDAIPGETS QEQRDRVHKLRKAENEARIKSKKLHSSKKSNRRGSKFDE AFLA_085070 MSAATDKARFFLEKSVPELKEYERKKIFSKDEITAIIKKRSDFE HKLNARGAQPSDFVRYAEYEMNLDALRRKRVKRLGIRGAGYSGQRRIFFILDRATRKF HGDIGLWIQYIEYARAQKAFKKLSTIFDDVLRLHPTNVDLWIYAAQYALDDHADMTQS RSHMQRGLRFCKSSRKLWIHYAKLELIYTAKLVARQRILGLDREIEAPKPTSDASFED PNADMIALPQITGEDINPSARDSNGVDQVALENLRSTPAMSGAIPLAIFDTAMKNFEQ DAKFGREFFDMVVDFPDLPCLRKILEHVVNALQQSSPTSHHTQICYIKLPTAGVQPTS PEFPRALVTSFARLKEHRENPNVAKEVINWLQPLENAEGLDPSLQKAITATVRNAERV L AFLA_085080 MAPKFKDGDAVVAVNGKWVSWAHTVAAYAAFLSALVVGMSLHFR KIVQNEHYGYPDEWFPSVSATIGDRYPERSFFQVFIAITSGPRFALVFLWYILTSRPN SALPKFIAGVGLFRTFTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPNN RRAVKYRKILAGCFFGTLVPLVYYFIQHKVHKVAGAYTKYAFFEWSLILFDVGFDAVT ALDFDAFEIVVRDVKGISRGKGKPVGNTFGEGFFWTEIIDAAADAYNMVNLRLASQVD LITDQV AFLA_085090 MTTFAVACSCIAWSATLYAERANSSRLESRIFAWGIGLIMSSIA KFACKTNNPIWPIMHAENGGWNKVGLFLGVLAVLRSHRRPPTNGGDYFPSSGRKGSSL FAAFGIGGLLFAMHSLLSDSSTMIAWVWEGYPVRGPIAVPHGALTILAMGAGLIYGLY YPGVAGSWTAYGIASVGAALLTCSSHWTGFYGGLILAFYLLAVTPVLVSSAVRHSLAK TFGIGFLLYTFLILFHVWVVAYAFVPGGPLVREHTDWIMITTMLSIGAGVFSAAVTNS SGTKSKIVSPNGKRQRSYFIYILATLQLISMAIAYLRFPTNDYVPYHKEDKVATVGIW TVHFGLDNDMWSSERRMRNVIQELELDVIGLLESDNQRIIMGNRDMTQVLAEDLGMYA DFGPGPNKHTWGSALLSKFPIVNSTHHLLPSPVGELAPAIHATLDMYGELVDVVVFHS GQEEDPEDRRLQTEYLSKLMGSSPRPLILLSYLVTKPLEGNYNTYVSELSGMKDIDPT DWDRWCEYILYKNIKRTGYARVSRDSITDTEIQVGKFVIGEPEPENEMRLPEEMVPEG RRFPGLFRGQGVRGHRYHVFDEPRYWQ AFLA_085100 MPRWRIERIHPLLARGSPALRWVFDAGTDFARFGWSDDMVYEYA YRWLEESLNDSSFRRYARSKILDGHGEEPLPTLPPAPSTCYFQSWSQSSGADGSWYTH ADYERSRMAWPIDFSEDKADVSEAPRQPTRADRKFSRNHMSDFEPSEGCNETDLELGR LKPIRTSRKTSADEDQPMRFHGYRWVWFSVAFLVLSMFLCLFLRPFFAFASEEEGAWT FCPAKITVSLVYISN AFLA_085110 MIWEWYEERKDGVALEKSSDGEMIEQVHSGGRELSWSDVHRAPL DPGDQLLYQQYLATKRQLQDTSQARGQENLDNAADALDDRSSSTSEDSETEQQGRVLN FSTSLAHSNRNKLGLSDEDLGVNILLRRKNVFDWDVRGKKGRERMFPYVAPRKKGDEY GEFIRPEEYLRAEEREEIDMQQRRSDSQTKLGQKRRWDETGPAGRRLSSSGAKRQQFP GKKDASTADDMSLTEDGEGADAALESEDEADSQTFEGPAKAVYQKASLTINARIAFVD FTGLHDKRSLEMLIPLIQPRKLILVGGMKEETTALATECKKLLAAKAGVDVSAADSAV IYTPVIGEVIDASVDTNAWMVKLSNSLVRRLKWQHVRSLGVVTLTAQLRGPELNPPED AADSPSKKQKLLQEETSSPATAPTVDGTKPTADKSDVYPVLDILPANMAAGTRSMTRP LHVGDLRLADLRKIMQGAGHTAEFRGEGTLLIDRMVAVRKSGTGKIEIEATAQSAAAV GRGAGSFLDVKRKIYEGLAVVAGN AFLA_085120 MASIRDDDELLLARIGYKQELRREFSKWSTVSYAISILGILGSV PATFGSPLAAGGPATAVWCWFFGSCMAMCIGSSVAELVSAYPTAGGMYFVTKHVVPEE QVPIFSWVQGWCNLLGQTAGVSSVVYTVSQMLLACVSMNSELVDGRYSYSPYALIFPA PCLGLILCTMLRIVIKLALTITVSATIIICIVLLAYTPDKQPASWVFTHFTDGSGWGS KFFSFLLGFISVAWTMTDYDGTTHMSEETHDAATLGPMAIQTAVLVSGALGWILTVSM CFCLSDFEGILNSPIGLPAAQIFLNAGGKRGGTIMWGFAILVQFFTGCSAMLADTRMA YAFARDEALPFSSTLSKVNKYTHTPVNAVWFVVFFSICLNCIAIGSTETATAIFSITA PALDISYVSVILAHRFYRNRVKFIEGPFTLGTWGPYINWVSVIWVLFISAVLFFPPRV PITVTNMNYGICVGAFIAAFALVWWWVAARGGYGAVDVAYSTSIQ AFLA_085130 MADQSMYNTLGQGTSPAEDPSNPNRMAHQVPPQSQPAAGFPPGP YPPQPGAYYGNPPPNQYGAPAAAPPTQQLQSPPPRGLAPSPQLAYGTETQTHMGAPAD PMAGLASQMSGLGIMGDSGARPGKKKHRHAHHEIGGATASAPQQFAGMPQAGMQPSSQ FLNTGLNQAPRPISPAAGVPPAGIVPQPGVPAPGSGSVPTQGKIDPEQIPSIPQSRDI PTMYYFDHIYPTMERHLPPPAAVPFVAHDQGNSSPKHARLTLNNIPTTSDFLSSTALP LGMVLQPLARLDPGEPEVPVLDFGEMGPPRCRRCRAYINPFMTFRSGGNKFVCNMCTF PNDVAPEYFAPLDMSGARVDRLQRPELMIGTVEFMVPKEYWNKEPVGLQRLFLIDVSQ ESVNRGFLKGVCKGITEALYGAPDASEEDAAARRVPEGSKIGIVTYDREVHFYNLSAQ LDQAQMMVMTDLEEPFVPLSEGLFVDPYESKDIITSLLHRIPKIFSHIKKPEPALLPA LNAAMSALQATGGKIFASICSLPTWGPGALHMRDDPKVHGTDAERKLFTTDNQAWRTT AGKMAEHGIGVDMFVAAPGGTYVDVATIGHVAEVSGGETFFYPNFHAPRDILKLSQEF AHAVTRETGYQAMMKVRCSNGLQVSAYHGNFIQHALGADLEIGSIDADKAIGVMFSYD GKLDPKLDAHFQAALLYTTAEGQRRVRCINVVAAVNEGGLETMKFIDQDCVVSIMAKE AAAKTVDKSLKDIRASITEKTVDIFSGYRKVFSGSHPPGQLVLPENLKEFSMYMLALI KSRAFKGREGGQEASDRRIHDMRMLRSIGATELALYLYPRVIPIHNMQPEDGFPNEQG QLQVPPSLRASFSKIEEGGAYLVDNGQICLLWLHSRVSPNLLEDLFGPGQSSLQGLNP QTSSLPVLETHLNAQVRNLLQYFSTMRGSKSVAIQLARQGLDGAEYEFARLLVEDRNN EAQSYVDWLVHIHRQINLELAGHRKREDTSAEGSLTSLAGLRAPYW AFLA_085140 MMFTKTITLAALASLAAALPTANPVKRSGGVNIVNNLGETVYAW SVSDRVSNMHTLSANGGNYQESWQTNDNGGGISIKLSNTQEQSNVLQFEYTQSGDTIY WDMSCIDLSGDNVFTKYGFSVTPSSTSDNCPSVNCAAGDTACAEAYLKPNDDHATHGC PIDTSFSVSIGV AFLA_085150 MLQNIAIYPNERDVFYREEADHCYSAETFILQYTTLEVPFEILS SLIFGVLAAYADNLGRSATMFLITAYNCFCIVSCGESLGIMFCTLFSHVGFAVNVTSI LLSIANILGGVMSLNVNEVLQGLNHLSPVKYAVANLAPYAMRDQEFVCTAAQRLADGS CPIQNGQQVLRLYNLDKNGAINVMALGVCTIIYRVVAYGLVKAMRWERK AFLA_085160 MTAEDICPPDDPKHGVNVESLQDQAAAFDLSFREVQPVDIRVKD LSLEVDISPPMWETAPSQIWRRLRGKTTTDARKTVLDGIDAYMPSGSLTAIIGSSGSG KTSLLNLMAGRMGMTKASVAGTTTFNGDANIESVRSAYVMQEDVLIPTLTVRETLRYS ADLRLPPPTTQEERHQTVEQVIMELGLKECADTRIGTNIHKGCSGGEKRRTSIGVQML ANPSVLFCDEPTTGLDATSAFQIVRTLKRLAQKGRTVIISIHAPRSEIWSLFDNVVLL ARGSVLYSGLSSRSLSYFENHGHVIPPFVNPAEFLIDLAAIDNRTEELEAASLARVDF LKTSWRTEEKTEKEVLGSRKDDEKSITRAQAIPIARAVSFSRQFRVLTSRTFKTTIRD PMGLAGSLLEAVGMGVISGWIFLQLDESQAGIRSRQGSLYTSSSLNGYLILLYETYRL TIDIRQFDRERTEGVVGVPAFLLSRRAARLPLEDLPVPIIFAVIFYFMVGYRLSVGQF FIFLALTILTHYIAITFAAVSIGIARSFPGASLVGNLSFTVQSFACGYFVQSNQIPVY VRWLKWVAYTFYIFGALCANEFIGPNGPPEGQFYDCPFSDDPNDPACTQYTGRYIMES LGFPPNWIWRPIVVLVAFVVGHYLLAGILLQYNHFAMDIAQARKSDKDTSTGVEKMAV RPAEEARKVVISLDKYALDIRKRGLFGRGSRTLSILKPITAEFRPGNLNVIMGPSGSG KTSLLNSVARRLHGSLSTQYRVHGDMLYNGAVPSESVIRSVTSFVTQDDDALMPSLTV RESLRFAAGLRLPLWMSREEKNRRAEEVLLKMGLKECADNLIGSELIKGISGGEKRRV TIAIQILTDPKVLLLDEPTSGLDAFTAMSIIEVLKGLAEEGRTLVMTIHQARSDLFQH FSSVLLLARGGYPVYAGDGATMLSHFGALGYHCPQTTNPADFVLDLITVDLQQVDREA VTRERVQKLILSWDDKTFDLGRQTSHIATPAELGSLKRQMLPFRITYPLVLRRSAINF WRQPPLVMARSMQVVGIGIIMALFFAPLKNDYAAVQSRMGFIQEFGALYFVGALGPPY LRVYLNLHV AFLA_085170 MLSMQKSSAFVFTPTELDSSHRHQSNSTRSGSFSENPALADCPF IDDSSVSHRASISTDGLSNESLESWDGDGPSASSPVPELEVKIHDVSQSLHSTFPPTT TATFTFNMVTSHESDVSMDDVTPKIEELDDADELQSIKPLGVDPMANANSEVTATPVN VPRKRGRPRKHPLPIPGGQLKITKGRSKTGCITCRRRKKKCDETKPSCLNCQKNAVVC EGYPKKEVWKSGKQKLEDARAQSMVSRSLPFLIDGIEDDTDRRFLDHFVYGFSRVLTL INDDSNPFKEILLPMATQHRGLMHSLMCLSGSHLSGLDPEPKFIARKYHHFHCAIQDL QHNLLIKASSKPSNPGEEPDLLVEDPIIASTIALSLNTICEGETKGEYRMHMDAAKHL LKHQKPRNEKFRQFIVEFFQYHDVSNSVTSLDRRPAHLNGDLRLPDFVPHAQAGMFLG VFDGLFNYISEVTRLRDKIRQRHNEGYEPAVDYQILSEAVSIDSAIRLWETSYPPNTA NWSLAQLYRQSTWVYLYRTIRPSQPGDKIGQVVDDGLEYLDQLPQDAGAYSIVLMPLF LLGCSAFLQHQRERIQKGFETLKSYSNLRNIEPAFKVVEKVWEVMDSNIEESWDWEKI IKDMDMDFLIT AFLA_085180 MRGERMPSLKENGIHIQVFRDIRLYRYDTYIHTSPPALLPLFSW GTSDEHCGFPLTESRQLAE AFLA_085190 MTLLYIRGLAPYQPGDNGTDVIINEVHFNRTTLDTYNYRLYTNG TLSNGTNCYLAFQRFRPHMFAENGTFINGTSCYAPINDIGQHASLGLAYALMFVIAIF LSLINLRKHGRSYLRHDHRWNIVSRRLKWCWLIFVAVCGAISCFMSIDVDRNYLQSAP LILQSVFYTLLTPGLMAAVWEAVRHWASWQARQIHDRDPYAFTKTSTRTRQETLLPIL FYILALLNFILTVPRSWSAIELQRSEEQQTLNARPVATDTRWRAAGFIALAGMLVITY SLEHSIYRYKPRPSSTAGQLLFYLNAAPSQFLLAIAILGIKIGYAIASAFDWTVSPLK YDVQSGWIYGLGYTPALLIILLFNICGYCELNEDKALIARRGELESALASDVGIGQRN PPWWKKQRLRSLAREVTGRGSPIDRDREDMARYVEMGIIKPQEQSGDRVVGIAELNKE EPRITTRQATSVGDDGSESPLDHVEYVVQPERSASPQAIPRGADI AFLA_085200 METYHGHVRTPADAIILFEACRIGLLPRVQRRLSEKERQLIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGTGVAQSTMSRAGKTPE SRGSDDDRGDGADEGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYSRSHPSAANLQ QPSTDPALRHVRPQKGLYPESTVNDQQNLPVVTRGPMAGATYSVPPHPMGAYARSGAT HPQSYTPSYAWPPTPLATPPTVAVPYPSYLPPVSGTNGHGPYAHHQPHALPPPPPPQP LGTPYERPVHPAEALAPTIQAPSVPHPSLPVIANGRSPRLVADPHELHQRSPQEYVPV DLRRASPRTQPPSIPPAAGLVARSPHLSSQAPTSAVHISPPATTIKPPEPSSSGTTVP SIGALMNGTPASGSLPAITAPSSGRAEGPRDIPSEKIGFGGEDMRALRQLDRVFTA AFLA_085210 MKMGKLASYFMPKGESMILLRFFLSLLCALFPNPHACLGAKQDY KPILARQPMPYTSGLLPGGPPVYLVNL AFLA_085220 MRTWRRSFATSVARLSHKPAGQGVSPTLLRCAQVLEQSKSGTCS LEDQEIKLNGFIRSVRKQKRFAFAEISDGSTIEPIQAFLRPAQAAELSTGTAVEISGV WKACPPGKEQTHELQTTDVNVLGKADPETYPIQKKYHSPDFLRQIPHLRMRTPFSSLL SRFRSECLYQLGNVFRFAPNGGYVQVHPPLITSSDCEGAGETFTVLPREAMGAQSEGE HFFRAPKYLTVSSQLHLEAYAAELGNVWTISPMFRAEKSDTPRHLSEFYMLEAEMNYM HDLDSLTDSVEYILRDLVRRLHDTPVGQEILSAKRSGESGQDQTEGSGANLKQRWNDM MEGPKWGRMTYTQAIEKLQDAVAKGQATFEHAPEWTGGLQLEHEKYIVDVIHNGRPVF VTDYPKVVKPFYMLPSNGDSSAAPGETVACFDLLLPEVSEVAGGSLREHRLPNIIQNM REHGLIKTRAPPALEAEAEAGAQADSETPMYPHLLPGEDLGHLQWYADLRRWGTAPHG GFGLGFDRFLGYLAGVSSIRDVVSFPRYFGRADC AFLA_085230 MSMNNSPSRMNSRKLLSRISQSAVHVRGSGSSSNESRKCIQKPQ LLLWEKWLYAGAAGQKFLLCGDVVEDVLPSHDQLSTTFAIPEPEQELMKVLTNIFN AFLA_085240 MSFGFSVSDITMFLKGFYHIIDILKAEAVDKWKTYNLTYSRLYQ FTQILKRIQVNDPDSRVLLSHIQRETATTLKEFFIKIRGFEKYLGPSRDRRSFLGAIQ KIRWSMRLPKLDELCTKLESQVAHANFCLVAQGKLNTTVEVLFPHTYIDRPIREKHFV FEDAHRRPSHYTLS AFLA_085250 MLKPFQIRDLHRSSSQDNLAASQSPDHAVVEDRSILQNRQQGIV RLSATDYDEITANHPRARLTYVDEDDDELITVGSSLELSQRLEEPVDTSAIPQPSLES ISPEPMHIFDIRRSNSVKNLWKKFEYKPHLEDHQDRNEIGTVPTTADDSQSNAQEEPS TSRENPGPATTDVPEPLLAAFEAEMARILNVTNTVRDGNSEPERPTETPAGAESNDRH QHPSDAFVHALHNLVEGAEMISAGVRSRLPELERQLQNVQRTLPEHVGSSVQVALAAL DSQARNLIQALNNASTVGGQRAGNLFQTELPTPAATMEGLRNMASELGHMGNTLFEAF ESEFRCNASRSQDQGAPGNSPQTELTASARPDIPAASPETQQSNLAAKCENEKEPLGI DTQAAPSTEVGSPERSVPTDRNADSSMASHQQQGSSSPTFPPSPDIHAQFPTLWNRSH PLPDRPHPSSHNFRPPFATPNTFYPPPSFPVPPPFPSVFWPPHGPPPHGHPHGPPHHQ HPPPPPPPPPHGFHPHSPHPRAPHPHTHPHAPHHGPFYTPTNALPVPPRPPRHRHREW PASHHRQNDNGAPAPEAIHRPEHGLPSSFTASSAGTSLFIGNVGFSVHEAMIRDVFAS KGFLVEVHLPLDAETGRHAGFGYLRFPSIHAAKAALDALQGAHIDGHSINLEISDHSP ITALDTSQVREENSNQPPAPSELQSSSVAPTRARQASEPQTSGERGSSSEQRSAPLTV ADLCSSDTRHLSRLSSSDTSLVSSSLLDSERLNTLYPSLLPEGSSQQPVVGNNTSTQL PDLSREPEERRFPPVSQLDAHFLAERRGGAESSSDTSTSRTRSTFQRDIASDSHDSLY GPTPRSPPGAFPQDAQDSFQPRPSSLETDATQQQGGNDRVPTSFYCRHPGTVRSFHPS RRHSGPWNTLRAEETHDNARPLRRRATERHSLRDGREMSSTNTFNRRSYRSDAMPRPN TSTTESSTRRQRSIDDCVSALASLGYGGAEEGGLQRIAVYAAAVDGRVSDAIEMIEEE RKAYEQQGSMR AFLA_085260 MAPPNNAPSHTKPSANRNVKHVVLGDLLFQTWYQSIYPEDLVSK DTDRLYVCRWCFRYSCDADAYAKHTRVCENRTTPPGTKVYDHGGYAVWEVDGEDYKLF AQNLSLFAKLFLDHKSVFFDVASFLYYLLTFTDPDDPENYYILGFFSKEKLSWDANNL ACILIFPPYQHKQLGKLLMGVSYKLSDWEKDGGLIGGPEKPLSEMGRRSYSRFWEERI ARYLLLHGSDPADTEDSSQQKPKPPKSSRKKHPQEVMTVQDIGLATGMLTEDVITALK GMGVVEPTTPTKKRKANQDSGEPDDGETVMIRKSDVLEWAKAHRVTLRDPVRDEGFLG RWAPRATPETSDSGSGEGDE AFLA_085270 MSATQLLNPKAESRRRAEALKVNISAGEGLQDVLKSNLGPSGTL KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LKQADRYISEGLHPRVITDGYEIAKNEALKFLDQFKIERAIDRELLLSVARTSLSTKL NSALAEKLTPDIVDAVLAIHRAPEKPDLHMVEIMTMQHRTSSDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSSAEQRDKLVESERKFVDSKLQKIVE LKKQVCGLDPKKNFVVINQKGIDPLSLDVLSKNGILALRRAKRRNMERLQLICGGIAQ NSVEDLTPDVLGWAGLVYEHQLGEEKYTFVEEVKDPKSVTILIKGPNQHTIAQVKDAV RDGLRSVYNSIVDGCVIPGAGAFQVACAAHLSSENFRKTVKGKAKWGVAAFADALLVI PKTLAANSGHDIQDSLAALQDERTDGNIVGLDLTTGEPMDPVQEGVFDSFRVLRNCIA SSTGIASNLLLCDELLKARQMGKQGGPGGMEE AFLA_085280 MADFLLFEGPMGYGLFKVAHQGDSVGNRLKEVQEGVNDLSKFGK MVELASFLPFENNKMALSEINDVSEGVASDTLISFLELNLPKPNKKKKVVLGVLDKAL AGSIKSAFSFVDCETGDTSEVVQDMLRGIRLHATKLLKQLREGDMDTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRVREWYSWHFPELIKIVSDNQRYAQ IALFVKDKTTLTDDKLHDLAALVEDDEGVAQSIIDAAKHSMGQEISETDMENVTSFAQ RVVSLSQYRKSLHSYLTSKMSVVAPNLAALIGEIVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGKAGPKNKGRISRFLANKCSIASRIDNF SEEPTTKFGEVLKKQVEERLEFYATGAAPTKNEIAMKNAMDAVLADMDIGADQSDEEM EDAEAESKKEKKDKKEKKKEKKEKSEKKEKKEKGEKEEKKKKRKSDVGEGESEKKKRK HDSDAEPSKKKKKV AFLA_085290 MMPTSLPTMVLNSPKPKRSASEESDSYSPSISSPSSVSAPSLPE VKLREEEELGRYSPRAAVAGRLGQLAIRGDHFPTPQFLNGNTSQSSLAHSAQSGCWAT SYSSSYDMSETNSATETNTVASGPAEAIIDDRSNATPIPFPKKQATQSPRKRRNPRKT QRPRRKSPPPTSSAADDSLTWHDSEITGHDPNDPNDDGYGINGIGFKPTAAMAWNRSQ KRQKQLAEWKSREAREARERRREKRDAVGFEKLRTIQSGAIHKRVKFDV AFLA_085300 MAGDTLESSTPNVEQHNSSQETSHQNKPKHEFPKSQVGKLWDAF GNPEESANVLATGAGPSGRGSKDATVTEAMKSMSLKDVTSFYKAPCARDSLLLGIGAG FGIGGIRGVLGGLRSLWTASNWAVGAFALTSLAAHEFCQRRRVQELDGMKQAVELMKE LKIKKQREKEEKAAEVARLAEEEKKKKSWTNLSNYKFW AFLA_085310 MPIRKPSKYGNKFRSNAASFKPKRTKTIEFSSLRSTEATSQDEK FEAIRLANSIDESLGFPRFESGEKRVGWLTNMHSTSVEDPNIPGGRAGVDYYFLEDNG GSFKATVEYDPYFLIAVKKGHEAEVEEWCRRMFEGLIKKVTRVEKEDLKLPNHLLGHR RTFLQMYFANVSHLLEVRRTLLPLAEKNKKNVNVMDTYVEISSANAGFDLFDDEIINE SRSNGTMEASDFIIDIREYDVPYHVRVAIDKDIRIGKWYTVEAKHGVISLTCIEERVQ RPDPVVLAFDIETTKLPLKFPDSVIDQIMMISYMIDGQGFLITNREIVSEDINDFEYT PKPEYYGPFMIFNEPDERSVLERFFGHIKEAKPTVIATYNGDFFDWPFVEARASVLGI DMYTEIGFRKNSEDIYQSDHCVHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDPDEL DPELMTPYASERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTIVPLNPDDTLRKGT GTLCEMLLMVQAYQGEIVLPNKHKEPPEAFYEGHLLESETYVGGHVESIEAGVFRSDI PVTFKIDPTAIDELLRDLDAALKFSIEVEEKKSLDDVVNYEEVKGQISKLLIALKENP NRDENPFIYHLDVASMYPNIITTNRLQPDAMIQESNCAACDFNRPGKTCDRRMPWAWR GEFLPAKRDEYNMVRQAVANERFPGKTKNSPMRLFSDMSAEEQSAIVKKRLQDYSKKI YHKIHDSKTIVREAIICQRENPFYVNTVRNFRDRRYDFKGKQKVWKNKTDSLKSSGAS AADIEEAKKMIILFDSLQLAHKVILNSFYGYVMRKGSRWYSLEMAGVTCLTGARIIQM ARELVERIGRPLELDTDGIWCMLPGSFPENFSFTLKNGKKLGISYPCVMLNHLVHGSY TNHQYQTLVDPKTFRYETHSDNSIFFEVDGPYKAMILPTSKEEDKNLKKRYAVFNHDG SLAELKGFEVKRRGELKLIKIFQTQIFKFFLEGTSLEETYAAVARVADRWLDVLYEHG ATLADEELIELISENRSMTKTLEEYGSQKSTSITTARRLAEFLGEQMVKDKGLNCKYI ISARPRNTPVTERAIPVAIFSAEENVKRFFLRKWLKDDPGDCDPRTVIDWDYYLERLG SVVQKLITIPAALQKVRNPVPRVAHPDWLQRRINVKDDKFKQIKMTDVFAKSEKNPLT DISTNILDHRVQHAGDIGEVIASSTEKLKSSPNSKTSQKRKLPEGPTKTSLDPFASLP SRMPPMADDYVGFLKYQKQKWKIQKQARIRRRQLFGERTNIANDSLSHLFRNQAELLY ISTWQVLQLSETTRPGIVRAFVLIDKKIHTLTVKVPRQMYINLKRESLPDVDVPDCEV EKVNHTLPNGHPSVHLFKLTLSEETYLREADKMDTLLQHPSIEGVYEKSIPLNVRAIL KLGSICTFDEEQRGVLGEGLDSGFDLSTLCRTSSEQPYLMDSPLVYHYLYHVASGDRQ IFALFSTTKSEAHVVILNRTRDVQGLPNVDKIYTDLLMRRKQNASGDEPQNAFEYQEK IHFRTMQVTTRRKAYLEVGDLIKKFRSEETQPTVLVIQSQQRSRLCHDIPMLREYPIM SVKPEVSDMDLPPLGWQAFIARRLVTHYLYLSAWIQHLTMLARYGDVPLCNLESDDPR YLIDISYARRLQQNNVVLWWSSGPRPDHAGYEKDDITGPLEKVSMPSVNVPSAYTTVC IELEVRNLAINTILTSSIINEMEGADTLLASSEPSADSNGSGVLYSEKAFASAGAIVL REMVKHWWTEACEGNNMADIMVQHLIRWVESPVSCLYDRSLHNYVRMLSRKSFQRLMA EFRRVGSNIIFASSTRLLLQTTKAEVGNAYAYSQYVLKSIRANPSFHFIDLEIKEYWD YLIWYDEYNYGGKGCREVVGSDEQELETVMHWQLSRFLPGPMQTIFHDWVVEYIDLMH GVKHPESADSSTPRMTQIPIGRPTDEDDDEVSAVLAEKFSKPLKKQISGLIRRQREEM LHPELASDYLFPVLPGVLSDPNEEKRNPVLELVKMLMQVLSLSKTTSLENRLLRRELL AMFEVREFSKEGRFENPAASLKLPELTCSACCLIRDLDLCRDEDVLPDPGSDPSKAVT KPWRCPFCQTEYDRLAQEEILIGQVHGLIVGWQTQDLKCSKCGGLKVSEFMEHCSCSG KWVETMDRAEAEKKLRVLNSVAKFHGLKLLENVVEGVLEQI AFLA_085320 MASQAQAQAQAQAQAQRPKVQPCRYKTGKTLGAGSYSVVKECVH IDTGRYYAAKVINKRLMAGREHMVWVSTRKSPGRYTVAGDVRNEIAILKKVSMGHQNI LTLVDYFETMNNLYLVTDLALGGELFDRICRKGSYFESDAADLIRAILSAVAYLHDHG IVHRDLKPENLLFRTPEDNADLLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKK SGHGKPVDIWAIGVITYFLLCGYTPFDRDSNLEEMQAILAADYSFTPLEYWRGVSQHA RDFIKRCLTVDPEARMTAHEALQHLWVNPPYDPYADQSGQDLLPTVKKNFNARRTLHK AIDTVRAINKLREGGGLMMDGVMSVDPKPERVNGNHVVEETSHHDGQMDLDSRSDARG QTEEQIRAQEQRVKQMVAGLWSRNAKK AFLA_085330 MSDFLYSMPQHELSTQIKYVAVMGMTGVGKTTFITALTGDNLTV GHGLSSCMFTHIKKWGPTVTNTVKPRHEGHQREEGTNIHLTGLLYLHRISDVRMQGSA LKNIRMFQSLFGENDMANVVLVTTRWNSVTKDEGVSQLRELLEKDRFWGGMIAAGARH EALLDVEADGRRIVQSLLR AFLA_085340 MGRKHAEENAELKETLRVEKNSEIAHQLQVAYEEMMQQQERIAE EQQKLHKAEMRRIHAKYQDMCSTLLVVTLTIASKWLVRLLFLL AFLA_085350 MATPAHCYYCFECLAASYKGQEHISLAAVEELWERYEKFKKVSA LQDNDESVSLRESASLGLQSGDDDDDIDDVSSKPKNGPQGLKLPNINRLQSQILSDSS SATTPSSNSSHSGLSSSTPTTTMTTPSSQSLQSDASGWRRQREKDRQYPLFVTWNTLS KSGRKSLRGCIGTFEAQELATGLESYAITSAFEDSRFTPIPAAAIPTLSCSLTLLGSF EPCTNALDWVLGVHGIRISFINRGRRYGATYLPDVPVEQGWTKEQTLKSLMEKAGWDG GHESMTRRFLRGSNSGGHTSGSSKPWEQVSDFRVVKYQGLKASASYTEWQEWRQWVLS LGDGSEKLLNPAV AFLA_085360 MAPMSEEDLAWFRSTFHPIPKPELPDDCVEYSLYHISSDPSPAI VDEVAETRSRLVEVQRTAAELTKDLLKDYIWQREGFRLEITKEDGITFLRGRTNFGDS IEDEWVVVYLLRELSRKHKDIWVKVTDGDGQFLLIEAAGALPSWLEPEVADNRVWIHS GELVIIKPKNQKGKVTEKLSLPDSRKIIVEEPARLMRSAMIQEEAFYRLRNYPQQISE NLHSALIRIPRKIAYLLHQKPAYISSAVEAFYLRDPIALRPLRAKEPDSFVFKPEDFV TVSVRFTRVGYAQLKSQDFPVPKSWTGALPSKDEQKAYDRAELGMKLTCGFEMLLADP QNQDKAVVREIKIVLEDIESGDESLPTDEEISTWDKTEDDEKWLDISFDDLDRELKGK GKEKDDGKLPKGSFGDANAQENLQRIVARFEEFLNNDSAGLDGADFFDDYDSDSDDGD DEDDDEVSSDGEDKEASFDEEEFSKMMQEMMGMPSASGPKGPSRPSMPRNRVEELDDE SEDDTEQIQELSRQMEAELRGTGVLNLNRPNRSSAGKQALSEGKSGEDHDEGEGEMPD LEDGDIDINLAKNLLEALQSQAGGAGPARNMLSMLNLPIPKDDRGR AFLA_085370 MVVPYIDTPRTEVDGNATYLTNGFRSVGRHNLSALDSVENSFQT PSKDEDLLKGLGDDRRRSSGGFKLSTPRAGTGSKSTRNALNGRHHLPTAAPPKGEFTP LMRSAAKNNFLRNMSTARGNGHKTPAYMKEGRSNIHTPGLPSYDMTGIDEEDETDDQP TPLPQVASSSVQSTPLPGLSGRGGNGLLNDGQNMTLKEQEKIIDKLDKDNFGLKLKIH YLQEQLEKAGPSYNQAALKENTELKVSKLTMQRDISRYKKSLQQAERDLEAYRVQFQE LREKLRRGQLDETSQREMDLMREELESKDQRVRELQEELRDAKDRQSEEIEKLQRDAR LSELRAQVRRIREERAFCARKAEAAIKDLESLQERHNQLAEKTGSKSDSKSRHDKEIL GLGKEIIWLRARLKREEKFRRDLAWSKGLMELGERVRVAWSVKIHSIFHWPTD AFLA_085380 MSNTDFLGRAIDAVKKAIELDNSGEYEKAYQGYYSALELFMLAL KWEKNPKSKEMIRAKTGEYLDRAEKLKTHLEATESRKKPSAVGANGKVAQGSGKGDKN EDDNEDADSKKLRSALAGAILSDKPNVKWEDVAGLESAKEALKEAVILPIKFPHLFTG KRQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFN MARENKPAIIFIDEVDALCGPRGEGESEASRRIKTELLVQMDGVGKDSRGVLILGATN IPWQLDAAIRRRFQRRVHISLPDINARVKMFMLAVGQTPCEMTQADYRTLAEMSEGYS GSDISIAVQDALMQPIRKIQTATHYKKVLVEGQEKVTPCSPGDAGAMEMTWTSVEADQ LLEPPLVLKDFIKAVRNSRPTVSQEDLQRNSEWTKEFGSEGA AFLA_085390 MADAQKQMQALSDEFQKLQTELDSLVEARQKLESQQQENQGVQK EFNSLDDDSNIYKLIGPVLLKQDKNEALMAVNGRLEFIEKEIKRIEGQIKENQDKSDK MRAEVRGIYEDTD AFLA_085400 MAKVDQKVVLVVIDGWGVAGPDSRKDGDAILAAETPFMSGFAEA DSKTAQGYSELDASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKKGELN KVDNVVASFKRAKEGNGRLHLLGLVSDGGVHSNITHLIGLLKVAKEMEIPKVFIHFFG DGRDTEPKSATKYMQQLLDQTKEIGIGEIATVVGRYWAMDRDKRWDRVEIAMKGIVSG EGEESSDPVKTINERYEKDETDEFLKPIIVGGEERRVKDDDTLFFFNYRSDRVREITQ LLGDYDRSPKPDFPYPKNIHITTMTQYKTDYTFPVAFPPQHMGNVLAEWLSKKDVQQC HVAETEKYAHVTFFFNGGIEKQFAGEVRDMIPSPKVATYDLDPKMSAEAVGQKMADRI AEGKFEFVMNNFAPPDMVGHTGKYEAAIQGVAATDKAIGVIYEACKKQGYVLFITADH GNAEEMLTEKGTPKTSHTTNKVPFIMANAPEGWSLKKEGGVLGDVAPTVLAAMGIEQP EEMSGQNLLVKA AFLA_085410 MASRSPAALTPLPKLSSAPQTPIVRDTTAAPSTVPQPVPFPPPQ TFDIIPPLHGLLLRLLSPQANTEGVSNDTRAAEDPAAATAPTGATSTAAVQSQPHPQQ QQPTAGNQNNDGHGVMPTVSSAAPGSASAAAEIAALSSNAPPPLDIKDLPTEASSIKI RIQKAQAVVESLPDVHRSVVEQEKEIKELEHRISRLKSVISDFGRRADPAKTEKTEMG AA AFLA_085420 MATYALSQAHRDQMEKTLVESDPEIAQIMEKEIQRQRESIVLIA SENFTSHAVFDALGSPMSNKYSEGYPGARYYGGNQHIDAIELTCQARALKAFNLDPAK WGVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGHLSHGYQTPARKISAVSTYFE TFPYRVNLETGIIDYDALEANAELYRPKCLVAGTSAYCRLIDYERMRKIADKVGAYLI VDMAHISGLIAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSTDKTGKEIL YDLEGPINFSVFPGHQGGPHNHTITALAVALKQVDTPEFKQYQQQVLNNAKALENEFK QLGHKLVSDGTDSHMVLVDLRAQKLDGARVEAVLEQINIACNKNSIPGDKSALTPCGI RIGAPAMTTRGMGEEDFKRIAHYIDKAIKICKDVQGALPKEANKLKDFKAKVASETVS DILELRKEIAAWASTFPLPV AFLA_085430 MGVFVKPSFWLLAIHYVIALCFISFSDAKEHSFTQASDTVPKRV AIIGAGAGGASTAYSLRKYADASPILVNITVFERNSYVGGRSTTVNVLEHPAYPVELG ASIFVKVNYNLMNASKELGLFVDSADATRPKQADDSIGVWDGKQFVSVLQNNSWWNIP KLLWRYGWAPIRTQSLMKSTVNKFLKLYEEPMFPFRSLTAAAAAVGLLDSTSTPGDVF LKENKISPDFSRDIIQASTRVNYGQNLGLIHGLETMVCMATEGAVSIKGGNWQIFHGM LKAAQADVRLNHTVTSIQRNADNTLTLTYKANNSKEQKLVFDEVVIAGPLQYSELSIT PPAEKQPDEVPFVTLHVTLFSSPHKLSPKFFNIHEANAQTPETILTTVPSGLDLGSEK AGVGPAGFWSISTLRTVDHPPVSPDEKPSKHYVYKVFSPERLSADFVTSILGLESPSD PVKNNNLTISDLSKQDISWHHEKIWNPYPFLYPRVTFEETLLSTNIWYTGGIESFIST METSALMGKNVATLLFQSWQEQKEGTVEDDPVVGSGERVEL AFLA_085440 MDPYDSDSSGFEDEDLTETSVLLGYASEELLDDSISHLGGWPTW LDDSTPPPGEFANCKVCNSPMVLLLELHGDLPEHFPDNERRLYIFGCPRKPCNRKPGS IRALRATRKLKSQPAPKKEEKQEPEKEKEEEKKQTEAPKPDLGASLFGATSLTGSVSA NQNPFSTSSSSAQASNPFAAPLAAPQPAKPAAPSNPSGNSLSESFADKVRVSSPPPTI KTPEAAGPAAPWPPQSDFPSPYKRYYLDAEYETLSRPPTPKIPDNVVIDNTEDDANGG PGADLKDALESELDKVFMKFSTRLGHNPEQILRYEFRGSPILYSHTDAVGKLLYDPKN PPLGAKVTTTGGPSRMPRCEYCGSQRVFELQLVPHAISMLEDGREGVGLGPKDDGMEW GTIILGVCSKDCGPEKIGVVGWREEWAGVQWEESK AFLA_085450 MGVAFDKCETRPANIDAILSGLDRYNPETTTIFQDYVVQQCEDR TFDCYANLALLKLYQFNPHLLQAETVTNILAKALTVFPSPAFSLCLSLLPAHTQPFPS NAEAQAASQTSDFVESVQKLARLSTLLESAQYAQFWSTLNSDDLYADLTADVAGFEEL VRIRIAVEVGKAFREINAEVLEQWLDLRSREALEKFVAEVCSWEVDKSGPNGTVVKVP TNKENEVRSEVKSERVGVEMFGRVIRRGFEQAA AFLA_085460 MRLLISCPTQPLAPLLLGLMTAATAQSVDYSQYVNPLMGSEGPM AGKGYGGGDIFVGGARPFGVTKVGIDTTAANWSIAVLNGGWTPDGNVTAISMMHESGT GGAPKYGIIPQMPLTDVAPPVNILDNLTYSQPRVGQDTASVGYFKTQLQNGVQVELSA SRHAGIMQYSFPKGEKYVLVDVSHYLPGSPSDPNGQYYIGGEVQVHEDGREYSGYGTY IGGWNNGAPFTVFFYGEFSETPGSATTFTGRNTDPIRQYQTFSNGGVSYPIYGNKPDT ASSGPMNDRVGALFSWDDVEESQIRSRVGISFISTEKARSYIQSEIPSWDLNDTVKSA VEEWNRDVFSKIRVPLDSTTNQTHVRLLYSSLYFIHLMPSDRTGENPLWHSEEPFWDD FYTLWDIFRCTISFYHIFQPSYYESMIRGLIDIWRHQGFLPDGRSGNWNGLVQGGSDA DNMLADAYVKGLRGAINWTDGYAALKTDAEVIPYNTYDPTDFSASTKEGRGALGDWIE LGYVSQDRNTRCISRTVEYSLNDFAVSQVAAGEMPSDREKYLNRSAGWQKIWNPDVQS LNFTGFVAPKFSNGTFNSSGYDPLYCDECEWKSYTYEGTPWEYSFVIPHDMETLIELM GGTDTFESRLDLMVRDPKARLTRAYTMRMSLISLHPTFITISTNKPRVFKDAPYGVPG NSDAGAMNSWLLWQMLGIHPVVTQPVYLISSPWFPDLNMTINGNQTLRITATGLDRGY YVQSVRINGQPWQKNWFEHQDLMEQGGSIEFELGPEMKAWETGSVPPSPGHTTM AFLA_085470 MEIVAGSVTPFYVESSYNLGISARWLLLLPGPSDSIIVKSKIVI GPPSWLQP AFLA_085480 MSLFNVLALLMAFVCSVTAQTWTDCNPLNETCPAAPALGTNHSW VFNETMDDKIWSVTNGQVDWKDTGAEFSIKKKLDSPTMQSTFFIFFGIVESHVKMAKG GGIVSSVVLQSADLDEIDWEWIGYNTSEVQSNYFGKGNDTSFNRGGFHYVENADTEFH NYTTYWTQEKLEWWIDGNLVRTLKPEDALDGKNYPQTPSNIRFGIWPAGDPKNAQGTI EWAGGEVDYNAGPYTMVVKNVRVHDFHTGKEYNYTDHSGSWESIKVVEGNSTTVDELN KEPEKSLAEKWADLPTAAKTGVYCGAAAAGVLLIAGAALYFVRQRKKGRLEYALDDAK WNTERNEMNNLQTTWKASEWGNKGYQPVN AFLA_085490 MEETNLIPIPEPRGLPLLGNILDVDSEAPEKSFQRLAETYGPIF RLNLAGASRVFISTYELVDEICDEERFTKVVTAGLREIRNGVQDGLFTADYPGEDNWA IAHRVLVPAFGPLMIRAMYEDMYDIASQLALKWARQGSSATIMANDDFTRLTLDTIAL CSMGTRFNSFYSEDLHPFIKAVATLLQGSSDRTFRSTLLNNLPTRENKKYWSDISLLR TLSQELVDARRNNPIDKKDLLNALILGQDLQTGQHLSDDSIINNMITFLVAGHETTSA TLTFLFYYLLKNPHAYQRAQEEVDTVVGQRKIIVEDLSKLPYIAASLRETLRLQAPVP LIAFHPHPTKNHEDPVTLGKGKYALNNDEPVVLIMGKVHRDPKVFGDDAEEFKPERML DKNFEDLPKNAWKPFGNGMRGCIGRPFAWQEMLLVVAMLLQNLNFEMENPSYDLRIKQ SLSIKPDGFQMKATLRRGLDAAKLASVLNSGGDLLSRAPQILNGEYKPNTDLRFHLRP MHIFFGSNTGTCEALARRLAKDSMGYGFATRVESLNSAMENIPRDNPVIFITATYEGQ PPDNAAHFFEWLNGLKKAELDGVNYSVFGCGHHDWSATFLRIPKATNDLIEKHGGTRL CDMGMADAANSDMFSDFDTWSELILWPAINLKFGRASSEGDVQSKSALHVDVSSSMRA STLGLQLQEGYVLENKLLTTPDVPAKRMLRFKLPPDTTYQCGDYLIVLPVNPAHVVCR AIRRFNISWDSMLTVRKPSHASDGITNMPLETPISAFELFSTYVELSQPASKRDLITI ADAATTDTDAQAELQSLASSPNRFTEEVINNRLSPLDILIRHPSINLPLSTFLEMLPP LRVRQYSISSSPLASQSDCTITFSVLNSPHLSTENKRFVGVASTYLSELQAGDRVQIS IRASNNKGFKPPLKEETPMIMACAGSGLAPFRGFIMDRAERNRGRRTELLSDDDHPEI GKPARAILYIGCRTKGKDDIHASELDEWTRQGAVDVRWAYSRPTDRSQRRHVQDLLFE DRNELLELIDQGARIYVCGGMSVGQGIRQVFKDMFIERCREVLENGSDGDEDVAAEEY LDSLKTEERYATDVFT AFLA_085500 MKFSNAAALGLVGCALALPKHIESGDGQSLPNFPFGTPSEGQPQ EGGEGSFPFPGPSGTFPSGFPTPSGGAGFPVPSGQPDFPAPSGGAGFPDQSFDKRYEG EGFPFPVPSGFPTGIPSGFPIPSGFPTGFPTGFPSGIPSGFPIPSGFPIPSGSPSSGW PFGGWPFGGFEKRQAQGTDEGNDNEGEGDDSSFPAPSGFPTPSGPVPSGATPSGFPGG HHGEGHHGEGHHGGKGHHGGKGHHGEGHGPKPTGTLPSGFPQPSGGEGPRPTPSGSFP APSGGAFPFFA AFLA_085510 MEHLKQTFAQCQQEGRPALVTYVTAGFPTAEETPDIMLGMQAGG ADIIELGLPFTDPIADGPTIQKSNLKALKNGVTITSMLEMVREARRKGLKTPVLFMGY YNPLLRYGESQILADCKDAGVNGFIIVDLPPEDAIRFRNGCTKMGLSYVPLIAPSTTE ERMKALCSMADSFIYLVSRMGVTGATGTLNTELPALIQRVKSLSGNVPVAVGFGISTR EHFLSVTSIADGAVIGSQVINILADAPAGQAAQAVEDYCSKITQRKVSADASTTISGT TEAKAVALTDDAEAAVADGPGRFGIFGGQYAPEALTTCLAELEAGFQAALDDPTFWEE YRSYYPYMGRPSSLHQATRLTEHVGGANIWLKREDLNHTGSHKINNALGQILIARRLG ATVIPVESGSRTLRDAVNEAFRAWIVRLDTTHYIIGSAIGPHPFPTMVRTFQSVIGNE TKAQMQELGKSPDAVVACVGGGSNSVGMFYPFSKDLSVQLVGVEAAGDGLDTDRHSAT LTGGSVGVLHGVRTYILQDEHGQISDTHSISAGLDYPGVGPELAHWKESKRATFLSAT DAQAFEGFRLLSQLEGIIPALETSHAVWGAIQTAKKLGPGKDLVLCLSGRGDKDVQSV ADGLPTIGPQIGWDLRF AFLA_085520 MLHLITHLIQRIWRRPVTLALTFLTVFLIAPLFLYHLLAYYLAN DPRLVPSAFRTAKNILLVTAHPDDETLFFSPSILYRNDDATVTRGLLALSSGNYEGIG DIRHSELQRSCAELGIKPERCVNLDHYELQDNPQKWWREDLIEELVGEYVKKWNIDLI ITFDDGGISGHVNHRAVSAGVSKYISKTPQGPPAYALQTKFLLRKYAGLADLIPTSMS FSGRILQAIVSPSREYEVVKVGNGKSSKIQDPYGDKALLVSDWQMYFQARGAFSQHGS QYSFDRVFYLLISRYMWVNDLRRIV AFLA_085530 MPPNKSVAVIGTGPAGAIAVDALVQEKSFDVVRVFERQEKAGGC WVSRENEEPVPLDIDNLSARTADGPVPIPDNLPRHVPTLSQHRYFDSHVYPTLHANVA ASVMEYSQEQIPDILSEWSVNIHGPDTPFRHHTVIRQYIEDLLNRNGYQDFVEYNTTV ERAEKDPQTGKWTLTLRRAGEPNGLDYWWTETFDALVVASGHYAVPYVPVIKGLKEFA EKYPGSVEHTKQYRGPEKYKGKRVITVGASVSAADTAVSLVKHAKGPVYAVVRGKYNT YFGDEAFKHPQIERRPPISHITTDNGARTVHFENGTSVSDVDHIIFGTGFTWTLPFLP NIPIRNNRVPDLYLHVFHQRDHSLVFLGAVGAGLTFKVFEWQAVAAARVLAGKAQLPS LEEQRKWEQDRIAKKGDGPGFMMINPDFEAYFEQLRQLAGEPAEGEPGRRLPPFKQQW VDDFNAGHERRIRMWKKANEAGRASKL AFLA_085540 MKPATDRPFEASRYAWRTDTDGLTASDPAAEARFENAKESYKKA LQAFESADKKARNRYHKHEEDDAFGEWAMQYDPVWCSLKAEAQSQCAALCDAGWAAFG QAYLEKSEQGMSKVTQDARYAGFEPEIF AFLA_085550 MRLFSLLTASTLALFVSPSFANSPLGTVIIKTSNSESAHFVHPY QCVTVYPDIQDKPGPSMPFKPLSFLHSARGQPYLQASLPRF AFLA_085560 MTSQERAHIAGLLDTDESTIPRGGNVIMRERAVCTSCGKHSGLD DLVHSALDRGIHGRTYMLDILQNGAKENSPKHYITCSGCGTLHDRGFGCYGYENWFA AFLA_085570 MDQYKTKEDVLKLGIVDPELEEASDPQCFHFSSPIEELRQLVSA MEKTAYDSCPRFDTQETVIDITMRDGYQSNLHITKPGNSTGANPVVVLIFGGAFVMGT NIQSIIWARTIAALYGATVVQPSYRLAPEHKFPTAPNDIWDSVQWIAANESALDADLT KGFVIGGGSAGGNLSIVTAHRAVKEKLSPPITGVLASIPVCMSQETVPEKYKHLWVSR GQNGNAPGNPGLDSKSIGGYEALYQQDFLSEDFSPFCSIVPFSAIPRTYVQVAGLDIL RDDGIVYAKVLEDNGVEVKLDAYPGMPHGHFNLWPHLKQSIKSQEDTVWHFGWLLGCQ VPREKVEEIVAMTRI AFLA_085580 MQSNIPSSSIPALPEGKTKAMDSVKGKVYAVTGLAGIGLAVAKQ LYSYGARLSLADIDEAALSSAFAQLNSDAENVLTTKVDVGSSASVDAWVEATVQHFGR LDGAANMAGMIGKKHGTGRLTEQDDEEWDRLLRVNLTGTMYCVRAQVRSISATSGKGS IVNATSIQGVRGFGLHVAYSASKHGVVGLTKSVAKEVGPEIRVNAVAPGSIQTPLLDK SIVIQGGYTQPPTIIPRTGTADEVAQSVLFLLSDAASFTTGTVLQVDGGWDP AFLA_085590 MLRNYIISLACLLGMVQAAPSDNSKPAHPPNVFSKTEKFPLPNQ GHLNVHDPNILQHDNNFYLFKGGIQVPIFRSSNLSGPWTEIGTVLDGPTIIEKQNRTR PWAPTTVEWKGKFYCFYTVSKDGVRNSAIGVASTDSIENGSWTDHGGLIYTEKGPLAH IWPYTVSNAIDASFIVDQQTQQPYLLYGSYWHGIFQVPLADDLLSVKNPEKPDAKNLV YLPDGMPKPDEGSFMSYKDPYYYVWFSHGKCCHFNKGFPSMGQEYSIRVGRSKDVRGP FVDKHGKDLAEGGGTTVYDSNHGIVYAPGGIGVLPATTTDPDILYYHYLNTSVGFLHG VSA AFLA_085600 MSRPNLDHIVILISHDALLTLSDHLQDHFVIAPGGTHADGLTSN KLILLPDGVYLEFIAFAKDADPEQRRKHRWGNLKENTIIDWALTLPSESDFAAVQQRV LDSNAGFSYQDPIAGGRKREDGVNLEWAISAARDAHGNAITPGHLPFWCLDRTPRHLR VPYQEQSDLTRHPSGVLGISSLSVSVPEAQLSGFSTVYDAIYTPEGSAGLEPRNWHYE VPSGLGAGRHTISLSANEVEAAIRLTFHGEKPGQVELLPGLIVAVESE AFLA_085610 MASTIPTGACEPTISKTLPRDGLAVTACEMGTKYFVIAKEVALY LFNFADNSLIMLQERGNITWSLALHEDKNLLVTGGTEGVVRIWNIQTMSVIRSLKGHT ATVRSLLIVDDTTLISGSRDSTICLWDLDSDATDPKLVLKGHAKTVRCLKVHGGVLVS AGYDGESRVWDIYTGQCLRVLKGHTGTLFALCFDGSRIVTGSLDSTIRVWDPRSGACL GVLSGHSGAVTRLFLQGDTLISADNAGTVKVWSLSNASGRTIAEEKDGSVISLAADGE NILVGNTNGSVSLVPHESGTSRTLVAGADAVWSVGFKPSNRPLAVYLKGGDTQLAIF AFLA_085620 MANELLITINDLGNVACRNVEAVNSAATEVPLDHIRKILSTYVF VFQDPNELKKMFENTTPENVEIRNGMRKLRLKILRTVPYELLTLEERHGCMKGPNMSA LEQSWRTACKAIPKNHSIEEIIFDMSYDQQIELIHISWLLQNINTTMSLKARGTFHCQ VQGSIPRPTQRQRENEAEFGPKASFWAPLPIFVLGHPQ AFLA_085630 MALMGFWNVLLLRVERDDSRDAPERGHCPSLAPGELVFGCFCHW SRFVYLRGSISNSRAFLLLIHGPIPVVFGRVGLDSPTQCLLW AFLA_085640 MRLRAEIGIAVKLTRIYSVPGHLQQLADAICCKSEPALLRILRV AKALGYTAFAIGDLVNYLSTIAFTIPAKWKPKITRASVHALLLATICQFLMAVMSSTK NIKFTYQRTSRGLKLYGIPRFNIAKQQELRTVLPLLSATCDMVSAVAGAGYKEVNDAT LGILGSLSGGSGLTLIVAK AFLA_085650 MVLFTDLPIEIQHRILSFLHAHRDVAALSIQCRSLHALCDMPMR KKYRRLRIDSKDKSLNKAFGMLMEILKRPRLGRYVRHIECSKGPTCYEDYTERTNSLR DLSDQEKQLLRAAICKAGFTGSETGRILNMVMQTEAGEERPWPPYFPTGSRGVYISQA LTALFISVSPDLESLATPPPFFNYTGFYLPDQSHDFESVNYPLERLLRQVNSMPNDMP FLQNLRDVYVINRDLDDERFYRSMDFMGVMQLIHRLPSIEMIGTDILEEDENGATRLE PRSSTISRFAIHHSSLDTYYLANVVYSCKVLKEFQYSIGGRDVPGGSYSKFNPKTFFF TILPHRETLEILDVDAECHVTEFSWEVVEYEELDERFEEWGGRRDAEHVWTGTPPDSL WEQSGSLREFRALKRLSLGIHILMYYAQGVNLAKKESFSLVDCLPPNLEYLLIRGYEK GASEMHDAQIDSLVAWKNSGLSSLIEIQGITECIPHAEDVDDPDDEDAPLWEREEEWS SDSD AFLA_085660 MNAISFSMRPRFSIALASKTGLTTCPRQPRLPHIRYTTMAQPVV EPFRTTDTLGKLHYREALSIRTPQSLALPESEDDPAVRQKYRPFILSNTAEEDWVSDL ELTTALKMAENNLKNTNQRLKVLVLYGSLRQRSYSRLVAFEACRILFRLGCDVRVFDP EGLPVKNDVDHGHPKVQELRGLSQWSDGHIWVSPEQHGNLTAVFKNQIDWIPLSTGSI RPTQGRTLAIAQVCGGSQSFNAVNSLRILGRWMRMFTIPNQSSIPKAYTQFPDEGQPG DQRLMPSGNRDRLVDCMEEFVKYTILMKPHMDLFGDRYSEREEKRVKDAKLNAAVSM AFLA_085670 MASEKSLTHDVEENRLQPATQFKPWRQRFRSHLVIVSLFLCLFL AALDTTIVAPALPVIASHLNATTSEYTWVGSAYTLASTSTTPLWAKISDIWGRRVILM IANVLFLCGSLVCALSRTPLMLIGGRVLQGVGSGGIIVMVTIIVADLFPIRERAKYYA LTGIVWAISSGVGPILGGVFTQTIGWRWCFYINLPFDGISLIILFFFLHLEPVTTAVS TLRSFDWIGSVLVVGGTVCFLYGLESGSGNEHSWDSAFTLGLLIGGILILLIWGYYEW AIAKCPVIPLRLLISRSTVPCLLTAFFHSFTFISFSYFNPLYFQTVLGVSPIQSGLYL FALVLPLSAMTLGSGLFVQRTGKYRPVIWIGCPLMTVGTGLFIDFGPRLVLWKIVVYQ LIAGIGAGPLFQAPMIAFQNALKPENVAAGNAAFAFLKNLATSLSLVIGGVVAQSGTG EFRLVDSNAETEKDGGGTVDRTAFVAGLSHMWIFYTALCGVMTIASLTIQKRRLGDEH GDEDIVPDVN AFLA_085680 MNHNEILALEHKTWDALCISGSTLIPLLSDDCIMVFPGGMKLSK ESHPTLSSILASEEFVPWSSYTILESETRTLDGSAQSALICYKVSARRVSDRDSQSFD ALCSSVWRKIGPEQWEMVFHQQTPL AFLA_085690 MDHSDDQGVYDFILECLSDCITTHEHCDARNPVSLPSRLLEVSD QNHTCRLVEPAKDQLGTYMTLSYCWGNGNPLKLTRGLYNSFQNGISWIDLPQLFRDAI RITNRLGVSYLWIDSLCIVQDDRKDWEIESEKMASIYQNAHITIFEFTEEDGSKSYLF SRCISDEPFWGLRGLSVIRVKNFQSRDWSQTIYNRGWTFQEDLLSRRTIHYLPNRVVW ECWMSHCDERQLPRDPNHRKKRYKMPKPSQESWPELVTAYTVRNLTHTSDTLPAMSGI AYMVSEGTCDEYLAGLWRSSFVVHLAWYVCGFYIQSGSIEELASPCSPCKEYIAPTWS WASTAMKDTVEMHGKSEDARTLTVLIDAKVDVKGGNKFGEVSGGFIQLRGPVCEMQLY YDDGWYRVQPRPLKEGEVNETYQTRIFPDTRLCAGDGLLETGEVVPTICRKYADLQTE DFGLGDNAYHAIYMIALWRTRTHVAGIVIGRSPRVPGAYERLGMVNSRLKIEKGFVDS TITIV AFLA_085700 MSTDQAPWHAAFPAPRTTAATLPRQELLQWLKEGKQPGKDFVLV DLRRADYEGGTIRGSLNLPAQSLYPTIPTLYKLLTASKVESVIWYCGSSAGRGTRAGG WFADYLQDQGETTLKSLVLEGGIKGWVAAGPEYTDLMDGYDASFWAKTTSA AFLA_085710 MACAKGQTHLRYPPKPITESPGPTPDVEKQVNPESSDQPQEKQS AFKNLGILDRFLAVWIFLAMAIGIILGNFVPNTGPALQQGKFVGVSIPIAVGLLVMMY PILCKVRYESLHHVLRARGIWVQIGFSVVVNWIIAPFFMLALAWAFLPDEPELRQGLI LVGLARCIAMVLIWTGLAGGDNEYCAILVAINSLLQMVLFAPMGVFFIDVISGDTITF EYSTAAKSVAVFLGIPLGAAILTRFVLRWTTSARWYDQVFLKWISPLSLIGLLFTILV LFASQGRQVVHQIVSVIRVAAPLIVYFAVIFFATLFITYKMGFGYRLAATQSFTAASN NFELAIAVAVATFGENSNQALAATVGPLIEVPVLLGLVYAVKLVANRLGWKD AFLA_085720 MDQIERRCASLTALLHRLNPDVDIEDALKTTTVPPRGLQTAAFD GVSSASVDEFEWSESSLGSPGELRRVGLDGMASLPTGSKEAGYLAIETIQDITTFCLD YRDNIHVGLSWYATYFLFQATIVLSIHYLRPPQPLDMGPDSANQELWALSISRARDCL AQLGQNNEAATRCLAVLERIRDQSERSQQSSLTPSATRPNASVHADHTLLHPAPEIED TIPTTFAIDPALQILFQDTAWNNDIFEGLQGFPITDEAEAFDYMPPDA AFLA_085730 MKLNLGLILIVTKATTILSTATPREEGPADVDGKSPPAKDLFAP ESCWTRSPYGCSDSRWCWKQCGPNGEWCWLAKNWGKGEWKSCSQVSDCVPGSDNSDCG QGNCKACGCSC AFLA_085740 MLPSRRVVDDLIVLYLTYFEATHRVLHVPSFLKELDEFWAQRDN PDFVSPRFVVQLLLVLACAWNLADFDTLQLKNDNESDLTCYTAIEWVLHAERWIENSH IKRPDIMAFRLYILLLIAKNAHGMKRSKAWLDTGTLIKQAMLAGYHRDPSRYTKISPF NKEMRRRIWTTILELDLEVSLERGMPPSLQYGDYDTAPALNLNDNELQESSEELPAER PLSEMTDCSFQCVLIQSLPLRLKACKLMHSPRISCCYEDILHMDWELNRYLSQIPSWT ASEGEDLQTQHKIILIESLLQTKIGHSLLSIHTPFAIEAPKETLFAPSSRTRLEVATM ILSTQRRLHETSRQLSLSILGDWTVQAYCSVCQLLHASTNSQASSRSSLPLTLPGLPE SLITLAEMTLTCLEAQLLLVVKGAKEYFFMSTILALVKARLWPAQATVYKQEVVDRVI FFAQTLFTRHANCDHLGELGMGGFKTSQVSGATTSIAC AFLA_085750 MTDVPPPPPLGAPDPEPQPEPRVRKRRRRTMACVQCRSRKLRCD RKLPMCSRCESSKTAINCTYEKEFLWQQPNTVVTPAFSERGPTSTTTISQAAHLARAH PAPDSALSSSLTRSQPTSSDTRPALEKRDRFLETVLGAPKAAVNQEPYVNTELLHRSK HPAGVSHHAAPLHRLGDDEGPASPSQQLDISPRIMMRGKETKTRFNGSGIFANLIAQV CHAPITCIS AFLA_085760 MLRSLLSLGSRNADYIFPTVDPKQDGPNCKLDCADCTVHFPSKV KVENSRVLYGHIKEFHTHVLVATGKSGWTERVENEKGSLMEAFDSSSNKSKHGDNQLI PDCLLDHANTITWYFSALTDGEMPDVESLPR AFLA_085770 MASLDVSDIAAQSAQLRAELKEWERAFAAANEGRKAERSDIKQA PEIAAKYKEYSRLKSLEKSVRYEKKHNPNSVNLEERPKKRKHASPPGSHEARLESTPR KAAKGPFTTPSKPRGHPSEFDPYDSPSALRRLFSPSTHQQSSSPLKTAIGPTPQRDGK ALGLFDLLSESGGSTATPTAARLASVRGAAAQTPSKRKTLDTIAEEEEEEEDGPRGDR TPASASKSYMLSALFATPTTWRYATMMDNRNDAIRREPSPQPSANDAGQGAPESETPA FLRRSNSARYAASNPNGEGLSPINVRKRPRFVGKGLSALVQGLRDMEEEHLDNELDVL REIEAEQAGMNTEATDSQAVEQDTGPTFKKKGQKRTTRRVRMKPVISKPKRESQLPAS EDEDNTDNVDQSDDELAAVPETQQPGASGDETNGVPDAASLHSISEPELDSDSDYEEQ SKPPARSKSFSERIRDAIGVVEPPPAEKQEKPQPKVKEKQTKPRERKVNPEAHANYRS LKLRNKNSKGRGAGRFGRRR AFLA_085780 MTAADRNVTSANDPPTATMDRPWRTPMNDTWEKSTIAGPSEAPQ TPDNVDLQQEIMQKTLEEVAQEEADGSVANPCVICLELISEPAVALPCRHANYDFLCL LSWLENRRICPLCKSDVSAVEYELDNPEGLKVHRLEAPSEALPTHTTPLSRQNCIYRR PRRPRPHVQHQNPPSREDEVSRRQHVYRHQLYSLRVGSNRLSQYQELTPDKFKNDEGL VSRARTWIRRELQVFDFLHRTEAGSSQSSVARPGQARLESRRGSNAEFLLEYIIAILR TVDIKGSAGQAESLLQDFLGRDNARLFLHELQAWLRSPYTSLSDWDRNVQYDDPSRRS ASFRSRPEPRPSDRTTTPVYRNNDRVVHGRVPRPPPPRRPQHGERSRDAMAQARRIQY ARGRYVPD AFLA_085790 MQQKDALTVLLTGRSEGGFASLIRRIVDSRKLEFDLICLKPEVG PNSERFSTTMEFKQSFLEDLILTYNQADEIRVYEDRVKHVKHFREFFEQLNRRFQTAQ NPSPRKAVNAEVIQVAEGAVFLSPVIETAEVQRMINSHNDAVRNRSANGTKSPYGPLC IKRTIFYTGYLISNVDSSRLISQTLNPMLPSGLAESNDLKYMANSILITPRPAPRSIL DKVGGLGKKLKWKVTGTAVFEHRVWAARLTPVPATEKYYTENPQPVVVLAVRKGARPI DAGKIQNWHPVPADKAFTFETVVGEKMVLRVEEQNPHEGEWESQFLNKNHKRRHQQDR DEDTSYHGQEGSSSGRPATYNPRHGGRYHDDGPRRGGSYRGRGRGSGPRGRGHPNRGG QRGRGRGRDAGPPQGYRSLDDHTGYDGPYEEKPGPGNGGPVMNY AFLA_085800 MSKTPHAPVGDAARSIASRRPALPTVAPGLGAPVQQQKVLDCLK ILGKYVDGNSTNGRWQTSPTFMASLQNSDWLAQITTVAILLKGRQVQTGFQLLGGCFD TYKTNLKAESPLLTSETFMAAFQLMSISPGLGWSFLKYTCQLSGIVLEKSHPLFQLLS KYLTLDSEAFANCSDLFLGCFLDLMKQHLSGWDDSHRDALLLTTGRMFLLSLTTFSQY QEFTRMSRSDEAMPLLGHQHVLQCDSGELPPAPTRSETLSLYPLHPAQIKVMKQEPGG IDYLSFEML AFLA_085810 MPRYAEAPADGSEVSSGSQLTKRVNKSEEPYETVTEQKATGHPR ETDGEDSLGSRGDDDVLYVKGHPVIRNGNRLCADVSKFIVSVRDDGDPSLTFRSIVLG SVFTALSSVITMLYVFKPYQAQVSAVFLQLLVYVFGEAWARLTPRPDRFKWKWLQTVL TFLNFGQRFTIKEHVVAALIASSGNNGLNGVEVYAVERLFYNRGVSATTAVLGTFSMA LCGFVLAGIMRPLIIYPGEMVYWSTLPQVVLYQNLHFDRRANKDRLTKFGWAMLLTAV WELFPAYIMTWLSGFSIFCLASMNAPKHTRTIFSTIFGGASSNEGMGLFNFSLDWQYI QSTYLSLPIKQQLNTWIGYVILYAAILGLYYSNAWDAKTFPFMSTSLFQSNGTQFSTS SVINSQGTIDFTKLEETGLPSLTSATVWGYLTQNLAIGALITHVFIFYGKDMVLAWKQ SRSRTQPDPHYQAMLKYKEVPMWWYLVLFVLAFVAGLIVNIKGETTLPVWGYIVSLLL GAFIAPFSCVLYGLYGTGVSTNQLSKMVAGALHPGRPLANLYFASWSHQCILLSVNLA NWLKVGQYTKVPHRVMFATQIYGTLLGAALNYVVMTTIVSSQREILLDPVGNNVWSGS TIQGLNSQAITWALAKDMYGVSSRYFIVPLCLVIGLALPFVHWGLGKVIPRLRKLPLN TAIIVSYAGNPYYGNTSWIWSSIVVGLFSQFWLRRRLPEIYNKYNYLIGAAMDGGSQI IIFVLSFAVLGAAGKERPFPTWWGNPQGNPDHCL AFLA_085820 MFAHSMTLSCYIMAMAMTILMLSYLADAQTFTSCNPTKANCPKD PAFGTSATFNLTKSLPNKWKTVGTVTQDKDGVALTVAKKLDGPVLQSDFYIMFGRVEF TIKAAPGAGIVSSAVLQSDCLDEIDWEWLGGDNAQVQTNYFGKGDTTTYDRGAFHPDP GNHETFKTYTIDWDSNRILWQIDGNTVRTLEEKNAKGQYPQTPCFIKVAPWAAGDPSN SPGTIEWAGGQVDYSKGPFTMFLKSIKVTDYSSGSEYEYTDKSGSWKSIKAIDGSVKG DSSGATTSSSTG AFLA_085830 MSHPLVAAVWEQLRRRDITNIVREIIGEHATDVAIFQAEDASDV AKIEHLMHAVFWIKAIFDLQRTYLQGLTNFDDGHPSSTSYLLPSEVIFSTLMYLVQVK PKLFPCSKPPAPELVKYRHFLALTLLAGARLLLLRGQSIRHEMKFNLERAIRKAWQDS ELPGAERFMVSNLLPKVIDSIESSDSSSKSHLNFSASQGSNSKFTAMEPDSLLGNTET LTDLLTGLLKKNTDQMTPFLSLFDMLWAAEATMVQSHIDRRRMSQEQGHSLVAALDVD DIFDHARENKLKLAKTVLAAFNDEFTAPPLQILATVVLSQNAEAHPPLQTRRIRDTWA QLSRIREVCEASLSHLVRWLINRRVQLWGCNGELEATSHHYQQNLTEWLQLSPLQEAN PSNRQDEVLYVVDCPAGHSVPRSLLEERMDKNACEQLRANSQMLTLNIYCPLCPTNTK IQHARMVEAARLSQPRIGFETASTRSYSFPDSISRDTTSSSSLSHSTSHSSVRVDSGD GTWSPISPATFKPVFSPMNSKHSPTTPSDPHNSFLARAKTDFLYEKSTAKSFPRELKN VSLPFSTGSSLFRRVSVKKNHLPREPRFCFSASGRSLFLWGVGSNWVARFETPSAGAQ KPSSHRYDVSGVQYVAAGDQRCAVIAAVGEHYELLVFKGLGLSAEAHLPIEIHNPSLP PIHMVMSRDDRYVAFTLKNEVRVYEIIAGGIVRVSFGDTGDPSASLYDMTPAPTHIAS PLGNDGTPGQEAIIERKLQFSVDGKYFVIATHLTDCNAYVDVWDLSLKRWDTVPGKSQ SFRLSHRTTNNKDLTCVFYDNVHHAVLLPAYFEKEFPKSSSVADKDMLKDPNSTRITH AAQSASGSQFAIANGMNQIYLFDSIASGSTRVSRMKKASHKISSSVFRPGYLSLAFPQ DDEILLFWMDNGKLMLRTVRLHEGTQTSKDYDLRSDFDRLILERPTADAQLRRASLLS KQQSPELDGYISITSKLAELPST AFLA_085840 MSETEIRDARRPSQAENQTILKSEIPLKERFFRYFQHEITALQE QMDRLADTSLVGGERTDATDHCLAGIARLSNEVKDAASYIPTYDQRIYAEAIKALQDK LVETRATVEPRPKFSFKNKKNASAISLSDAANIVYHGRSMPGYLSPGTSSVDSSAAQT PNYPSTPLNEPDRMLQPRAEIAPTSFPAIPTIDVEDGEDKSKRAKGKAFAATAVSSVS VNNHVGLHIMLPSSGSTATVPASITSLRHCVVDMSIPTANGKPYASLTIKGVKESLLV CGQINGPAHITDVENSTIVVTCRQFRMHNCSNVDVYLSASSNPIIEDCTNIRFAQIPR VYALDHDHPDSEDRWSQVEDFKWIKSEPSPNWSLIPRESAVPEEVWAEIVPGGPGWSL DDILRAINITN AFLA_085850 MKLPILLTALPWTAAAATLRSKSNVVNQTTCGGTTYAYTGLEGY GFIPSNATDKYGDTIGGIGSSIAIKPDSWRRTAPNTYSGIVYALPDRGWNTNGTLNFQ PRIHKIALTLTLTHNASAQHPSPPNLHLKYLDTILLTGPDGLPTTGLDADVTGAASYP GYPPLPAATYVGDGFGGAGPGGKRISLDPEGLAIDNDGSFWVSDEYGPYVYKFSPEGK MILAIQPPEAILPRRNGTLSFSAASPPVYNPELTINPEDPQSGRNNNQGLEALTISPD GKTLYTMMQSALNQEGGPKKKNRQPARLLEYDIASGTPVYRHEYVVLLPKYEDYTQNE SVVASQSEMHMLPSGDFLVLSRDSGFGHGQDETRSVYRHADVVAIGNSTTDLKGTFDG VGASIASSKGVLKDGITPVEYCEFLDYNVESELAKFGLHNGGAQDAWLLNEKWGELCA CSGGHGSAEAWLGEEGVFLVQLQ AFLA_085860 MKEVVDQVRLLKPDSNQETSADGAIERGEPDEMNELVRATFYLY KALETGFLDLRDNVEPKGNHYVFFALKDFLVEVVQIIKFKPALFVTTVMGEETGTREK HNKHAPLLEELAADLVTPAAYKCMEAWIPSEN AFLA_085870 MHVLSIILPLYLALPTTAGSLKPRATYTDCTDSQKQLISAAVTD AGKMASAGASSLRSNSASSLFQTFFKTTDSSAMDQVASALEKIAEEASQPGGGVVTYS CSPGSISCQSGGFTTTGYASTDGTNGQVNTCPAYFDLPASSDDCTVLDQRTSALHELG HTKGVLGNEVYGYQEIMNIDTQTALSNAESYAFFAKSVFLGCGGQSGQSGQSGQSGQS SQSGQTGKTGSSGSNSGGSSSTPKTSGTTSSNNPSGSNQKGPSSPLGGSSNTGSLGNM GSPFGNSGSLTGPGSSMESSSPYGSSGSPFGNSGSLTGPGSSMESSSPYGSSGSPYGS PDSLTGSDSPMGSSYGSPYGSSDSPTGSGSPMGSSYGSPYGSSGSLTGPGSSMESSSP YGSSGSPYGSSDSPTGSGSPMGSSMDSPYGGSSGMGSSFGSENSMGEQGSSMESPPSV IDSGSQDSYGGMGNSDSYGGSDSYGGMGY AFLA_085880 MAATRTSTRQAAQKAKEAISAGPDTKSKGGAGAKRKEAAHKGPE PKRSKKDDKKAARDEDKKVEERKEDAEKHVKEKKEEHGEQEAEGEKAQPVEEQVEGEK AQSNEKPEVEEKGQQNEEPAEGKKEEPGEEQAVGPKEEQKDEQVEESKEEHGNDKKDS QESKTEQAKSADDQEKPAADGVESGIQKSKEREEAVPSNILEKGVIYFFYRPRVNVSE PNSVDDVARSFIVLRPTPLGASLDQTQGSLEAGAKCRLMLLPKKKFPTSGRERDMGFV EKAGQTMKELQENFIAGEKYETSTRGERTVPEAKPYAEGVYAITSTKRASHLAYILTI PGEIGPLQEDFGLHARGSWIVQSKNPKYPGPSSAQLPKDPEYPERFVQFHLSSLNSRL TLLGSVREKFQDYRWAPLTPEFIDYPNAQFLMIGEATDDLGKAATAESDGKRSEEVQP GEELEKLEGENEERVDSLKGKGTMGSMLNYVLMFDQVMMLYTKIWGWMRASILRCQRL GQVSSSLGDEYIWWVRDQCMIVCMNTKIGVTIKICLVILLLVAYGIESYWYNMSGIEC PSHDLRAIWCFGRVVKR AFLA_085890 MSGIYDTASGPFQASTMSDNKQESTPSQQSQNTSNAPEDPLSRN SDQNAPIVIQPYAVEEPEEEPPPVSSKPTILFLPGPNSEYWQAELVDSMEDLHCESDN SITKPMSRYNRGKKRKPSSTAPEYFQVFQKQSPGMPQARRHEDGPNLKQRKLRRRTKQ SNEALASPASGLSDVGLSELESSESFCSRSPSHGANSNQETTPSEQMDLD AFLA_085900 MGPGGEKLPGEHQLSSRTEYGTTTYTVFVLETIPWQPLIPILDL YENGLDVYTDLFGGTTHLFPP AFLA_085910 MQSSPNMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGIDFHPTQPLFVSGGDDYKIKVWNYQTRRCLFTLNGHLDYV RTVFFHPELPWILSASDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPTEDLIASAS LDQSVRIWDISGLRKKHSAPTTMSFEDQMARANPSQADMFGNTDAVVKFVLEGHDRGV NWVSFHPTLPLIVSAGDDRLIKLWRMSDTKAWEVDTCRGHFQNASACLFHPHQDLILS VGEDKTIRAWDLNKRTSVQSFKRDLDRFWVIAAHPEINLFAAGHDTGVMVFKLERERP ASAVYQNQLFYITKEKHVKSYDFAKNVESPPMLSLRKLGAPWVPPRTVSYNPAERAIL VTSPTDGGVYELIHLPRDATGAVEPTDVKRGQASSAVFVARNRFAVFNQANQQVDIKD LSNSTTKTIKPPPGTTDIYFGGTGCLLFVTPTTVALFDIQQKKQLAELAVSGVKYVVW SNDGLYAALLSKHNVTIVTKSLEQVSSLHETIRIKSAAWDDAGVLLYSTLNHVKYSLL NGDNGIIRTLDQTVYLVKVKGRNVYCLDRSAKPRILEIDPTEYRFKLALVKRNYDEML QIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELALECGNLDVAVEMARELDR PNLWSRLGTEALAHGNHQVVEMAYQKQRNFDKLSFLYLATGDQEKLGRMAKIAEHRGD FTSRFQNAIYRGDVEDRIQMFKEVDLYPLAYLTAKTHGLTEEAESILEACGLTEDQIT LPTGDGIPQVPQPIVPTFKSNWPVKAAAHSSFEKALLGEVGADDEAAAIGFEAEEEEE EAETAGEHLEDEDEDVAGWDMGDEINVEEDVDFVNVDSAEAGAGSTEADLWARNSPLA ADHVAAGSFDTAMQLLNRQVGAVNFAPLKPRFLEVYKASKTYLPATPGLPPLVNYVRR TVEETDSRKVLPVIAKDLETIANVDLQEGYAAMRANKLEDGVRIFKGILHSLLVNTVS SEAEVEQAKKIIETAREYILAMSIELERRSVGTDTPENLKRSLELSAYFTIPKLEVAH RQLALMAAMKLAFANKNYSSALSFANRMLANGGSPKLLDQAKKIKTQCERSPQDKIDI EFDQFAEFDICAASHSPIYSGSPSVSDPFTGAKYHEQYKGTVCRISDVTEIGAPASGL RLYVPGQH AFLA_085920 MSTSESQPSLPKNLFPHQTPAAASSSTEETKDANGMTKLPNGVI LDKDGKPCRLCTSAASWRNLTKQAKAQGATSTPTTTKPTPESTTSTSTPQPRDDCPPD VEALGRSTWTFLHSLTASYPVQATQEQQGEMRTFLKIFSRLYPCWVCADDFRNWMAEP SGRNEPRLKGRADFGTWMCEAHNEVNRKLGKKEFDCRFWEERWRTGWKDGRCD AFLA_085930 MYTDIKDGYPPRVIFLNFAFSSPFFALLVVLQEPNSELASRREL PAFDSKVANSSPKNLIRASVKNKMASRRRVVSDSESESDEPVGPSVPSDDVLEKALRD TVAKIYKSGNMEELTVKRVRMAAEKVLGVEEGFFKGSSIWKSKSDQIIKDEVEVQDKR AQEPKSDEELEEPAPPAKKASSAKRTKPDKAETSRKRRKTNTPEHEDQSEVSAPLDDE SEEEVKKPAKKQPKSTAGKSSKPKPSKEQMSDDSGDVEPKKDDVAPSEAEESKNDRGE DSESEMSVVLDEEPKPTRKRQKSAGSEASTQKGKKKTITAKAKDADLDPDQKKIKELQ DLLVKCGIRKLWWRLLAPYETSKEKIGHLEGMLREAGMTGPFKGKEAERKALKIRERR ELQADVASCQEEVKLYGKDGADEESDSGRPGRRLNRGRKHLAFLEDDGEETD AFLA_085940 MNYAKSHPDLCILAVNTFVQDSEDPNPLIRALAIRTMGCIRVDK MVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPGMCMENGFLEMLQEMIGDPNPM VVANSVTALSEIHHAAPETNALQVTTNTLRKLLMALNECTEWGRVTILTTLAEYRTTE VTESEHICERVAPQFQHANPSVVLAAVKVVFLHMRNIKDELSKNYLKKMAPPLVTLVS SAPEVQYVALRNIDLLLQKQPDILNKELRVFFCKYNDPPYVKFQKLEIMVRIANDRNV DQLLAELKEYALEVDMDFVRRAVKAIGQVAIKIENASEKCVNTLLDLINTKVNYVVQE AIVVIKDIFRKYPGYEGIIPTLCKCIDELDEPNARAALIWIVGEYAEKINNAGDILAG FVEGFNEEFSQTQLQILTAVVKLFVKRPEKAQGLVQKVLQAATAENDNPDVRDRAYVY WRLLSNTSDPGATKNIMLSEKPPIVTTIHSLPPALLEKLLTELSTLASVYHKPPEQFV GQGRFGADAVQRAAIEEQIQNARENPLAAAAAAAAVSGKAPPPQSQNNVENLLDIDFD GGAPASAQKEPSAGMSGLEGLAGTPVRVESPAAGAPAGNNNLDDLLGVFGDGGGAAAP VPAPNGGSASADLMNGFAGLDLSSNTTSPPPGGRQPKKTNEDILSLF AFLA_085950 MAQTDYKFEGWMGLSPESADGKMVWQEFEPKEWEETDVDIKVTH CGVCGSDLHTLRSGWGPTKYPCCVGHEIVGIAVRVGSKAVGDIKVGDRVGVGAQSDSC LGRLGDCPECAMGWEQYCSHKIVGTYNGIHLNGGKSYGGYSLYNRTPSNFVIKIPNAI PSAEAAPMLCGGVTVYSPLKHNGCGPGKRVGIIGVGGLGHFGVLFAKAMGADKVVAIS RKASKSADSLKMGADIYIATDDEPDWATKYARSLDLIVCTVSSSKMPMTEYLGLLATN GSLVQVGLPEDGTLFANVRNLIRRVKVESSFIGSPREIREMFELVAEKGVKPWIEEIP MKDANTAIVDMHAGKARYRYVLVNEQQ AFLA_085960 MVKGTIALLALAGPALAGVIQNRGVDHGAPATIDLAHKEPPQWT EAPNPPPRPTGSHKEPPHNTEPSHEKPTHEKPPNKEPSKTEPPHTEPTHEKPTGTEPA HTKPEPTHEKPTHGTEPPHTKPEPTHEKPTGTEPPHTKPEPTHEKPTGTEPPHTKPQP THEKPTGTEPPHTKPQPTHEKPTGTEPPHTKPQPTQEKPTGTEPPHTKPTGTEPPHTK PTGTEPPHTKPTGTEPPHTKPTGTEPPHTKPTGTEPPHTKPPHQTEPPHNTQPITEIT KTTTYVTTECPVTSSVVTSGTKTLTVPITQTNIITVTTTFCESSTLPPVKPVPTGTGV PLPPAPVPVPPKNSTLLPPAPVPTAPFQNTTVPVAPTQPVPVAPGQSTVPGKPVTTQP SVPGETPVQPVVPGETPVQPVVPGETPVQPVVPGETPVQPSVPGETPVQPSVPGETPA QPSVPGETPVPAQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQP SVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPGETPVQPTGVSPVQPSVPG ETPVQPSVPGETPVQPTGVSPVQPTTPGETPVAPTGVSPAQPSQPPLTGAARAVKPTV GLLAAVMGVMILL AFLA_085970 MPHSVSPGESPSHRNDEEVLPDASPTDATPSGPEEKESEATEND TKDRLADLLFDDDDDDEFPASSAPDTKNENPASRDAVDSSAPQPAHVDTDTMLAFYQR LFPFRYLFQWLNHGIVPSPDFGNREFALTLQNDAYLRYQSYATADLFRKDILRMNPSR FEIGPVYNTNPRDRKTLRGGQMKPVSKELVFDIDLTDYDDIRSCCEKANICGKCWTFV TMAMKVVDTALRDDFGFQHILWVYSGRRGAHAWVCDPRARSLPDDRRRAIAGYLDLLR GGAQSGKRVNLKRPLHPHMSRSLEILKPYFAQTTLVDQDTFVSSEQAQRLLALLPDKA LNDALRKKWESSPDRSSTNKWADIDSLAKTGKSSTLKTSTLREAKQDIVLEYTYPRLD SEVSKKMIHLLKSPFVIHPGTGRVCVPIDARKAEQFDPLSVPTVTQLLGEIDAYDAEN PSNGAGDAVDGEGSVPNDSDVRGSRKLQDYEKTSLKPYVDYFRSFIAGLLKEERGGKR ERNEDMTEVKTESMEF AFLA_085980 MLSLRTIARSVPRTFSRSIAISSRSALFRPIPTAAPKNVILQSA LKPSYAAFSTSSVFKQSQAEGDFELAAKLEDELKHEKASGLEDLDSSVQNIQYVLQNN SWEVKDVPGDQEVVLTKKFGNEEIRLTFTVADLQNLSEQEEFDDQALSDELDFEGGHQ PANRGASGNVAQHPEDRVAPADRELDELDRDLEPSFPARVNITVEKPSNGALLIQTVV QDGLFQIEEVSYFSKPDLAHAQTAEKDWARQSLYAGPPFENLDEDLQTFLERYLEERG INAELANMIPDYIQVKEQKEYVRWLENVKNFISA AFLA_085990 MPKSFSKVHKHIAKKRGAIDALHENSRDAKRLRRAGIRDDRVAR VSATLARGRQSYIDRIVYFHENVPEDAGVFSDSDMMNLIVRYINRSVPEIEQLQSERR KGRPPSKREENLLQRTDAENKEFKTGFWLPDLGQEDVLKALASWNGDWSGLSSMKFIR LTKDGGKQSSAFPPKGMS AFLA_086000 MGIKGLHGLLKSIQKPCHLKKFSGQTLGVDAYGWLHRGTVACAV DLVLDRPTTKHVDFVLNRVRMLLYFGVTPYLIFDGDNLPSKAGTESDRHQRRQESKTL GLELQRKGRTAEAYQEFQKAVDVTPLMARQLIDELKKMNVQYVVAPYEADAQLVYLER QGIINGIISEDSDLLVFGAKRLLSKLDQHGDCIEINRADFTACREVSLIGWTDADFRR MCILSGCDYLPNIARLGLKTAYRCIRKYRNVEKALRMLQFEGQYHVPTNYLENFKQAE LTFLYQRVFCPQAGKLVTLTAPEDDVNLEELPYIGADVDPELAVGVARGDLDPTTKEP LVLRPAPAGKLMHGIQRRQTLGSSAELKLNKPISSFFTPKRTPLAELDPNSLTPSPSQ QRLLERHANRSWESSPVTPRPGIVRSATVNRLSSPLVRSVERNSFLAHASRASTLQPT KRQRLCSETDEASLPSLPDCRSRFFASSPGDSSPSGAKVTRSKKARKSAVDVFSDEVA EDIMTQIVGSSETANHCKDKPHIGTEPREGNHEEAAPSTALTTSEDSAIVKQKVLAEE PQDSAETPSKASVNEDSNPEVFHQVLDYHIAKQNSSLLSKFTFEAAERNTSPNLDTPQ TKDPQVHRPLISRSPSRVKAGVSRSPSRRQRLTPLQRLGQTALARSRSLNFTPSLSTS SALGSNIGANTSKASPIPATCHIAQGSEDMIVPDSEEEDVDGDEPSHPRTPVAFDLKR FSYTNK AFLA_086010 MAGNFKDKMARFIPMIGYHHVLMIIIAVTIILLSLLLAGCSSSS PQMPSIFLISLYYQRYDPVFNLAQVDPGVVQATANIVGGAEMEVRVGYFGICVSPSGG AYICNSNATALAEVVTVDQDPLNLIWVASTFKDAVVFPYLLIVAVILAFFCFILLATF PGWHEEIDSTGSEREVKPFPSRPVSQAALALIFVASVFVLVSVLWQHTASVAASTIAQ DMGNGSVKSGVGSSAMVLGWFGFGLMVVTTIGLLVMILSIKLIRQLTDEE AFLA_086020 METIRDSTFGKLVRVFTRNRLLRYPEEVNPALWTECLKPEPSVK DEEAATLADTEEDTFGLYAVMSQASRASRRLNSIASTAGVDRGTPLLVDWRGPDDPEN PQNWSTTKKLLVSSQIWMLTFAIYIGSAIYTPGIEGVSEQFGVSRVAATLGLTLFVLG YGLGPMVWSPLSELPTVGRNPTYILTLVVFVFFQFAVIYAKNFGMLLAFRFLTGFLGS PALATGGASMGDMWNPKVRDYMIAIWGCFAISAPVLGPLVGGFAASAKGWTWTIWQLL WASGFTLVLLFFFLPETFTPNILWRRARRVRKITGNSNYKCEAEIELSHVRPKDVLFE AAIRPFQLCFLEPIVFFLNLYISLIYGILYIFFEAFPIVFSEIHGFNMGQTGLAFLGI LIGAILIIPCYFYWKAKYQSQHFDQNWNIAPEHQLPPACVGAFALPISLFWFGWTGNF ESVHWIVPIVGSVFFSVGGCLIFNGIFCYQAHAYPRYAASVLAGNDFMRSSFGAGFPL FATAMFHNLGVGWACTLLGCLTVLFVPYPFILLKFGRRLRMASKYARHDI AFLA_086030 MDPDFMDSLVSECGKATKLKVISADKLNPEAVKILMTPMRNASV QGLHLREAHSDGGWYLANTFLPALIRVEEAAIPHPQGHNTTGYQLWREDKVLIVALMR GGEPMAFGVNRAFERAMFTHAYQAIDLQHDHLEDRFAVILVDSVVNSGGTILDFMRHI RDLNKEVSVVVITGVMQARCVSGGRLTAALAKYDNLQFITLRISENKYKGTGPSPLS AFLA_086040 MIGLDADRTLTSQDTGDLFWKKISPLLEYAGGERPKTPAQVVFE SPLGYSYDAFR AFLA_086050 MAQNPSSPVKPVVIGIYGLPGSGKTTLLNQLRMALGEDHFMYFE GSDEIGKLVCGGITSFTMLDDKARHDFRELAISCIKNNCIAHDKTGVVTGHFMFWNEG KKSGPAVYTQSDLDTYTHILYLDVPPQEIVKRRLDDSGRNRSHVSTNHVQRWVEAEKQ MLRDLCYRNNILFCAVTSNMIPKEVERLL AFLA_086060 MADNIMDVSHGLYDLELCVFFNKPGCMRLCRSHKHGLEVIQPYK AFSVPDLFFVTVTSRKYLASQGDRVSPVEGGSLRKRWWVLFFRYRNPVQDGFGFVSSR LIL AFLA_086070 MWQPSDTQLFFQERYDREDRLYHGEHAESLLHSVPLGLPYPAYE PESQPFSYEIPEQLSLRSPSTKRQSSHPRSPQSISRDPFTSPQTFAHADDASAPDSWR QRQTPAPSALRRYPTRRINLVQGSVLSVDYPVPSAIRNAIQPKYRDAGEGFTEEFTHL RYTAATCDPDEFTLRNGYNLRASMYNRSTELLIAITYYNEDKVLTARTLHGVMQNIRE IVNLKKTEFWNKGGPAWQKIVVCLVFDGIDPCDKNTLDVLATVGVYQDGIMKHDVDGR ETVAHIFEYTTQLSITPSQQLIRPHGEEPTTLPPVQTIFCLKQQNSKKINSHRWLFNA FGRLLNPEVVILIDAGTKPGHKSLLALWEAFYNNKNLGGACGEIHALLGQRWEKLLNP LVAAQNFEYKISNILDKPLESAFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLSQ KLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGSRWTLTYVKASKGETDVPEGAAEF LSQRRRWLNGSFAASLYSVMHFNRIYKSGHNILRLVALHVQLLYNICQLIMTWFSLAS YWLTNSVIMDIVGTPSATNKNKGWPFGNDATPVVNNFVKITYLVFLMLQYILALGNRP KGSKVAYTLSFIYFSTVQLYILVLSFYLVAQAFSGGNLDLNLDDGVAGFIGSFFTSTS GLVLIALVSTYGIYFLSSILYLDPWHMLTSSWAYFLGMPSSINVLMVYAFCNWHDVSW GTKGSDQAGSLPSAQTKKADAKTNFVEELDKPQADIDTQFEFTVKRALSPWHPPEEKE DANLDDSYKRFRTNLVLLWVLSNSSLALCINNEGIRNLCLTLMRTARLPRQVVRPGIL RLFSGLLLRCRFSGLSGLCGFWARLGCCVVFRGVDLNFE AFLA_086080 MALLGRLVLLGSVLQLAHLGSAQTIKSVTDGRIIEANEGTVAPA AQQVEGSGLQLTDAVLANLTQLQLSNISLFQFANESDQPKQSRSDGCKTYPGDVLYPS QSVWRIFDLLTGGALIKTVPIGAACYQNSDHYDYAKCQAILAGWTDDAVHEADPTSAM SPLYQGLTCLPQNANVSGATCEIGGYPTYAVNISSVAQIQLAINFARSTNVRLVVRNT GHDFLGKSIGYGALSIWTHNLKDLEFVEQYSDQSSDYSGPAVKVGAGVNVGELYAFAD KHGVTAVGGECKGVGVAGGYFTGGGHSPLTGKYGMAADHVLSIDVVLPSGRYVTANAH QNQDLFWAIRGGGGATWGVVTSVTFKVHPKLNFSGVTWNITSGTDTNNTDDLFFEALY AYWRRFPGWADQGSYGYSKIYSRGTGAGYAWDMLPWLVPGMGLDDFKEMVADIFDEWT EMGFDFTPEYFEHDNFYETWSTHFPTETVANTNLHTGSRLFPRKTWEDEALLNKTMDT IRSIVEDGSRLIQYNVNGAAVPDTPDSAVLPAWRDTVFFAIVGVSWADGISEDELKAA NEKLTDDIMQRLRDVTPGSGSYLNEGDVMEPNFGEAFYGANYDRLLQIKAEIDPWDTF WAPTAVGSEDWYITDVPDWLTYQTGKLCRKQN AFLA_086090 MTVRKDQPDGCTIELAECYKRAQALEGEIEIWQKKDRDSTTQII DLTKQIKELEEKISQTGKPTISYGYGNQIIRKKIASACDCMKLCATYTTCKWAHFSRF GGSSRDCVLYSNARKLSYVPRIARDGVFDVWAQLFRSSCPSLS AFLA_086100 MEPSLVEKRRSTSHQVCDNCHSRKVRCDRKNPCGNCQDQGTPCT RHRSLRRTMRKVSHQSDRPSSAAKSSQTSTHPVPELGSLRGLLDQQTDTYSLPSELDN DSLSMEMPEVGPILTNHPHVAYELHNWISIVPLTDAQMASRHRLSRVQGLAWNRLQVL ESALVKSKAKSRPLNF AFLA_086110 MTTILHLIQLTPGQTLTAKDLYLDSARQELSALVSICLSANKQS TVAFLHWTLLYYPLTAVFVLFCNTVVTSHIGDFNLLRTVADCLTQSGTASEHIANLQK LFQEFVSLSQRFLNEESSTALTNQGATQTSPCQQGTSSQDVIHNSNSPHWLNTSTTWS SGILAGLDNQSFDPSFPMLELSYGDSTFFLGGGSDIPFSSA AFLA_086120 MRFTVLAALAFVGAALATPQAKIATGQPCKADGSMGVCDSGLCV KSFLGMSN AFLA_086130 MITSLYTSEQFVESCGAILFDLSSPKKTVCLIHYHPKNEWLLAK GRRNCGESRHEAALREVREETGYQARLHPVTIYIRAPPMDEQGHMPDEPRCYPDLTEP FMVTIRQFGGDGATGVKIIWWYIAALDEGAVAGSAGKAEEEFTARFFPLEEAVEKLSF RDDWAVLEKAIALVEGH AFLA_086140 MTANGDCITHIKPRCDSGILLNSDCVVGNPSTPDGTDHRDQNCV SRELPKCTEEGYEWSELEKQCRKKGKTECLDGYREENGQCVSGDVPDCGKNGTLEGKD CIGKKEPTCSDDTYWDGEDCRSPDEPNCGEGKRFKK AFLA_086150 MSTLDYWVCTKTRRLHRFYIRSKSDPTVFWHDNDGFIVASKTER TKFRVTGKTRLEKDYVMIRSDLISITVIGKDNGYIRNDDGILVTGGSGNDTFLFSEFT TAFLPSGTRVKDVIDDDLRGESIVYRERRSYYTLQYKSISEMEICLPLSVPELSTEWW GIWG AFLA_086160 MPSQQMVLFAYTIGAFSLVLHLLVAALATGPRYSVVERLEKIPD GWVDVGTPSPSKPIELQLAVHPEKITEFEQRVIEISTPGNQNYGRHMSRDEVRNFLYS SDVAPRKVLSWLKAAHIPATSINKHTGWITFTVPVSQAEQLLRTRFYTFEHKKSRATV VRTLKYSVPKELRSFVQMIQPTTRFGQLMPQTEPPLLQALPVTLKDLVAGCSSIVTPS CLRKLYGISDSKPKPDRRNRLGVSGFLDQYARYSDFHQFLRLYAPNITDNNYTVELIN GGLNLQDSLEDSSEASLDIQYAAALADTPFTTFYSTAGRGPVIRDLGHNDPSDPHEPY LEQLRYLLDLPDDELPAVLTTSYGELEQSVPEIYARTTCNMFAQLGARGVSVIFSSGD SGVGDSCITNDGTNRTRFQPLYPASCPFVTSVGGTYGKEPEMAIGYSTGGFSEYFPRP SYQDGSVNQYLSQLGSKWEGLYNPNGRAIPDVAAQADHFIIMDHGQLLKTGGTSAAAP VFAGIVSRLNAARLESNKPRLGFLNPWLYSLNQTGFTDIVDGGSVGCFGDVGREVPYA SWNATPGWDPVTGLGTPYYKALVEQAMSI AFLA_086170 MANGADLRTLVSELHNALNRKQFDAANDLLSRAKRTLLLQNALI PTSSTSPELIALAREVLELGALSSIRQTDAPSFTRYYQQLQPFYDLERDSANAGKVDF KTSQRSKITGLYLLLLLSMGDSTSFHTVLEGLVEEASLKGKSIEDDPCIKYPVELERN LMEGSYDKVWRETKSERVPSEDFGLFSNVLVGTIRSEIADCSEKAYPSLPISNAKNLL FLESEGAVIEFAQQRGWVLRDGRIYFPVEPEAAARSEKDILVASTAIIENAIGYAREL ETIV AFLA_086180 MTPQTPQSATTIYLDQPPSCLQTCPASPNHIVIGTYLLTETKDE ANDTVKQSKTGSVQLWRLDPETHTLTQIQKIPLPTAVFDLHFHPSKPTLFAITTSSGS VSLFRLTTPSGQDPEILPLWTKPVHDDPSIPALFLAWTPASWLNGAHADGFAVTFSDG RTRVFGSPDGISGEADGVSDVGADALVEVGCFAVREMIEVWFVALAEFPTSGDGGERF LFTGDDFGGVHTRQFQSYSDSGLDDGDEGEGELLSSVLLDYDDRARHHTAGVTAILPL PVELEGGAPLLLTGSYDEYLRVYHATRRGDVLAEVCLGGGVWRLQLLKTEHCVLSGGD EWRCLVLASCMHAGTRVVRVTRTLGENAGWDIEVLAEFTEHQSMNYASDVWKPEGGYD LQGKEVSELLCISSSFYDRRLCLWRVSV AFLA_086190 MAVVAERAGVPKGVFNVVTALNNTPQLGLAMCESDIIKKISFTG STRVGKLLMKQSSSTLKKLSLELGGNAPFIVFNDADIETAITSALISKFKVTGQTCVC ANRFYVQEGIYDRFAQRLVEEVSKFKVGNGLEDPSVTHGPLTNGVAKVEEHIKDAVSK GAKVLLGGNRLPALGKNYHELTILGDANDSMLVSKEETFGPVAALMTFKTEDEVVQRA NNCEVGLASYLITSNLGTSHRVSERLEFGMVAINTGVISDAPAPFGGVKHSGMGREGS KYGVDDYMTVKMITTGGINTVYTRL AFLA_086200 MASSFASILKDASLFVERSYVNGQWVSSNSNATFNVTNPANEAQ VGVAPESTLDDLNVAIEAAADAFPTWRSVPGRQRGRILRRIADLLVENKEDIGKIITA ENGKAKGDAEGEVIPMD AFLA_086210 MSVPFSQFPPTARITPHAFKVSIPQEQLTDLHTLVKLSKLGPRT YENSHPDARFGITSVWLTEIREKWLNDFDWKACEARINDFPQFKTEIEDIQLHFAALF SEKPDAVPIVLLHGWPGSFLEFLPLLQLFRDEFTPSTLPYHLIVPSLPGYGFSSGPPV DRNYTTHDAARVIDKLMKDLGFESGYIAQGGDIGSRVSRFLAVDHDSCKAVHLNFCAI ATPPKGVPEESLTASEKKGLGRRQEFLTSGLAYAFEHATRPSTIGHILSSSPLALLAW IGEKFLTWVDEPLPSQTILEFVTLYWLTDTFPRGIYPYREELPISPEGNPLRYIHKPL GFSYFPVELFPVPKSWVETTGNLVFWREHQRGGHFAALEKPKELKADLAEFVEQIWSS I AFLA_086220 MSTFLTSRVFMKPKGSEDEKSRLEYLSQTYDPEPYWENIHPKLY STIQHDSLAASKQQPIPETNPTTNKPKTHPNTNIQFEPETPTSTTFHNARKPNEAVQD FLTRLPPSVTASADVGPWIYVDNPGAGQEEKDIAGLVGRGTEMLRAFEAKKVTIEVEM EGASALARGRKLVPLRRGLEGEIFALARETGVVSGKWMLFLGEEVVDRVWGIVVEETV EGRLGVAAKVATREEGGKARLLAIYTKDFGDVEDVRRVLERLVEVGLVKREERPIYYK CDAYTYLEITSGNSYGLKASLFSSREVLERKV AFLA_086230 MSTISSPRPSITSSRAHSPTPASSRRPSLDTLNTNVGPGLSASS TPSTARAVSPSLHPRRNRAALRDYYNLKPSAAETPGTRRSRSIPRPTDAADTSNPSVV QTGTELDSPDFDAQRYVNHLLATSSLSTVLKAENTLVGDIKTLDSERKALVYDNYSKL IRAVETIGKMRQSMDDRGAPLTMTKTLGPAIAFVAETAGGLIQEGEEQRRRMKEAKAS DEVERKKAEKETVRWVLGTPARLEKLVADGSREEAEKDWEEVRELLKKWEGVKGVQEL RDACEKVMEKSDEAS AFLA_086240 MANSEEQIALQLLSTKSLPVSAAWLNNFVASTAHQRNVPLSALA QTALFRILASDFRDSLSTRTPSSLLPVDIFDPTVQERQLQGSIPVQVLDIEDIGTGLW SQVEAIERVERGEAIRGREIVRTINVDEDPEQSAEGTGGNTASAQPETGNSNGPHRLV VQDAAGTKAVAIELKRVNGIALGKLPIGAKLVLRNATVARGMVLLTPESVTLLGGKID SLDQTWKEGRKERLVARITEMQGQQ AFLA_086250 MSSKAALKAVRTALDSKDFELAAEKAKALVQQEPQNYHANVFLG LALDKLNKTKDAENAYLAATRAKENDKIAWQGLISLYEKQGNSRLDSYREAVIKLCQI LGEANDSRRCEEIVDKYIKFTKKNGTRSQCRKALELHLPSSPIYDILEGFIPHPAHTY LRLIEMTEAEEKEFINREIGERRTRLGARIEQVTLEVKREAFRRSELEQLYRGIVDWS HDDEVRRTYEEKIIERGCDVLAVLPTNEKKAKREEVWAAARDMVIIKHPFELAWRIYL EWQDIQNFSEWDRNFLEEFIEFFPEAGLTKVLKGFLASNLSPFPKKPKDSKEKDKDTK AKEQEAEDENDETNELGVQDSVLLMVEGLEMSGSSIVAHRILGEFYLTLEEYESVVDV SRKGLRNIQDLVKTTGMKLQKTTDCMNIALANALIYYQSPRNHPEAKIIFEEILKRNP KSTSCLLGIGLILKVDEDYTEAVNFLERALERDPSNLKVRAELSWSKALTGDLTSGLH GLQDVLEELQNSDLENRDFKSETFYRIGYCQWELDPSPGARKDRNGAYANFLASIQTN INYAPAYTSLGLFYADYKRDKGRARRCFHKAFELSPSEIEAAERLAKAFADQKEWDLV EAVSQRVVDSGKAKPAPGSKRRGYSWPYAALGTVQINKQQYAKSIVSFQAALRLSPGD YHSWVGLGESYHHSGRYIAATKAFEHAQQLEDSLSNSDKEQVWFARYMLANVKRELGE YDDAIARYEDVLSIHPNEIGVSIALLHTLTESSWKSLDLGLFNDCIGLARKAILVATS LALERVDIFNLWKGVGDACSVFSYVKSKTARAPLNEVQKLLSTQLESAVFDILTDVDD VGQDRLSELKNTDDEACSPSDKCMYASILAYKRAIHVSAQDIHAQAVAWYNLGWSEYR AFRCMQVSTSTPGKRQSRKFLKAAIRCFKRAIELEAGNSEFWNALGVATTSMSPKVAQ HAFVRSLHLNDRTAQVWTNLGALYLIHNDIQLANEAFTRAQSTDPDNSQAWIGQGFLA LLFGDPREARGLFEHAFDISNSSAPLPKKQYTLSLFDHLMSDSSASNEVSALIQPFFA LHQLSSQDPSDLPFVHLSSLLAERIGEISDAEASLRTLCTAVEAEYEVSESASALSRF AQANADIARVLLARHEFEEAAEKAETALMLSGEEDAEKFDPETNSKLRLSAHLTAGLA HYYLRNMDSAIDMFRDALQEADNAPEVVCLLAQVLWAKGGEEERAVARQQLFDCVENN PEHVGAVTLLGAIAILDSDQDAIEAVQSDLQSMITRDDIEIHEQTKLVKLLTAMSTLG FTDNSGVPEETRRVGEAAAAVMRSPYQPQGWMELAAASDEPYPAEMGVKRALRSVPPQ GNLDAVDLSTAYAQTGKASDALRSIMIAPWKQHGWEELNHTVSATN AFLA_086260 MGAPRLRIEGATFKDPNNREITLRGINVAGESKYPKSPDTPSYV PDKFFETDDVSFVGRPFSLDDAHTHFARLRKWGYNTIRYIFTWEAIEHAGPGKYDDEW ISFTIEVLRIAKQYQFYVFMDPHQDVWSRLSGGSGAPGWTLYAAGLNPRTFKKTEAAL VQNTYDNPAEFPKMIWSTNYTRLVCQTMFTLFWAGRDFAPKAIINGVNIQEYLQGHFI AACRYFAQKIHEAGDLENEVVIGWESLNEPHRGLIGVQDISVVPPDQQLQLGTSPTAF QAMLTGSGRACEETTWAFGGFGPHQTGRELVDPEGESAWLPASYDDHKYGWKRDPEWK LGECLWAQHGVWDPSTDRLLRKDYFAKKPQSGEPLNYDVFTNTYFMEHYRAYKDAIRS VWPESIMLCQPPVMEVPPDLKGSFDDDPNMIHAVHYYDGLTLLTKHWNRLYNVDVIGV LRGKYLAPAFAVKIGETAIRNCLRDQLKYLREESLRFMGNHPMIFTEIGIPYDMDDKH AYKTGDYSSQISAMDANHFALEGSTANGFTLWLYTTQNNHEWGDNWNGEDLSIYSLDD PELPSGKLLAIESASHSRSDPHSPAYSESHSNMEDEHVGPSNLKDALRPASITSELSS LQLSKDQTGFRAAEAYIRPSPIFTNGSLTHHGFDLRNCTFTMSLVAKEKAVRGDQPTE IYLPDFHFPDIQSVVSVSSGEWTIDHAEIDSVKIQRLRWWHPDGKQEIKIQGVKRKPG DLTKVSGEDLTYLEQCQRGACTIM AFLA_086270 MTTEEDLSESRIADIVRALELIHNPSSTNELRREALTFVESQKE SKSAARNGFVLASREQNDPLVRYFGLTLLDHVLRHTSFTGSAQVVELRELVLKLAESI RPEDPAYLRNKIPQLWAEIAKRSWGLDWIEMDQALAQFWGASLVHKELVLSILETLSE DIFYREDTVSSLRGTDLNRALVEIFTPLSVFEEVYPKRDNHVALRYGSDGWLPRICEF LQYCVENLQNSKQAKDAALKALAALKSVLLWAIPKAIISSNCVPAIARAFTCSDEQVL LAAVEALHSLYSRSNMDMESFQPLVHLMYETESLDIMQKLYQWSIVGPDDIDDTRYMI SKKLSEMLSYIAGFLEEKGFSLESAHGMNLPFFFHLTISVIQHQSLMVSIPVLHVWSK LLASERIGNTDLVTGLIPSLLEICTQRLVRWEALPTDSDDPTVAFLNDDIDTVPERHA FVGNYRRYCSSIIETIVQKRPQEAIPHILSGVDTNLNNLYNGVEPFNAKSFSKSSIPL MRADTQFAVVEATLKGYNKWVSAHGKMPQQDEQKRSDLEGILEKWAFSLMQRSFEDPI LKQRVIKLIVDISSKALDKTPSFALKVLEYILMTRLPDQPEFPAYSEAVKELHGLSSH ELRRLAMRYSEYFATFYDVLEPKVKEISLANRVDDKLQMELTSILLIIMQRANNVEPF LRQSRLASFIEPIRQAWQDEEFRQMSSSFEGFCSMLGLQSVGPFMQAQQAQKLEDWAS VPLDSEGKRIQEEMTRKFQQLPLRGTKTMLAVSTDKLKKNEPAYELACNVWHETIPII LPTLLELVSNAHAFHNPANWGGLPSEMQTVVERILTDRFWQAGISTGSRDEFYAKITA SRASLEGFASSVRGKIRAVRESCYSMLYSMSRLREHFYGFAELPGPLSQALFKDSSCL SSHQFSVLLNISRCLIDDCPVRFRGHFLPPMLATLFTNIDKKVTSEWAIIEQQREGLA DADLTDEMKSESILRQLTYSAVIMVASLLDPQRGDPDEEPADPSAPHPQPALSDSIRH FVLSSPEIFEPLMLFCTHALRMRDTRCCSIITRVIRSILQDFAPPNNSPTTVQIREFI CSEVLKACITSVHEPYFVDMQKDLAQLISSIWVLYGSSTPTPRSVILSLPGMDEQRVA MTEASLVRSTAARQQRALILDLLEGLRGVSIAEQGKILGSREERRKARSALQERYMTA EMEGQQNNKVDINDGPDLTGVADMFG AFLA_086280 MPQDMPPVGGYGPVQYKRNIPARGFRPITYLVGMHLLMGYGYYK LFHGIREQNELAREKVWGRLHILPLLQAEEDRDQVRRHFADKAREKELLGSESKVYNT ERFVRPTFVYTPTKVTQ AFLA_086290 MEDEERPRKYPKLSNDEGQEGSEPTMTGAVGSVPHEDAAQPTTQ NDVATVANGTDDKPKDGQQAVDESKDDAPKVSKRQLKKLRRREQWDAQKEQRKTIRKE KTAAKKQRKREALNQARQEGGQEAVDQLLQTWQSSRQKFKQSTLLPITLVMDCGYDDL MLEKERISLSSQLTRSYSDNRGAPFRAHMVVSSFNKLLKERFDTTLAKSYNNWQGIRF MEEDFAHAAEQAKEWMKEPKGGQLAGMFANKTDATPEDGEIVYLSSDSPNTLTELKPY STYIIGGLVDKNRHKAICYKTAVEKGIKTAKLPIGDYIQMASRQVLATNHVVEIMIRW LELGDWGEAFMKVIPRRKGGTLKDSERGSEDPSQEGDVVDADSDEEPGPGEREDEAAA PRTDP AFLA_086300 MFMIRNVSKFLFGDTSKESIIEIPQGELYLVRPLSPKGYSELIF KDAAASIRRTGQEYQYQLVIQRAYEEGEEELSADEDEQGGADNLDKDEKIFLLDEALH FRTEVREGGAKVLAWRDLSGDIGDLYEFVCDPSVPSDKIPTFELAAFQCQYERKYRQS AQKATEQDLQQFSYQEEKPIPSASPIASPTKSRAHSLTSGDSAAAMAKDVEYQKSKGH IKPADNGEESTVAPPSVEQPEAKEILAKEKAELHMFDFPSGTFVIQDADVTATVSEIG NWQYWLQISGNEKEWLGQAVVADINPVFNFEYLSFIFNHYTEDGSAYSWLLRFKDQET EERFQEGLMQALWEQLNEMKWVKVKEDDREYVLDAFQDLTMEDAADNREEEEEEEEEE EDEDQHDGQRSEHYDSDEEEDDVVTRDDDGNVNSQLAVGYKHDRSFVVRGSKIGVFKH TADNNLEFSTTISKVETPNGKLFSPKKVMLHAEDSNMILQNSENPNSLYRMDLEYGKI VDEWNVHDDIPVNTFAPETKFSQMTNAQTFVGASHNALYRIDPRLAGSKLVDADLKQY ASKNDFSSVATTEKGYIAVASNKGDIRMFDRLGINAKTHIPALGEPIIGLDVSADGRW VLATCRTYLLLIDSLQKEGKNEGKLGFERSFGKDSKPQPRRLGLQPAHVAQFQHETKK PLAFTPARFNTGVDSQETSIITATGPFIVTWSMKKTLVFSHRFYDILVQFPVRYAMDD LPPSGDMAAYE AFLA_086310 MSSTELPSSASSSRSASRSRQSNSQIQQSAASYFSYPVTHVVSG LYRRLTDPPTSKSKNNMHRNQHSMTTPNDSTTSSQVFTPVRTASPFQPPPLTPLTLTG DLYNLQQQLLTRALAEEIRLLVPARLQLVDTWRLAYSLDRDGASLATLYENCREMSHR SPRAGYVLVVRDSSPSGAVFGAYMTDPPHPDSHYYGTGECFLWRASVLAPPTNLNLPR DGPPSEDMLELAGLPLPPSADTTHAGRSTTLRAGDAKLAPPSTSGLPSGASTPERIRF KAFPYSGVNDYMMFCETGFLSLGGGDGHYGLWVDSSLEKGVSAGCQTFGNEPLSDEGA KFDILGVEVWYVGA AFLA_086320 MASHMPPATGAGASAPRKVEEITQMAQNYDYNPSIPLRYWLRTA ATLLREARIYEREGHDEQAYFLLFRHAQLVLVNLAKHSEAKDEQNRKALMEAEKEVSR NLEKLEILRPRINKRHKRYTELMNDRQARSPPLGTNHTAPNQQQPQDPALVGVAEPLE AGENRDLAVKLARTEIHRRATARKAVRQAGITPQEEQRRRTAGIWGDWENALDKNGPE TDNDLSRRIQNISTPLTSAAQTQAPAPPAKVKPVADGGDGRSNLDPSSFTFKPSAYLE NGTPLRTVFLPPELRSTFLSLAASNTRRNLETCGILCGTLISNALFISRLLIPEQTST SDTCETVNETAIFEYCDSEDLMILGWIHTHPTQTCFMSSRDLHTHSGYQVMLPESIAI VCAPSKTPDWGVFRLTDPPGLKTVLNCTQPGLFHPHAETNTYTDALRPGHVFEAKGLE FETVDLRPNGS AFLA_086330 MRLTRWTPVPHLPLTQAIYNCFPLPTRRRSVRKAELASEKLCDD VLNRLSPFLLRNPAVDILDLWPGAGLWSSKVNALLKPRRHVLIEPELKYYKPLLLPLA ESNPSYELLSAEIHDIADWQSILSKHFPEQGPSNRDDSGILPRNDTLLILANPPAIGS KKDHYTPARWWSVFMEACMHQTGLHAYGSVRMVASLPISDAQQVIPRTIIERKRPALL TENVALHAFEVAAPKAPKDPNAWATLKGWDLMTSNALRVAQRAAEQGVTTPPGRELPP IPLAPESPDQGRIPLPYVPRPYTEWHEKVWKKITTDTPAKDGKLNATQRRGLTQLNKE NRDVYKRQYQATTIGEIDELTNTLSRTAADPRESSAALEPILDKIKAAKSTVDQILSE VHYEVIKEVPAVVDNKRVALHSGNFDDAILHWDRRPFEPLLITPEELYPREAERSVLY FEADPNPPAVQKLNQLDPSQRDAALRLFEALSLTIGTRNLMTVAEFLELIFPERPIND IVKSIPGLAVFAAKTPKPDFDNLPKTIHGSPEAREPLDPAACYQENLDYDLSDVRVRT LPISTLWDIFVEYQKKGNTNLSTVQLTQLLGGTLTSFRTGWEPTKRFH AFLA_086340 MTPTPPSSTASLASKSTPSISASDAMLHIESATSIAAPCQDVWD VLIDTSTWPSWNTFVPRVTIREQPGSDSQSTPDALSPKLQMGTKMTFHVHMDPSSDSE QDVGLVVTEFEPPSANPPKPGRIVWASDLTAKGSMPAFLLTAERVHEVEEFDVQGEDG QTKRVTEVRNWEAQVGYLVYVVRWMFGAQLKKNFETWVQDLKQYVEGKKVDI AFLA_086350 MTTQEQKADIWSADVYGNKVAPFVATLTEKIVSWLDPKPTDEVL DVGCGDGVLTAKLAPHVKRIVGVDASPNMIEHFQKTYPHIESCVVDCRHLDQVSVLTE GKFAKVFSNAALHWILRDPETRSNTIKGCFNALKPGGIFVSESGALGNVAEVHAAIVS ALVIQGIPVEEARAASPWWFPSQEAMKQLLEEEGFQWIKGEAELRQTKLTEHKEGGIE GWYVYTIRLFCEPFLQVLPTVEARNAAVKHAVDILEGVGRHQHDGSFTVNYIRLRFVA QKPF AFLA_086360 MLITKTAFLAFLLSSVPLAHGAGGNSSSPDARGRRCVVRSSNGT ADDSPEVSRVFAQCATNSVIVFQEGVDYNIFQPIKATNLSNVEIQMHGNLHLPQNISA VRDIVNAGTSTWFTLEGPRVDWTGPEDVNNGWIKSYGQAWWDANPPNGTGISGRPHLM SYKTSQATIKYFRSGKPIAWNMKLHGEDIAVSHAIVDASSTGSFPFNTDAFDVQGTNI RISDSIMYNGDDAIAVGSDSHNIVFERNTIGYQSHGMSIGSLGKDASAFANITNLRFE DVTVIDALYAARFKSWTGGQGLVKNVTWKNIRVYNVTFPIFVTQSYYDQSVSRDGVDT ESSVMMEDFTWEDFSGSINSYQPGDGSCATDPCWYNAGLPNLKHTEALVIECNTDKSC KNFVTKNIQLYPQVLEPASVICMKATAELNPNLGFNCSNGTFTSA AFLA_086370 MAPASFSSIPRVGEYDVVCHNCNASLDRLHRSVSEQSGKTGNSS QSLRLPLRKPNKIYAGALLSQSSQEALSPLASSYVMSLTQPLFYTPGYPACFTEPPYY ANLQSPFVGMGLFSLKGYRERHQARKLEKEARDNLRRPPRSKTPPSGSSTDPTNQNPA NLPSSQTAEAGPSGQGQNQNNQGHQEDPDAIMPAPDDAGNAYFEPVPQHPNGPAEQET QSIGSSSKSVPQEPGSPAQKQTQPVPIPRLPLSSKPQEPVLPAEQQTQLVPIPGASSR AESQGSNHSCKHKTDSPPYQKHAPQKFEVDQSLIFPFSLSETSLDSRLRAGTRSARYE DMDTYDISRRS AFLA_086380 MLNDASHSLQLAVASTQQTKSEHFSQQKKGIYIYLYSVPQTHKI NPVQQKNSSQKASTPAANASPPHKYPPNLNPNEPHSQPESHPHPDADAYSAPSHHYS AFLA_086390 MYVVPVAVTFLAVSIVIVILRLYTRLYFVRTAGWDDLVIVFALL SEIALFVCIIIEVRHGLGQSMHSLSLETVESQLKALWASVPLYNLSLNLTKASMVLLY LRLFPLRTYQIILYTVLIFVIITGLWMVFASFFMCIPIRGAWDISSPHNCIPKEVLWS LNAALQIITDMTIVILPMPLLAKLQLPRKQKIALILVFALGTFGCANTTPQPQTGPSS KAASQ AFLA_086400 MMNSLRLHSASVRRAVASPLSAPLSSSAYRSISCTSSSYISSSS SSSFSTAATPRAQRPLASSQLPRIVSPRQTGIYPLQWTQARTMATEGPKIKVKNPVVE LDGDEMTRIIWQEIREKLILPYLDIDLKYYDLGLEYRDQTDDQVTVEAAEAIKKYGVG VKCATITPDEARVEEFKLKKMWLSPNGTIRNILGGTVFREPIIIPRVPRLVPGWTKPI IIGRHAFGDQYRATDRVIPGPGKLELVYTPVNGEPETVKVYDFQGGGVTQTQYNTDES IQGFAHASFQMALLKGLPLYMSTKNTILKKYDGRFKDIFQEIYESTYKKDFEAKNIWY EHRLIDDMVAQMIKSEGGFVMALKNYDGDVQSDIVAQGFGSLGLMTSTLTTPSGEAFE SEAAHGTVTRHYREHQKGRETSTNPIASIFAWTRGLVQRGKLDETPDVVAFAEELERA CIEVVNDEGIMTKDLALACGRKDRDAWVTTKEYMAAVERKLKTNLKSRL AFLA_086410 MPFSSPARSAALPRCHYQHHQGAKAKCFFFNSRHLHTSSHLPLS FPQISSSFLLRPRDSSAGRGVGSHSYGTLRDLRPNPTGYKGFTATPFSIRRSSYSTGT TMAATKIDGTQIAKNIRAGLKNEIQQIQESNPRFKPSLVIFQVGSRSDSSTYVRMKLK AAEEAGIICKIVNLPETAIESELLQDITKANNDPSVHGILVQLPLPQHMSEHTITSAV ADEKDVDGFGAINIGELAKRGGHPLFVPCTPQAVMELLRVSGVNPAGKKAVVLGRSDI VGSPVSYLLNKADATVTLCHSKTPDVESIIKTADILVAALGKTEFVKGEWLKPGVVVI DVGINYKPDPSKKSGQRLVGDVDFESAVQVASQITPVPGGVGPMTVAMLMQNVVNSAK AYFEKQKDRHITPLPLKLARPVPSDIAISRAQYPKAITQIASEIGISPHELEPYGHTK AKVSLDVLDRLAHRRNGKYILVCGITPTPLGEGKSTTTLGLTQAIGAHLNRIVFANVR QPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIETRMFHEA TQKDGPLYKRLVPAKKGKREFQPVMFKRLKKLGITKTNPDELTNEEIRRFARLDIDPE TITWRRVLDVNDRHLRGITVGQAPTEKGLTRETGFDISVASECMAILALSNSLEDMRD RLGRMVVATSKSGDPVTCDDIGAGGALAALMKDAIKPNLMQSLEGTPVLVHAGPFANI SIGASSVIADKLALKLAGTEPDEDHEAKTGFVVTEAGFDFTMGGERFFNIKCRSSGLT PDTVVIVATVRALKVHGGGPEISPGAPLNEVYRTENVDILRKGCVNLKKHIENARQYG VPVVVAINRMETDTEAEIDVIREEAIAAGAEDAIPANHWAEGGAGAVDLAKGVLAASS KPKDFKLLYELEGTIQERIERIGKVMYGAEKIELSELAQKKVDTYTAQGFGHLPICIA KTQYSLSHDPALKGAPTGFTVPIRDVRLAVGGGYLYALAADIQTIPGLPTAPGYLNVD IDTETGEIDGLF AFLA_086420 MRPILLSGHERSLNQIKFNRDGDLLFSVAKDKIVCAWWSANGER LGTYNGHQGAIWTVDVSPNTVLLATGSADNTVRLWNVKTGECIKVWDFPTAVKRVEFT PDGSRLLAVTEKRMGFLGTIAVLDINYEDLEAQAEEPSLRITCTESKATVAGWSYLAK YIIAGHEDGSVSQYDSKTGEQLENVQAHEFDNLISDIQFSADRTYFITASKDKSAKLI SSRNLAILKTYVADTPLNSAAITPKKEYVILGGGQAAMDVTTTSARQGKFEARFYHKV FEDEIGRVRGHFGPLNTVAVHPNGTAYASGGEDGYVRVHHFDKPYFDFMYEVEREQLR K AFLA_086430 MDDWNSTTRIGSKHRAGGAAPRETVVKGKSALNAAQRQGLVIGT EKKYATGNAASKTGSIEGQHLTKVDRSDDIIKPKTVGYQVADAIKKRRTEEGYKMTQK ELATKCNTTVTVVQDFEKGTATPDQKVLSAMERVLNIKLRGSDIGKEKFPKKK AFLA_086440 MASQSNFMAAMTNRSLRTVRLELEFLADASVITPQQLSSIVSQL PQENTERSASVPQSVPQSQPPVQRHQPPVAAPTPIQPSPAPYSPPTQQFANTSLNEKA AYQPPPQHYTPPPPAYPQAPAVLSVANALYAYTPTDPGDLALQPHDRVQVLEHMNADW WRGRNERTNLEGIFPRSYVSVIENKAAPAPTSYGNMPLEVSQSGSAENPDDKKTGKFE EHGKKFGKKMGNAAIFGAGATIGSNIVNSIF AFLA_086450 MPIGLTLLLPGTFDLSLWRTTDYTIPFGVSVSAIRVCGNASLRA FIGRAQEGPGEAEHELLSCVSETTAELFNNGGISRVFGRPRLLEVVVWKDKDPVTKED CWKTGILRDALLQGAWTTKDEELKLLEKDYPLPELRIPNLSLNKGIARRSQGWFYCAV AVGLVLQIERRRPSV AFLA_086460 MTMDLISTWQHALKDIDSFELDFVCQSHHKFIAPKLESYTINLA RSNDTLQWRVEEHELEAVLGLWTWSLLNSSPEWLQNGLGRLVGLSESEARAEDTDLYF HKWIFRQREARMVSTKMVCLPQCMFGFFSGLYPNDKEILIVKTENRLETMAAQDIYVQ FIRSVFQNMGELGGDTNVISGSRDGYIAHNTRLDDLVNCFETSNLGSREDALLCLVPG LRHRGLLPMLSGGSSVVKSRIKELTASRNWVEAFSILWWLCERSEGEEFELSVFELGC LCRRAILQTDPNIQAEGYKYACRLIENDPRTRFIRSLRDSRPTNWMDADQRPEQWKAF SLQLGWVIWHITQKISDRQGIQSSLESLGIHGSSVPIGKANGSENQGKKGEQAVLHWL TNNDEGLYSRELLSVDDRLALDWLCQNRHHALLDWLIARWVELEERCPGFLYHITIWA VEGKYRVAIDALRRRGVSINMQNPKDGSTPLIDKIMAGDRKAIQELLDAGADVNGSHS SGGTPLSVASHKGDLETVSLLLRKGSRVNIQDSDGFSPLMYATEGGHVDIVRVLLQRG ANANLGSFGGSTPLMAAAADNRVEILELLLSRGAQVDMESSDGCTALILAARNGSTEA TRLLLSHSANVHKRTLGGETALDSAREYDHIASECITLLESAMA AFLA_086470 MDGSERWKVGKDAFLAKTSGVEKDYKSQGMTKAVFSGEGFIIYH MSGVGLVWLQSFGAIIRKDIPEGKTYLVDNGYIVAWNCKYKIERAASGGLLSAFSSSE GLACKFEGPGTVYLQTRNAAAFAAHLSGK AFLA_086480 MADTTVEQAPQKQRKSVAFSEGSVIMDTNGEVTEAPKVEKPTEN EATADKSVDEVTEMFKGLSKKKKTKKPKDAEAGEGDEASPAADGEFDPTALKKKKKKT KKVDAGDFEAKLAEAGAAEKGAEETEEVLPEGDLEAGTGIWAHDATQAIPYSLLVSRF FSLIQSHHPDLLSSGAKSYKIPPPQCLREGNRRTIFANIADICKRMKRSDDHVMQFLF AELGTSGSVDGSRRLVIKGRFQQKQLENVLRRYIVEYVTCKTCRSPDTELNKGENRLY FVTCNSCGSRRSVAAIKTGFRGQVGRRKRQG AFLA_086490 MSYPSPSASNPSLATSAYMVSSVDSISDDEVASLPSESASSSDL DTFSDDYSDAEEEWRESIEQLELLLTMVVVPFVGKYLGRKTAYWSWTRFMEWKYPVEV VVENQAAFKGAGAVAALASL AFLA_086500 MPSTASTEETPNSNLTERNLALHNIIEESEESGNGVTSWIEKVI ADERTHQYYSQLGLQRLSTLDQDDVDVERAMDHLSQDTCASNSAETHNQTNESLKLFE QQQIGAYRETPLERFLTPDGCSDLSFYAREALSQLGTELGDMEGQDKRKAKAKENMDV VIRNKRRKE AFLA_086510 MRPILLVYTLLASLTPLALALSSVISFTAGDRCLQLGDHSTGPD IWVAPNDWPAVRRAAGDLATDFGRVLGQGGNGTVLVPDSDILNGTAIRSRGRPPIIVG TIGNSSLIDGLVSRRKISVKPIRGQWESYIQEVVSNPVPGVDQALVIAGSDRRGTIFG MYDVSETIGVSPWYWWADVPPQAKDEIYAAPGRNLAGEPSVKYRGIFINDEELTLQPW AATKYNISQYDSPFTGEFTKRVLELLLRNKANYYWPAMKQSMFYVDDPQNGIIAEYFG VVMGTSHTEPMTRATDEQSKFLSGSWDWTENSANVTAFMAEGVNRSKAWDTLYTMGMR GSGDTASPTLTAPLLEQVIQVQQGLLEDGLSKSLGDVPQLWALYKEVGQYWQAGMNVS DLVTLLWTDDNYGNLLRVPYPNETTRAGGAGVYYHVNYVGRPRSYRWINTIQLIKIWD QMHTAYVRQASRIWVLNVGALKPLEIPQSYFLDMAYNMSNHLTPDSPTKWMTGWAERQ FGSGVANMTAYVLNKYGLLTMRRKYEHLTFLPFVYSTLHYDEGWHVLKEWEELLSLTQ AVYDTLDPATQIAYYQPVLHPVLGGKTVEDLYIKKHYGDLYKAQGRASTNHLAAQVWD AWYIDGNNTQRYNTTLDGRWKHIMDQKHIGYNSRNAPTHNIMPNLSYVSDADVPASGS LGVSIQGSKETAPDAQLYLLSVDPYMPPEERRYIDVVSRANGTFSYVVHPNTSYVSVS NAVGKLSTPGNNSDARCVISVDWNAAPSGLSWVSLNVSGVDSKNITLGEEITVFLPVN KTSVPRDFSGYVESNGVVSIEAAHYAAHARSGNGVSVVELPYYGRTLSGIKLWPVDAS SQTTASGPKLTYNFYTFSSGGSNDPVPANFTVYLGGSRNYDATRPIRYAFALDGGSPI EVLPVPSNALGSDPAGWNASVVTGGWNKTSPVDVAPGAHSLDLWLLEPSTVIQKLVLD LGGQKDSGLGPPESFKV AFLA_086520 MAQYHTVSTPSALEVYVNGTLGASIPMHGSGTWGYGPFQDQLAY VKDVVVTASNGTVLYQNNFLSQDTLAEYAADSNWGTVCADGGKRSAVVPRAIPDHGLS TLLPSDAGRLLPNDERPRVPRQYWGQILKLVSRMGSYIDPHSGLLGESYDNKYFTASN KLNATAPSALMALSLRQLIPAPEALQDTASAASFAATYKGLSDAINLLPWNPEKGAYG ISVSEPDDYSLFAMTFTISAGIANETQIQAMVSSLESLQVGVGYKDALNLGNSTTMRM SPNSQGFLLEALLIANKTYGTPSLGPVSTLLRTFWPNMLNTSRYYSGSTWEYEYPDGS PGIGLFTSLAHPWGSAPTYLLTEYVLGIRAADPGYPKWYFEPLLAGLDLDSASGTLPT PYGDIVASWEVKNGSVRLSITPPPPPPPPPPPGPLVLSVPENSWVSEW AFLA_086530 MHEPTEELVLRLMQDDATCALGLMKGKERSDQMTDHELALTEWA RELRHCACTFEDYKMAKSLATAVSHDGVALAAATEEENRAFQDRMTALQFGGLNPSTP DQLLGQKTAAMAGLTGLVGGFNTVSEQSRSTDTIEVRRSGLIETESPGAESSKVAAAR NLDCQTHLKCVACMEAKLSFDIFKATCSHYYCRNCTGRLVHDSFVDESLFPPKCCRVP FPLPTMKAFLDEEMIRKFEEKTVEHNDFNRTYCTNLSCSRYLPPTSMTLTTRLCPSCN TETCPTCKQRAHAGVCVNGEVEILKMAEAEGWQRCARCRNMVELKSGCNHITCRCGFE FCYVCALKWKICGCEVWDEDRLVDRAHQVAARNEGNPQPAQQEVQRAAQELREQHNCE HGRWRRRDGEYSCDLQSKRNLGLFQMGRTSSPVKVQVYEHGQKSTMPQTYPKASKQHR HEGSDGISRAATAYTWPESAREYSALDDPGEWCARQLLNIDLDVQDENEAIVTVESTR KYWSLEELKCLLDQRKLLWDSDTNSPVASTNERSHWGSRKRKRPQSIGEGEPAQTPKM TKKHNSQNNAEHYGVISIPVTNHHGPASELPALLRNSGDTDGVSMHMLHGQPAPASPQ AFEQADIIEPPTSDFTLYPGTSSFISSSLHNQKPKSVIQSHDSCGLKEVKASTASEMI WHYDESPAQFANRAMPLPRDDCALIAQTLSAAYEVIMHSEQDPLYQIPKHLTLDTPMR DASSGVGGNGNISQVADDYHGLPEGLAFYWLPGVASDTGTFSHGSSDFAEQKRSLCLS QPTYAGQLQYFTGQFSEARYSTGETPEVIMAEAEFRPTAAMVSGLQEDLLGGLKGFWR QQKLY AFLA_086540 MSKLFIGGLAWHTTDESLRSGFEKYGAVEEAIVVKDRDTGRSRG FGFVRFSSEADAEAAAHEMNNQEFDGRTIRVDKASERAPRNDGGFQGRGGYNQRGGNG GGYGGYGGGSRGGYGDGDWRRSDGN AFLA_086550 MIRYVAIPPIPLAHLESSSSAARVWGAAYHIPASHAEEVHDYLD EREIDGYSVHYTPFYPFSESKTPGSDSTPITCMVYIGQPTNPQFLRDPARREPQDVAE VISRGRGQSGKNTEYLYLLEKALEGLGLGSADGHVTDLVRRVKAIEKEDEAARDEEAA ERDLQKSLSRSEEEAHRAFQNEERA AFLA_086560 MASLLRLLRMQYTLPVDPTVSFAGKTVVLTGATSGLGFEAAIKL LNLGVESLIIGSRSLERGDATKTELEKCTTRQGVIQVWELDMNSFQSVKTFADRIQKE IKQLDIALLNAGLCNKVYTASPEGWEETLQVNALSTSLLALLLLPKLRDSSSDSNPAH LAVVSSQQFVQVKATSLRTEGSLLEHLNDPRHFSGTKQYGISKLLLEYVLKTMADRVR NENGTLPVIVNTISPGLCVSSLGRQYDRFYERWVVWLFYKLFARTAEQGSRSIVSATY QGAESHGKCWRSDGYLDESTALTTGTEGKAFQVKAWKEIIGILQEQCPEIRELVGE AFLA_086570 MAYNQSYNPDALPAHAEPEQVAQMIGAMQTGPQHHHNQSKPSRP SPNPSSSGVPPRVPVTSAHMNKPLPAPAANQHRTHPLHPSPPPQNYGFGPPPSQPVRN RPQPSSRPPRSPNPPLAVPDDDPQQLFPLFRAANTSHTGSLTEMELGSALVNGDFTSF HPKTVKMMIRMFDRNSSGTISFDEFVSLWRYLAAWRELFDRFDVDRSGRISLQEFENA LLAFGYRLSQPFVTVLFTTFESKGRQRNGPAHPAKMGMSFDLFVQACISLRRMTDVFK RYDDDRDGYITVSFEEFLTEILQLQD AFLA_086580 MTALSNPSDDRDQHDTHTLSNGQVVTGDKIASAELDPSLADTDT SNNHRQSSEEKHANESNGDGTMEKVATGASLGRVPSQAQKLGKKKIVVVMTALCLVLF LAALDMTIISTALPTMASHFNASESGYSWMASSYMLANAAAVPLWGKISDIWGRKRIL LLANVAFLVGSLICALAINLPMILVGRAIQGVGGGGIIVLVNICVSDLFSVRERPMYY GLFGSTWAIAGALGPIVGGAFTTNVTWRWCFYINLPIGGVSFVILVLFLKIEAEKTPL LAGLKSIDWIGIVLIMGGTLMFLFGLEYGGITYPWDSATVICLIVFGVVTWAIAMVVE WKVAKYPVIPIRLFTNWHNVLVLLVCFCHSFVFISGAYYLPLYFQTVLLANPIMSGVY VLPNVLSLSFTSACTGFIIKKTGRYRELIVGGLFFMTLGYGLLIDLKYYASWPRIIIY QLIAGFGSGPVFQAPLVALQANIHRGDVAAGTSTFGFLRQTSAAMSIVLGTVVYQNVL QQQMPKISAAIGPEKATALASSFSGSQGDVIRALPEDQKNVVLKAYTFTLSRMWIFYT AIIGFGLLVSLLIRPVVLSKAHTFTKTGLAEQERARQEILAAQRGETQTEPKETV AFLA_086590 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELAVLCSVDVA VIIFGHNKKLYEFSSCDMRETLGRYQYFGPPHEHKGPEDFNGRRDDDDDEDETTPAPE EMHPTPPQNNPPMIPTHLPSHPGFQHVNHAPSASPPIGNGLPFDPRHGTPQPQGLSRP SSRNHVRRVSSNIGTQQHHATPPPPQNGFAYMSNPSMYNPNAHHALGQQPRPGQFAHF GPPHGVHHAAPHHQHQPMPPQSMPPHTMPPQAIPPHIQQHPQHPHILAQQTPPMGLSQ PSHPQMSQVAQAFMPEQGRSSMPPTFPSEQQQQQQQQQQAQQQPQQQQQRTVSLPEAP PADQMPGPMKAEDSQSPPQQRSISSKSRSIFTPIDDRGSVLARHFGVGPPTSPRNENS QVKLEFSHNEAKDKKGLNQPVAPPPPPRAATEAPRPQPVPEIKPPVRTNSGQLNTKRP QLKVQIPSENSDRGSATADSSSRDSAGNKTLTPAKANLDGNHSSVVLPPPSPSAGAIL SAGAQGPPNPFARPPPPSTAAQNNNAYSSTNNNSNNIETPISALPSRFVPDALLPSPS SFFPEWGFGRSGPDSNILPSPLTFPTPAVQTGPSFAREDDQEKKRKSPDSGPSAEGAP KKAKT AFLA_086600 MPFLARVFRIKDSHTPKKTKAPVVEDNGPSKPKWTDAWQRTEVS PEEVQDLLRGCTQELKARGLQTPFLLLPFRPGSDASAARTFIRNYFNQAVQKGSPPKG DALAQELRLTDPIVLCSVLKWCWSRLPGGVVTWEAYELFKVGEQDSQLARDAFSTFIP ISVDSDARSKIIFDFFDLLTAIAAHGKSNGLGGRKLSRYAGWWAFEHTDTGNGFEAAY KDWASAADATSHLFFAYLRSLSPDSPRGMSSISTLPIALQTLLQATEYPPETPALLQV STTKVVMIVDTVSATPFALLRRAKNFEYRDSDSHLQEFARFEDPLRALTDECLRVLKC ISSTNQSVGSDPTSSTREASWSRFEDLGFGATLDADVYDDRTSSMVSKEFGGGLRSAP QSGGGDLGRPTTPSWADFMSSGFSDDNVFKAPVAPVLLPPDKVLPPINTIRGQSSQSH KRTLDAEPSMDPGELASITTLDLDDSFWWVWISSLAGEEPASRKAVFGRCALLETVIK NTKWLVLEEQVKGAAPEPEPGAYIVEKKSFFGFSTRKRLGRRKSTAKKVSAVEESYKR PENQGPQSKTSIGPDQHARIQAAAAALQRKHREQELAASGETRRNVRDTTYSKTNSVM TLQPAIMNEASHALKWASNYDKGKHAYKAAYMENSLAGTGAPVDELKEASRDNLSPPL SPALSTSTRQAPPPLPKDDVRSSPAPATPQKDSFTSDRDATGQKDSSSAPVEWSTIEP LRKSTEVAENSTGKLKKKPATPGIKSMFGTTKRKPEPESRPPMKSTGAGGSAVAAARA ALEDKAKASQEQIVRPSTLKKKPVPGTPAAETPKPVVEAPVIPKPAAETPEPEQPEAR VQSEARLQPEPHVPASNSDYHSNQRQAEYDALSRVNTNERTAADSEFSRFDQGPLVEQ PAFVPEDSPVSDTFSEKKPVDSAPVEAKNEVSTSPQSNPSHDRWAQIRKNAAERAAQF EHMRMNEDDGGNTSEEESFDARAARIKARVAELTGKMA AFLA_086610 MITSSSIRSGAYSDRSGDTEMDVLRLNHNDTDSDSDTEGGASDL PSTGELAGIYLGVLNVYTTLPQFVGTFISWIVFSVIEPGSTKRDASETQWINLDKGSP NAISICLFIGALSTLVAIEATRRLRHVR AFLA_086620 MEISLSTIELKDDTVIVVLGASGDLAKKKTFPALFGLYRNKFLP KGIKIVGYARTNMDHEEYLRRVRSYIKTPTKEIEEQLDSFCQLCSYVSGQYDKDESFQ NLTRHLEDIEKGHKEQNRVFYMALPPSVFTTVSEQLKRNCYPKNGVARIIVEKPFGKD LQSSRDLQKALEPNWKEEEIFRIDHYLGKEMVKNILIMRFGNEFFNATWNRHHIDNVQ ITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAEDIRDEKVRVL RAMDAIEPKNVIIGQYGKSLDGSKPAYKEDETVPQDSRCPTFCALVAYIKNERWDGVP FIMKAGKALNEQKTEIRIQFRDVTSGIFKDIPRNELVIRVQPNESVYIKMNSKLPGLS MQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDASWRIFTPLLH YLDDNKEIIPMEYPYGSRGPAVLDDFTASFGYKFSDAAGYQWPLTSTPNRL AFLA_086630 MPSEHGHRLYVKGRHLSYQRSKRQVNPNTSLIKIDGVDNTEAAN FYLGKKVAFVYRAKREVRGSNIRVIWGKVTRPHGNSGVVRAQFRHNLPPKSFGATVRV MLYPSNI AFLA_086640 MASPQQPAPPRHSRGFSFGGRSDKSSNSGSNKIRLTESAEEKHK RSLHTKADPMVAMSEAQPMLVALEKSTIGSLREMQHKDQYGNVITDPDLSNPTRPRLE RPLDTIRSFEAAIYGSYNSRPVSYARTDHESTPAAEYSRRSSYFGGYNGHHRGYNDQN GHYNGRGTYSRPESYMEGNYGGGPPPENYYPYNQGSGRRPRRQRMESDYHGAQNGYGS NVYQKSYENVTAASGSGSNTDPWANNSTDPSSVNSSIDQLQQQQQQQQQQQQQQQAVA ERFGFAGFGPEPNLNNGGALASGAAGTGPIKLGNSAPVADPTAGGPVGGPASAAGAPT TRRHLRKATNASDASDTKRKSWFKRRFSKD AFLA_086650 MGEHEPIVSHGRGGQGNIGADPTQYVDGGIVREGVYGDQGDGAY SAGRGGAGNIGSPHVRPATGTPHDAEIIPELAIRGSTDGDYHTGRGGQGNVHLDEAHK KRDEEKKQQKAAAHDGWADRLKYKLLGKK AFLA_086660 MFPSEDIISNTFSSKHPLNQSGKQPQLSRAPGTTRREPFPAWSV IDDTKSKADAFAKEASREFNVASQKAQAKTGKIEPWTPKYYAACTIGGLLACGLTHTA VTPLDLIKCRRQVDPQLYKSNLVAFRTIRAAEGFRGVFTGWGPTFFGYSAQGAFKYGG YEFFKKFYSDLVGVENANRWKTSLYLTASASAELIADVALCPFEAVKVRMQTTIPPDI RSTFTGISNVVSKEGVAGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYNYLPGQKSD YNKGAQTGVAFTGGYLAGILCAIVSHPADVMVSKLNANRQPGEAFGAAMGRIYKEIGF AGLWNGLPVRIVMIGTLTGLQWMIYDSFKIFMGLPTTGGGPAAEQKK AFLA_086670 MASYSTGSEAFAREKLFSTAGQSTSPSSWADKYRGATVEDLDPP PALSVSPNDLVSSAMLAAYERDFTHLTVTSSTKRSLLGYLSIPRLKQLLKEGTIKESD SVSAAMQRFNRKRGLYQVITMETPLEELEQFFESETGPNGEGREKQEFAVVTDASRKF VLGVVTKGDLEEFVKRRP AFLA_086680 MASRRPQGKSYAEAVASPAPEKTSDSDVTPAVPTNVIFKLLGFS AAMVSLPIGMYFVSVKFGASPTVAGIIAAVTANVVLFSYVFVAWQDDRLEREALTKKK EKKAQ AFLA_086690 MDDSVPDTPVSDKKVIHNTPPGKEEEGYETVATVPLPNFQQPAT QSLTPATQRLTQPTQIIEPPYSAKGNSVIQVAASSPPGPTSSPSRPALPGGRLASAMA PSGTQFRPPAVGPAKRAPVIDLEDDGPTYRGGSSDDDDIQMISSTDIKPSLFAKSSRN PEKVLDSPGSATNSSFDRFKEITASAVYNPSSAKRPAAQMDPVPKGTAVKKPRQDGPS RAQPVELDISSLHDIEDYQLRAKVEKMQRVIPQKSVKACMQALLTKRGNYDDALDYLA SMVEDEADGRADLSDDELAFNKRASPVAPAKQQIKARGTIQNKWAAMRLDNRNTPKAP AQPSDEESKPRRRLIRGPKTRVSSPSPDRLESPPKKKTGRLVQGRKHPSPEPSEPEAS MSDDSDSGVEAITEGESALETKVLRFFNTCNVLDLADIAAITEDVAKVIISNRPFASL DEVRVVTAPTTEQTAKPKGSRGRKTPKPIGDKIVDKCMDMWVGYEAVDSLVAKCEALG KPIASEMKKWGVDIFGKRDGELELTSIDPGASHDSGIGTPASQRSEDDSDGPATVSRK SRFISQPGIMREDLKMKDYQIVGINWLSLLFEKELSCILADDMGLGKTCQVIAFLAHL YEKGIKGPHLIVVPSSTIENWLREFQKFCPTLSVMPYYAGQAERAVIRETIEDNRDSI NVIVTTYTIAKAKVDAHFLRNMDFSVCVYDEGHMLKSSTSVLYEKLIRIRARFRLLLT GTPLQNNLQELASLLGFILPKVFQERKEELQNIFANKAKTVDESHSALLSAQRIERAK SMLKPFVLRRKKHQVIDLPPKVSKVEYCELNESQREIYEHEQEEVRKLLADRAAGKKT GNKSANILMKLRQAAIHPLLYRRHYKDTTLSRMAKACLKEEQWSLSNPDIIFEELQAY NDFECHTMCVNYPKSLGKFALKNNEWMDSGKIDKLCELLKRFKENGDRTLIFSQFTMV MDILEHVLENQHLGFVRLDGRTNVEDRQSILDAFHERTDIPVFLLSTKAGGAGINLAC ANKVVIFDSSFNPQEDVQAENRAHRVGQTREVEVIRLVTKDTIEEQIYALGQTKLALD QAVAGEDAAESKKSEEAGMKAVEDMLIAGSGGEKHSSS AFLA_086700 MIATSAEANPSCFQSEADGGLLPFREVVHAYLKFCLESENRFGN TKFLLNVMIPGKNKEFQDAKGAKSYADYCHILRFDDLMPAALQVDEILNLTGKSAFFK EETRNKAVQNAIENNETARAASGASRPKSTSPATSGVGPIRTSSFPTPTKTKPEEKEA GANPDIPESASQPSQKQEVAA AFLA_086710 MFLGSSSTSLVSRLRTAPLNRLSTASPIVARVSRTSATLAPPTK QATPPSSSSPFSLLSSSSSSPSSAVLSPSSFSPSPRVAHSASSVTTRAALISRHLSSS ATPPQSPTMSYTVRKIGQANTLEHRVYIEKDGQPVSPFHDIPLYANEEQTILNMVVEI PRWTNAKQEISKEEFLNPIKQDVKKGKLRYVRNCFPHKGYLWNYGAFPQTWEDPNTVH PETKAKGDNDPLDVCEIGELVGYPGQVKQVKVLGVMALLDEEETDWKVIVIDVNDPLA PKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNKKYALEVIRECA DAWEKLMTGKSPKGEISTKNVSVANSTDRAEPSELAAIPQGQNLPPAPIDGSVDKWFF ISGAAV AFLA_086720 MFASPSNTKHSSASRSLEKVETSNCSSSPIQRDRVQFFKMTIPV QTITTLRTSFNPFSKSSRPCRILLSILRNPNTAPASSPTHIDIKVNQLPRVSTQQPEV TVGFKGGKELKIEVGKRQLKIGDVIEEIARVGRAIEREESLKG AFLA_086730 MLFYGPPGTGKTSTILALAKSLFGPALYRSRILELNASDERGIA IVREKVKDFARVQLSHPTGVDKSYFEKYPCPPFKIIILDEADSMTQDAQSALRRTMET YSKITRFCLVCNYVTRIIEPLASRCSKFRFKPLDNSAAGDRLAQIAKLENLELEDGVV DKLIQCSDGDLRRAITYMQSGARLVGATGNSGRQDGGEDAEMTDASSQVITVRMVEEI AGVIPESVLDQLVQAMQPKKIGSSYEAVSKVTTDIVADGWSATQLLAQLYRRVVYNDA IPDIQKNKIVMVFSEMDKRLVDGADEHLSILDVALKISGILGGA AFLA_086740 MAESPRYLTGDGEGIREFIDKFDVFLFDCDGVLWSGDHIFPGTN ETLELLRSRGKQVVFVTNNSTKSRADYQKKLDSLGIPSTTEEIFSSSYSASIYISRIL QLPENKRKVFVLGETGIEQELRSENVPFIGGTDPAYRRDITPEDYKRIAAGDPELLDP EVGVVLVGLDFHINYLKLSLAFHYVRRGAVFLATNIDSTLPNSGTFFPGAGSVSAPLI MALGKEPVSLGKPNQAMMDAIEGKFRFDRNRACMVGDRANTDIRFGLEGKLGGTLGVL TGVSSKEDFETGPTRPLAYLDKLSDLLGSN AFLA_086750 MECLHGRISYASWRLRVFQRLFLSSTELNGRRTVSRRAVHPTTP NGPQFQHPEYPREPGQHDDVESSEAEEPRLSETLPQSPLMKTVHPNLEKKHKKRAPKP EDLEDLRRNPWAMALATPPRMCSATGTRTPRAFLSDWGMLKRPGSGGLWFMPLGLLRQ EVAAAAAKNKSLRHGDPKALPVAFMDKSIRHLAIRLVDRLPLLKRMNSLLASHVYGTR SPVARLFPYRWKHPHGPFTIKEEKQIIWREDMPDFVLSRMRADVVKKLKKACNKYKRL DATNRVWTAIDLNEYSEAAILEELKRVEPFTRMECGAVLVMGTLINSQTGVIELVSQD KATFNAVGTLPEYLALPHLPSKVPVFELAQLFSEKELEEIRGYDPRFESPALYFRPND KITVNAMLSLWKLKGFLRHDSAGETPGDNDGSQP AFLA_086760 MNPSRSLQRLCRFFPRSSVLPVLSRSFTSSVPSLSKGSPPRGWT PTPFVTETVGGGWHTYDIFSRLLKERIICLNGEVDETMSASIVAQLLFLEADNPQKPI HLYINSPGGSVTAGLAIYDTMTYIASPVSTICVGQAASMGSLLLCGGHPGKRYCLPHS SIMIHQPSGGYFGQATDIAIHAKEILRVREQLNKIYKRHLTGKKELSLDEIEKLMERD YFMGAQEALEMGIVDEILDRRVRSKEERGEGDEKPPAP AFLA_086770 MTTPDVYRDAEYIADVSGIPYGSVADLTRPQSGDESRFKKLQSA AKVAFIDRLLRDLDILIYCQLSALYYMDCSIILFALRAIVQLIFFTPKAPPFDPTRNQ PFIGAIFVSNVFCMIHHKFFGQPEAGEATRGYLHGGLLIDFIGQKAPVSVARLLLSDL LVLVLDLVMLGLIVERVKTTEATAPVSTIPGVIETPSDQDHDSEERGVLRNGSAQPSG DDIELNEMRPRDEHADISTDEQLERTELLADPSESGRVSGARNNHAMDTFASGEAVIM DLGIFNIIRDQWRYSPAVARRTSAYMPSDQTATFLRERFGLQVNSEGRLERVAT AFLA_086780 MTSKIAQNLKQPALDFLSFVNASPTPFHAVQSAKELLSKAGFQE IKEKDSWSSTCRPGGKYYLTRNSSTIVAFAIGKKWKPGNPISMIGAHTDSPVLRIKPV SNKRGEGFVQVGVETYGGGIWHTWFDRDLGVAGRAMVRTGDGSIVQKLVKIDRPILRI PTLAIHLDRQETFAFNKETQLFPIAGLVAAELNRTADSTATGEKTAANNETEKGDFAP LKSVTERHHPYLVELIAAEAGVKPDDILDFEMILFDTQKSCLGGLLEEFVFSPRLDNL NSSFCATVGLIDSVADASALDDEPSIRLIALFDHEEIGSRTAQGADSNVLPAIIRRLS VLPSSTSGNEDLATAFEETLSTSFLLSADMAHAVHPNYAAKYENDHRPEINKGPVIKI NANARYATNSPGIVLLQEVARKAAEDGGEGVPLQLFVVRNDSSCGSTIGPMLSAALGA RTLDLGNPQLSMHSIRETGGTYDVGHSIRLFTSFFKHYSNTSKTIFVD AFLA_086790 MGDNIHDTNTVATRSARRNASRAKPSGTAANALNLASPPGSRTP PSMPSKKTIFYPDSLGRQQKSRHGSEAIDPEALAKALKDYEDAGRPRERTPGTSPSRK RQRVYGDRFIPNREGQDLQATYSLLHEDGCPSTPSKSKKRAPHSELHFQKTEEANRMY SRVLRSELFGSTVPQADLESLSPDPLLGLGNGINEKTRSHTPPSHVSNLPPASITPST PHKNLFNYASPRGSAHPTPSKTPRSQHGPNLNVRSELYSLSPIRYDSQRILETPRKQP RYVNKVPYKVLDAPDLQDDFYLNLVDWGSSNVLGVGLGNSVYMWNSQSGTVTKLCELR DDTVTSVNWIQRGTHLAIGTGKGLVQIWDAERCRRLRTMIGHTNRVGALAWNDHILTS GSRDRLIYHRDVRSPDQYLRRLSGHKQEVCGLRWNTEDGQLASGGNDNKLLVWDKLNE TPLYRFSDHTAAVKAITWSPHQHHLLASGGGTADRTIKFWNTSTGSLIKEVDTGSQVC NLAWSKNSDEIISTHGYSQNQIVIWKYPRMEQIVSLTGHTFRVLYLAMSPDGQTVVTG AGDETLRFWKIFNKRSGRDQGREGSKLAEWGTIR AFLA_086800 MVAITDHNVVYADGKKIHYLAAGPANGPLVLFIHGWPGSAITWK AQIDAFASVGFRAIAPDMPGYGQSTARRVADDYCQEAIVEGMLALLADTGRDAAIWVG HDWGAGVTSSVATQHPEVVKALVNMSVPFHTIERGWQGFLPYVNRELYPADEYEFGQW DYMKNWEENFEKTVEWFDSDIAGMCKASLQPSTPPSSRFAPLFATVRKSGWMGGAPKP PSVEMTGPPVLPAEVFDSFVQDMQRTGFWAGSAYYLHHARNAEYNGKREGKLDQPVLF IHDARDVICDTITSRLVEPMRENCSNLTEVTIDAGHFAQYEKPEEVHAAIFRFIVEEL PSEWPGFWTAGYTKKKSVL AFLA_086810 MPEIVGKEVGPIGFGLMGLTWRATPPSQDQAFEAMRTAIHNGSN CWNGGEFYGSPDYNSLVLLERYLEKYPEDADKIVLNIKGGLNPQTHQVDASPENTRRS LDNCIAQLKGRKQKIDMFEFGRRDPAVPMDVTFDLIDREYVQTGKIGGIALSEVRAET IHEAVKHTKVVAVEAELSLFTTDILENGVAAACAQYGIPIIAYSPIGRGMLTGQFKKF DDLPKDSLLLSLNFPRFQQSNFEKNMQLVEKVEEIAKKKDCTPAQLAINWTRTLSRRP GMPTIIPIPGATTADRVEENSKLIDITDEEMAEIDAILEKFTPAGGRYPEIIPTNT AFLA_086820 MASSFTVRQIPAVLARRQPRVLGTTRRLASSSAVQTQNPAYPLY PSVIQLLHEKGIPDSEVSKIPASGPKGRLLKGDVLAYLGSIPADYPATQQARFDKLAH LDLSNIKIAAPPKPAEPQPVAEEPVARPPPTTSVAVSISLATVLSVQKKIQETLGVNV PLATFLARATDLANDDLPRSSREKQSADELFDEVLGAKPIQTSRGDYVPELNVVEAPS AVQPAKPVKEDIIDILSGKVSKAVPRPSVVEAPAGSAANVFSLTVPVEEEKRARVFLD RVKALLTVEPGRLVL AFLA_086830 MTPGSSVSSPTWRYFTSSAVRTKAAVKVKPQYSPPQFKAPPQTE QSLKFAGRRAEGFGKLERKVAKEGQVLLFQAPSHRSYVLGAYGISAFCFAYSVYNSNV VFRDPIIPLPMWQQALFGGICVMMSVMGTVFLFKTGRLIKAVNAVQSNGQTYLRFTVR SFIPFKKPFEFDALPHQVAFSRRLVVTHDSVARMQHAEAAQNGNVSFFKAPLKKTSML LWRLFRSVRQLFTQEDFILLEVEGQKGVMRMDSAGFVSQDFLVIGNPVSYRR AFLA_086840 MPISLLLSGPTGPESPTRALLRIPDWKRTCADHTRMDAISFVLG IKSSHLRSTNLRDLVYRGRVLRTSKLDADGNVIDEEPNGQDHAEDGVDGEQSQDPSGS NDPKTAWVMAVYEDDAGEEQQWRRSITSQGVSEYRINNRIVTAQQYNEALEAENILIR ARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEYKAEYERLKAEAEEAAEQQTVQLN RRRAINSEIKQYQEQKREAENYARKAEERDQAIITHILWKLFHFQRMIDDSSAEILKY QDELKEYRRGVEKYEKNVEDAKREHAGVGREVAKAEKNIAKKEKDIEEAANDLVPIDE KVDITMKKVERFASRIAEIGKERDSQSANVKRLEKDLKVVEKAQSQWEAEWQKTMTKQ GVQLSEADQQEYNKLKEEVNKRSSAEQLNLDNLRRQMKTEAEAHNSLKSKFESTEWQL KTLESDTRSLTERRSSIKDTVKTTSKDIERKKKELNALTSERLRVSQMRTELEEKLQV VLKKLLEADDGKKQTEREIRTKELISTLKRIFPGVKGRVSDLCKPKQKKYADAVSTVL GRHFDAIVVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKAFNSNLKGMHRGMRPAI ETVDYDDSVSRAISYACGNAIVCDDLATAKYLCYEKHVDAKAVTLDGTVIHKGGLMTG GRGPQQNSKRWEDSEVENLFKLKDKLMADLANLPKGHRRGTEEETLQGELVGLEQRLA YAQEELKALERNLQSKHTELDFVKRQLEEVKPKYVEKQEELAELEQTITTSQETVSNV EDEVYRKFCKRLGYSNIREYEVQQGSLHEEAAQKKLEFTTQKSRIENQLSFEKQRLQA TLDRIASLQTQHHRDQDMIEELKQEQEGIRNQLDEYNAELEILRERLEQQKESYAQSA ENLTQHRRELQRRSRDVEATLKNVNALEAEVQRNSSSRYALLRRCKLEDIDVPLTEGS NPLDQLPIDELVQAADPDAMDVDEDANGGADGAFTVQDYGIEVDFDSLGETLKEESDE KLEEELLDKIRSLNSELDKMAPNTRAMERLESVENKLRSTEKDFDESRKHARKTKEDF EEVMRQRSELFNKAFTHISEQIQPIYRDLTKSSNYPLGGQAYLDIEDSDEPYLDGIKY HAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNTNVARIA NYIHDHAAPGMQFIVISLKTGLFQNSEALVGIYRDQTENSSKSLTLDVSFTCLSPRLT CGAAIDLWFLLQLRKYN AFLA_086850 MSMTSPEYSMDAIGQPIAAKEEEQPQMLPYHDMTRSKAPECSQT TTTFNFPYPHFALLYINRNGELGVEASSSIASYEKTIFTHDVKERFLKSATVGWQSDL QSYHANSVSHMDGDVKPFLSNTPFTLQQQHHNHHHNQAGWYQPELIPCEWQSLQNKRH RRNLRRVSSDVRRDSDSDSPGATIRWTALRLGQKNLLRTYYEKAFENFQQLNCRAIAK AFVKLVEPRKQVNHPYNGRKTTAGSSQRVDPELTKPKWWPTGVTHREPDHLLKAERIR LLVHILCELKDSHGITADKLKDAGQDVRRQIMPAERLRVLDEIYYVREMEELYLDGKI SGDTIIHVSHVHLAEAGADAELHEPSSSDNTNPLVNLTIKSKRDASNMSECHKPSYES DAHSSTEHSQPARQPLGQIACAPLSPLSTPSISRKSSLESSLTSYSSDLTSSMLSSNE ANRVYTSKDVNATAPCIPGYFTQHISTQPPGQNTQTGFWNSIPQAHQSLAFQGY AFLA_086860 MVPLSRRAAVLLLLYADPKGDLRVVLTMRAKTLSSCRADSLEET PFQTARREAHEEIGLPDNDQSLPQPFVVEHLCELPANLARTELVVRPCVALLHSYDEK TGQDADPEVTLIPKLDAREVAAVFTAPFHNFLRMSDEGDWGGSPSDWYQGAWTEWHQS NWRMHQFFVPVRPQSVVRPRTANQQQKDAVNALEEKEKSGVLTRYRVFGMTARMLVDV ARVAYAEEPEFEHNSHFGDERLIAQLRKMGRLSPLRKSGDILTREDMEKAAKLS AFLA_086870 MSVSIRSARLVRNNAALRPSLIARPRFTGALGAVSAANLRINGR GLPSHIQALAILVPHHGYATEQSTSTSSSSFPPPGFNAEQAKKPIVPEPAKNDQQVVP KSELPVTPKNNAQSKDKSSEAGAVKEAAEEKKEQKKLTIGQKIKKEAQHYWDGTKLLA TEVKISSRLALKMAAGYELSRREHRQLQRTVKDLGRLVPFSMFVIIPFAELLLPVALK LFPNMLPSTYEGQKAREKKALNLSSTRKEVSGFLKDTLKESGLPVTAATVKNEEFAEF FKKIRTTGEAPSTEDVIKVCKVFKDDLTLDNLSRPQLVGICKYMNLNSFGTDAMLRYN IRHRMRQIKRDDRAIFYEGVESLSVPELQMACASRGIRTHGVSPARLRDDLSTWLDLR LKQGVPSTLLVLSNAYVYAQGGKETEMSSQIEALQAVLSSIPEELFHEIELEVHNAEG AATNKQRLEVIKEQQELIEEENEQNSENEEKGVAAPKDHEDIDDKEEVTIEAKYQGQS GEAAEAVAEGEKVEEAQLKDPSTQAKDGKKETTSA AFLA_086880 MEDGASYSTGDSPDLQAIPSDDMLSDLDDVAGSSDSFYSPSGTP RRPSFSYQDDWETFPPLDKLTVFDLLDNFSLSQRLEKLQHTINMQKEKMKKQREKLKY TSATAKDRVVGEFKRRVPTADEQLDKYRRRMKVGVERLGKQWNATATVTLREKISFIA GVLNIFFSGYLIGAHPESFYIWFTVQLAYFMPIRYYRYHAKGYHYFLADLCYFVNLLC MLSIWAFPNSKRLFISAYCLTFGNNAVAIAMWRNSLVFHSMDKVVSLFIHIMPPATWH CIVHLTSAETLKERFPAIYDIKFSEPGSPDHFSLLSMMVWATVPYTIWQLSYHCFITV RRAEQIAAGRPTSFTWLRKSYAKAWIGKIVLSLPESLQAPAFMLIQYFYAILTMIPCP LWLWSRWASGLFLTGLFILSIHNGATYYIDVFGKRFQKELEALKKDVARWQSSPEGTA SPTILTSDSAVATGTHVLDDSKGAAKNGGSDKASIDKIPLLDSTVTASGIEEAASGSP SVRERK AFLA_086890 MISPTSLAVPRQAPPVRPSRSLEGLEKVVPPHTPQPAARSALRL DKPLPELPAKPLPETPSMESPTGWSDDSSTDVSLETRRTSDATSEGYPICVRSPSDDL DEFVDHSPLSSIDHPAPLKPYNKLETSPLPLTTLSNDHHRHRPLPTATRAAPNHYFKE KKWEFFPELAMPSELPPGYPKFPPAPRKQNSSRLNLAAFDFTKISPRCTSPEKRALAH DVRKSIRSYVQRRLSKHSIDKTKPKRRPRASTAPSEFPDEYRCSRKTSSSNYSNYSDR GSTGPQQNFLYLSADLNRLSMGSSSSEDESDRTVNSITPYQKKQPAVRISAYQRYGPV TREKPGREKRISYRQRGNAHGSA AFLA_086900 MGGDIPRQAFSSLPRAPSGPVGQRIHGIYTDRLRQFTANGQYEG QNLVSKFYEAVNSDKEHVKLSVYSVPNLERPTFEEATSHDFKPTHIGASFGPSWSTHW FRIHLTVPEDLRQKERLEFHWDANNEGLVWTEDGHPLQGLTGGGERIEWIIPNAWRDG KEHTFYIEMACNGMFGNAPGGDSIQPPAPDKYFTLQKAQITAVNLEARALFYDFWIIG DAAREFPGDSWESHEANVVANAIMDAFIAGNGSQESIKEGRKLARRYLGDKVDSSEVY DTDTQPIVYGIGHCHIDTCWLWPWAETKRKVARSWSNQCDLMERYPEHRFTCSQAQQF KWLKQYYPSVFDRVKGWVKRGHFQPIGGSWVEHDTNMPSGESLVRQFLYGQRFFESHF GERCTTFWLPDTFGYSTQIPQICRLAGMSRFFTQKLSWNNINNFPHTTFQWVALDGSQ VMCHMAPSETYTASAHFGDVKRSVTQHKSMDNDNTSLLVFGKGDGGGGPTFEHLEKLR RCRGLSDKTGLLPRVKMGESVDDFFARLEKKVEEGTQFATWYGELYFELHRGTYTTQA NNKRNNRKSEFLLREIEYLATLASISQTSGNYRYPKKEIDDMWEGVLLCQFHDCLPGS SIEMCYDDSDKLYAEIFETGHKVRRQALEALGFGDKKPSKSLVAINTLPWHRSEVVKL PPELEKANRRKYAVVSGKTGFMECRANPVISTRVTVSEIRPGVFRLENTKLRVDIHNG VITSLFDVEADREVIAKGGKAGQLVIFDDKPLYWQAWDVEVFHLESRKELHSSRTSIA ENDPYRASVVTETKISENSWVKTTISLSAAAGDEPSYVEFESEVEWQETMKFLKVEFP VDITNTEASYETQYGIIKRPTHYNTSWDMAKFEVCCHKWADLSENGYGVSVLNDSKYG FATCGNLMRLSLLRAPKAPDAHADMGRHHIRYAILPHAGPLDSRTIRAGYNFNHPLAL ESAFGTGDKDAFKSISISGSSSLILDAVKRGEDDEDVSRDNLPRRAGKSVILRIYESL GGKSRGTIHTKLPVKKIWKCNVLEDNERALAITKGKETTDVDIELRAYEVATYRLQL AFLA_086910 MTESAPPPSVPNTTATPAGPTTTTNQSTASNNNNGNGTASAQAG ANRGLPYYEKLRRELRDTLQKKRLMDKSMAQLEDQIFRFEQSYLEETTAGNIIKGFDN YIKGSSSGAGAGGSLALSGGAGGARRKAQVTDADRVFSRSSASFMRDSTPSSVQTTPS HAPTPTSVNGSSGKPNGDSSAPGSVKGGSSSSKNKKKSNANKDKNDDDDEAGDKPPTK RLKISYGRD AFLA_086920 MDHSMHHAGMDMDHGHGGHGDMDMGGQCNMNMLFTWSSKDLCII FRQWRVDGPFSLLVSLVVIVLLTAGYEGIRQLTRRYEAAHAQRLNAFNTPALGGNENV GESAPAIAPSSHAPHSCDESSPLLVGRDNRRVVEQRGKLIMAALYAVQVFYSFFIMLL FMTYNGLVMIAVAVGAFVGYLVFGDNMSAAKTVACH AFLA_086930 MPDIASLDNHDLVGHDAIPGHPLGVKPSGNALLAQENLRAAIGT FNLLPDELILILLEFLDGRSLLRIGQTCKAFYAFTRAEDFWKSLFIGSPPVSFTWQGT WRSTYLNIPPSKAAILDCSTLYSDALHRPFYCAHISLGPYVTNIPSRNQIARLPNLSP EDFHEKWSDTPFILTEPVKEWPAYQNWTVESLLSKYADTVFRAEAVDWPFKTYVEYMK NNSDESPLYLFDRAFVTKMDFKVGQPDQEPDATYWPPPCFGEDFFSVLGNDRPDRQWL IIGPERSGSTFHKDPNATSAWNAVVRGSKYWIMFPSSSKLPPPPGVYVSEDQSEVTSP LSIAEWLLGFHAEARRTPGCIEGICQEGEILHVPSGWWHLVVNIEPAIAITQNFIPRA HLSAALDFLSNKADQISGFRKDVHNPYEQFVNKMREAHPDLLEQALDELKKKNEGKKR KWDEIVHGKSEQDSAGDSSEAGGFSFGFGDDGSDVEVP AFLA_086940 MSNDKGLEEIPEGQIETNYDEITDSFDAMELKPELLRGVYAYGF ERPSAIQQRAIKPIIKGSDVIAQAQSGTGKTATFSISALQKLDPNVKGCQALILAPTR ELAQQIQKVVVAIGDFMNITCHACIGGTAVREDMKALGEGPEVVVGTPGRVHDMIQRR VLKTDHLKQFILDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKF MRDPVRILVKKQELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKV DWLTDKLTARDFTVSAMHGDMEQSQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSL VINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMN VAGKCLSQVNLLSRLWMLIAHQTSSKHPHLYTSRCGLRSQLPIAVQG AFLA_086950 MEPSPRQWEPTPSSLLWAHEIRRENIHLADEIHKIKVDFTSTVD TLNDLKQNINELSRQVKQAEANASEHLKCLEIRLLDGSNELLKRVEALEIENGRFKEE LKDVRRECAARSRELSLLLKTMKSGVINEIRAILTQERGALPFTNLLGGSHGNSERGD SLQALSETTWGPSRSSSIEGRRSPELGLSNGMIMQGQENLHRLFRQNARPLGAYWSYA IDTRIRLPLWIKSSDVAKAFVNGLEDTAARGLMERKLYAAAWSWDVLVDIMHNKLNER KSQTANLPVRMNAGSGEAGEASKHNTSLKHKKKKRRVIPIIPADEDDLLEMNS AFLA_086960 MRELPPPRIILGISYARLTLIGESDHITELNNTTPKQPFFFLKP ASAILCPGEGPVLRPKGTNLHYEVELALVMGKTLRDHDPNDEQGALDAIHSYLLGIDM TARNVQDEAKKKGLPWSIAKGFDTFLPVSQEIAKSRIPNPHDAFLRLSVGSEVRQADS TGLMLYRIPRLLGEISRVMTLEKGDVVLTGTPKGVGEVKSGDVMRASIEVDGKEIKEG RIEVEVKDREGRYLYAET AFLA_086970 MQVAEILSDLTSLRVCDYNDALTLVTVHERTPAELSPADGRLVA ASQPGEKTNDDLRRAKELVGLHYEIKARHADGTVDEELARAREDVNRVLRELGH AFLA_086980 MAASVDAKLLKQTKFPPEFSRKVDMTKVNIEVMKKWIAGKISEI LGNEDDVVIELCFNLLEGSRFPDVKSLQIQLTGFLDKDTAKFCKELWSLCLSAQENPQ GVPKELLEAKKLELIQEKASYSIPSCASHLLTILQIEAEKAAEEARRQKEQERVRERE LEELRRRERSERGRGGRRGGRGGRDFDRRRSRDRFQDRPSRREFDSYVPSGSRRNRRP SRSPSRSRSPSASRSPPPRRERQPSRDRNRRRRSPSNSVSPDRDRRPRRRSPDYGDRA RSISRSDSSRSRTPRRDRRRRRSVSSHSSSRSPAPRDRRRKDSYSRSRSRSREPNDRS TRRRRSSPYSSRADKKESTADFAKARKNRDDRRLSRSRSRNRDDTRRRRYSRSISRNR SRSRERGRSRSISSSPRRHDSRSRSRSHNRERKRRRSLQRYAPAARRRRNTSSVSVRS EKRQRMTDQEDNSAKRSSPPPEKPSSSDHEMKDPEEVGQ AFLA_086990 MRANNLLLLAGLASSVAAYPADVESRTLGPLLEGIGKGIADIGE GVGEGIEDVTKGLADLISLLFGGSSGHTTVTILEGISAQAAAALQGGALGCTAGTIHA DARAELVAWLKAHAEFDASLKAALVAWAQGGASATLSVDVCAGLSLFIPTCADIAAKG DLYVTLDGIFSATDLAAEVVLSASAQSSLSTFLSGHLGVGLDVDIRAGLGLCAGGGVV ADLAADVKAALKAWLSGSECTLSHSLKVSVLAWLEGKVETGVVSIGSVPSGGLATISA GAAIGSLIEESGILVASAQASLSAFLEADIAADLEVEILTALKACAKGGLAADLSVEV RTALAIWLSGSSCRLGAELKSVVLFWLTFAVSADVAVDVSGGLLTDITSFLTGTVDTL IGTNLRGVISVLISGESLVSISLDARAQLAAVCGGAAGIEIDTQTILVIIQWLSGCDT GSGAHIRPPTSGSVVPSIPASTPVASTPAASTPLVPTPVPTSAGATGSDVPSVPAGSA TTGVTSGVNSGSVVPTPSGPAPSDEASSTPCDTITSETVIGSATTEYPSSPAPTDVSP TGSESVSVPAVPTPSGPASSGPAPSDEASSTPCDTITSETVIASATTDVVPTGPAVTG VTSGVSSGSVVPTPSGPAPGEEASSTPCDTITSETVIASATTDVVPPAPTDVSPTGSE SVSVPAVPTPSGPAPGEEASSTPCDTITSETVIASATTDVVPPAPTDVSPTGSESVSV PAVPTQTGPAGEAESTPCDTLTSETVYPVATETDAPSVSTTDVPPVITTAPAISSGPA PKVVTITTTVSVAVCEP AFLA_087000 MMASTNSLSAAYTGSLHRQPSSRQMSRASVSRTGSRRTSPELQN SAALRSNGLSISKRYSAGDSSDDEVPEPKFSASVKALLDEDGLDLSPRLHKNGQSNRH QSDRVASISTSQERRSRTASPNDGSTGSPAPRVVRISPGLTSGARFRREGSHVSGGED ADSEARGSSHDFVTPAPRHRSVRITGSRSSTRSPASISPSQRRSADRSSVEEHDSASR LEDGRRVKYEDDYAPRLGTASVLRSRNGEDLGIHSSLRVKRVGRLTGTFLNGPARRGV LRRQSEENNDPDNYKEANPDGNYDNDDYHYKSTTKSSSPKVSWATDPSPPQRSEGYRS YEAAGISATEGPFSRSSSPKSYGSHSKSTPGSSSEASSSKPSSAKDQPVFKVPPPPTL PAARDQENEPPPTFKRVKQQGFNLLDKPEKLSVAHGDEKKESMETPAGNSPRKILSTR SNNTPHRPAPPPPKMSVLETATATGGAATASSSRRKRNQVSVNHKPFTRLDCIGRGGS SRVYRVMAENYKIFALKRVNLEDVDPVTLAGYKGEIDLLKKLENLDRVVRLFDWELNS DKHTLSVLMEIGESDLEKILTYRLNAEDAVFDINFTRYYWKEMLECVQAVHNYNIVHS DLKPANFLLVQGRLKLIDFGIANAIQDNTVNVHREQQVGTPNYMSPEALIDSNASLGL PASVGKVMKLGKPSDVWSLGCILYKMVYGQPPFAKIAKYYERILAIPNPNVKIDFPAF GVGGASIPPGLIRTLKGCLQRDQTLRPTVDQLLAQRDPFLYPEAQLEGAVPVTQEMLN RILVNVVNHCKVRGIPKEEELAAWPAGFFAKIKAALEENA AFLA_087010 MGKAGVEAGKDRGENIMGHESIPQCWWVFTCEWPQEGSDDAVAQ QAVNKMSETVHSLAREAGLLLDFKCMSFSMASQRVLGSYGAENIKRMQEAAAKYDPEG VFQKLQYGGFLLRNNV AFLA_087020 MLSCSTHNQALQVLLADGRLINANANENANLHRVLKGGGSNYSI VTRFDLETHPLINVQYTINLYSPDDHVEINKATVAVQKAMEDDPKTGLFTKLCCCGLA LWRHPSRATFCF AFLA_087030 MALGTAAAVDQFRTALPGKVVTPEVKPKYQGAVTRPWSQTCWTP AAGYVYLSNVQELTEALAIVKKTGSKFAIRKTGHNPNAGFSSADETAIVLDIRQFQSK ELTSDGVARAGSGNTWARCMPGWKSRSCQLSEVETSRSD AFLA_087040 MRKRIKAQEEKNVKSSAPDEPSKTPLPQYLLDRSQATNAKALSS AIKDKRKEQAAKFAVPLPKVKGISEEEMFKVVNTGKKTHKKSWKRMITKPTFVGNDFT RVNPKRERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPLYTSLGVLTKGTI VEVNVSELGLVTTSGRVVWGKYAQISNNPENDGCVNAVLLV AFLA_087050 MFSRTALARAFALPFEQSARPVTTTFGACLRQASTTATTSQSHP EQPSEPLSASPRAQTSTPSQQPPISTPSNTIPTKLPVAPTFTSPLKVTKSLLEKLPYL TTQKPHYITAHLHDNPYLLTEGDHLRLPFLMPKVKPGDIIRFNRASVLGSREFTLKGA PYIDERLFECRVRVMGVDSEPLRVKEKTKRRQRHVRQVRSKHRYTLLRVMDVKVKTAE ELLQEGAVVVEDGQEVEVNA AFLA_087060 MRLFPLGFAAMAYAQLGPQVNLFDYEKPGPLLGSSFGVPGADAT FDYVVVGGGTAGLTIASRLAQRGSNQSVAVVEAGGFYEVDNGNLSVVPGYCTYFTGWT PENYQPLVDWGITTEPQPGTSNRAHHYPRGKTLGGSSARNFMLYQRPTSDSMQKWADE VGDQSYTFANMLPFFKKSGHYTPPNQAAYTDMSNTQAIDAFSPSGGPLEISFSNEVDQ FGTYARKAFVGLGMDQIDGFNSGKLLGSAYATSTINPKNAHRSSSESSFLQAALNDGS DLVVYKNTLGQKILFDSNNVATGVQVSTGGTFGTRPVNFTLSARKEVILSAGALQSPQ LLMVSGIGPCDEFSEFGIPCISNLPGVGKNMQDHMMFGSSHRVNVQTASAFGNETLAE QFAQQYLQNASGPLSIFSSSYYGWEKLPEPYRSQLSNQSIQALSAVPSDWPELEWLTV AAYLGDGTNRQTVDPADGYNYGTIATALVAPQSRGTVSLAGPDMKTLPVVDPQWYVNP TDMELAIQGFKRGRQVWEKLAELGVADPVEYYPGTNVTTDEQIREFISHTSTTVYHAS STCKMGQKEDPMAVLDSNARVYGVQGLRVVDASSFPFLPPGHPQSVVYALAEKIADEI LSAQ AFLA_087070 MYKSEVPLYGDLVDLVWKADAEAINARHEQGTEVFDPDEILPSR NRVERHGAIRLGTAYELSTIRRMFAIMGMFPVGYYDLSAAGFPMHATAFRPWTKEALS RHPFRVFTTVLRMELLTEKTQELAQRALRQRNIFTDRLVALIELAEKQGQLSSTECKE FIVEGLETFRWHSRATVTMEEYQILKAEHPLIADVVSFPSCHINHLTPRTVDIDLVQK MMQDHGMPAKERIEGPPRRQCPILLRQTSFKALEETVYFREAHDAYVKGSHTARFGEV EQRGYALTRKGRQLYDRILSRVNIESTERDIGISEYESLLVQHFKDFPDDMAQLQSQQ LAYFCYHLSPHGQKPPGLDLQGGDMTLQQLLENSIIEYEPITYEDFLPLSAGGIFNSN LGNTSQSKQLVMEAEADLDGFQRMLGTSIMDEFHLYAQIQQNSLENCRRQLGLNVILE AFLA_087080 MPSNIPDEGHRQTPDQQSTMKYVSPRQARHSTVDKERETAKPAT SATQLSIVNLLYNPGYSSTSPPQINGRPEDDGNRHSTVGNQKKSPRTFADHEDAVALA IDELSDEAQLEIEKIFIGSYFSNKHYIHPLLSKNAFMQRCEQEAFVTSKRRAFYRRSS KFKGLYFAVVALGAINASPDETSLLDHYANCSADGRKSNFGGKLSALDFADFYFGITK QALGDIFESCSLESAQALLLLSVFCQNALRPHSCFMYSGMAVRTAIAIGLASGMSALP PSIRKEGRRTWWCIYSHEIEMCCSSGRLDSMKQLDHYQVSLPPFKIAMNLDNKLEEWK SNLPSFLNVDVASLNDPEWAFKQKLVLRLRFYNTRILIHRPFLAASTCIAESPALLQH GHICLTAARTSIQMQYESFLHRIYIRTWWYNTTYALYGAMILLHLILSGFPGINEEEP LKDVEKSLEIFDSMTNIVVARRCSEMIREVLEVARACVATRRAVSAVPVLPPHVDTNI ESSSLETNNDQNVHTRTDMAPLVSQGVDGDFFFSLFNQDSQPDTRAEILANLVDPTIL EDFAFGNGGNDFSYLLES AFLA_087090 MAAVITLQSSSTTAPAASAASFQPSDQLLRSRVQNQPPQQPIAT PLSPSTSITALPRVPVPGRDGQSCDACLRRKSRCAMNEMVNKCYSCDFHRQDCTFTLS VTSRPSTAEVQSKKRKLDDTVPEDVDSPKRLSTNPPVSKTNLARSPLNIQARITPGYW YQRTQHIGLTTDLEPALLEFLPLDQNHEGIIASSRVRKFGDDGTFMRMVNTLSHADSP QSASLDAIESLVAPYGSTLIDKFFEHIHPTFPILMEDSFRHSYRARQGLSPLLLSAVY VLALKFVDVGPASQSVRRPDAARLESTALKLLIESLPYASISTIQAGVLLMEKSTIAT HALNAQLVTAGFELGLHQDCSGWRMETWEKGLRKRLAWALYMQDKWSALVHGRPSHIV SFNWTVQDLVEQDFAEAFPSHDSQDDDPVGHGPLYFCHMVALTTILSDILDRFYTLRA IEEFKAAGGNRTRMILERAKPAQIRLKEWFGRLPAELKMESGGDLFEVINEDNARNGA LHLSYFATEITLHRCIVRSLSPDTADAYLSHICRSAAKTRLISAMDFVNRLRPPHLRS FWPAASRTNFALIGSFGVLLRISSPTKEEAEFYRLRLCEYRWTLSVSKKNAEFMEFAL DSLDNANTLDQHVPEKPGIDELMTSAAKPTVTQPRPGTAAQLENTILAMDQGNDSGRG GTSSVISGLASPATSVSEDSFHDTAIPPL AFLA_087100 MVWWHVFLSFGVAGAFYVLWLALQRLWLSPIAHFPGPKLAALTM WYEFYYGSFLEGQYTFRIAEMHRKYGPIVRISPYELHIDDAEYYETLYSRDAPRDKSL HLTGMFGAPASAFGTVDHRRHRIRRQPMNPFFSQQRIRQLEPMLRDMVDKLCDGLRAW KDRHTPLHMYHPFNAFTTDVVVEYTMGHSSHYLDDSDFSPQRSKTMQAIVNAGIQFRQ FRCKRSDGISTSDRASVMMPVLCVICSMAIQEKGAKASARPRHDQEVEWTPGTE AFLA_087110 MSVQLPPPTHRKRALPQGELEAASTLRLGADQNTHTLSLSEARL VINKVLENKRRGGKKYEEPENLTKTLDYLEVFARFKDEENIKAVERLLNSHTELEMFE RSQLGSLCCDNAEEAKSLIPSLQHKISDGDLQELLDELTKLRNFTE AFLA_087120 MESTTGPDASKTPNSDLPTMFRPPVNRAMRVLDRSFFKKTVPLS AATVFKSSDISRVRGQLHKSRDLLGLPRTSSIREVKVDDEVKKCLLLREGVKYDDAAT WSPTINELVENGAVGLGRYDLELDYDYWTYADIMNAILPEDMLEELPQGFTQVGHVSH LNLREQYTPYKHLIAQVLKDKNPTVRTVIRKTEDVGAKSEFRTFPFEFLAGDEDMNVI QHEQDCEFRFDYSRVYWNSRLETEHRRLVNKFRPGEMVCDVMAGVGPFAVPAGKKKIF VWANDLNPHGYEVMQDAIRRNKVNKFVTPFNKDGRAFIRWSANELLQTEPVTVAIQKK QRRSAQKEETPAPPAEVYKRPTLFGHYVMNLPANAIEFLDAFPGVYAGKESLFAPHTS TPLPMVHVYCFSGHSEDEVDDHKDICQRISERIGYTITPEDRVGGSGNVELELAIHNV RLVSPNKQMFCASFRLPKEVAFRQV AFLA_087130 MNHGRWFYTCQRPQQQRCNFFLWASDAEAREKLAVMSNSRTEPQ SMPQTPTRTLRHGGTGLLTPQTDRPFRNTPESGSKNFQTPSKSAKARMMTEDSDEFEW DDIIPDEATTAQHKPRQPDFGQVAGGSDTAPRKTPRTVYLTSPSKRKLSDMESASSLT PTSVFSPRSTACRLPPASAEISITPTPSKYKNALSTDSAADTSELSLQALRILESHNA VVPRKAQEELTELLNRHDMKTRGIIRGRDISRSAIKKKDEEIMKLNERIAVLESEREL SKSTDKGLRRQ AFLA_087140 MHAENPLQSTAEVNSVGQQSESQNNALPGQILTGKQEHYLKREL IARQVQSEIAELNSPTALQRFGAPFRSEYGEVAPVDSELPILRYIFVHHVRNFPFLDQ AREKEFWQDKLQVFLESFAKKHVSSSEDRLEETKRRKLARKCEKLVELMMVSGIPTAS GYEERIQFSEIEVVDRGANDQGLLVNMPEGNAIHGWDVNVAARLRTEFPGKPLPVLPR KNKSSTSSSFFSSADDDASSVSSLSSQSGSTPDEGQTSRNSLAPGNHLHRSASRSSMR SSLGISPKSPRISAETSRETVLYREEQRVSLRAFLRTLLQNKRAAESKALEEFLTADP VTLNEEELIDMQRRKEADAIRIEEQKRFYEIARQRAAELDVYMENFRRDIVESSKCKL STCQFSHADGDDPFVDGLTKLFAEIREKPTVEDLSPQYQKFAEWLRIEVAATLYHLFL AEDNSPELFAQFKRIHSLVPYTLMKNVIRIANPAAVMSGVLDLFLAQPFGSRSLLQRI FSMTLNDGIKQFQKAIDSLVSKVDDASLCQKLKAFTDSDEATKNEIRAEAEDEDIDIL VAILRSDRFSPELTPEQYGNVFNAYVAWNQAVESVDAEMREGAQWFANMKQLLKLYTR QRDKAMMLSIVEEPVTLQLFRDLFTIFYEPLVRVYKSANVYNSITDFAQFADDAIQVV EKCQRQDVSADPNQTVQSFIDLCERHQASFYKFVHEVHLHDNGLFGSLMGWIEDILDF LRHGPVGGKLDMNALLHGAKDVGQIDKDKALDEINALIKWHEDRKRWHLNKTRQKMAA EGTGNDPFPTFKGSDFGLDEGDLEDLAISDAESDPTDELDEEDDLDPISAERRRRVKQ QDQLRRTAGEPVKPEVKEILKLAEPFGVMLRMVLAE AFLA_087150 MLSQCDCSYLAMEARDLVYKAPAFSLMETKELGLDYLVRLMDLL EEISLCEKCSNVEVYRAFLQPLCHSILGLCRLFYRSLREMYNEMDIQPVSLKGKEAVD DERPMILCQKAYLQIERLHNSVRRLVETLKDKPVFDEDAWKLIDSGAYRLVVCTASLT GFDSDLCY AFLA_087160 MGRTGDLENAEFFPMTRRRSTSGTSSRSSTDSGLSVDTAYLEDN KHNNFANGTSGLTDETKYRDVEDAEADVDEPFLPTSSKKLGSGSRTRQIFWALVILCL GGWVLALVLFLTHGRASSQTASETLQQQESDSGSTSAGRPVTLQQVLTGSWNPRAHAI SWIAGPDGEDGLLVQRAEVDKEGYMRVDDIRSQEGDDVDSQSGRILIDKAAVRVNGET LMPTFTWPSPDLNKVLLMSNHEKNWRYSFTGRYWIFDVATQTAQPLDPSVPDGRVQLA LWSPSSDAVVFVRDNNMYLRKLSSESVVSITKDGGEDLFYGIPDWVYEEEVITDKSVT WWSNDGKYVAFLRTNESAVPEFPVQYFVSRPSGKRPPPGLENYPEVRQIKYPKAGSPN PVVNLLFYDVEKDEVFPVDVPDDFPDDDRIIIEVLWASEGKVIVRATNRESDRVKVFL IDTKSRTGKLVRFEDIANLDGGWVEPSHYTKFIPADPSNGRPDDGYIDTVIHDGYDHL AYFTPLDNPDPIMLTTGEWEVVEAPSAVDLRRGIVYFVATKESPTQRHVYRVHLDGSN LQALTDTSKPGFYDVSFSDGAGYALLSYNGPSVPWQAIINTGGDEITFEKTIEKNPRL ASMVETYALPTEIYQNVTIDGFTLQLVERRPPHFNPAKKYPVVFQLYNGPTSQRVDRK FTIDFQSYIASNLGYIVVTLDARGTGYSGRKVRCAVRGNLGHYEAHDQITTAKMWAKK PYVDETRMAIWGWSYGGFMTLKVLEQDAGETFQYGMAVAPVTDWRFYDSVYTERYMHT PEHNPSGYENSTITNVSALSKATRFLLIHGASDDNVHIQNTLTFVDKLDLLNVQNYDM HFYPDSDHNIYFHNAHFMIYERLSNWLINAFNGEWHQIANPVPEDSIWDSVKRSVPAF AH AFLA_087170 MVLPWLLRTGCYFGLITCDTPPGVWPEGVPSVIAPVPPSRTSGV TTNSPGFTSSVLSFTSSSPPVTGSSSFVTTTTTLASSTSTSTSTSLPSFTSTLVSVST PVSASSTMSLIPSPSSSIIPSATPSASVIANGNVAGNILVIAKDTAAANVATSGLNGY GIPFTTLLVPQSGVTLPELNGTSGGNFGGIVVASEVSYDYGAQGFQSALTTDQWNQLY AYQLEYSVRMVQYDVYPGPNYGASAVGGGCCDSGVEQLVSFSDVSDFKEAGLKTGAGV STTGLWHYPASVNDTATTKEIARFAANGNYGSETTAAVINNFNGRQQMAFFLSFDTTW SATSNYLQHAWINWLTRGLYAGHRRVNLNTQIDDMFLETDIYYPNGTTFRITTADMDG ISSWLPTINGKMNAGSSYFVEVGHNGNGVIEAAAGKDADACNGGGIEYDSPADTPLEF KKPLGTGTDLWPKDLTEYNWTTECTKLDDLFVWWTTPANRDKYGHISHTFTHEEQNNA TYNDVKREISFNQAWLRQSGFYDAKYFTSNGIIPPAITGLHNGDALRAWYENGITNCV GDNTRTPLLNQQNSMWPYFTTSASDGFDGMQVNPRWATRIYYNCDTPACTVQEWIDTS AGSGDFSNLLATEKADTMRHLFGLHRDPYMFHQANLRNVGVDPVEINGETGQWSIMQA WVETMVQEFVRLVDWPIVTITHQEMSANFLDRYNRDQCNYSLQYTIGNKQITGVTLSA KDNTCNAPIPVSFPVAPTDTKGFTTEQYGSDPLTVWVQLSGSPVTFSLSTPIPL AFLA_087180 MAGIDEALPLKGKGQAASGWKTWSVKKRMLIIGAIALVIALAIG LGVGLGVGLNKGGGDDEGEVPPTTGGGVTTAKWQPAVGTKWQIELLYALNDTSVDADI YDIDLFNNDKSTITDLQKQGRKVICYFSAGSYENWRPDKDKFKDSDMGNTLDGWPNEK WLDLNSKNVRSIMTSRLDMAVEKNCDGVDPDNVDAYDNDNGLDMKKEDSANFMMWLAN EAHARNMSIGLKNAGAIISAVIDNMQWSVNEQCAQYEECDTYAAFIDKNKPVFHIEYP KGDDTNNNDLVSTSQKKSACDFEGSSNFSTVIKNMNLDNWIQTC AFLA_087190 MIYRGDVTKLLIFLFFQVCITSDIIVVDDDSGQAFNTSLTAFHF GKDDNNNNNNKKKKVSVLLVIQLKEEATHPKMVNEELPPRTT AFLA_087210 MATMGPDKHVSGRRCLQSRRSRIILGVFVFIAILAVVIPPAVVV TLRKKNSMGPKANIFVPLYVYPAPGAWDPLEAEISSHPEANFTVVINPSSGPGPNALP DANYTREIPKLASYDNVRLLGYVPTTWAKRNISAVRRDIATYAEWPTNSSNPKLAVRG IFFDETPQQYDADALSYLQELTSFVKGLSGLGPDNFIVHNPGAVPDSRYMSSADSTVV FEAAYDTFNERDGTKQFDVLPKSDRGRGQLCIVIHSVPDGVEGSKLRDLVKKLRKTAD EIFITHLSTDYYANFGDRWGEVYDRQDFLFVFSRFPVPQTQLRWAVSMVTYCKGTLYV PQRSNFHLQSIRILSPRPRDSVKVRFRETAESPVSSCWS AFLA_087220 MESPRQSDASTPVEPYSPGCVDTPATQSSVLFDGNLEELLRNFP LDQYILVTGGLGFIGSHTTLELLKANYNVIVIDNLSNAFQNVFDRIKLLASKHHEQQG TKMPEMHLHAHDYRDSVALRKLLEQYQIQSRWGTPKTKISGVIHFAAHKAVEESIRNP LKYYANNVGGLIDFATTLGEFGIKTFVFSSSATVYGTLATSGLPLKEELCVHKDEIFE DRDGSKKLMEPGCTGITNPYGRTKWICEAILADLAASDPEWTIVALRYFNPVGCDESG LLGEDPKQIPTNLLPVSSRS AFLA_087230 MICQRCRTSLLSRLQPQHTVTFSASSCARQLPIHRSQFRSYSDG KPTVSTTPPPPTPRQPIAADITIPSAVSSATPGVSQPFSTPEEVNVDVSSKKPTKPAV ERPPSSCPAGTKLNGLNYFKNKPDIVALEDSEYPEWLWSLLDDAKKQSKSEGGVDPST LNKKQRKRYEKKMAARAATLPPKIPVHHHATDITPASYNRGGQATDDLLVEAAESLGQ RSEITKSAREARRKAIREANFLRGL AFLA_087240 MATDTPVHFFDITSTLPGMSVALYHIPDGKELWPAKAWSVNTFK TRMVLNFKGIPYTQSYVSYPDIAPLLSHYGVTPHASGAQYTFPAILHKPSINSNAYGA MQDSLAIATHLDQTFPSPPLFPSGDASYALTLAIIKLLGNVTEKGEAILIPKVADLLD PRGQEYFIRTRSVMFGKHLPEVYPKEESEVQEIIKAAKEEMEPVARMLRGRPGKKGPF LEGENLGYADILIVSFLAWVEKTHNTLFQGLVSIGEGEVKELFDACLPWVEGQGETKE WDIPK AFLA_087250 MDDLANRTEQITLNDSSKCLESKSSPDLAPFVASHLEVVYSSLK ALPGVDFFRDIQHETTNDGSNNGVVDPLASLAALREYMASPASSAMGPVKQQNYSAPI SDYFISSSHNTYLTGNQLYSDSDASAYTNVLLNGCRCVEIDVWDGERSSESASADDTS SSSSDSISERKIKQGSKRERLKSMAKRHSRLGSMSTKLGGLIGRKSSPEDVPLDSSAP VDPATEVPPPPEPQVLHGHTLTKGTTFRDVCYAIRDSAFVTSDLPVIVSLEVHASLEQ QQAMVEIMEEAWKGMLVEVTPEKEATDPLPAPEDLKRKILIKVKYVAPTSEDKNEETP EGNGDELEALKQHANQGDLSSTDDKPSDAPPKKPSKILEALSRLAIFTKGAARAAHAK DPEALFEHNRKHFMRIYPYGLRVNSSNLDPSFFWRRGAQVVALNWQNLDKGMMLNSAM FADEQGWVLKPQGYLSSDAPSTIVRRQLDLSIEFLAGQNIPLPPGHTNEKHFHPYVVC DLHVETPEDTTSPHTEDEGESETENHKQMIKSASGASPDFEGQMVQFPTLSGLVEELS FVRFKIKDDEFGRDSTAAWACIRLDRLQEGYRLVHLYDCSGAKTDGVLLVRIIKRIV AFLA_087260 MRMTKTQTYPIGTRSECERLVRDWGFRHIFTWSDGSNAYYSPHR HAGLTTHLIRQGTLTITYPEDNARFNNGEVKKETFGVGARVDVPAGKLHEVWIGEDGC EYVIGE AFLA_087270 MASDNHKEATANVEPVKDDSKQVSAGSSSETLPVAAPKRVKDIS EGAFDTTEDPRFYKPIDDYEGIHRWDPDFEWGEQEEKKLIRKIDLRVCTFACVTFFAL QLDRGNIVQAMSDNMLGDLGMNTNDYNTGQTIFYLVFLFAELPSQLISKKIGPDRWIP IQMLCWSLIAAFQAFLSGKKSYYVCRALLGLFEGGFIPDTILFLSFWYKSKELPIRLS YFWISYEGTSIVSAFLAYGFLHVRRPDGTGGWRYLFAFEGLITGVIAIIAAFWMPASP TQTKGGFRGKDGWFNEREEKIMVNRVLRDDPSKGGMHNRQAVTPKMLWEALCDYDMWP IYLLGLTWMIPNSPATSYITLQLKSLGFDTFESNLLTIPAYVIFIINLLVWTWISERF YQRLILGVGSMIWCLVLLIALETLPDNASPWARWIINVLLIGAPYVHAIIVAMTSRNA GTVRTRTVATAVYNMMVQTSSIISNNIYREDDKPYYRTGNKVLIALAVWSIFVFIGAK FYYMWRNKKNTEKWDVMSSAEREEYLAANGHLGNKRYATL AFLA_087280 MAPSITETVSLRSAPKTSLRTDAGHNKENGVGYRETYQHDNEIK GTAKQPPASFPNYLPVWDNETEKYPPLQPFEHYEHGKDADPAFPDLFPEGKGEVEELT PTIGSEVHGIQLSQLTDKGKDQLALYVAQRKVVAFRDQDFAQLPIEKALEFGGYFGRH HIHQSSGAPKGFPEIHLVHRGADDRSGAEFLETHTNSLTWHSDVTFEKQPPGTTFLYL LDGPTSGGDTLFCNMAQAYRRLSPEFRKRLHGLKAVHSGVEQVNNSLNKGGIARRDPI TTEHPVVRTHPVTGEKALYVNPQFTRYIVGYKKEESDFLLKFLYDHIALSQDIQTRVR WRPNTVVVWDVSICTYDHFYVRGINCFLEPSRCTQCHFRLGGWSKASSRKNHATGRKT V AFLA_087290 MATDSRELQLPTATSQSGKSDITWEHLEDVSAEHKEVEHPGHSR ISSATKSRKAAKHVGTMSAELANIELTEKPSLRYHLAPPAILIIPPSPSDENPQETSG SVPCRSK AFLA_087300 MSAVHQENEPAALCLHAELLPNIRHITLYVSLPEAMRSQNVRPE ICLSDSRRAITVSLPSPHEDATDTIKLPARVNEASRLALSVAGQRAKDPRDRGLGQQE YSFRMQIDDEDNSLLSREEHMDSFVPWTAIDMTSCTRLCCRHCKNILLDSHVSRGSCA EEKDMQGWMWKDLPSGNWAEMMDFWHCHKPDPHEGHDHGHEHVNGATAEDQNATVKGY GAANQVVATAGTVLVDVATFLLTDSDCRGLKQVSMNTSSG AFLA_087310 MLSISLPSLKSFLPWRDRTFIDIPSVKIQEIDTAQEKPARALKH LLKLNHANYSILYNERKFHNHAPHILSSSFLQGADVDDLNRIYEAESKLLDPWVDSPG EISTYDWRDYLGYREYQRAFVDFFEDELVRHGYDWKQVLADYLFSGKEPLFNSLVDDL GHPLIHLAYAFEMSSREVAMEALALAATCYGKMHKYIDDPSYSQAESLYSSTSLLEIL SKVRADKQFNGLFGTPGDNNMDTILRHHEAALLNHWNAWKIEDPVKQFRESQELAVAL LAATQSQTSDKYDFFLVHTLTTSHAVRILLPLIPTRFQYALVRQWWLLTLVVYIAQLR PEIKLEQIEDYELKGRDWKWTAQKAVKGEHSTDAHYVKAIRACKEAAATWGDPEQYYL KAAVKFGEEFNGWGGFV AFLA_087320 MADTSAMKDIRGDDDAQLAAMGHKAELKRNFSLLSMLGLAFAIL NSWTALSASLSLSLPSGGCVSVVWGLVDNTIGLQTFEAQRWHQFLIYTGYNIAAFIIN AVMNNGLPYFTKGALLNQTQVIWSLSGFAIVSITLLACSSPNYNSGEFVFGKFINETG WPDGVAWLLGLLQGGLGLTGFDGVAHMIEEIPNPSVVGPKVMIGCVCIGTVTGSIFLI VLLFVAGDIYKVIDSAAGCLLQIFKDATGNNAGSICLLMFPLVCILFAATSIMTTSSR MIYAFASNTSCKAKLMAMGRDGGLPASPFFSRVHPKLNVPLNALYLTFAVITVFGLIF LGSSSAFNAIISSSVVMLDIAYGIPIAVNCIRGRTMLPERSFVLPNTLGWIANIISLA YISLTTVLFLFPPVLPATGSSMSECLQLYLSSLSKGLLTEYVLLDYCVAAFGIILVIS TFQWVVDGRKNFTGPRADVDILAGVIEEVPTHTGTTETHGKSDGK AFLA_087330 MQALSKLDPTRHSLQSQRSVEIRVLDVVPLRLDTIYVTVERNLL RNHDDIQNKFAGGFTNSLHGPNGLWAKAGKGLDTKRYSKKAAAEAEERLTAAVRDALG AQQVVHTGDVLPLPLPPHPITYAPPPPAQISFCEPVSQGLLMPTTKIVLVQARPHGPR TQRSLRSGSGLLKQVAEDEADDTSNEQFYSAAEEKATESNTEVDSTSTADESETEGSG GNMSDTSDDSLDDMISLSAPELPQSGVMSAMTATPRAGGRRTDGIHTPGSVASNFTSS TMRLGRVGGGKVFKAEGLLRGIPNELLHPRPREEDDSESFIFVDINTLAKIGCFSGDW VRLEATEEPQSNIFASIKLGSFNEQNEDAGDWRAVKIYGLPGLPSAKPRYSISQSGER RSSISQRPPLRLTPSVFVPPLLLNNLENPKYLRISPMTFLGGNGSTKPGLLNHMKASS ARNPPLAKEVTLLKVSTPLSMDRALQPALFAGLKQYFESKRRLLKSGDLVGISVDEGL GRAVFAGPAASDGAAPDDDLTTRLGQVVDSDRAGSKKVGVAWFRVGQVLPSLSDEPDE AREDQWGGVAIIDSATTRMVQAGSDVSRVPGTLNNGWEYWLGVKAVPKAAGDVQTPHG LVTESPQSYVPPLQERIRDLMSVATSPRAIQLDIGLHTFTIDSYDILTEGGANGGDVK TEAYLKARADRAFHCGANCTALLIKHIEVLTADRIISAMNEIVADARVIVATTTDVEQ IPEGIRSLFTHEFEMMAPEEKEREGILHNAVSERGIKVSPDVDLGSVALKTAALVAGD LVDVVERASSVRAARLEKLAEAASENSESKVSTRDVLVSGGDAARGVTKADFDAAVDA ARKNFADSIGAPKIPNVSWDDVGGLTNVKDALIETIQLPLERPELFAKGMKKRSGILF YGPPGTGKTLLAKAIATEFSLNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVV FFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMNGGEENSGGVFVIGATNRPDLL DTALLRPGRFDKMLYLGVSDTHEKQATILEALTRKFALAPEVSLRRVADQLPLTYTGA DLYALCSDAMLKAITRKATAVDEKIKQLPGEPVSTAYFFDHLATPEDVTVTVAEEDFI QAQNELVPSVRYVLLMCCYRFCETNED AFLA_087340 MPTDRVCVMKAGAFQAVEFRSSSQGFIYLGKWQLRHTYTPQWLE NCCCQRTKHSENGILLPKVFTKNLLSNIPPEKDRSSTYWWMRALIALENLATSQQSPT LGLCLSHIIGCRLISSGRRHDQEETSAAAYRHEAHEYSVCT AFLA_087350 MSSAQPVDESDRRHPRRRVLNRPPPSLSSDSSPPHLLPSNLRLI KGETFHSSNRPRSDRDPILDLKLLPRRSPTCPKALEAIAAGQRRMAHILNRFDLDSLS TRDSLESQDELPVPRGILRTHVKSSASKEDSTKQSEPTPQEPKESHKKIRRVNHHTSD SGLGSSIGSAETMSSTKGNVTAGQVCQASVGHKLSATARVEIQGRILFPLLMKDKFQL FHPLVRCAHQQIEKQQLKCLRDVEKTLLFSTPDVKAPTAAWYSFCRFTIHCLHETSGY LRGRDLTLPNDVPYSNNYFLDLITQINRFARIRDATRSRQESATNGEKAAKSLEPRLT LEGGMSETGRPAELVMHKDGKSISLQTGKPYDEHAIPTFKRTLSVETVDEGVERSMAR RKKNAPPMDINQKCQFCDKVLKRPCDLTKHEKTHSRPYKCPERGCKYFELGFPTEKET ERHYNDKHCKNPRLFRCHQPGCTYASKRESNCKQHMEKTHGWVYERTKNNGKNKPVKQ GSAQPTPQSSGIPSPAASHPTGDFSTPTTGPTVSPSEPPIAFPETIPFSFADPPVPTQ TEDFQLFSNSPTSLGGSPYHNMSDAQGFPPGANFDLNQPQVPGIGSPASGSSEFLTPP SGSTHSPYDPINPFPDSSMFSFEPYMQPKTEESLLFVSGGFGDVPSMFEQNPMDFLTD PMYNSNFMGYETVQPQLEANPNAQFGLDGWDDFMCKPDQSSM AFLA_087360 MSSWIQRHAGSQQTQLAATAVLSGAAVAGAIFGYQALKRKEAVK ELKASIPNIDEKHIAAKLTKFGGADPVQQLSKEDERSAALARRAQMGDYDDELILEQL ARNRVFLTDEGLEKLRSSFIIVVGCGGVGSHAAASLSRSGASKIRLIDFDQVTLSSLN RHALATLADVGTPKVHCIRKRLEQITPWTKFDCRNELFSGSVADGLLAPWSMDDSDKG RKPDYVLDCIDNITSKVELLHYCHSHSIPVISSMGAGCKSDPTCVTVGDISTSTDDPL SRSTRRRLKILGVSTGIPVVFSTEKPGPGKASLLPLAEEEFNKGQVGELSVLPDFRAR ILPVLGTMPAVFGYTVANHVICDIAGYPRDYSIARKGKDKIYDSVQTATQGFMERLAR VEVGQHVIGLRLPITKGDVVFLVDDIWRGKSAITGLPGRLILVPWERPARGFVPDPEW EKQGQKFLPFELKDLVCMTKEESARHEKEVLLGGKKPEDLYDEKTIQRVKERMEEAGF YERFR AFLA_087370 MAYDPRLASAGTATPDPPPPPPPPPESAMAAPTETESSDGTAAA PTQEQQSDSYKLRFCTVCASNQNRSMEAHLRLSTAPSPFPVISFGTGSLVRLPGPSIT QPNVYNFNTTSYSQMYEELYSKDERLYRNNGLLNMLERNRNLKWGPERFQDWVPGMPR VDHVAKGDKGALGTEGGVVDVIITCEERCWDAVVDDLMNKGSLLNRPVHVFNVDIKDN HEEALVGGKAILELANRLNEAAVQERKANNSEGWENGTGEARRSFDEKVPEILAAWQE KWPNLPALWTLAWL AFLA_087380 MKAALVVVDMQEDFCPPNGVLPVQEGRAIAPIINELLAHQGFAV RVATQDYHPVDHISFANSHPRPNNRPFESVITVNNPAPGKEHETKPQNLWPAHCVGET RGAEIIPEIQTDNIDLYVKKGMHSQVEMYSAFADAFGNVDPSITDQSVDADLKDFLAS KGVTDVFVVGLAGDYCVKHTAIDAARVGFKSYVVENATRCVVPGSGWDGAKRELREAG VSIIQSNGPEISGLAI AFLA_087390 MATTLRPSVSVATFTFLDRKLQAPDVSAATTLSHPTTNLSGDSL PYWLVNVPPDRWPAECPSFLRDICEKNIQILSTPDEQYERQGWGLVKEIVRECSTVCR GLLGTASDDLGTNQIDRFQRLPSDLRKYLEYKEWIVAEYGSIMRFVVKERLRWGEGSP DDLKPRGRPFEFDEDIRILHNDWPYGIEKDIVHLVVWTKFELEDDPVTDDLTPRARQE IDNYVKKTFCSRVPSEKVIWFKNWKSLKSVHAVEHFHVMLYKPDPEFLKEITGRDVPM VARM AFLA_087400 MLERITNFMAHPETLLPMPTVDDPLERFVSVVKFYLSGWHIKPP GVKKPLNPILGETFTGYWDYPDGTRGYYIAEQTSHHPPKSSYFFMAPEHSIRIDGTLI PRSKFLGNSAGSLMEGIAVLRFLNRGSNKEKGERYILTQPNMYARNILIGKMKYELGD HSYVRCPENKLVADIEFKTKGYFTGAYNQIGGTIKNSETGQVYYELSGYWNKEMFITD VRTHKKELLFNASTATHTPPQVRPIAQQGERESQRLWQTTVKALLERNHEVATDEKTK IEDRQREEAAKRANDGVEWHPKLFRRVQGGPGGADEGEEDLDWIINAQIDAHNPELAT KQILSIAPILEGQTESSQYQIPPHKEGREAAPPDHNGAAPSAAQPASSGGEKLEEVQR KDTRTSDVDTFVDAKP AFLA_087410 MARSAIVQEYAPPSSTPTITLDQKTIHERQQNGIARPKGYRVSW HANPAVEPHHFGQSHPMKPWRLTLTKQLVMAYGMHHAMDLYLARSATYEEMAEFHKTD YLDFLRQVMPGDMENPEQGENIARFNFGDDCPIFDGLYNYCSLYAGGSIDAARKLCNN QSEIAINWSGGLHHAKKAEASGFCYVNDIVLGILQLLRLHPRVMYIDIDVHHGDGVEQ AFWSTDRVLTVSFHKYDKDNFFPGTGALDSTGPTHPLNPGAHHAVNVPLHDGIDDESY IRLFREVIGSCISTYQPGAIVLQCGADSLGCDRLGCFNLNVAAHGACVAYVKTFGLPL LVVGGGGYTPRNVSRAWAHETSILIDAQDTIDPNIPETVAFRNHFGPDYSLFPPLSEM RKLENKNPRSYLSGLVQSIHEQLRYMQGAPSVQMSFIPPDILGLREDTEKEIEEQMAE QDEAREEREGGGSASKNSRRRELERGVGQRGELFSA AFLA_087420 MPMVTAATGLRRTLEDPNSFVVAPGVYDGLSARIALSVGFDALY MTGAGTAASVHGQADLGICTLNDMRANAEMLSNLSPTTPVIADADTGYGGPIMVARTT EQYSRSGVAAFHIEDQVQTKRCGHLGGKILVDTDTYVTRIRAAVQARQRIGSDIVVIA RTDSLQTHGYEESVARLRAARDAGADVGFLEGITSKEMARQVVKELAPWPMLLNMVEH GATPSISAAEAKEMGFRIIIFPFAGLGPACAAMREAMEKLKADGIPGLSKELTPQMLF RVCGLDESIKVDAEAGGAAFEGGVDLK AFLA_087430 MQLKNSLFLLTALTAGSAVARMHGHERRHAHHHLQHEEKRAVGD IVYANFNGVWKSWVNEWSGVSATSASGSTPTTVSSSTSSVATASAVPTASSGPTVTTP GSCKEWHAHQDGDVYTREGFGGQTPNNGKPYIDYIGNLGSPYGKNIIEVAESKACEYK YVVRIQGSEKDTWTIAFWNKIGPDLKLTGWYGNAVLTIKINPGETKYVAFDEDTQAAW GAAKGDSLPLDQYGGYGCTWGEIDVGNLSNDAWSGWDVSAIQAQNAGLDVQGMKICTH DNQKCSSISNLAKAVDNAYTALDAGKDGIGGEWPAGPLRLVVDIDYD AFLA_087440 MVLELHVWGPAFSLPSIEAQCLATIAYFSLAVPKDAWVLVASSD PSVSPTCELPALRNGSTWVSRFRNIVDYLRQYSNGEWDLDAGLSGLQRADNIGFSSFV ESRAHALVDLSLYVTSQNYYNQTSPAYGSILQWPNQWILPPKIHAAAKARTDHLGLSS LDLQAIEDQRQREHSAAVAAGQIPPNFIRRPRDTVTSLLGKTSQQNQFRLDALTGELF EPLEEILGDKVYLLTGENEGPSSLDCLAVGYLSLALVPELSFSWLRDAMKSKAPRLTV YTERTRQQCYGLGAEVSHAYTPTPNSGSSLPWRAPERARLTTLGNTLFNVLADNTPIL KDIRAQDRLRVAAESPDSGLSEPDSRKLSALAKGQKKDILVNIAYAVGGIAALIGYMT YEGFFSAEIGDEYEEDDEFEPMPDIEPDSLQVQNMLAGL AFLA_087450 MAPIRRYLRISKYTILECRIYLENPSDTRWLLDSRDPVLPRIFG AIRPLVLPKLREENERLFARKKGKPVKDVIAEEDFEVSLFLRESRTRHSLLTRHKEFD EPDNASSRKEGAQNPPRPAESSSGVADAGILVESDSESNIDLRDIPQATAEENDKKGK RHRDADEAVDTTANSRASKRRKDEEPDDKKLRFRMNYEGFNIYGWTLCLLVTRKGDKV RANTGSSEPTRQALMEEWMSTQAQGDVDEEQDVS AFLA_087460 MMVKVLVDCHARYGYLLRTREGDSTSGCSESSGKPYPGQFIIQK SVLRIRL AFLA_087470 MDVLHTLLTRDEDSGPAPACDAGNEYDGRMGLRISSIFVIMVGS MFGAVFPVLAGQFRRSKYLEWAFFVAKYFGSGVIIATAFIHLLAPAEEALTNECLTGP ITEYSWVEGIVLMTIVVLFFVEMMVMRYARFGQSHAHELAHEHNHGEPKHSGSESGSE VLDSKHIPGRDHLGHSREHHDVEMAVHDTSVEEYMAQLTGVFILEFGIIFHSVFIGLT LAVSGAEFVTLYIVLVFHQTFEGLGLGSRLATIPWPRSKRFTPYILGIAFGLSTPIAI AIGLGVRKSYPPEGRTTLIVNGVFDSISAGILIYTALVELMAHEFMFSSSMKKAPIQH VLAAFFLLCLGALLMALLGKWA AFLA_087480 MRFSIFSTLLVSLATLSTAAELGIEKTHEVECTRKTVKGDTVQM HYKGTLQSDGSEFDSSYKRNSPLKFKVGSGMVIKGWDEGLLDMCIGEKRTLTIPPEYG YGSRGVGPIPGGATLIFETELVGIDGVSKDEL AFLA_087490 MGWLGVATLIATACFLLYRHPPSSWTSEPPISQLPPKPTLEKPP TDRAPDGATVKDDSKPLEEKDGNAEDSQTTPKASASSPPSLEVPTLHLDSDKADKTTT TTENQADKKPIQNGSAKPSEPVAIAVNNAAMPPPPQPTASSSSLMPPPPPPRLRPTMS QTQQPQSAPVGRYPPRPNPGSSLRPPPSAAASLRVPPSSRPTSNSLAPVKLIAQPSNS SKRAVLEPGYSPLDWAALTSNPKNNLRGANLPPTLVKVTPSMLKVQNGRKGRDAWTSY QGKVYNITPYVPFHPGGKGELLRGAGKDSAKLFMEVHPWVNWDAILGECLVGILVSEN DGAAENALDAMD AFLA_087500 MARGRISYQKAHGVTPEDLEAKQMKGSKVLEHREFIQVYQAYES HLADSNLLDYDDLLLRCAQLLRQHPECVSNVQSVLVDEFQDTNHIQYQLMNLFASQNR RITVVGDPDQSIYGFRSAEIKNLTRMQQLYSDTSVVLLEDNYRSSGSILSSAQDVIEQ DSSRPAKRLQPTHSVGTMPVLRKLPTAEAEAQWIVLEIKRCIAMTGKLLKYSDFAILL RSAALSRQIESEMGKQGMPYRMVGGLRFFDRVEIKLLLDYLRVISQPGNTDALLRIIN VPSRKIGEESIRSLMSGAEKANKPLWDFMKDVAQGRRSTEKTLSKPTSNGLGNFVGLI ESSREKLLECTDCSAPKKLLEFVIKKLSFREYLTSTYGTNEENKWANVEELMGQAEDT AAAAVYNGIIPHSRAEDSDEERRLLYVAMTRAQALLYLSYPLEQSRSGETTSVSTFLP EKIIESRFRLLGPNLHEQIVYAIADILRRPRPTLEAMLEGQVSLPSTLDDQWTVDGRE AADAVFRWDGSRAVDGEEPSAKRPRYSRESSISTTTTYVSASTYTMNGSNLSVPTTMS LGFSTAREYIATSTAAKQEQKPGLGFENKSKTAGTGSSGRSAVGLSQQSISGFFSKPS SQPKPKSSESAPAHCHKTPGDRNNVIGQAVDGKNKNVLPTNFSTYRPQAQRLQAARPI LEPSDPNRYTWLATSSKPTEKPILRSGEEGKEISDKGEAGREWAASEVKRPQGPVGGA RPATTYHTTTMSMLQSAAPVPTRRTLGIRRSMNGWEERMKRAGNQRP AFLA_087510 MPHKVNQSNSTLASTGSSGDRVVVTDLRGPRRTQPPVVVHNQGG QIYDETRPSDWDKQRWK AFLA_087520 MAYHKRPTSSYQPCETFFVESYEDFPAPRMDPKEHAKLIARERQ YAIADELSKVASDEFRDDILSHMLDMDASTLPDVESIDIQTEIQWFMRPYLLDFLIEA HTAFQLLPSTLFLTINLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDKKDRVPTIKE LKSMCCSLYDDDMFTQMEWHVLQTLGWTIGHPTVDSFLQMAVIDTSYDPEVEHMALYI SEISLFHREFVSKPSSDLARASLALARCILNRPQPRHTEWASQYDSMTLVGLSQQLHQ PSQILFRKYSSAHFSRVSKILEQFLARQASVANYTPPSPPSDVNAESKPYEGEIGLAT PQKAPHPSNMPHGYITPPITPENDAFVNGGNTTFVKAVAGTSACSPSPTPPPSMQYVD SHVYQESTFTQGQQFLQPQMSFTTSY AFLA_087530 MDIHRCRFVPYNPQAINALAFSHPPSAELAGRGVPTLRLAVGRA NGDIEIWNPLRGAWFQETVLRGGKDRSIEGLTWTLDPPESGPDGSKIPGRLRLFSIGY STAVTEWDIEQGCPLRHSSGNYGEIWCIAAQPRWRPTKRGKDGKWLPPAEGEYTGQHL AAGCADGSIVILSTADNDVRFLRLMRPSTKRARVLSVTFQDRHTIVAGYADSSIRLFD IRNGQLLRTISLGKGPTGGTKELLVWSVKCLPDGTIVSGDSAGEVRFWDGKNYSLIQR LNCHLADTLDIAVSANGDTVVSGGADQRTVVYRKKAGEKGDKKGRWVEVMHRRYHTHD VKTFAVYETKDISVIVSGGPDASPIVLPLREFGKEHHRKLSSLPQIPQLASSPSSRLV MSFWDREISIWRLFRGPTSLQENLDGQRHRLVGKVLIQGEENITSAMLSSDGKILVVA TISSVKVFSVRRRKSDEKGTLRIQKLDIPSTLANDGARVVTVSPDSRWICVVRPNSAT YLARIKPASSPQEKSQVLTQLVKLNRATRHARYEKASHGTLGDYEKTIRCAVFSENSK IFAVGDLSGCVDAWSLEKAESKTLAKANAAEDSDEDSDDEDEQPVVEGERWTLTAAES PIPRLRSGVLLLSFRPLNPAGKKLLADGADQDSKVESRLMALTSEHQLVEVDALDGKL SDWSRRNPKAFLPAEFRGVKDRAMGCVWDLNEARERLWLYGTSWLWMFDLNQDFPSPE ELSASADTHDDGQESMQAAKKSSSQKRKRGLLDDEENDRKRSNTGAGDRIPLAQSDVF FGSKIRKIIGSDESKAELISLEKERPRGADEDDEAYDHDEAYTARHDSNLARLRRGNL EGNDVSTPRKQPPKTAVNGDTPSQKQLMQAADNSHSARRWWHTYKYRDILGIVPLNCQ ATDEESQEHEDGGNLEVAVVERPMWDVELPGRYVRDYE AFLA_087540 MLLPARGVANVRLASRSFAGLPLTQSFWASRFACHQERGYCFEA RRLLYSGAHALRSRNWKTVYEETAVTSDSSNELKNRKRIWSYLKNIADLVLDQPLSSE GMAKELELWPKEPVTAWRPVGGDFSLRLGYILPSKEKHIELDSECFSLSGFIAAVGPR GIMALRVVTGQGEISNWVGSSEGLPQTARLCTKTAIHSLKGSFDGFKMVSLAVPTDLQ PLFPDDTSGEHLPLRTTGLWYPEIPPSSYHLHDDVFAGRNISLHDYRPLVHVMFGGRR GSLLKYLTRISVTVSNAAIVGIDFFYTDDSPVKHLQACPSTASGDDSVKIPFIIDGPG GERLTSLQSDGDFLRASAGYGSYRIKITSLKITTNTKPKPFIFQPSAIPALKLPAGGF PPRKSKKPEIIPGTTLTGFSVCHEQYWAHFRGLDGREPFAGQ AFLA_087550 MGQSHSKGNSGPGDSLQSYPSFSRSDTKESLRSLRGSIRSKIRN TDSPRASTSALSTGSQTDRSDAGSIKSTGSRRSSTNLSAQSPGANDSASQLDAPDPPP SPTLSSSLKRGHKDVDAMQQSGEVDHVSDAPPTGAAPTGSSQKVGESILIKRQDQLNP ILDFIMNTPLNDTSSSPGMGMGALKSIDLDDMITRLLDAGYSTKVTKTVCLKNAEITA ICTAARELFLSQPALLELSAPVKIVGDVHGQYTDLIRLFEMCGFPPSSNYLFLGDYVD RGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKIWKTFI DTFNCLPIASIVAGKIFCVHGGLSPSLSHMDDIRGIARPTDVPDYGLLNDLLWSDPAD MEEDWEPNERGVSYCFGKKVIMNFLQRHDFDLVCRAHMVVEDGYEFYQDRILVTVFSA PNYCGEFDNWGAIMSVSDELLCSFELLKPLDSTALKNHIKKGRNKRNSMLNSPPAIVS AQSY AFLA_087560 MDENQAVQYLESLIGQTLRVHATDTRIFVGAFKCTDSARNIILA STYEYRFPSPSTVRDAATDAENQDPAIGAASQSVKVNMTSRFIGLVVVPGQHITKIEL EETPQQSRVRETLKKS AFLA_087570 MSLKRKASFSGIYSPDAAPVVAGRSLMMDDSPKHLNSRTRKRYR NDRPDDKVVYENTLRWLFTAQQQQGPIPHADETIDEDMESDALASEIVDPRQQTLHKF FQPSRPLSSQPGPNHMKQQTDNIPRTNTGFLKRHDLDALSNVTSTGSNATSPSSQDTT ADMEVRMDSGGHESVQNFNKWNGGLGWP AFLA_087580 MQSREASHAGSWYSDNQRTLTHQLDGWLAQVPNSIEGIGSLPVP GARIIIAPYVPENISAPCTVNSYADPLDMRAIHTLGPVPHTHTRLWIFRKPSEFSFLD HLTIITSRPLLFLNLLRTIPRFPTSLFHLTRTVKSNGSTISFTTMSRSIDEDEHSIEL HLPYIHRLLQLHFPNKPTSQYPPLVPIMVGSTSASTESAFGALLAPYLQDPTNAFVIS SDFCHWGLRFRYTYYVPQSVSTGQALQRRDRISSREPAIHESISAFDIATMAAISTGV TANFLETIQTTGNTVCGRHPIGVIMAAIEEITSQEEGKKGKFHFVRYERSSDAVDVDD SSVSYVSAFAVL AFLA_087590 MLLGILTLVLAGFLALAAATPVAQHMTDNLATDKLVFCHFMIGI TSNRQSAAEYDDDMKRAKALGIDAFALNIGVDPYTDAQLNLAYESAAKNDMKVFLSFD FNWYNTGQASAVGAKIKQYASLPAQLMVDNKVFASSFAGDGLDIPAMQSAAGTDVFFA PNFHPGVGDFNAIQGALNWMAWDNNGENKAPSPGHNVTVADGDKSYVDALKGKPYIAP ASGWFFTHFGGEVSYSKNWVFPSDLLWYDRWQQILSLEPRFVEIVTWNDYGESHYIGP LASPHTDDGASKWVMDMPHTGWLEMSKPFIAAYKAGDKSVDRYITDEKLIYWYRPTPR DVNCDSTDTTMEGNPNNSSGNFFRGKPNGWETMQDAVFVVALLKSPAIVAISSGENSK TFEAQTGASAFTVPMGVGQQKFAITRDGKNIMEDTSLKDIVDTCICGIYNFNPYVGTV PAESTIDKLEQAGLAMLSQGLKVPCPTNTLGASGPRVTP AFLA_087600 MARIKQGVFSWEDVLAQRYHNNKPSTLPRSRRASAISGRAQTEK RSGPVREGSVQVQSDLLAKLSPELRLMIWEMVLGGMRIHIVQRSDRRMSHVVCPLTNT CDICLGASPQPVKGRARTIGNLLALPTTCRQIYCESIYMLYTMNTFEFSNTWSLTYLR PTIPPSFWDAIRQVELCWAFPGHWLPSKDPVKTVYFSAGRQQWIETCKALTRMESLQS FTLQLSGSWFCEPVEKIPVFLEPLRELNLKQGWKLQLPKQPYYVKEIRNIDGDLRKRG IDCLVRAA AFLA_087610 MADSIHHSQRAQGSNNFDASELTNAFEQLMRNKRFHRLQEHSRA RTHSPSPSPSQVSSPGPYAPPHPSRAPPPPPTAAPFQPPQYPQQPQQYPSNQSSMLQG LPIVPSPPQDQASLKFRNLLHVLSVTPTKYENPGLLDEALSLIPLDRLYSEAEEESQI LQAQAASVGGRPEWGYQDCVIRSLLRWFKGSFFQFVNNPPCSRCFRPTIAQGNTPPLP DETARGATRVELYRCSEMSCGAYERFPRYSDVWQLLQSRRGRVGEWANCFSMFCRALG GRVRWVWNSEDYVWTEIYSEHQRRWVHVDACEGAWDQPRLYAEGWGRKMSYCIAFSID GATDVTRRYVRSSAKHGAARNRAPEEVVHWVILEIRRKRRENLSKTDQKRLMKEDERE EKELRHYTASALAAELNNLLPQNQTTGRLDEQKTPVSRQEAAAEWLAASQRNSGHSGP DHSQGGR AFLA_087620 MSKLITVFGATGNQGGSVINHILADPQLHKEFKIRGITRDTTKP AAQELQKRGVEVVTADLNSVESLRTALKGSHTVFLVTNYWEYVNKDTEVTQGKNVADV AKELGVQHLIFSSLVHVTDSTNGRLSHVPHFDGKAEIERYIRASGVPCTFVLAGYFML NYLQMLKKSDDGTYQLFYPVDGAKAKFPLFDAANDTGLFVKAVIKHASKLNGKQVLEA AGYYTAEEIVKIFTEVTGKKAVFVPVSADQYKAVLPPSVAQEFLENHLLIESPGYFLG QSLDKSLKLLDAKPTSFADFVKKNVDAWQ AFLA_087630 MAPTDSSLGDSRLLIVSNRLPITIRRSGNGKYEFSMSSGGLVTG LSGLSKTTTFQWYGWPGLEVPEDEVPSVKQRLKDEFGATPVFMDDKLADRHYNGFSNS ILWPLLHYHPGEIVFDEGAWDAYREANLLFAKTIAKEAQEGDLVWVHDYHLMLLPELL QEQLNLLGKKNIRIGFFLHTPFPSSEIYRILPVRAELLRGLLHCHLIGFHTYDYARHF LSSCAHILGLVTTPSSVKYEGRSINVGAFPIGIDPDKFTEGLKSPKVQNRITSLENKF QGTKLMVSVDRLDYIKGIPQKLHALEVFLQNHPEWVGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGKFGTVDYMPIHFMHKSVSFDELIALYAASDACIVSSTRDGMNLVS FEYIATQQKRKGVLILSEFAGAAQSLNGSIVVNPWNTEELAEAYHEAVSISEEQRAVQ FAKLYKYISKYTSAFWGQSFVAEMSQCSS AFLA_087640 MTVFLVSLFLPYTIDFRATEYRHRRKSSTSYSHSDDRIVGRLAE ARRRRHSRGYSLSLTPGATTEDEKIFKPYISRAAGEIASTDEPHGPGPSEPRVVSWGQ SRKFNQPRSKATGPPEPSILSRPNPGDGYDQPLYVDGVLETPDSEEDPGSPRALLCDH DWVVKSAEQGNGGMRNAVNAAERAGILSDKMWVGTLGMPTDSLKDETRARIGETLEDN YNSLTVFVGDEEFEGHYSHFCRAVLWPAFHYQMQESPRHTQYDDYSWKQYVKVNEAFA NTIASCWRPGDSIWIHDYHLLLLPALLREKLPGAEIGFSMHAAFPSSEIFRCLNARGA LLNGLLGADLVSFQTEEYCYHFLQSCSRLLSLEVSVDGVQLPQRFVHVKRLPIGIDFQ ALDELRQTAEVKDWIENIISRYSGKRLIVARDRLDAPGGIKQKLLAYELFLKKYPKWR ENVVLVQVASASELPELEAQVSKIAMRINSTYSTLTHQPLVLLRQDISYSQFLALMSV ADIFMVTSLREGMNLTSHDYLHCQDGKVTSQRHGSLILSEFTGSASIFSGHELLVNPW DYKEVADTIHKALEMSPDQKQRNWEYLLEKKASCTAIAWYKSFQTALHKAHSTQLSRE LSQVSSLSVHNLQKSYEKTNSRLFFLEDDALFQSGDSKPSLELGSLLDHLLLDTKNKV YVTSNKSPEQLESSLKEVSSRVGLIAENGCFVRDIGQTQWRALVDMAKAKDWRNGIRK VIQYYQERTEGSQLEERRCILSFLYNHAHDPEIAARQASDLADQINGTRGSEAIRIVL TDGAVSVEPLDITKAKAAESVVGQLGQTPDFLFVAGGSRGDEALFRWANRLQSAGTIS SVTTLTVGVHATEAKAVLPSDMSVATVVNALCSPATNGCHDCQSQNGHNGLNGTIANG VGAAH AFLA_087650 MKATPLLISWHNDNAPIYSVHFDPNGRGRLATAGNDNNVRLWRV ESTGEERKVSYLSTLVKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSELQTQPPLG EDRSDDKETWRVKHMCRSSGAEIYDLAWSPDGVFIITGSMDNIARIYNAQTGQMVRQI AEHSHYVQGVAWDPLNEFVATQSSDRSVHIYSLKTKDGQFTLTSHGKFLKMDLPAKRI SASSPAPQDTSVRSQPVTANAAAITSPAPSTPGTPMANLPMDPPPVSHSRRSSFGSSP SIRRSASPAPSLPLPAVKPLEVPSPGLLGGLGVKNANIYANETFTSFFRRLTFAPDGS LLFTPAGQYKTSHMSATDPTKTTDEIINTVYVYTRAGFNKPPISHLPGHKKPSVAVKC SPIFYTLRQASQPARHITLDTSSGEETFASLPDPVVNSTTDRPSMEPPTSAHNAGDPA KINQTSKDESPSTTPGPMPVFALPYRIVYAVATQDSVLVYDTQQQAPICVVSNLHFAT FTDLTWSNDGLTLIMSSSDGFCSTLTFAPGELGQPYTAPVSTAHQTSTSGVQPTGHVP NPAKPSPGMAPGNATPIPPMPPASPARSNSVSSIATQSTAVQQATGSVVNNPTPTLGS VPLVTATHSSQPPTLPLTTPPQTPMSAVSQSGTSTISNSVLGKRASESEKEEIKDQNS VPQVQQPKKRRVAPTLVSTGNGPSSKDGPQNTDVGG AFLA_087660 MGWFWGNSNQDDPVKKLDPGLREYLEHEAPKKYVPATSVPSAQD PSKIVDPQSQSAQPAETTESSKPAVPAASLFPDGRYAHLWKTYKPPTEENTDVKGASR VIEKYKSRNDTVHRAAMENCALEHEDLTLCFQNGNLQKRLMSRMTLCSEENGKFSRCF TTQAKFLQALGYSSSFEWDDEREEKIQMHADKLYHEMLDYEKKVEEARAAGQEPPPLT SLFNPQGKPQQQKAENTSGSLEIPGGEAIPPGFKPSKPLEQLTPHERELEIRAHYAQL EQQKMYAQEASPFIKTHDDARQKRREKAVSWFGETVGKWVT AFLA_087670 MLYRNHHIVSIIYKKIFNAASKEYFPPSIETTLFPNQKDQAILG KSASPKICALSSPRRRFSIMASNREERLQMRQRGAGTRKIKEVNFGFSFGFAPPPEEP PQAASQHADVDISIEPPAAPQPPSPSENTQHPLLSPERQLPPSQDSGQLRTPGGARNS LPERPSTFDIPEDDALDLGRSGKRRRIEPPGQSSRVSVEPEVTQQEKRDSPVLQNGVQ TSAPVPSKPTEPRDEHLTMQTTTDITQASKEAPDSTTAIVWDNPAAEAPTLEDERSDR NDVHQEPTEPQRESSRADGTPAFEDSTNGKRKRRGSSADQRRSQSIERPETETESTQQ PGPREPSLDTNRDKSMEADSPKSQSGRRSRGRPRTSAQSSPAMDEGSTQHEAASTESM PGESIPTQQQTTKRPRGRKAKELTEDSLASETQNGQPLDEGNVPEEEDSAASGGSRQL RQKSKATNHIQKQVGRPGKRALDPPESVEAPGPAGEKRKQRKQAEPEPEPEPDVESEG PKEPKPIGEKRKRGRRSEEEPELQPQSEPHNEPEVPKEPKPATERRKRRKRSDQEQEP EPEVEPEPEVSRDPELATERRKRGRRARGEREPEPEPEGQPEVPKEPEPVTEKRKRRR RSDQGPEPEVEPETQAEPDPKSSREPKPAGEKRKRGRRSKEEREPEPEPERQPEAPEE PEPASAPKRGRGRPSLSNTGPEVTQREEDPAAQNENQEEASRTTRRKPRQPRGETVPV TVHRLANIASLGGHVQPSELSDEEEESADELSTRQKTKLPSRGGVNVADVLSQICRET LEKTLTTLKNGISNEGNAARRSEWTTKKKAVEAFGTELEGRLFELSEMLDSNFVLGVK LKTAKREMMDMRSRLYQLRKEREGVALQMDAVRRKHSKEENASLARTNINNSLHSLDL ALERSRNRPADGSDDSGSSEPSLTVGLEFMLRNVADNVSSRAPGAQGGLLNQIKAFNA QLEAAARTLES AFLA_087680 MASKLFSPLQVGRMQLAHRITMAPLTHFRNDDDHVPLPIVKEHY EQRGSVPGTLLITEATLISPRAGGYPNVPGIWSEAQIAAWRTVTDAVHAKGSYIFMQL WALGRVANPANLQKAGYDLVSSSAVPATPEGAVPRALTEDEIRDYIRDYAQAAKNAIA AGFDGVEIHGANGYLIDQFTQDTVNQRTDSWGGSVENRARFALEVTKAVTEAIGAERT GIRFSPFSTFQGMRMADPVPQFEYLAQKTKEFKLAYVHLVEPRIAGNTEVDEPSADSL DFFFRAYEKAGPIMVAGGYKAESAKEAVDSQYKDYDTLVAMGRPFTSNPDLPFKVKAG IPLRAYEREKFYLVKDPKGYTDYEFSEEFKSAQVAA AFLA_087690 MVESSNSTNGIIEAKMVRENSVGEQPGWWKLLKSNYGQFIQSLG EFPSGHRDRT AFLA_087700 MVPDTFEGPETKDYAPPDWRPQRQTRVQLDPSDLMTVPRTLGCR ENSAPRPWAEPRGESKDFDFDNALHYFALRLLSAWAPMTQTSSAVLLAWTNSLL AFLA_087710 MAAEQDPQAFEKDFGNNSDGINGAAPTHQLSAEDTARAAARFGY GPLAHVNTAEAQLRPFGGEFQPGLYKSVEHRKFGNPAPLGLSAFALTTFVLSAINMGT RDIAEPNIVVALAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLAFAIILTPGG FEIADALGGAGTPQFDNSFGLFLFGWFIFTTILLFCTLRSTVAFFLLFFFLDLTFLLL GIGYLHRNSEGKPNPPVIKAGGFFGLLAAFAAWYNALAGIADNSNSFFILPVAHFPWS PTARSRKTERETA AFLA_087720 MSKIIYDLSELVWYAEWVKSGLNCQEIDDETEGYFNTEVIYVEY ALHMDRYLETGEPKGDANIEGCIRLACLLFHNGTIWEFYPQFGPVFPKPISALRLALA TTIPAGFFQMLPEVLIWVLFIGAWSSRFLPERTFFVTELAAAVGRQRILSWQELRSLL LNFFYVDRVHQTALRGLWDEIQLIRIP AFLA_087730 MDAKNSTHHSTAPSDRQQVLPLLNSTPGSSATGASSIQNSDSSH RPTPALASDTSSASPAGHDNSHVLSFVDESIRQNITHSSERNSQDVGRNAVTKPRKPR KPSNPGGRSTLFWVHSDPQSAAQGTREETLKSIRSHVMSEHNRKKRLENTKRYKSKTW KHLAFQPPETAASSSSASNPLASAPDDSQKISLKVSQPEQQGGSLENALASDTPGFVA VSTENHHEVDASSHSQALAVVQDLSPVAYLGPGGNDPFNVFHTRLTDPYPLQRRYGEK LQAHWTALVHRDPASLHACICVAASNTALTIGEFPVREGQRPSALLLDTFHHRGETIR LVNEGLSDPVKASSDSLIAAVSTLLTIEV AFLA_087740 MSHNFEKSVKGATKIKLAAPKSKYIEHILVATHTGEAGVAEIFR TLQLRLRDSTWTIVFKALIVIHIMVREGQLDATLQYMAENPRKLAISGFSEVQSQGHN IRRYSDYLVARANAFEATKTDYVRSGQGRMKRLTVEKGLLRETEIVQRQIHALLQCDL LTDEVENEITLTAFRLLTLDLLTLYSVMNEGTINVLEHYFEMSRPDSERALEIYKTFT QQTEEVVKFLGVARHFQAATRLEIPKLKHASTDLTRLLEDDLNDPDFDQRRREYLLRK GGKSGNPGPTFASSNTAGAGNRSVSNPEPPRPHTQPAPQKRENPTDLIDFFDSIDPQP PAQQNPMQLPHIQQQAQAMQFQQTGFQPQQPAFYPQQTGFQQQAQPTGFGQAMPVGDS FQQQSTNPFGQQQAQQPQPLQQTPTGAGFGGYSAQPQTYGFQQNLAPIPQNNLAPFPQ QQQPMSGGQLQPQTTNPFRQSMLLSTPTGTGMPASPLNRQSTNPFARRLSSANPAQFN ASTPPPPPPQQTPPQSAQALQPQRTGTNPFARSSSVPPQQSQGLQPPAPAPLRPNPTG STNPFRQSAFVNQQTGQGWHVGGQQGTMGGYEQLETVPVFPRPGM AFLA_087750 MFLCGLFIDALDYFAPNDITTKEFEQHVANHVRIAKVPTPFIST FRSMLAPVHRAIKYKEGAIVTMIDSKKLQTQVYSAKKLLRKVGLKVGRYNGAGEFFIW GKVHESAIISSFKVSSLLQVASEHPYIESILQLDIIGTYEKAGRPLHQVLAKGPGQLD HQSGSIIGELLARLQVPQQYCHSVGQGIVYSWRLNTKKGSWQEFIEGMNSGYSSLSEV HDPEIPLPAILDPDELDPLEPSSDEDTVTEGESSPDNDDQESDDDSRADTPCPVGLPT RAALPPIELFDGHNRRWIAQEDHTREEIIIDESSTEDEAIDDVFTTVAFSNILFNTNT VDNAESATSTNSEPEFINSRHQPVVGDQFASDRARVNQILN AFLA_087760 MATELTVQSERAFQKQPHIFNNPKTLAKSKKVGQGRRWYKDVGL GFRTPKTAIEGTYIDKKCPFTGMVSIRGRILTGRVVSTKMHRTIVIRREYLHYVPKYN RYEKRHKNLAAHVSPAFRVEEGDWVTVGQCRPLSKTVRFNVLRVLPRTGKAVKAFSKF AFLA_087770 MLDKRRSIGEDSAEFVPQERQQGVRFEDPLKLQEEVDREREEEE SREDGHIPPLQGNDRDATTNLRDMISSLTPKKNKIAGRKSLHVGAARGLLGKRPMELD LPDDESDHTPKRLRGREASPVKNIRLPAPPTKDETVGRLGRSPAPPSIERSPAKVSIT PTKEPKGSSVIVNPIDDEPTSSNATSTSEPAQEALDRQEESGPEVEPIQLQEFLNMTN IHFMELTTTKRRHTTAPDSAAKRRARLSSEKSSASKFDDCVAAGFCTVPMLELYQHSC RELKSYISEGRQVIRSIETETYAENPPLFREYMTAPPDIRLLMDNQFRNVKTHARLLS KATWYEWRMKLLEGLKDGLNRHVEEMRGDDELLSKHETLLSGVVPALVEKHTSLEEQA TSLQQLADEIENCDQDELRDARGKLSSIEEEIASKQKLLEELQAEAQEKTNIIEAGAE LKAEYLGQIQEAERVKEECRGWSAKEISELKESVHKIERQTGWSIISATSPSSSSAGP LVTMSYRNQLQLSFHPGAFFIDNSNSQPLATKEKLPIELKHSPQGRTKSVGHSSPLSP IGLLVLKSLQNELATIPQSKTAPKQMLHFVAQAWDLVLNLEEEARMLEFCGATKLKLS EIDAKPSLRARCTLLELPSGKGSSEAKNTGARRVDVDFAVKTRVQRGNSGDVGVLAFE TDVIASKVYGFGTKNNSGMSEDEMRRLLRKELGEKSEPQLGNGIWSKAVQTLTGTVF AFLA_087780 MTTSSNPKTYTYTRYPPGISPSIPRLDTEEDVKKAVLANPETTF DDTVDTTGGWYQKDMEGKVLAIVSDQMCEELDARRDHAEAWVKENERRKAAGEPPLEP VCWR AFLA_087790 MCYKKKVKCEVEGSDTACIQCMRRNITCKFTTRKEKRDNLKRYR TNYVKTLEERLKKTESLLRAAGLLDDDDITQLDSGGEDGNDNSEDETDIDPTQNEHYG SMSRDSGMPASTDSIHVANEESNVTSLSTKSAGQSQKLPWDSTLHQPPLFRYDPREDS RYYGRSSFLSILSRDGIEWIKSKTGESRFLTLLHENKDHDNPWDHWRPDVFHDVFSST VFKPLPPRAEVFSLLKDYFRTVNRLFPLYHEATFMQLVEWQYTQQTCDDAARWASINI ILSLAYEYRFSNCQKSEKDREKAWLYYKNAMSVFPELTLRRTDILSVQALIGMALFLR GNSGTQSASPIITAAIRSCQRMGLHRDVPRPHLSQAEQEQRKRVFWVAYILDQSACIR TGSSPTQHPDDLDIGFPEVDNDDEFTMNGNASFFRQLCHLTLIRSRVYSKLYAVKALQ KMSPREIYDIVRELREELEEWHNASPFTQQLKPRGASQDFLVGFATAGLQFGYYNSMI MIHRLPLTIHFAYMRHSTIDVKWDVDHKTIFNESTKASSICVQAARDTLKLVNNLPWG DIAWIWSLLYYIFLAVTILFTYILRDSQHPKAREDLQHLSMAATFFTTLIPGDGPCNY AKFMTKMCANFERVARAVVEREQKTFKSSDRHPQPVTPSVTTQGQNERSSPSKEQASH SSCTGNVDIPQIEGLPRINSSGYVVPESPSAASEDAPSGDLPAVSDPLLGGFSVSQPH ESTSASIQQSYQYPMDDTFPAPMAHNIQQPELWQIPMTADWEFGGQFLSLFGPQFLDQ GGSDVMSAMAGMTAPPMPSAPMNMGFNCEDSDNVDDYPAWMPRGFMNLF AFLA_087800 MEELPSAPQDGTNPASRAGFCILLLLDQAVEPQEASKPAEWIWH RSTTKTTTLKLEKKKRGDLTVSIGWIPPKLNKLEDVEEQTGKAGK AFLA_087810 MSDEHIARQTASSLDRLENFNFLLSRHDPALAKSRHYSFDADAA GLAPFQNLSMDYDQTEGMGGISVSSYDSIEDERNPIDVRGYPYHAADKHINYSLPDQM ISYPAHPIYPPISYGPDDLGHAPGALTPSDVSSSISPPNGQLGHTKYSTQIPGDHLAS ALSQEEHVRRAAEEDRRRRNTAASARFRMKKKQREQTLERTVRETTEKNATLEARVAQ LEMENRWLKNLLTEKHESTSSRMPPPPEDSTALNQKGNSGGNGQKHIQPKKKGVGTDN AFLA_087820 MHRTYSMRQSRMPTASQIENPPPPLSTTKTNRWIGKGGFGHAFR KNAAGAFGPDLARKLSQLVKMEKNVMRSMEMVAKERMETAQQLSIWGENCDEDVSDIT DKIGVLLYEIGELEDLYVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDEIAKLKYK DPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFQYQFDALREHCEKVAIIA GYGKHLLDLIDDTPVTPGETRASYDGYDASKAIIQDCEEALANWVTSKAAVKSDVSQR TRTLSQRRREAIGKNRDGVDLSTQDQPMRGDRDSWVPADQHPTYVEDGEEVASTVDGE ARGREEEREPVSV AFLA_087830 MGVHGLWTVLQPCARPIKLETLNKKRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLYFGIKPVFVFDGGAPILKRQTIAGRKKRREGRREDA VRTAGKLLAVQLQRSAEEEAARRRDGAPREQEEVPDNPVYVEDAGMTEKEKRQARSFR KKDAYHLPDLHASLEEMGAPNDPRIMSREELEEYAKQFYRGEDINLYDFSKIDFDSPF FMSLPATDRYNILNAARLRSRLRMGYSKEQLDHMFPDRMAFSKFQIERVKERNDLTQR LMNINGMNGEDAFYNSGQRIAGERGKEYVLVKDNAVEGGWALGVVGNKDEGREHKPID VDQYGKQEIFPEKDEDSEDDGGFEDVPIEGLNRLPRLEFLREGIFDASIRQQMQENTE RKVTQGLPQRHEDDSLFVQEDEHERPHHHGRRAIDNIFEMDGENEDEDLQKAVEMSLR PTSPLDQHDNDMPDISINRSSAHLAPLKETTPSFSPESDDDGLDFATALAQSKRTKKD PVPNHPFDGPLPFESIKLDKPFKTKNPHHEELDQHAGGFEKEPAKQTKAEQPLPPWFS GGSSTAEFIADNDDSPLEIHRDSTITPDHVFLKNHQIPRVIDVDMLPGSDVVIDLEAN SEECRQPRATQTLNETENPRKDTTAVIREIPTAGTEATNIPGRASIAETGHEPSTSHD IEASRDEQADLLRTQPSPSPEFEDVELHPKEAITGTVVPEMESQSHQVDELEDIIGED DGFSDPEDEELMRQLAAEGEEHVRFAATLNSTSHTENTFDYEQELKQLRSQQKKDRRD ADEVSQIMISECQQLLTLFGLPYITAPMEAEAQCAELVALGLVDGIITDDSDIFLFGG TRVYKNMFNQGKFVECYLTSDLEKEYALHRRKLISFAHLLGSDYTEGIPGIGPVTALE ILTEFSNLEEFRDWWTQVQMGMNLSDGEHAAFYKKFRKQASKIFLSPSFPNSQVDVAY LEPEVDSDPSPFQWGVPDLHGLRDFLMATIGWSQERTDEVLVPVIRDMNRREQEGTQS NITAFFQGPQGAGAFAPRVRSGGQSRMEKAFSRLRQEAGSEAVQSAQSTGAETNPVEQ SESTGSSQPPQGKKSTGTKRSAQTKENVSGDDVTGKKRRTRRTTTQK AFLA_087840 MVTAHNSLLGLYVVHGGSSIYPKSIHLQQLVAGVKSILYSADTI SCSASHVLIAAGTVFGEVIVWSCFLDESVHLMDGSWRVVAMIEQSGYGISQTKIILKE TLFHEEDLSSYCAIASLPQCGIALLGNAQGLIRLYNHNTRSLLRIVESGPRPLVLFAL DYNRGASDSPETLSFISSHQQQDHLSLIKISLSEGEVSQIETITLALPHSFGVTCASF VCGNRLVALGNAYGSLVLYRVANTMPIQQPIWNRKIHSREGISHISSFSSLYGETSPS LNYFLTCGRSGVYCVHEVEAEGDSQNLATVQTIHRSNATRTIEIEGAYIDRQSKDLML YGFHGKEFVLWNETTQSEIVRRLCGGSHRRWAFQPNPEEPGSGLFIWIQKNLNVLQTR GDANRTLRAGGHGREIKAMDVAHSADGPLFATGAEDTIVRIFAPTKPHEEKLWGSFKC LRVLKKHQVGLQQIRWSKKGNYLFTSGGSEEFIVWQIRSIPLFGLATNAVASVRDDPF SDLRVTSFDVLQVDENSSDGNFLFCLVYSNSTLKIFHYSSTVDGGNFTLLAEGTYTSN CLTEACFLLRGSSLSLLTASTDGYFTFWNLTSVLEPYYTISSALRLKQPIGTFSITPE NISCENRYQTHSNSVKCLELTHLSDAVSLVVAAGDDNALTLSLLNTNFTSTEAGNATT ITIPDAHAACITTGKILMQRQCQDKGTMQAVIATSGNDHRVKIWCAEVDVEKKGANAV QVQMIADRYSSVADISSLGLICEESGETKLLVCGVGMEMMGIQLPSLVQP AFLA_087850 MQFLNLQQKYHAVRLELITRWRICKQACRQIFRKDELSSPSISV VGMATQYGTTLLQRDFLEELLSRHCRHSPASGIKQLCSVLPLDDPIWSQQTLPTIADA DALFKEHAISLVCRAAKGAIREWGGSMYDISHVIAVTSTNTANPGFDITLCSTLGLRP TVHRTLLYGLGCAGGLSALRIAYDLLAGAALQGLPARALVVSCDLPTLFARAELHRIN VDQEVGFGMSRFSDGASAVVLTNWIGPTVLERGPIWNLLGFRSVIIKESEGYSELSIG PFGMYICSSTACQVSNDAYSIFSTRGLPNEILVTKGLKRSFPGLRSDNTRDLPNSYNW ASDFPGRSALKFAQGALDLKKSNLSHSDTVYRSRGSTISCSVLNILNYMRHHGKGGDY VANGRNKVIALAIGPGVILEMAVLHKASTADLRYAAHCWEW AFLA_087860 MKLLNSWLMASAISTVAARPWPRAANTTSTYFFTFGNSYTQTGF STTGEQPSPSNPMGNPALGTGTTTGGENWVGYLTTAQNASLVLSYNLAVGGASIDNSL VQGSTDVDLASQVDIFDETYSSKPASAPWSAENSVFGFWIGINERNPSETGPVLTVEL SIGNAFYNTDADTFTPQLIARLASLVERIYSAGGRKFLFLNVPPTSRSPMFIDQGNAT VEQHAAYLAVYNRNLEAMVDGFKTNHTDSVLSIVAVLVVVSIVVSLRRRVFLC AFLA_087870 MKFRNLFFAAVAGSAVAAPLAKEQKKRDSVFQWIGANESGAEFG ENNLPGVWGTDYIFPDVSAITTLIDKGMNIFRIQFKMERLVPDSMTGAYDEAYLQNLT TVVNAVTDAGVHAILDPHNYGRFNGEIMSTPSDFQTFWKNLAGQFQSNSLVIFDTNNE YHDMDQELVLNLNQAAIDGIREAGATEQYIFVEGNSYTGAWTWTDVNDNMKNLEDPQD KIVYQMHQYLDSDGSGTSETCVSGTIGQERVTSATQWLKDNKKVGIIGEFAGGNNDQC KTAVKGMLDYLAENTDVWKGALWWAAGPWWGDYMYSLEPPNGVAFTGMLDVLQAYLG AFLA_087880 MGKGGRVLCIITPYALTIASLVCIIIVGLGCTNSGSSTLNDLYF FRADLQNMTTTATNQSTISQALSDAGVTVSDGDISAALEQVQKQFDLKDFYNIGLWGY CDGNVTNDKFEVSECSKPKGEFWFNPIEVWNLEETGVENGLPSGLRKSLNLYKNVSKW MFIAYIVAFVATVVELVVGVFAICSRWGSCVTTLISGVAFLFTAAASVTATAMFATVT GAFNENLKDYGMHGSMGKNIYVTTWLAVAFSLGAALFWMLSTCCCSGRSPYNHKNTPR GVTAEKTPYTYEPIGPQGHQQASPYNTSYPPPPPTQNRSNAYEPFRHV AFLA_087890 MISINAWIAPLVEDFLSRYIKGQVDSSEFEDDGSNLRFARRSPQ YTIIHHWEESEGRYVATLTDSKTQIEAVLAKESLEGLEREAGDRPLNRDVTLNHYAQL LEYEIVLEYAMSAPKIHLYVRNLSIAWDRGKYKGAPQGKLVKKSASIRGLLRKVFETI KSRESRRAATSPKAYSLDDSPKSQTHVSEKHVSQQFLQSQAQTSFVDSGGPSMMPPIQ SKRGSAVSNALLGYLESHTKPADSNLPDNRERGTVAIPVNGDSPNRTEVRETSPHAAK SASDIPHAEGTERSVSDSGSKLIHPAQHNSHTALQERYDAGHELPTTNIDGEKTSKIG VQQGQDHENLNSSQSSPKQKLPEMTHPSENSSSSYTDPWHSMTEIRTRDIRIPKNQVA LLEQHTRQWVPPDHGDSIKGHVPPELLAQWNQIALQRSRLSEREGPRGFESEWAETRE LSPDTNCPTAQTNAESDDEPVTSDWSESSPERVCRPRQLPADSSPVAGAIMKRNGVHI TKPGNAREEKQPKEVDSTSVRDAQQDSRVISAAQDGNDLPMENSPFENNVNEEHISKS MHNNPRAAGPNLQEESDAGSEDSVMDTSVPCPLGGSQQSNFTNQSEQEIISSGSSFPA RGHVQVLETPLANLKHLRSARLDKDKAVLDSHHIEQSSSQVAKSSSQSRIFNTYATND DDNEGTQTTNVSRVNGAGETNDTGILGTQMSTGDWSMPDATPNSHSALVFDSSAPKQH DSNAPIPMSTFESQDSSKPFSSHDEVLSSMASEEKGPELVPSQGLAHDTLEHGGISPL KRPASPIGVEERPSSKRHKFRYDENTDAGIVGETRPASGVVCRRQDYIRHSAEHLEAQ RIHEKFRNDYPNYVGDYLHFRRLCATLQAVRDKGSLQRSFLWDDFIIKHLEEYPHYTE QCHSLETKSLGYEEYFTSHFSKPTYKKRSLTAEGIKVVAAQDIPPTISDTATSLLRLR NGADTSFTASLVDQFSNFHAHSFGPATQSVQSDTDVDRMSLTMSSPTQCTKNNVGPPG DGHHTEIEEHTEETAEQHPEAEVPQPAAEVQQLEVEGQHSEAVEQAPEVDDQLRLEMT TQLSTLRYLDGNESVVAESEINSEEDDGFMDETHETASIELGDEEPSTILEAPLSGNE SEAASEAESPDENWFLSLRHLFPAEPSWCDGPETPFKKWARADQNVFSQRKFRRNWAR IPVDEKGIPQRPCYSNPPE AFLA_087900 MAASSSLDHLSNRMKLEWHSKLNTEMVPAKNFRRTSIIGTIGPK TNSVEKINALRRSGLNVVRMNFSHGSYEYHQSVIDNAREAARVQTGRPLAIALDTKGP EIRTGNTTDDKDIPIKEGHELNITTDEKYANASDDQNMYLDYKNITNVIAPGKLIYVD DGILSFQVLEVVDDKTLRVKCLNNGNISSRKGVNLPGTDVDLPALSEKDISDLKFGVK NGVDMIFASFIRRGSDIRHIRDVLGEEGKEIQIIAKIENQQGVNNFDEILEETDGVMV ARGDLGIEIPAPKVFIAQKMMIAKCNIKGKPVICATQMLESMTYNPRPTRAEVSDVAN AVLDGADCVMLSGETAKGSYPCEAVKMMSETCLLAEVAIPHFNVFDELRNLAPRPTDT VESIAMAAVSASLELNAGAIVVLTTSGNTARLLSKYRPVCPILMVTRNPRASRYSHLY RGVWPFLFPENKPDFNVKIWQEDVDRRLKWAISHGIKLGIINKGDNIVCVQGWRGGQG HTNTVRVVPAEENLGLIE AFLA_087910 MTNPLSYIPRALLGPLYPVWTSPVSSSSLDMVTMFGRLTHYAFD AVLFSAFLAGVKRSTGLTPSLDSDKITDNKDFKKWIDSYLGVGEWVMDQSVAVLGSTS YFERRR AFLA_087920 MDSNSSTSLTLEKMGNIPTVQEVNDDEVFPDMRMSTKTTQNIES PLLQLPTELLHSVLSYLSAQDLVRVSATCRCLAEHTANDLLWANVVNSYLPEKIHDPG PFDSFRSLYIAYHPCWFIPQKKIWFSDTEHTGNLILARYDHRRGVIEAYRVIAERRSP SHKFQIWEWNPDVIIQTFHPKPSLWLDSPILLLRNHDGERQYLRGEIRMPLPLESQQV FNSLSFCPKGPPSDPDPYKQWPPPIVPSRNRVSRSTEPHGSEWERNTGLLEIMSEDAF RIRRWAHFRLGMPMFAAGRSETLSTYATLDPHLYTPTRQKPYQGIWIGDYSAHGCEFM LFLQRDSEEGPDDTPEEGESEFLHDGIIQKGSLEAIKLTGDPNVPRGELSFISDDIGP KGFVRVADESLFRGARIVRSRGHVAGIGFRDGKLNRIACPVVACFDLKLRFIHRLATH SHIT AFLA_087930 MIRTSFGEPDDPTDGIDCTPSRRLRRRSTPLPRSRRSLDSVLPN DGSKSKTVVQFTPLRQILDSRTQRRIRRLGLSNEINKFEREKRESAHYQKSLQALLQE RDTLKQELELAKRNSGTPERHSPSKEILGLSPQSKSEHLESQNSQLRQEISFSSVENT EDQSDGADTEGDTIIMGSGFEGDTVLMSNSPIMRGLQLPRSSPDDFSHLSLPDPSTDA SVQTSLSEHDQGAELLALSLDLEAARKEKQDLFNAWRTRLASFPGAAAESCLQRSSPP PDFFDQILPTLTGALTSASDAIGALDAVKQELSGLGFPGNSTDDIISGMRSHFRSARL QLERAVPGETPSSSLDNGSATLSALVRRVELLVKSLSEERTRHEGSVGRERALRGQFD TLLIRYETASQKISDLEESIASSAGDMLHTRMRMQELEREGNEQALGIERLNEALSKY RVEVKSLEKLVTELEQDKVISAKRHEEQMLQQEKRVAEEGSARRVAESALAERQAHVQ GLEEIVESNRIRVCELTAKIETLEKELKQTVDSSEQQAVEQLQHHQQEVGRMNVHVAE LTTYLDTTKSEVDKLRQSNAGLEEQLRLEMEARDDLLEKWAADQARSFAYMKETVKAE RRKAKVRTANWELKSDELQSDGTNIGSEPITPVSVARFVDVEVGRGKERRRLDSGIGI LTEDELFESEGIDNDALPSDPAEL AFLA_087940 MSDNETPKYRLKVTAGPSYDPSTHQLVPVNEDQTLRIENEHAIT SLCVRIRDYTDQYSISFAIVFKQPVNANSLLFGNDFDRPIRDRLPPGFNAALRLVKWT IDPSLDGDAYADKPYLYGPAVASWNQFCIGEKIRKNDEVPGMHERVVEEGGEGSGLEV REQMGIPAGVNERRKYFQSEETRTGFEFEEGRAYWVDFGNPYLGFNDFSLHLPGITIN VLPYINEDNHSLRYVLKNRDTDEVYLVVLFTLVLQGTDEEPLHKEETERMRKESKEQH EKNNGKLGRFEWEPEPSADDVE AFLA_087950 MTFSLRLRLSVDRTFSHLSITPKICTMAAARTLRIGLIPGDGIG REVIPAGRKVLESLPASLNLNFSFVNLDAGFDTFKQTGTALPDKTVETLKKECDGALF GAVSSPSTKVAGYSSPIVALRKKLDLYANVRPVKSTIGGGRNPVDLVIVRENTEDLYV KEEQTKDTPNGKVAEAIKRISENASFRISSIAGEIALRRQKIRDAASTTGLRTKPMVT ITHKSNVLSQTDGLFRETARKALAAERFSSVEVEEQIVDSMVYKLFRQPEYYDVIVAP NLYGDILSDGAAALVGSLGLVPSANVGDGFAIGEPCHGSAPDIEGQGIANPIATLRSA ALMLEFLGEEGAAAKIYTAVDANLDEGKYLSPDMGGKASTQEVLDDVLKRL AFLA_087960 MSLHIPVAGPFFLSLSLSLSLCLLFFSSPLSFPSSSPFPFVPSG NFNPSFLLSQRVVPCRTHHFVIDISLCLTSTLSRYIADSLSTSNSTISFQSFTT AFLA_087970 MPDTGAHPGVRSLLARFENNNSSNQNTTSPPSRGRSPVGSEHSG SRPLSKVRASFIAVDGATQSGAVAGLRSASSRSDSPAAPPSRVRSFNSDDLNAPLKSP LSSPTSNGLDNEQTLSETRPGGMVETVITQVTSPEKGAKPQAKEAASPRKGSDSTSAV SAAPSPKKTQTVTKRPSTIQVDKVTPNQSASKSTSTTLKSAAHPRTPTSPAKPDHVKA SKPARSPRPPATRDSPKGPTTKPSRSSLNTTTKTATRPVRSSMPARDATKLTATSATR TNQPEPRPPTKSARLPASATTTTLSSAARGGATGTTTGSLSRKPSSLKNATSGTHRTT TASSVRKQTSQPSLQRQPAHERPHSRVSNTSSKVVDEGFLARMMRPTASSASKAHEKV EVKSPPRSSRVTRAPVRPVASKTEAHKSRPTKEKSVARKPQENPQPVSTEKEEPQAKA VDPKEDTAHVNVIEPHAKVADEPVQAVVDSSVDIVEKERATEKRLEDLPVEPSMASES APVGSSVELEQPIQAAEVPEAQTLAAPSIQEPTISADEAVDSQSVEPSELSNTEETKE QTGVEPLAATAEEKPAENVAETEAKADDIDVDVGKLSLN AFLA_087980 MPPLPGEERALTVFADIHYYFTAPTPKPLHHRFDKGSYLYLYHN AVQRKTRIEVANNPGTRDQDAFNGALDQVYLRHSTQFPTLCTLIVDGRPPSQGAQAFP PPANASPHEWLLPSTDPRGEDKELRLHTLDIYFWTSDDADNFLNLMENYLSPPQIETD RHPYPPPPQASVSTVVQQLENVAITDPAYQNGQTRNSQSEPTPSSPPPTQTFSIPSNL PPPPLGGPPTTAQKTTNGTPPATEERNDPAQYTPLPYNPAAPAAPEPIQVREKTPPPI DGGDGTGLAAAAAVDSGAPFTPPSQITGGGGFASPPTSQGLPYTMPGSYASPPPSAGL THSGSFSSRSSIQSPPSIPSYTPSFLAGVGGGHQSNSHQPVTMSFAPPPKDPNAHLYG QTQNLYGGQPQIQPASPPPPPIGGYSNYSYDKVAVQQPVANDYDIHRQLYRPTEAEVN SHSQKIAQKSIQNPGRPRNLEERAHRMESSVNRFLKKLEKKI AFLA_087990 MSLVNLAHVCSHLNNATKARLGLTSIPNTQLHLKLCLALQNSGY ISSVVRGGPTPPPQHTLLGVPSVNDEVEGVQSLTQSNVASRRLWLGLKYWQSDPVLGK MSMVSKPKRRITIDVAGLREVIRGEKSGYVEGLRSPGESLYLSTDRGIMEARECVEKQ VGGLVLCRVL AFLA_088000 MFGELMLVEQSISCRPLNRVESRGFIYTSSCCVVTDQMGAPSHN IDEQWPTPSFALIYGESKSAAAEAIVLKGSSDTVAACSLRPSVLYGPGDDRLVPAIHA CIAKGKAPFIVGDGQNLWDVTYVTNVADAHVLAAENLMSSRTAAGEVFFTQNNERITF RDFCLAIWAHFGHTPPFEIHIPGTLAYLVGLSCGFLTWVFGTTNILSRGSVRDACSVR YASGERAKLILGYRPQVGIETGIRLSCEVSRQSYLSIDSSCPYLLMYLGLCSTYGDRI TNAAGM AFLA_088010 MAQEPPRKQKVVIVGAGPVGSLAALYAAARGDDVEVYELRGDLR DPTTIPLNFTKSINLALSERGISSLKGSNRDGMIEKILNDAIPMHGRMIHGRDDGKLW EAAQAYDVHGQAINSVDRSTLNNALLDELERTPNVKLFFNHKLTGADFQSNRAWFERR APGDTPLPGSSNRVPEIEVSFDYMIGADGAHSASRYHMMKYSRVDYQQEYIDTLWCEF RIPPSDTGDFRISPNHLHIWPGKEFMFIALPSPDKSFTCTLFAPAAHYAQLESSPQKL FQSFDANFPGVSPDLITPEDLQEQFKENPHLPLISIKAKPHHYGSNIVIVGDAAHAIL PFYGQGLNAGLEDIRVLFDFLDKHDAFDLNASLTALRESRRAAFQAYTDQRTADAHAI NDLSKQNYLEMRWGVKTPLYKIRKSIEEALDLYVPSLGWKTQYARVSFSTQRYSDVVK VVHRQGRILGYGFASAVISSITIAGILAWKIPGRLSPLPALQSTVQLLGHVWTKISPK NT AFLA_088020 MAVETAPRKCMGVDCDKIAGTLQCPTCLKQGTDSFFCSQDCFKR SWSEHKTIHKAKSNILTNLFPPKVVSEPDPATGLFNPYPAYPFTGSLRPVYPLSARRT VPKTIPHPDYAKDGIPRSEQKFIGRHNITILNKEEQEGMRKVCRLAREVLDIAARELK PGITTDYLDEVVHKACVERNSYPSPLNYMHFPKSVCTSINETICHGIPDQRPLEDGDI INIDVTLYHNGFHGDLNETYYVGDKARSNPDAVRVVETSRECLDKSIELVKPGMLFRD PGNVIEKHAKSRNCSVVKSYCGHGINQLFHCAPNVPHYAKNKAVGTAKPGMCFTIEPM INIGTHRDRTWPDDWTSTTADGSLSAQFEHTLLVTEDGVEVLTARLPDSPGGPIPMPT VEEPSEAKTEA AFLA_088030 MGSFSRMHMYACANICQVFLSAADQTIIMASYGQIGSDLHALNL TSWIATSYFLTLTSFQPLYGKLSDIFGRKACLLWAYAIFGTGCLFCGLAQNIHQLIAA RVFQGIGGGGMTTVVSILLSDIVPLRDRGVWQGIINIIYATGSGIGAPFGKASGILAD YIGWRWAFIAQAPICVLAFTAVSIILKLPPQENSHWKDKLRRIDFPGAIILVGAVLGF LLGLDRGSNVSWTIPVTIISLSVSAILFVLFVVVEVFYAAEPFAPGHIIFDRTFFSSY GCNFFSFGGWLAALFYIPLYFQAVDGVSATVAGLRLLPSILAGVSGSLFAGFVMKWTG KFYWLTVAAYSLLTLGVTTIFLFSGGATESLVPMIMGMVLSGFGNGIGVTSTLICLIS NSTPEDQAVVTACSYLFRSLGSVIGLSLSSTVVQQILRGRLRSALRDSKDIDRIVDGV RQSLDYIKTLDPSVAKVVRGCYGWAMNKGFAFMIAVVFFALISSFFMREKKLNR AFLA_088040 MSGSQPRPALSRYRPILYLLTGVAAAYALVYINNLIISSSSQPS LRRRRTIRRPRGLRRRSEIVPVETPSSRAIAHLEQLERQNGVYGTFRIETEDGRRVES GLLPSLLATRDQLMEEVGVPQAHAERMREMMEDTFLESFLALDFPPAHTLEEGSPERN YLTEQLQRRGISRAGIERALARFNEDSNYGEELRRRRQNGERVTLSTSTFPDESSPAQ NMDGGETVVDDQSVFSWREGHNDTSPSREGQNLLNLLYHIAEDQARRDGYIHRGVTCN SCGAMPIQGIRYRCANCIDYDLCETCEAMQVHIKTHLFYKVRIPAPFLGNPRQSQPVW YPGKPAMLPRSLNRSLAKRLMKDTNFENTELDALWDQFRCLANHEWADDPNKLYMAID RKTFDRCFVPNTSIRPPPPSLIYDRMFAFYDTNGDGLIGFEEFLKGLASLNNKSNDER LRRVFRGYDIDGDGYVERKDFLRVFRAYYALSRELTRDMVAGMEDDFLEGGARDVVLG SQPISSAFPGSIPAGEVSRTGEGKRVNHEGDMEIVDNEGILRPDGTDTGDRHAVVGDA AVRSRYGSIRPLFPSVRLPDQGRSGGENVDDASSSDGSSSSVATDRWPPAEHIRDEDI VTALGAYVPLSEVTDPVDRARIGTAVYHRMFDDDDRRVDAARRYGIDERWRRRAFYTD EEDGAAAPEGYETDSDADDVSVEDDLHDQHPEFESHPPSPRSRSSSKVRFQDDITDDY DVRSNPSTSSRSILVGERWGGFEIPEVERDVGKEILYQVTQQGFNELLDILFKPKEDL LMEVYRTRTERKMWAREIELVEQMDAGKHFARRDGRPVDDEVKEEPESSLHNPFGDRP LEELLERAGYSIGSPPLEPVRDGPVLAPPSPELRLPDDDVRPTHLADPGEDPAESQQE EPDFAPAVPSPGSPVSERSQSPSVESEFDPTLPHHRPNEDTQELRDTSGLQSNVYNAS PTHSTFPQSLPAELRLQPNGTTSFPAPPSIAASSPEAEATAPKLSPSPIQPLPPASTS TLPPRDGPTMPPSPLILSRWAYLNRVEREAKERGGTGAKLSFEEFSHRMAADRGRRLA FVASWIEMASF AFLA_088050 MASLLGADYESSDDETARPQSQTTVPTATKIVAAPEVNTEDQAH MQMMLANTSSQALTYNATYDDLSRPNQGPANPFKPDGPANGLKRKNVPTGYAEEAAIS AATFATQHRTFQSLGYTRNPGLPGQFVGDLDRAAQYGGRDIVQMKPSKEVSAALRAKR QRKGDSSIVEGEGAYLGPWAKYKDDDHMYQEAEAAEDRELASDEEYVEEEEEEIAPAH MPAMSKQSTDYQDDSSKVETTEFHGSEQFDYLGRTYMHVPQDLDVDLRKEVGSVKNFI PKKLIHTWKSHTKAITSLRFFPQSGHLLLSSAADGKAKIWDAFHSRELLRTFSGHSKA ITDTDFHPTGKTFLTASYDRQIKLWDTEYGKCLGRFSTGKTPHVVRFNPGADHSHEFL AGMSDKKIVQFDTRSGELVQEYDHHLAAINTITFVDENRRFISTSDDKSLRAWEYGIP VPIKFIAEPYMFALTRAAPHPNGKYVAFQSGDNQIVVYGATDKFRQNRKKSFRGHNNA GYAIDLKISPDGQFICSGDSAGYVCFWDWKTGKMYHKIMASGKEGGATTCLDWHPQET SKVVTGGLDGVIRYWD AFLA_088060 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNAYIIPEAAVHAFLTFLFVINGYWLAILLNLPLLAFNAKKIYDNAHLLDATEIFRK LNVHKKESFIKLGFHLLMFFFYLYSMIVALIRDESH AFLA_088070 MRSKLPVQLYLATLLSLSVSAAVAQSNYSDTRVVSPLFNAFDKR PDDCPPCFNCQLDAFQCAQFASCDNLSGKCVCPPGFAGEDCSKPTCGSLADGHERTPR TDKYCNCKDGWGGINCNVCQSDDVCNAMTPEGEGGVCYSQGVTVKENFQMCDVTNRKI LDQLKEKKPQVTFSCKAEDHSCNFQFWVDQVESFYCGLDTCEWGLETTHDRNSTHYKC ENIRCKCIPGRMLCGEEGSIDIGDFLDQSIQGPASFTSVSTIGGSANDGSKFQEPAMD NLIKSVFGDESIFLNCQSGECLYKTDVPGYTRPVKQINTPLIAGVIAGCALFVVAVIL TVWYLSRRSYRGRIQLPLSDDSDDEATKLLTDHKPAALYWDNVSYYLNGKEILSGIQG VSQPGQITAIMGASGAGKTTFLDILARKNKRGAVRGDFYINGEKVNDHDFKSMIGFVD QEDTMLPTLTVHETILTSALLRLPRDMSRAAKEQRVFEVEKQLGIHHIRDQLIGSEEG KGRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAFNVVECLVTLAKTYNRTV IFTIHQPRSNIVALFDRLVLLAHGKTVYSGPFSTCQQYFDNSGYSCPPGFNIADYLVD LTMHASVTRSHTDEVVSPLLDVRSDPPKTASSSLRAVKSVASASNASIEDNSSSTLEA NRRPKNGRRVSLKQRQDKQLYSRKKDRERPATPKTDEEDVVDVTENPQQWLRLSRQQG NVPPQILDDPDELPPIAPGQTDLDILVANYTSSDVARSVNDEIVAAVQNARTANGSAN SDILLGAPTSTPKSYARVGLLRQFLILSQRTWRNLYRNPMLMLTHYAISILLAVLCGY LFYGLTDDIKGFQNRLGLFFFILALFGFSTLTSLTVFSAERLLFVRERANGYYHPVTY FAAKVVFDIVPLRLLPPVIMGVIVYPMTGLIPAWPEFLRFILVLVLFNLAAANICLFI GIVFRDGGVANLIGSLVMLFSLLFAGLLLNHDAIPKSALWLQTVSL AFLA_088080 MVQVKEFAVEQWMDKYEQHAKYNIAETCCASISVNDLQELSEDK SLSPLDLSTKLTYGAIRGSERLRRTLANLYSVKTPTQLPSDNVLITPGAIQANFLLLY SLVGPGDHVICHYPTYQQLYSVPASLGAEVSLWKSKENDGWKLDLNELRELIRPNTKL IILNNPQNPTGAVIPQATLEEIVEIARSSSIFVHADEVYRPIFHSITPMEPEFPLSLL SLGYERTIVTGSMSKAYSLAGIRVGWIASRDRSVIEACASARHYTTISVSQLDDAIAS YALDASCIHNLLKRNIQLAKTNLAILEKFIESYRWACGWVKPRAGTTAFVRFSKMGKP VDDVAFCEMLLERTGIMFVPGSQCFGEGEDFKGYVRIGVVQETHVLEEGLEVLKSFME EGYEEVPVIRKK AFLA_088090 MPLPLPDIPLSIATPAVATTLAYLNAKYSLFYDVTLIGGLIKSI FKSRLAERRDHLNLFYVLEQHALAPATKDNQFIVYNGRAWTFHETYVMALRYGAWLKK AHGIKPKEIVAMDFMNSSTFIFLLLGLWSIGAVPAFINYNLSGKPLTHCVRTSTARLL VVDEEIRQQFTPEQMETLGSPEFREGGGSVDVVFLTPEVESQIMQMEATREDDSVRNG PALRDLALLIYTSGTTGLPKPAIVSWRKCWSGGTFVAHWLGLAKNDRFFTCMPLYHSS ASILGFVTCLMSGSTLIIGRKFSARNFWREARENQATIVQYVGETLRYLMAVPPEIDA VTGEDLDKKHNVRAVFGNGLRPDIWGRFKERFNVPTIAEFYSATEGTSGSWNLSSNDF TAGAIGRNGSLSRLILGGGLAVVQVDHESQQPWRDPKTGFCKEVPRGEPGELLYAINA ADPVETFQGYFKNSKATESKIVRDVLRKGDAYFRTGDMVRWDAEGRWYFNDRLGDTFR WKSENVSTSEVAEVLGAHPDVHEANVYGVTLPNHDGRAGCAAIILQQQHQATDPSVLI PPTPETLSSLAAHALKNLPRFAVPLFLRLAPEMQGTGNNKQQKHVLRTEGVDPSLVST SDKLYWLQGDKYVPFEQNDWSRLQGGQVKL AFLA_088100 MANTEQIYDAKVQVKETGPHVHTLELLSTDAELKSSKFQRGIAV FGRVFSQVSGVEARGIQRVADDERQPPAPNTYTRIFLLWLSSALTGNNIIVGLYGPSL YGLDWTQATICATLGVILGSMCVGYMSTWGPKSGNRTLVVTRYFLGYYLSKVCCLLNI LTMLGYGMVNCILGGQVIYTVSGGRTAVPVGIIVVSILTWFIATLGVHLFQFYTRYAW IIQILTLAIMIGSAGPSFITSPFSTPDIPRATASDWLSFFSLCFASAITWAPASADYF VYFPTATSSWRMFLAGSTGMSLAMALTTLLGIGLATGIDSNPAWIEASLTSPGSLLAA SFSNLHGFGRFCAVILLLGTVSNNIPCTYSAGLNLQMLGRYGPRIPRPLLTTLEVVIY TVCAIVAREYLREIMENFLPLMSYWIVAWLAVCLEETWVFRRGRDYDWTVWNNPHRLP MGLAAGASFVFGILGAVLGMSQSYFVGPIAKALPQNCDLGMWLAFGFTAVVYPAFRCV ELHVVGR AFLA_088110 MSLSSAVQGARHSNQNLFRGCSSFRRFSVHHCRRSVADSSATSI AASFLSRFQSLGPQTRSQFLDANQLQLLSLTLNRPSLYPDTPSLSNASTSIPAGTPLP PGYHLVYFTPAFLEDELGADGTDASYNPETPFTRRMWAGGEVHWPRAADGSPNPLLVG QEVQETTRVLSAEPKVVRKTGEEMIVVGVEKEFRNEHGVAVIDRRNWVFRKALAVPSV SSKTTNNPAPLPSAPASSSTVSTAKTHIRTLRQTAVTLFRFSALTFNPHKIHYSTPWA QQMEGHRDIVVHGPLNLISILDLWRDTRSNKSDPASMLPESITYRATSPLYAEDKYQI VLDEEEGLGVGAVQILAPGGQVAMKAEVRSAK AFLA_088120 MPFIECSSPPIRMGNTRIDAASQGKLLPTIIDELARDDPNGLWM EYPTSPTSLDVGYSQITYAELANAVNGVANCITSALGRANTGESLAWLAPNSPLCSIT LIAAMKAGFKVCKS AFLA_088130 MARLRLKCCRTYPCTNCKKRGEALSCTFVGRGPRGRTSHGRTSP TLVQDRLQHLENLILSLTQKKSLAVNHDIHRPEEHDGPATNRTTDDRVTPRPPATSTG FEPKESPLDPSSKLVMENTGTSYIDGAHWTAILEEVSEFQCLHVICLVLMSHSQINGV REHLQESNDISDDEALDDGHLSTPSPTLLLGLNKTVSKEDLLADIPPRPVTDRLIAQF INSKEPVLTTIHIPTFQKEYNLFWSIPQAASLSWLAFLYAILTLSIAIQQRLREPLPV YLEDSRDIISTFRKRAAQCLVQSNYTQPGKYKVEALFYYTLGEFYRSNDAQVSVSFLL GITIRLAMRTGYHRDPRHFPSISAYEGEMRRRVWATMRQLDSLISFQVGLPRTIQDWQ DDVELPRNICDEDFNEGTTHLPPSRPESELTTASYIRAKSRIMAVFGKISDLAYSREP VTYDEVLEIDRRLEEVYGLVPFNFRIRPVDQSFADPSDLILRRYTLELLYQKARCVLH RRYLGEVHSNLRYAYSRWVCMSASKEILRHQADLHHETQPGGILYRDRQFPNSLQNAD YLLAAMIICLELSYDPPGEPTINSDVTVVIKGREDLLTSLETSHQIFEQSRRRSMDAQ KAYAALTTMLRRVKKAGLSTAEPIKRLPAGNPDGQPVNTHTTPPPSYENLSVANAPYS VATSSEIPGLDVVEPPFISLDVIEGMLDAPSNIDWHLWDQQVQGPAQPSRNNSLWFPD PAFSGIGDSNSQK AFLA_088140 MRPSVLPRHLSRRISPLSPSRSLATPSQYLQRPTIPSTSPTTRT SHLPYLETLRPFHLPSISSLLSNNSNGSNNNNNNGRTLTATRTLPYAPESLYQVISSV ESYSQFLPFLTASTVTHRDPETGYPTRAFLTVGYGPLSETFTSRVDCDRSRWIVEARS GAKFGIDSKDGQAGGNFPGANEGIFEYLSTKWELVPLESERPMTKVDLEIRFEFRNQL HAAMMSAVEGQMAGVMIEAFEKRIRDIEGRR AFLA_088150 MLPRFLRPQSTLRAVSSLTQKPASALPRFQTRGLHRVPQLTHDT HFKNNGIQELLSPEAFDFAWTQYQTLLIDKLNLLTQDTVDADAKPGELLVKYSRRPEM ASVFNYASMAHNNHFFFNCLSPTPTQIPDKFAKDIVDTCSSIESLKLDFLATANAMFG PGFVWLAKNLEREGLMHIFCTYNAGSPYPAAHSRRQPVDMATHSPDAPLGNQFAGAMG AHSANQKSLAPGAVDVQPILCVNTWEHVWMMDYGIGGKAEYLERWWDRINWEVVFDNY NAVSSMKGTRHAANRNRSLSML AFLA_088160 MARVTFAGLVYGRPPLLFLISVISAMTMPNHAFSLAQRDSDSCP SSYQKCGAAGLPDSFCCPSSSTCISLDNASSAICCPKGQACTYIEPINCNVQLQNATL HPTNPVKTIRLDDQLPQCGNSCCPFGYKCKGNQLCEMDNNITSTTTTGTSSTISATST ASDVLPTTDQLKPTTLSPSDPNPSASNSSMTDTNPTSAPVAAACPSFPTQAIIAGFFP GAILGAVLASAAMFCWRRRRNGPKNSHGKLPRHNSVYNSTPFSISHPIPSEESSYRTD FLLGRSRRSSSRSVLHRTGTRVKSLFGSTPKTVIHNLDNIPKVPITPPPQARRQPSTE SIKVYTPPGGLPGTGTQKRGHYISMEPDKGFVEMFDRVGFMNQKGDPCFKVAESPEAS RTNLQTPQNV AFLA_088170 MTSKNEDPGSSQTTSTPANDTMSSKTGARRLPPPEKSEAIQTRF KVIAAFWAVIIFLGFPIWWKTTSIYRARLPIQDMVEWADGKTCRPVFPLEIHFETPSL PESEAHHLLRTTQHTLDDLNEFSAHHLRLKLSEENSVAIHEDILEQPQPALGGKADTA LVVRLLPQEDLAAPRSELHPDTTRLDVYYPPSQIPPPSASNPPLSAYIAGELQNLFTE EKAIIAQVLSDNNVGGANTPTSSSSNNQQQPSAILNSISPQLAESITRRLRRSMKYAE TYHLAFSLFTPGSEPSSWDVKTAVEEYISPLLQAFAPISNFTVDTQVQLYATSAPTAP LPVYDETQAAWTLKKEDLSAFINAAEWPLSPSIGSGPTINFILYVPAPSQSPMVVKES SATSWIIPQWGGVFLLNHPLSTADHSSNPPHLSQEALRPAFLTFSHQLLTLLGAPATP ASLPFRLQTLIRIRAATLLLSASSTMGSLARLTESLPSIPIPANVATSVSTTLSHLAS TCEHLREGRFQAALADARVAETEAERSFFEKSMVGQVYFPDEHKVAVYLPLLGPVGVP LIVGLLKEVKRIVTGLKAKKQQT AFLA_088180 MAALAVRDIVVDQSLLPVLNSSAVTREQCDKLLALLDPSGDSAS SNSQETVLAASREQKHLFALLARLRGLNREAILRVRETKQSTAEARQEIDRLHLQLQN LYYEQRHLTGEIAACESYDHKYLSLPLIPVEEFLTLFPEHRDSDAHELMIARINHEHA EREKLEQARQELLKRKQALIAENNKRKEDLASLDQDLERFIDAAKPIQKIFEKEY AFLA_088190 MACSAQSVTIESYPEEAAVSSAYATPSEETTALLRDRRRRHSFH AARKLSCDYDADAVFLRVELFLAELERRLHWLENYRRSHMVQIDASLRRGYATLEAVR DSCSYASGELMGGGKKRAKILVETLEDGYKDALATKETLEQKAQAGVRLMESFLSELE ARAHAVRDRGFYGTLDDGWKAVDSKLVHAREVVDEGMERARKAKDALRENIDQAIALA QEKRLIAYADLPHPWRVNPHILEGYRFTHSKVECFTSMFTFSNELVNIWSHLIGLFIV LSVAFYFYPLNPNFHLSTKTDVLIAAVFFFAACKCLVCSTLWHTMNSIANQGLMERFA CVDYTGISMLVAASIVTTEYTAFYCEPVSRWTYILLTMSLGIGGVILPWHPTFNRADF AWARVAFYVTLALTGFAPLAQLTYTRGFAWCLYFYAPVVKSILVYFVGACIYASQVPE RWRPGLFDYVGGSHNIWHFAVLGGILFHYCAMQDLFAGAFQRAKGECPHLTS AFLA_088200 MASESSPEGEHCLSFAKSRSEVNVAFDEKNGSQSAREPPCSESQ ESKHVLPSQMISNKDDSVNAVDPSFVSLPRTLQDVHITERKGQESPDSPAEAQQNGSL KRETSFEDDRTHLSNSSTKPTSFDSKSMASVTTFAMDEKDSLRPDDSASVQAVDEEES LSGIASGAPNSLTGSESGARGFRDIQRARAVLQTTGPLFTDGNQRPNGAMIPDSVSNN FVIANQEVFRSGQPILMHPFPMEPDEKLLEAMKSPKDRLLILQLEEKVRHFIQHSKEQ SLELPPSNAFGRLLAHKLGDYYHLTHFVDNNVTSVRLHRTPFTRLPTPLSAIHAATNN TPPPAMPAMKIMRRTDSERPSTEGSAAASSAFASKTGSEAGDSANDGERGSSSAGATP AKDRLTLTREEREAKYQEARERIFRDFPETKPSDGANGDQGTNMSRSSSTNGRKKSQR QKTPHDDSFEVRSQFNVYYPGAHYPHGPGPYNVATNDSSFAGQPCMVGPGVTPPGMGY AQNGQNGAMYPSHMNMGSMPHYSMPVSPQMTPSGPWQNGAVPQQSPYSGYASINQSPA MTSTKSSPAPNSYNVPNAVQFQHTPPGWSSPPYHGGYQQSTHRNQPPMPWANYPSQPV TPTSYPYGQYPGQPMNTGNAGVHPLPGSFNRSPFNPQTRSFVPGGTSLARHPSKSGQH GMNTYPTMQAGVHSQWAGYQEASKNLEATAPVATNAPRGPPAGGRDSIAKWGTPSHLP PKPPPSEVPSEFDLKHRTAPTITHPYSGNAHPNNKNGPLVVSGGARGN AFLA_088210 MNRYRNAPSLRGPTKATASTLCQKCLKRDMYLPSVVSSHLADFL HGTIAMNAQLPPRKGRTNIVLRAHSNYRTRNCGRSFQQKLRMIYCARKASPMIFWQNG KKSGAAKET AFLA_088220 MSSNHATLDAAATERKARLAKLAALKENNQSQSHDELEDAAPDI TSKYLSGRNYDAETRGPKLGFDQAPADGQITVETQAAEIAKATAEQAKKDEEADQPID LFKLQPKKPNWDLKRDLDEKLNTLNVRTQNAIARLVRQRIENAQRAAKGKDAGDSGGQ TGEDVGIEGEMLVEGIHVREREDEDERRERKEDDLA AFLA_088230 MGVRPDRDMMNIVLSNAFKTGDPQVGFDMFRFMRRQSLEPDSFT YLTLLNDAVTRGDRERVQSLIQDIRARGLEKNDWIASKIFHAHFTFNAKHHDPNDDPN GVFYSLLDMYNQLYDIAPLKELSIIPPEYTPPPGGDNLQPSLIALYLMIATYLRCQKR ISHAHRVYTKFKALVSQDHPVIAPLASTDHTYNEFLVAFRDDPRGLRPAVRLVEDMLH ASNQDRELDDRVTVHGKPSVRTWTILMSAFTFNKQPLAAEKVREMMAKHGVEYNQVTW NTVINTYANAQNIAEVAKSIKAMEAQGYSMDSYTMKCLRYLQDPERLWIAVEELDQAT DARHDMVTSLDQRPLEHESDEDEHLLEQGLRRLSERKESTR AFLA_088240 MSALFNFQSLLLVILLVICTSTYAHSIMPGIMDRNQHGFFGVFW KCARIGERLSPYVSICCILMAVSIFFGG AFLA_088250 MSANLPHRPGHRPNVKPPSGRARRLLPPPLHPVQRTSPAPSGPP RQPSSGHVSSQLAPPWPFNPEETALIRAGYHPAFKPDTARNADPPTPEAESSKHKKRS ISTMAEPRARAARHKGQMNFAAELRLLLLAYGDPSPHPSFPSEPLPETVRVLDEIVTD FVLEMCHGAAQYAAYSRRQKIKVDDFRFALRRDPNKLGRVQELLRMERELKEARKAFD QNDDQVGNLKDASKKELEDLGEGTDGKKSKGKGKRNARRDSDATEDTTVSKKRKTG AFLA_088260 MAETDSFLHLARPLGPMAVGSAPTTAPLNVVIHPQALFSILDHS LRRNADQERVIGTLLGTRSEDGTEVEIRTTFAVGHTETTDQVEVDMEYQKQMLALHLK ANPKEVLVGWYATSSELNTFSALIQNFYSGQGDGTFPHPAVHLTVSTEPGKDVETRAY ISAPVGVTAERAADSAAFIPVPYEIRYGETEKSGLESIAAARDAESRAANIFTDIEAL ERAVEEVLGMIDRVSKYVESVIDEEAPASTALGQFLLNALALAPKVEPADIERDFNNH IQDVLVVSYLANTIRTQMELSNRLATAQLTLGGESGNAESGQRGGQRGGKGGRGGQQR TQDRSGEEARA AFLA_088270 MIMLASFITPEINSVFSTHMLNVSGKIKMTPVYAGAISETPLPV SVKQTFSRFDSLSPAKDPDARFKHFTTTVLSSLVRNVTSGRGNNSGGILIVIPSYLDF VRVRNYFATSAQTMNVSFGAISEYSDTRDIMRARTHFMNGRHSVLLYTERFHHFRRYQ IRGVKRVIMYGVPENPLFWGEIVGFLGMDPAAIDEAAEGGVRALFSKWDALKLERIVG TKRVGNMLREKGGDTFTFV AFLA_088280 MTRPSAFSPDENQHPVEDVRSESVAKSSPRSLFSAVFGWSRKHR DNTHEAEDERRPLLGSDSPLSPSPSTSSPWWETTWDSAQELWLQGKGMILVMSAQFFG ASMNVMTQILERKGRNGEGFHPFQILFARMSITVIVSYLYMWYTKVPRPFGTRSVLHL LLLRAAGGFIGVYGLYYSVQYLPLSEATVLTFLAPILSCYACSLFIPNETFTHKQQLA GVVSLVGVVLIARPFSLFSNGNPPDSGEPGSGEQPDSEDEYHHIMAIVMALMGVMGAS CAYSTIRIIGQRCHPLVSVTYFSSFTTIVSTVAILVMPSIALELPGTPLEWTLLLGLG VCGFLLQFLLTAGLSYVPPPRKLSPSEQHRRYGSDGNDEPKEESRSSSGSRATSMIYM QMVFALFYDRVVWGSTLSLLSWMGSALILGSAIYVAVVREAPAAATREDENETN AFLA_088290 MRHFDAWLLRDPYSVFHYYPTGRRWQETVRDLIQERKICAPTVD IFSHASSTSSKPVDPYARPMASQSDSLFFRKLPAEIRLIIYNYAFGDEAIHLVQLKGK IRHVRCKHPSSSLDRNRRCCPVTPARWRVQENCTAGQGHSDSVLYPHTHASLPASLSN SSVALLRTCRAIYAEAADILYANAVFDVDDLHTFIAFSLIVCPQRLRSIKRLTVQWMP IWQPMAGQEHKSSIYSHTHNDHLWALFWSRIAALRGLGQLHLSLDLGRFSGNAMGRVI GGKRLRLAIDEPWVAPMLCVRGLKSFELGITARCDAYARRVMEQDLCRDAVALRDALR ERMCSPRGQIPIVPDPQMKRACGMEAEVERKMRPRLAITAA AFLA_088300 MSSPSSAGKRKRSASQHLPSNVTSADLLQPSSRDASGEDGDDST GPNTPLSVKYRKQAPIDVTSAGNVPPSKRARKSSAAGEQTNGPATEEPSALSKEDPGE PSETTVASSDIENQSKGRSGLQIKTADVEETEDMMQPPQRAGLQDPVGYHTNPPPVGR PVRVYADGVFDLFHVGHMRQLEQAKKAFPDVHLMVGVTGDEETHNRKGLTVLSGAERA ESVRHCKWVDEVIPNCPWLLTPEFLDEHQIDYVAHDDLPYGAAEGDDIYAPIKAQGKF LVTQRTEGVSTTGIITRIVRDYDQYISRQFKRGASRQELNVSWLKKNELEIKRHVAEI RDNIRNNWTTTGQELGRELRQLWQNSRPGSPAPSARNSVDMGSTRGNVVSPTTGAMKT HLSRVESVGRSESPIGNGRNEDFATGYSLGLIGGVRAWMRSRRSLLESRAPSPTSEEE HESEQERSNGHIEPFKSPANAATN AFLA_088310 MENDRARGFSVRSGRIILLGDGTELVPDQNDEELFDQTEEDRDL PSQVQRELPDSARNEREGTPGPQSKTDATSKSEEGSSASTSESTVTPAGSSTSGAPEK STS AFLA_088320 MQGWRISMEDAHAAVLDLHAKYTSPEETSTDPAKRLAFFGVYDG HGGDKVALFAGENVHKIVAKQDSFAKGDIEQALKDGFLATDRAILEDPKYEEEVSGCT AAVSVISKHKIWVANAGDSRSVLGVKGRAKPLSFDHKPQNEGEKARISAAGGFVDFGR VNGNLALSRAIGDFEFKKSPELAPEQQIVTAYPDVTVHELSDDDEFLVIACDGIWDCQ SSQAVVEFVRRGIAAKQELYRICENMMDNCLASNSETGGVGCDNMTMIIIGLLNGRTK EEWYNQIAERVAKGDGPCAPPEYGKSLEDSTASNPY AFLA_088330 MVSGQDLDSEISTPLLGTHPSGALVEYKHKRWTLFLLCTVIVTL DFGTFLSIAPQTQIMESIVCRKFHPGLFVDLPYGKFLSDNTPCKSVDVQGELALISGW KDTLDQIPGIILALPLGFLLDRIGRKPIAMLSMTGLLMEEIAIRIICLYSEAIPLRAI WFTPLFQLCGGGSQIASSVAFTIVTDIFPAEQRYGNVSPPPICNGYTNSCALSSANIF FVLFAAVLLAEILATPLSAWLMSWSPWLPFLLGWLCEGCGLLAAILIPETLPKSLNES ESESAEEERDCLVPPPSSSKRKGSLYSAGSHIMHLTAFIWGNVNTVAISIAFLAASVG GQALQLIIQYASKRYSWTMAKASFLISLKGMIDLVVLLLLLPTISHYLNRYLSPAVRD LRITQGSAAILAVGFGIMAVADHPVLFAFGVSILALGWGFYSTLRNVATTLVAESQIG TLNTTIALVQGIGSMIAGPLLASAFREGMTLGGTWMGLPYMMGAILFLLAGLAASSVR TPR AFLA_088340 MDAYPEDYVVHNLPFVLLSGLVPDSEDGLESCYTDYPLLHEKGV HIYSDLPPLSGSTAEELREILLAEDASRTPWEARENTIVGSAGTEYKIKSVGRSYRLP PRKADPPPVSPPMSPTGQGNDISHAPYFVLHSPISPLTPSSPIFSDGLLTPLWVTKHQ NLVPAAVINFFPFCLDPNMSSLRDNQLKIEINGLKKDWSSSGYKTRFIVVLLSEESNG AFTEDVDDRVTGIRRATNLDQKSIFLLPPDATPGELKEFGKSLLTLLQPSVMEYYRDL SKHARRKRNRSSIPPPTAPPTTGTSQTLSLQGWNVRYEFKMGIFAEFRQEIDAALRNY ESAYETLFGQEVFENIAGWSPRFNDARLLADTIAIRIIRCLLWTGQTAAAVRSWVEHR SRSQDIINRRGKGTRNYGWEAWEARWSMVMAQLIRQAEIPYFTSVGITQDQFNEQYSI FIPREKTVPPGDVSYPWEQLHHEGYWLYRSAKHTMCRRHLAEQIPDEDRIPPGQSPAS QIASKSYLYDTYLAPETHVEAPQSGVAGFNHSALILTALNAALDEFSKRDQVRKTECL RLEIAEEYMRLQSNHVAETDATTPCTISSPSLREPSTSSDTASLQSPANFLTPLIGTA DLTIGPCQTKVFNVACIPREAGESRVASITLLIEEEKFDLTCAMTDLTQRDCFWWKQT KNSVARRRVGKNRDTGRCKIKPKPPNIRITTPNLMETYYTDERIVLKVEIHNEEDEAA EVTAEARLFGRPESAAKVLWLDEEGSPWIQGSESSTPVEGLSHFIKRPLGTLETSSHR QLEIVLTDTRDASDYELEISSVYNLVSDTQTPIIATLRVKIPIIRPFEANYEFMPRLH PQPWPDFFTVDDALLGNDSTPKLGGLQQRWCLNSKVVSFALTPLVIEKISLVLVGVGG GATCHVGPEAIVSPGGPEIYLEELRESSFAVDIHKTVLGDRRPTALNLALEIQWRRSA TDESESAMSSNGTTISTLAIPRFVVPGGEPRVLASAMTSQIRSGLIHLDYTIENPSMH FLTFNLTMEASENFAFSGPKTLVVQLVPLSRHTVRYNLLASKRGLWIQPQLVVVDTYF NKTLRVLPTEDMRSDKKGPLIWVDADE AFLA_088350 MARAPPSPKSKATTTDASASPIRPTSRGSYTSSRATYEDNTFGM ITAEPGPRVSTAPSIASTSSRKSLKSQFGLLKKSSRDFTCDKDRHAERLRKTSSYNDS LRHNLPRSRTSVRSMHSIADVAENGRYSRSAKEAFREHGANSQNEEYLALQSARASAP STPGGIGSGRTIPVRESSLRHSFSSSSKHRRSTRHSRYSSTASKDTKVDSDAGNEAEH VTKRIRELKDQQQKIKNELEIDDSPDKASREPSTKHTQPPQKPSAVDNGDEQSDTGSN RINAFDESAPAPAVMTGRSRSTTRNNPQLALKAINCPTQSLAPRQSFDKPDQVEKLRY RRSVEQSTAPRHHKRSPSGPVSPGRASVVDERPSSADSIDLAVLDYVSAPRLTQKVTH PTTGRKIAFSEVGDPKGHVVLCCLGMGLTRYLMAFYDELARSLHLRLVTLDRPGVGES GPYVDEAGTPLSWPDDVAIVCNYIKVTKFSILAHSAGAIYALATALRIPQHIRGRIHL LAPWIPPSQLSSIGSQRAPVPTNAVPYSQRILRALPTSILKVANSSFMSATSASLTAS LPKSSRRTRRKATMKDSSNSALIEASGSPRYPSNRVYQQGADLQALQIKKLQIPNGTG VEGIDTATNQAVAEFEKRERQSDYDNRLTHKVWELATTNANPAVDLVVCLERRQPIGF RYVDITRNVVIHHGSRDTRVPVDNVRWLGQSMRRCEVRILEGEGHGLMASATVMGNVL MEIAKEWEDWMTVVQGKRRATIGTRSGIAVQA AFLA_088360 MAPAIPRNGDEETEEISIAGRTKMTVPKDRNNPERQVQGSGTDE RVEAKTELNSILKRSPIIIFSKSYCPHSARAKSILLDKYSIVPAPYVVELDQHSLGQP LQALLAENTGRRTVPNILVSGRSIGGGDDVVALDEKDELASTFKKLGGQWVHEVSRNE AGH AFLA_088370 MRYIHSEERLPIPENVKIHIRSRVVTVEGPRGKLVKDLSHIAVT FGRPEKDVISIELHHGARKGVATLRTVRTIINNLIIGVTKGFKYKMRYVYAHFPINVN IEKNAETGLYDVEIRNFLGEKYVRRITAQPGVEIITSPNVKDELQLSGNSLENVSQSA ADIQQICRVRNKDIRKFLDGLYVSERGNIVEE AFLA_088380 MESWVAICGLFAAVVNMILDVAQFWKERLLSWWRSKSPLSRLRY RLANAQTYEEWEEAAFELDELLSMDLWRQNPTSRHYDYRLILGRLEALMSAREDEDIL TLVNLLRSGLVRNLGNITSPRLSLHAYAGTKLLIDDYITQVALSIQHVTALHTATVSE GRFDSQAKLELLHDTRQAFGRTTLLLQGGSIFGLCHLGVVKALHLQGLLPRIITGTAT GALIAALVGVHTEDELLTFLNGDGIDLTAFDRQRKIRLDAGNNPWLPYTTGDSWLWTL LRRVQRYIRKGYFLDAEVLEECVRANLGDLTFEEAYARSKRILNITVATSDKGSTPNL LNYLTAPNVLIWSAAVASNASSNSLYQPVTIYCKDETGSIVPWGLSRHGSSQSGRRTE YTDAESPLTRIAELFNVNHFIVSQARPYLIPFLRSDVNLLDRRPTGQWSITRSLMRLV ATEIRHRLRQLDYVGLLPQALARLLIEETIPGPNLTLVPDLSLKDFTKLFQNPDKESL AQWILRGERGTWPAISALKVRCVIEIELDKGYQVVRRRRPAENPSSSVQHTMGQRPMP NEGIPRKWRGYSIDHDRDMTGLLGNLDHQQDDLNS AFLA_088390 MAEQTQLAFNGQSRDSNAQIDVICGPLINFKNMDVNPSSSIWRG SVLIVTKPGQKQPQLVLRQAGPVESNASVNGNLPNSQSIMIDGLRLFEDPSKAFWRFS ISLPLESYEACWEYSIPGFQYAEGQGVRSPWDFVVPSINQSMRLMFHSCNGFSVGTDM DAWIGPNLWNDVLRVHKQKPFHAMIGGGDQLYNDGIRVDGPLKEWTSISNPHKRRAHN FDNNLRARCDEYYYENYVRWYSTEPFKAANGRIPQINIWDDHDIIDGFGSYTDHFMRC SVFRGIGGVAFKYYCLFQHHVAPPRSTYTTDAPQTMHAVNGTAGADPRQLENTFVLED QAEDDSWIVGKRPGPYVEEKSRNLYMRLGKRVAFIGIDARTERTRHQVNYPDTYDLIF SRLENELTAANGDIKHLIVLLGVPIAYPRLAWLENILSSPIIAPIRLLNKRFGFAGGL FNQFDGQVDLLDDLDDHYTARQHKRERRLFIQRLQEFAKVHSVRVSILGGDVHLAAIG RFYSNPNLGLQSVNDPRYMVNVISSAITNKPPPKAVANLLARRNKLHHLDPNTDETLM DLFDQQPGGQEKSASWNKVTMPSRNYACITEVDTPFANEGYPTQQNDSTVTPLPRDGH SPLHKGETNAGASHSAADGFSNNSGMYGGLDVAIRVEIDPQNREGITEGYGFSSKCFL QSSAPQYGCY AFLA_088400 MFVYDGVDCRPVYYRELLEERAFEPCNVGEGPQFLFWNLPIADL GPCFNGRHYVLGFDYNNRALFARHFEWMPNKTDDLWCVWDLGFTMYSITIPDLIILLE SSLSEEVHVGMGILSSSPYCMAMGSCDDPGMEIVITILFCRWVLDFAEAIFEQNGYIM ERFKGRLARYMEESRIILARASYRAWLVSRGGYTKATSQRNSSIGKFTSDLFTFSKSV EDGSLKTQSWRAPGLDTCNMLRHQDRVQRKAETEQRLESLFTISEVDTPPESPGEILG GMIARSKESLAAFCPDRTPRIPPRSKYGSVPLHRRGSMSPETVKVEDEAYNGLKETEY HKTPLSLFS AFLA_088410 MSNVFCIAWRIPCSGPRSLSLLPTTAASLRSTCRVSSPWCLGTI SARHLGPLNLLYPTTPYQYCPRTTSEQLPSSIRSFTSSRCLRTKSDSKVENGVQLRPQ PFTTAEINAIFGSRAKITPQMGNRILAVLQGRRLDGTLDLDLPSDITRSVRPSSLDAA MKWLRKNYPLDEDAAIIARIEREELQEEEKHVRRAEELGLYKPQSGSYGAELGESNDL YGKSVLKETRKRNEARLLAEQEKKRQEWLDGEQKEREKLEHMRQKNTTLQRFEDTAAL EVRERADPNQRPLLAWIQKHHLRATDWDLDVSKLTNGGRILRILSITLVTFGLCYVFS NNYQAPAKADRLWPDVPPAAATVMAIIGTNVGVFLLWKLCPPAWRLLNRHFITVAAYP RPLSLIGNVFSHQTVNHLALNMVVLWFVGTRLHDEIGRGNFLALYLASGVFGSFTSLT VNILKGNLGLTALGASGAISALVAAWCMLHAEYVLLLVVQSA AFLA_088420 MSAESLPITLAAFAEAIKELPLSSVYAKASELSNSIAHLRRSNQ ELRAFMHESCDTEAEQRELEMYITENEEVIRSMHERNLLLKSEIEGRGQQWIEMWDTA PDARADENQQPSASEPATNGAAAEQPNGAQQELRSTRSLPDTQNGHEQDGVFL AFLA_088430 MNNGSPAQSPVPQVPQAGPVPNSPSIASNMPLDGPAAYDPDAPK YFFQEKYAPLNVKGNFLTLCACPKNVELGEWLAHQIVEQYRLLHGMLQVIQEVNGVTG VPICNETTCPTMSAGRLTYTWLVDGRAAKISAPKFINRVEKWIISKIHDPVMFPTDKV NGLPDTFALHEISGTSPSSPSAITPSEEWIGKSSGFPQTFYKDCQGIMKQMFRCYAHL YHAHWLHPFWHINKHDILNMCFVHFVTVAKYYKLVSDKEMEPMQPLIDLFIKQQRIPP EALSGGHWGQPNSS AFLA_088440 MSSSDEDIVRRPGRSSGSGQPASPSGSEHSNPATARIGSPVGAD PDILNDDDDADLFGSDGSEGGSGNYNDQPQRNLDDEELDSGDDEDRYDRVEDRMDYED GGEGQYQETVNIMDLSLGRAPEPVTSNGEIYTMPIPNFLSIETEEFNPETYVAPPYST AATSLCWRHDPNNDALIQSNARIIRWEDGSMTLQLASAPKEQYRITTKPLAPLNKSGD YETKLDSHVYLGAAAETSSVFRLTSHLTHGLTVHPTTVETDDAVQRLQESLAAAVRGT KKTVDGSAPVIEVKEDPELAKRQAELAEREKLKAARRRQQLADRELDRGRRVGVPHRS GGAGLTIGGLEDDDGLLTTRPRAKKSRRPNRRGEIYTDDEEEYDRRGRTREDEYDEDD GFLVGSDEEPEEVEDDEEEDILEDDNMDAEGEDEDELPAARPRETKGRETEDGSGTAG TPPTRKKNRYIVDDDDEE AFLA_088450 MQCDWGQYHTAAVSASGKPDFTSSPVPSLKRHAACDECTMQNVM FTGTLLNIVADAWLRVSKTNAEELGKQAAPPAYVAALNKNSADPTAAWKDWLRQIVRS GVIGGPADPAGSVACSDSPSLLSLVQEMEARQRQWHLERHPEARPDNSDAGSKRPAVI GPDPRDEQGWLCFRVAKSAREVISRFEFEPHEYPDGVIS AFLA_088460 MMDASKSSKKRKAVTRDVEEEAGVFSGDELQVDVLDGALSDNAN DLSSDEDVSDSEIELVDDFSDEEDGDEEEELDSDEIPSDGGESFKKASGTGNARDESS SDEEQLNYRIEKDANGNDRYVYDEINPDDNSDYSDVEENANTIGNIPLSFYDQYPHIG YDINGKKIMRPATGEALDALLDSIEIPKGWTGLTDPSTGKPLELSQDELELLRKVQMN EIPEEGYNPYEPTVEWFSSKQEIMPLSAAPEPKRRFVPSKHEAKRVMKIVKAIREGRI LPYKPPTEEEDKEQDVVNYDLWADETERPDHPMHIPAPKLPPPGYEESYHPPPEYLPD KKERKAWEEADPEDREREYLPNDFGSLRKVPGYESFVKEKFERCLDLYLAPRVRRSKL NIDPESLLPKLPSPEELKPFPTACATVFRGHKGRVRTLAVDPSGLWLATGGDDGTARV WELLTGRQLWSVKLSEEDPVNVVRWRPGKDAVILAAAAGDDIFLAVPPIADPKIEKTS LEIIDAGWGYAASKPPPSAAEENKKNVPPQWIRPSLSLAESGVCAVIPLRYVVKSLSW HRRGDYFVTVCPGSSTPASVAISIHTLSKHLTQFPFRRRIKGGGPPQTAHFHPSKPIL FVANQRTIRAYDLSRQLLVKIIQPGARWISSFDIHPTSSTASGGDNLIVGSYDRRLLW HDLELSQRPYKTLRYHRKAIRSVRFHPSGRYPLFADASDDGSLQIFHGSVTGDMLSNA SIVPLKVLKGHKITGELGVLDVDWHPREAWCVSAGADGTCRLWM AFLA_088470 MRRGGRPSLRQGLDREVYQVVHKIIEDQAENDKIRLSVATIYDS IKKSNSSLNRKPKRILEDSIERVVEVIKTDLLGEDDDESVNGDFEGLEEQQLPPATES NSLNKSIVGMWNNTSSTPSKAPETNGAGAATPAPKSSKRRQHGGDSHSSKRRKAESAI DRSPPTHVSLTDLGGLDDVVQELGDLVILPMTRPQVYMSSNVQPPRGVLLHGPPGCGK TMIANAFAAELGVPFISISAPSIVSGMSGESEKALREYFEEAKRIAPCLIFIDEIDAI TPKRESAQREMEKRIVAQLLTCMDDLALEKTDGKPVIVLAATNRPDSLDAALRRGGRF DKEINMTVPSEPVREQILRALTRKMRLADDIDFKYLANRTAGFVGADLNDLVSTAGSA AIKRYLEILKANSGEEMDIEEAGDLSPKVKELRRLITHAKETPIGEEVQVVLVSNADF LNALPKIQPSSKREGFATIPDTTWEDVGALGGIREELTTAIVEPIKNPNLYASVGITA PTGVLLWGPPGCGKTLLAKAVANESHANFISVKGPELLNKYVGESERAVRQVFVRARS SVPCVIFFDELDALVPRRDDTVSEASARVVNTLLTELDGLGSNRQGIYIIAATNRPDI IDPAMLRPGRLETLLFVNLPSPLERAEILRTLVRNIPVDFNDDLRRLAEDCEGFSGAD LGSLLRRAGYAAIKRRDTIKHEDFVAAKAFIRPSVTDLKKYEKLRRDWSGGVI AFLA_088480 MCRPRLIALGRQARTLSVQYVLTMGNTNSSHKISAQDRAILDLK IQRDKLRQYRKRITDLTDRETEIAKECLARDDRKRALLALRRKKYQESLLIKSEKELD QLEQLINQVEFSLVQKDVLFGLQQGTQVLQAINKEMGGIEGVEKLMGETEEARAYQEE ISQMLSGNLSNQDEDEVEDELAALQRETQRLQNLPHAPKSKLPERSNEGESQEIQYQG GKAKAQPAIPA AFLA_088490 MSGHHHHDHGSHCHGDDGHDHSNDITPALQSLLYSQIQFDSIVT LNETIPNAGAAIVRKTWAERLNDQPELESDADEQLLMYIPFTGQVKVHSLLIYTAPTP AAPKTLKLFKNRDDLDFATASELKPTQSVEIPQPVPGADVYDLPLNRAQWNATTSITL FFEGNWSDGNEDVTKVGYVGFKGQFMALNREPISFLYEAAANPSDHVAIQGVTGVGGR IMPGQ AFLA_088500 MASELDLTSTFIPSLYKPPALLPIARYRQNLLYLVDTYPVTIVV GQTGSGKTTQLPQYLDQAGWCADGKSIAVTQPRRVAATTVAARVAEEMHCSVGEEVGY SIRFEDLTSASTRIKFLTDGMLLREALVDPLLSRYSVIMVDEAHERSLSTDILLGILK KILKRRPELRIVISSATLQAEDILHFFAGDQFQNETDSVEKGGDVGKIISLEGRMYPV DILFLNSPAENYVERAVKTVFDIHLQEAEGDILVFLTGREEIDLAVQLISERTAMLHP KAQALAPLPLYSGLPSDQQMYVFEPMPENTRKVIVSTNIAEASVTIDNISYVVDCGFA KLRAFDPSTGIETLTAVPISKAAAVQRAGRAGRTRPGKCFRLYTQQAYEQLLEATVPE IQRSNLAPVIMQLKALGIDNIVRFDFLTPPPAELVIRAFELLYSLGVVDDYAKLTKPL GMRMAELAVDPMMAKVLLSAESLNCLSEILSIAAMVSLQGSVWVQHEGDKKAAENSRR RFAVEEGDHLTYLNVYQAFITIGKKDPKWCRDNLLNYRSLQRAMSIRAQLKRYLERFG IRVDETPSLHHKADFRRYPENIQRCLTTGYFAHAAKMQSNGTFKSATGGLTLHAHPSS LMFNRKADWVIFHEILQTGEKTFIRDITKIEKGYLLEYAPNYYTVR AFLA_088510 MPESGELDYNVIEDSQDIVYKHEKKRAAEPDMQKATCDTTSSSS LEYGLDYPAYWKLSHSWLASMLSDVAPRDGHPSLI AFLA_088520 MAEEDKSNTNKITSGPYSPKPESPTTARPLDFDDEPQESGITSV SAAVAQQHATDVAPQKPPRPLSPQQQSETTLKEAFPTIEVSVIKAVLVASNWDVERAF HALLGMTDPSAAEQDVPPPKPPRPSATQRQLEADELYARQLAEHYNRRGPQSRLEGGH PYDRPRRDSELLEDREYSFFEDDLPVIRENIRKGFLETQTKVNSWVQNLKKRLDGEDQ DSAPSSQRYRNESDAQTRRSGELGRRSGDRERYDADPQVLSDDFSALEMRDTEAPPPR PPRPLANPNLYKASSPSPDRRKVSFQEGPPTEIGGSLDDASEPSRHSPAGGKPSKWQP LSTVEPSPVGDHDPFSLGDSEDEKDTRPKDQTPADGGDRIKTATAEAIAGELPSASKA NQKADGEKS AFLA_088530 MALIWLIILAFTWIIDAKLVSNYPINSQLPPVARVAQQYQFVFS QGTFGGSDSSTKYSLSNAPSWLRVESKSRTLFGTPGGEDEGTVRFDLVASDELESASM EVTLIVTEDDGPRPGKQLLPQLEAIAATSAPSTILIHSGDAFDISFDHDTFTNTRPST VYYGTSPDNAPLPSWVGFDQSNLRFSGVAPNSGPQTFAFSLVASDVAGFSAAAMKFDM TVSPHILSFNQSAQTFFVSRGEQFTSPRFKEALTLDGREPADADLTDIQTDSPSWLEF DNTTISFTGTPPIDAADENITISVTDKYQDTARLIVSLQYSQFFHDIAECDAVIGSYF YFVFDDTVLIDDSVQLEVDLGNQLPWLQYNSDNKTLHGLVPADFSPYKYTVKLTAHEG TAEDTKKFTINILDEGKPSDQGTDKSSGSSKGIHGKKVGIIAISVVIPFAFLTSLLLL FCCWRRKRRASTHEEEQLSKEKVPYSNGSELPNCQPSEETTQCTLPKLARDPPEQYSN PPKLELGPLWDTGPLDDSEQGRPIEGRKNTLSASTIDWDIAPLKVPEPEKEKQIDDIA IPPKRLSFQNSPPIHRRATTNSRKREPLKPIQPRRSLKRNSVHSSKSKRYSKRSSGIS SVSSGLPVRLSGAGHGAGGFGPPGHGVVRVSWQNTHASFQSDESGVGNLAPLFPRPPP RARGSVELPKRMSLRTIEPDTLTISEADSLEAFVHSRAKNRNSSNPMFSGQFGRRTSS GLRALERARSTASRTDTINSSSYYDDYRRSVHERPWSTAMSASIYTDDNRQSTYLESL SEESLNVRPLASMTRELSQSSLAQNYSEAIAPLPRLLSELSLASARHAEPGGVNHGLG GPQHTDESQIHEPGW AFLA_088540 MAAQAALIADTIVGMKRALRKENDFSGPDDPIAQPTNRGNKLRT NAKHVREGALGYIQPEDLYKQKIDHAGYTRYILQPNPVRYDSEGDELDEDDEDSEADA AAAEENPFSEIALENFLCPLKHPSELPTHPSLSHAYTSSALSNMTKAIESKLRQERAL LWRARNLHRLFLGDSSWMPCGTVETPEDRWIFEPRLVTPGHKSPIGPNGQNDVPSLST TIEMTGPSHEVHNCVPVVRTSKEAQAVESKDIQSSHTAEQDIEMAGIHNHGRESGSPT NYNEQTREPKSEEVETPVGNLPQHLDIVEANGSDAVNSHLERGQLSDTDGLQGDRKGI AGDRTDSGNEKVDTTHEGPGNVHEDETDKDEEMQDGFSPEPPRRMTTRAQANAANPQE DESDHFPPSPSSDTLSSLPIPHPLFLVPDSIRPDPNLGLSPMEAEDTRRLLWSYVQKQ EETVRGFEHMLECLLRACRMKDDVLEWCKAEGHVGEMSDGEDWYDRERWGLVEGEDLK KGADEDEIEMVEESRASNKRGRGRRA AFLA_088550 MSTLSDFLDLSQSSLKWAALSIAFNPIFWNIVARAEYRKHYLTR IFGNPYYGCYFLAIAIFTLGIVRDHVYQLALEGQPYYAPVHQPILGGVLFAVGSVLVL SSMYALGVTGTYLGDYFGILMDAPVTGFPFNVTGSPMYWGSTLNFLGVALYKGKVAGI LLTAEVFILYWFALQWEDPFTAEIYAKRERERAKAKQGGKSQ AFLA_088560 MALKCRDIVILGTGVFIAWGLAVRCLPVLRYLGYAVGLGILLAS VAFFGLVLFTTRSPNDADNSRSQCLPVAFLTPPNWQVETQGLKKRSIYNPVSLYPQSF MVSEGIDELLALVTRDFIASWYLGISPNPAFVTEVDRVFRAAIGNLRDRLLAEDLISL IVSRIFPILTTHLKEFDVAERSVRGRNLTRNVTESDELDIAIASKYRDGHLHPAAVLC LSDQKLVEQEYLRKVTVGLLPRLFPETVLSSRIVSVLVRELLSCAVLFPILSVLSDPD TWNQLVEAYGRTALQDRKTVRKLRAALDEHASPVPRSKHGNPFPRLSPTDSERAFERF VRAIRHCNNLSDARRFRALVASQLKRESMVEGQDPVYLRRLETGKRVLDQKVAKLSAP ASSRASHATAATTHFRPLKSSPAQEASLVDVMHDASGLSYFMEFMDRQQLMFLVQFWI VVDGLRNPLEDDFGDETSPSSTTWTETDKNDMALISETYLSKPQLKVTAESRRAVKTF LSAGKRATPEQYRKARTVILTTQSAVLEELQNVYYPKFRQSDLFYKYLASDETSHFEP HTAAVPHGDVTPAAEVFERRPLPPLMGRTPSQPGFKSKDLRRAAVSSSDVRTMGKLFD DDDTPRRSLDSERSAPLFDDDYDTDPLAMSTQSLGRDSQNGENDANQNQVIETMEAAL NDIITNEPKDDKTKPNISSLGLVDESSRLGVFADDLFPDHHKFIEDEYEEPAEIEDKD PADEVHEAPPGDLGLTEAIEALTAEIEKLGSQESVVDALTRKAELTNNTAELRILRKS KASIQREVRRKDMQRQQYMIQESDNSLYGRSTVRITSIVVGKEEDGREFAMYVVEVQR NAGEQMPAASWVVARRYSEFHELHHKLRMRYPSVRHLEFPRRRMVMKLQREFLQKRRL ALEAYLQKLLLLPEVCRSRDLRAFLSQRAIIPRDEAPRDGETKDLVTRIYNSVADGMD DFLGNFGVLDQLSTAGQNLISAATSQQASTITDSGLATEDAVTAAEAEAELNAFEDRE LEPFIKPICDLFLEAFELNRGNNWLRGRAVVVVLHQLLGGTIERKVREGARSLAQDES LLRYISVVKESLWPGGLLRENRIRTTSERLKSRTEASLVLATLIPDMAGNVVGRTNAQ GAARRIFATLNNRRLNTHLMYTILDEIVLVLFGGGETGRMR AFLA_088570 MSAELTKVDSAIAGLSITPKGEKEADKTAKKTHRRHSSQSEGVW NIKDLEEKKIELTLPIETQKTGWKLNTSPSSIEDKDILKLHLVNPPVKKIDLHFPLGL EVTARNLKGVTIKDALDAIHKQFKKKADDELDNPYLAGFEWDKEECWTRLIVHQTKNT TVPQTSSKKSKKKKEEA AFLA_088580 MYPGEPDHTTRADVESSRGGPLRLHPAFPRAPLAPSPYTEEVDL DCWSGVVVVVVYPPIIDDIHSVLDPVQIWIYPLMECVTRKLCQWLQTESHVIPQGGAM SIVSLSVSLFY AFLA_088590 MSLFGSVGTTSTTAGQTNTTGDISKDVALNSPPEDSISDLQFSP ASEHLAVASWDKKVRIYEINDQGQSEGKALFEHEAPVLSCCWAPDGTKVVGAGADKAA RMLDLAANATTPVQVAAHDAPIKCCQMIPNPAGGTPLLVTGSWDKTVKYWDLRQSTPI ATVECQERVYTMDVKNKLLVVGTADRYINIINLDNPTKFYKTMQSPLKWQTRVVSCFT DATGFAVGSIEGRCAIQYVEDKDSSSNFSFKCHRETPPNQRDINNIYSVNAISFHPVH GTFSTAGSDGTFHFWDKDAKHRLKGYPSVGGTISSTAFNRNGNIFAYSVSYDWSKGYS ANTQQLPNKVMLHPVAQEEVKPRPGTRKR AFLA_088600 MENNLLEGLLDLEEEFYREGYDLGAADGAQAGYTEGSVFAVEKG FEKFLEIGRLYGKALVWSHRQAELDSSNMRDPPQMSQLPSEKDEDYLEPSVCREMSSV PPSSRLAKNVDTLLELVDPASLPMQNTEEAVIDVDERLKGALIKAKLIQRALGEREDA CDIHPNARDIPQQKSSGDGTGSIEDISSLKLRQ AFLA_088610 MAWLQILGLVLSGLTHAQTGVTSPIAEACGPSMVCINRYANVLP YHFFRNVSTMDDISTFGDTTVASGTLLEGVNSANFLVYDRERGLEILGANPSYKFMFA VSEAVHEAPVYIASQNKLYLSQLAPPPGYLPQLVVDLNEDPPTLSEYLSDPPVYAPNG GTFHDGKIIWGASGGNRSIGGSEQRISLRTLDPETNKSVTLINNYFGYYFNTIDDLAV HPKTGDIWFTDPQYSWFNALTDTPPQLPSASYRYNASSGAVFVIDDSIGQPNGIAFSP GGSVVYITDTAAVSAPVDPQYGHPGSIFNATQRRTVYAFDVSEDGTSAYNKRPIYMAS GFVPDGLKVAANGYIVAGVGRGVDVLDPSGQLLLTIQTNYTVQNFAWTGPELKTLWLM GNGGISKVEWQLKGQELR AFLA_088620 MLRFRQLFRMADETIAKKIKTSSPLIGTHSGHFHADEALAVYLL RQLPTYSASPLIRTRDPVQLATCHTVVDVGGEYDPANNRYDHHQRSFSTTFPNHTTKL SSAGLVYMHFGRAIIAEHTSLPVDHHDVNLLYEKLYTDFIEAIDANDNGISAYDPAEI SAANLEKRFKDGAITITSVVGDMNNPDPTCPPGEPQDEDSLFGRASTFIGNVFTRKLH HASTSWLPARTTVGNSYRSRRDVHPSGRIIVLPQGGVPWKEHLYNFEKEASESDKPKS EEEVYYVLYPESATEGSKWRVQCVSVNETSFVSRKPLPETWRGVRDADLDGVMAAEAE KTGKPKIPEGAVFVHASGFIGGHKTKEGAFSMAVRGLEQ AFLA_088630 MWLFRVLSSAFFLSVTVSSIPLAFDVGGKTCGLAFSLSLATFYF LFSLLKLTTPERSWLRASLITIIRSTQWIIIPVLLIWSLNRFSIDADNTTGWAERTFT GKRAQNTSVQAWLFGPGGLVESVTIGNWDNLLRWSTPVFQLVEGFCSLLVIQAAGQIT RWLVNRGGRSDSWMIGLLVFSGSAISSAVYFLWRVLQFPGISNVDAALIGVSITCAVI LCAWGIGSGRGNPVESSLLFAYIVLCIYQIFTDYQPSYPVEQAPSPAQAGDFPPLPPI FMASYTTLLHALSLLPSIIHAAFNVISAVFGAVTPSVLISLAYRICVLYASTRIIPAV RESGARALSQEASLDDSDAAGQFLGLLSYFSPSILIAVYTSLLMQHFASTSQAMGGNG EWWSSQRGGGGNLWRWVNLACTMALYAVELWLGENDDLDSGLASHWKTD AFLA_088640 MNDERKMGTNKRKGQGEDSKACGLLQVNNAHGQNEADSTPVWRA SSRGTTAVRTQKVLSSGTPTGSNAGEYW AFLA_088650 MNPHQQNKVDTASLSPEEQRLLRLYGKMPTKKDLLQNKLKERKY FDSGDYALSKAGKASDVGVTNIGSQHPVPENIPHLTATSPGANNPAAASNGGSTNSQG QQIPGSISGHPGSIGFQSRSPVKEGSYLQRGTSADESEGNTAALKDAKEPSVSPPPAR SGVPIRR AFLA_088660 MTDQNPSTKQVTIVVEHLDPELGAWSALEYGCIARESHKAGSKF LLSSVPTTLQMPEDLAATEGLKVEHRSIEEIFADRKSKICLLDPAAQAELSPADGEIF EVFLFGGILGDDPPRDRTSELRKKGYVGRRLGPKQMTTDTAVRVTRMVVHEKVPLEKI QYVDYPEILINEHERTEMPFRYVRGDDGQPIMPQGMVDLIKEGADQGIGDLF AFLA_088670 MASVIARLVGSTIDHGQVSCGLLCSLVARRPRWTLAEDACWVDP QNNGNLFFWHYQNRHIANRQRTVIWLNGGPGCSSMDGALMEVGPYRLKDNLTLEYNEG SWDEFANLLFVDQPVGTGFSYVNTDSYLHELDEMSAHFIIFLDKFFELFPEYEGDDIY LAGESYAGQHIPYIAKAILDRNKNAVSPWNLRGLLIGNGWISPADQYPSYLTFAYEEG LIKEDSRTAKSLEVLQSVCQSKLETGGKDRIHIGDCETVLQELLSKTLDSDNKCYNMY DIRLRDTVPSCGMNWPQDLKDVKPYLRRADVVKALNINPEKKSGWEECSGAVSSSFLP QKSVPAVQLLPSLLESGISVLLFSGDKDLICNHVGTEQLINNMKWGGGVGFETSPGVW APRHDWTFEGEPAGIYQHARNLTYVLLYNSSHMAPYDLPRQTRDMLDRFMKVDIASIG GSPADSRIDGEKLPQTSVGGHPNSTAAEEQEKERMKQAEWKAYAKSGEAVLVVVIIGV SVWGFFIWRSRQRHRRYQGLYHEDVSGASVLERFHNKRSGQDVEAGDFDESELDDLHS PDMAREHYTVGEDSDEDDVNRQHQRTTINPS AFLA_088680 MTENPTASLTLLSDLTPPSLERTWLTAPHPSLPIVATCSSDKTV RVYSLTNFRLLSTISGGHKRSVRTCAWKPHVQGESVLATGSFDATVGIWRRWDSYGRA EDGTDVRGLSSETLDGNGRDTQEYEDDEEWRFAVLLDGHDSEVKSVSWSPSGMLLATC SRDKSIWIWEDLDDGDNNFETVAVMQEHEGDVKCVAWHPVEECLASASYDNTIRLWRE DIDDWGQVACIKGHTGTVWYLDWEGIGNVPSTAAVCEQGTSLSAEWKDQRAMSGPRLA SCSDDRTVRIWKRRPKEQRAQSQVGSTGIPSIIRPTGTDETWEEDVLLPQIHELSIYA VAWSKRTGLLASVGADGRIVVYEELFLTSPARTPDTNTPTDTSAIIPRTHTEWVAIAI LEGAHGIYEVNHVAWARRADRCRKENEEVLITTADDGSIKVWTLRR AFLA_088690 MIRRNSDIEVQLPGAAGTADSVNEADIEMLSGEQAPPLSLPTRL ATRFSRKPSVARRSSAASSRRSSISSLHSHHSSASSHGASPTDNIAQHLRRTSILESR KARLADRALHAEKVRLRAALSKAASRNLQREERALAAQQARERLLAEITAKCEEEVRR AKKKAEDNRERKAAEHARLRLEIAEKFAEVEKRRVIYQQSHRRYRTSSLLTSEEKKMA KVVSSSLTQDAAARTIQRIWRTYHTRRVMQEFRTLDLTANRIRHMDFEDVGALLSENT VLTLTARVLQLCGLQDMESGTMGGRGAVRTFLSSYLIVTHPAEVLSSNGEQEQDLIAK ARELIQAFEQAMPLLLSGYRSTPANSTELQTVCEAYNVFFSAFHAWKTHDSSVLIEIM LAQFVELELIWQTVKNDRAGGVADDYRQGIRQNQILLLARLKRLAGSERAMQMVRDAL KKAKRETKRIASRQAIPRSAEVAPSSTETHTESATSPISDTFNNVESTVLRELERQRI SPHERFTKILTALPENRALVHELLINKEFKIEETQYTEPRKQLMNHMCDMMRRDVDAG LGTSWTVAMATVIQDRLLRSLRPGNSLHVLISEVLDPKLVENQCNVGAFSYASFFDFM NTILPKLCAPYRDPIVKAFTEDTSGDAIDRLARLMGIIDLLSLDHTNFMIQLAAPQLI QEAPGYEQRTFEKGLRDGSLSLKKARLFWRTHHKILADEIRKRDPENINGGPQPSTSK IYAQGLVDLVLSNAPVSDDLVPETLELDRQRLERLHAQAFKIVATASILLAAKNLLKR DVRSQWKAEADRIMSLHFGDIEPSRVQSILESTHPMPSNASAQLAATIRRVLAPAAKA CAAISTSVATRTTVEIYTDSPIRQIAVAQNSVNSDRSEAGTASFADPVARLILTRLRA HILSRLSASSAAERIRTTTTASQCLAGAGMPEFVNEVGKLTEELEKVREVDWLCHDMV YERILGEGISTDPRP AFLA_088700 MSGLITSLLIEPVVRQARRLSQQTEESSPPVTQASSIYDRYSSD GGEEDCDNIARGVMSYSEQEIRPNIGAYGEYVPSEDSPSSVMLWSTGFNDQNHETEIF SLSHRARQITDNDVSEVSDNHAVIMNGSDLTEPQQATSAAISTHDVSQQTSSTLRRTQ RLSHSHLDLVDTGGKFSLPEDDGMGALRKKIHAIRDLNHSSMEQARMIHELMTENYNA FRSNLDNQTITRTPPLFRPRSSDRSVAPNNCWGSQSFDQQSLTPSSLASIAHQENQYC LTAEDLKPTFYPRDELQLPPEDIDDTDAEEFEEACLGCRHYKRNVKLQCYACKKWYTC RFCHDEVEDHHLDRPKTENMLCMLCGHAQPATQFCRQCGEQSSQYYCNICKLWDNDTS KSIYHCNDCGICRIGQGLGKDFFHCKTCCVCLPISIENTHRCIERSTQCDCPICGDYM FTSPETVVFMRCGHSIHQKCLSEYSKNSYRCPICSKTITNMESTFRNLDRTIQSQPMP AEFNDTKALIYCNDCGAKSVVRYHWLGLRCDMCESYNTAQIRLMNGDIPDSVEDDYAR EGFIASRTRSSSQGTGNIALPVLATSILDPNSGNDIDSNARYNAPVSAESSGRFSYSI SRGRAVSPVISNYFGIPPDRGSERPKSTSFFNSRALQENEDENSGEIRFWGAKFKYRY GFLSRGTESVDGTSEANDEEDGDEGNSDDDERSWCSRSDDDNDDEDDDDEEKIDIFGH R AFLA_088710 MARVFRRPASALARRASSRAAQRAARKHKVIMESVTQEKKKLRS VISFEAKAPPGYTFIPAGNPQLTSACKEICRKDGLKVFAVTTTPHMHTHNLSQHVHRI GYHFPSAIVATVCMDLGLYLTPTGKAMPFQSIGYTQNRKRTNSECSQTTINTEARDVL KDLFPNIPDNDLNQIIKTAFQKVCEAFLHQLKGQRKVGTAVELPLARRAQLAVVAHIR HVYTDYDRLLKTTSFHEARSIVEEPTLAKLVEWRGDDENGKTVLEDVFREVIVISDDE DSDTEGDMPQTMDRDYSVEIFSSHPRAEDLQMKPVNYANSTYREHHLETSDEEAPPGF HFIRIAPKKSKIDRRGFSRYQAWDRAIHRYRNAANGTDQRKLYASSPNQGRHVYAAQQ PWQDNYGVNRDLAPVQAVLHRQISAAPYGNAITGPHISAIDPVVERRVSSQGALVVHL T AFLA_088720 MSEHKYIFTVTMSCGGCSGAVERVLKKLPGVKSYDVSLDSQTAT VVTEPSVSYETVLVTIQKTGKTVTAGEADGQTMAV AFLA_088730 MDEHSASALQYGHLGKATYHQETQNWEFSRTLASPPRITFAGAT KTTITSPLTAPQSSQIENKSLVPKVYPELAACWPLVNNETLSHTITTTSEICDPLVSS LLDLGYAVDLENDESGSRTVPIAVVASGECGNAISLYKLDEDCVDLRLGTTVRMRVPS IEETGSAEWSARGAPVRQICFARTVEEKPTWMAARFPHSTWVFRPLHHRRPVPAHICL DSDHMLLGRSRNSHLDANPLVEISNSQTGGSAHAAVTFNPWYQKQIGIVDERGNWSIW EISGRHRQNKGNWTAARVKYGALPWLDLGDSHDIDGYPRHDGWAAIEWVGDVNSFIVS DRRCPMLYRMEDGQACPYSIELGLKKKSEWILDVKRSSCNVSNIFILTTSRVFWFDVN TDLAVAAKDGTRPSLFPRLSWRHFRDPEDTTLRVAHLSIYEDLYLALYSRLSHVVLVF HCPAVSTGHDDIEPMPDPFVLDIPLESEYDVESQPSMAQFSSLVFKNIMHLPSTTGRD DYDPGLKLIKLFVLDSRLSIHESIYAGPSDTGASDRQDLEKDIIRLKRRYQTTRQGNL QSSRSYGDFIVDDYDESVAGPGTPTFPDTGISNITPLAISQWSIDFSQVYEVAIGRLV VSPGGDPSQRHNKGFQESLEELKRKIPACPESQATSQTLLEILGRYSPLDDVDQNAQD VEDLLSALLTDNACTHKHHQQLIVQLPGHLSWRSTKPVQSTEPSRSGLIEIYDQSVND WLTLSHNIPVRARITKEKIIRDVAADFALARVAARRINYESNGTNPQTTNQKSAPSSK SEFTFKFRSGKQTLVPSEIPGESDWSSFATEGHGTSDVKPKFLDSTLASFTDFDSERK RFVSPDVANILQHWLPETDPATYDWQRTIQALELEESQWGTNDTTPKRKLKKKKVDSF TPRPATSNSQVMEDDLPMTQVERGAFGGREAGRKSTAKAKKKKRPAGF AFLA_088740 MASSFEPSLSTSGMRPPLTSADAPSMADSLPSINFGFEDLRNRM AQFTARFDAFIEKGRKQILEERNQFKIGLAELQEDQRMKQRDIEILNLKSQTHDQTIQ KEAAEAAEMHGTIASVTMERDSRLAKRDRLKQQINETQKAINQKLEAQKAHARHLDAQ ARLNIPELEFWQDYLCLRIEGAGREDRLKFIFSHLLEKDWEAEAWFELGTSSRDYDVF HTRPKVDRDALNGELDILNEDRDFGAFLKRMRRLLVEKMQQKRPTI AFLA_088750 MALRSLSFTPTSQILPRIFMASEAISFVGGRWSRIWQTSGQTSL GFSRTLLKPAALSLNIPELLSDVWDSVLRAVPKKKTSHMKKRHRQMAGKALKDVKSLN TCPGCGQIKRAHVLCPHCVETHPTDIFSLAVTNKQILSASGVSALKVHSTADPDFPLV QSIDEAHKLGCHHVVTDGNGSRSVSVGFGGEIRVWSCHDGNWSENKTVSAGNAGSTGV WAIALSCDGQYLAGVSQDGHIRVWDLDANGEQIRYYETKGSFGTCIDLSADGRFIASG HENGSVYIFSTETGRMPFSLSGLVKPVRAVAFSPGGKFLAAAGDSNVIVLYDTSSGEQ VANLPGHSAWVLSLSWSHTGEYLLSGSFDGKVKVWSIDTRTCVATHSETERAVWNVKW LPKIGKSEGFATAGASRSISFYREATGG AFLA_088760 MATTATSTSTTPATVFPRSHVGFDSITSQIERKLLKRGFQFNVM CVGQTGLGKSTLINTIFASHLIDSKGRLTPNEPVRSTTEIQTVSHIIEENGVRLRLNI VDTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRDRYIQDTRIHCCLFFIQPS GHALKPMTSSFKEAI AFLA_088770 MQGFSKSRITLHIYSGRFQLFTRRSVLTMSTTAGSLQKFRPVVV SGPSGAGKSTLLKRLFADYPDTFGFSVSHTTRAPRPGEQHGREYYFTTKADFLDLVSK NGFIEHAQFGGNHYGTSVQAVKDIAKKGRICILDIEMEGVKQVKRTDLNARFMFLAPP SVEELERRLRGRGTESEESLSKRLAQAKNELEYAKEPGAHNKIVVNDDLEKAYTELRD WIVDDGRFGAEQ AFLA_088780 MHEDGNPKTKRKNKGSAKNGEGNDKNIEAKQDTSAKLWEEGSSD LLISSVVPNSTEETVTEKQKARMSEDGSVSNDGIKNDSSNQQQAVSRYGEKEDICSMA KSPPDALQSKERFDALVRDRDSLRAEVTDMRRSLEEIQSKHRADMEALQHKLNDAEGK KEHAESQFQKLLERVNTIKSQLGERLKEDAEELAQARLKIGELEEQNAALKDNFQGKC SELAELSEANEHKSKEILTLRDRTNLSQQNWLKEKEELFEQQSYLQSEFEQAKEAMHN WEVLAMEERSIRETLGEKVVDLEEQLASLRDAYERTSDERDSQLSAVDGLQRALQEIQ TARRKELRELVESSDSQLEELKQALHCAEKKALDADKALGSAQKELERVRPFEKEVKE KNLLIGKLRHEAVTLNDHLTKALRFLKKGKPEDNVDRHIVTNHFLHFLALDRSDPKKF QILQLIAALLGWTDEQREQAGLARPGTSSTSNKLRVPSTPIHRTPSTPTLATEFLDNG NSNKESLAELWSNFLEQESQATQEYTQPKP AFLA_088790 MSANLCDQFHVDNLSSAHVYLRLRDSESWDNIPQPLLEDCAQLT KANSIEGNKKDNITVIYTPWSNLMKDGSMATGQVSFHNPKLVRKVLVRQRENVIVNRL NKTRVEKFPDLMAEKNESLKKKQREERKTREEQRAREKQEKRERERLKWQKVHAYDDL MSEENIQASSNQDRDPDFLDDFM AFLA_088800 MQPNVLQSLERARNCEENDDDRIILDNAVTELWRRVQSQPDTYV FTPDEFALFNYFIARFQGSLVTRGAVARFWDNYRGNGSSLD AFLA_088810 MAARHSRRLLRPLLYTSAAAAAGAGVLYISYRPRNIPGSEAPAV PPPGYHEGKLVPPSFPSIKSRLEQIQDLKRSSSGDDSDVYDLLIIGAGATGSGIALDA ATRGLKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAVWELDYNQYKLVKEALRERKY FLNTAPHLSSWLPIMVPVQKWWQAPYFWVGTKFYDYLAGSEGIESSYFLPKSKAIDAF PMLRKDNMIGAMVYYDGAHNDSRMNVSLAMTAALYGSTVVNHMQVTGLTKDASGNLNG ARVKDIIPGKNGQEEGEFTIRAKGIINATGPFTDSIRKMDEPDVKEIVAPSSGVHVIL PGYYSPSDMGLIDPSTSDGRVIFFLPWQGNTIAGTTDQPTEITTQPEPSEKDINWILS EVRGYLAPDINVERSDVLAAWSGIRPLVRDPKVKSSEALVRNHLITVSPSGLLTCAGG KWTTYRQMAEEAVDEAINVFNLKPRHVSQVPDISGVGGSGLVADGAVLDGSCQTHQVR LIGAHGYSKTLFINLIQHFGLETDVAQHLTQSYGDRAWQVAALSSPTNARFPVRGQRI SALYPFIDGEVRYAVRHEYAQTAVDVIARRTRLAFLNAEAALEALPNIIDLMSEELNW DNKRKDLEWKESVSFLSSMGLPKNFLGLSRAQVEAGKVKQVDSAEHQASSRTDPPADV LNSDLHPETSVASEASSRLISSESAANK AFLA_088820 MAITATLFSIQKSLIHTPLQSIAIAALFTSCLYFIVNEFIRAFS RVPGFKGPRGLPLIGNIAQIRKNAAEQYRIWSKTYGPVYQIQLGNIPVIVVNSAVSAK AIFGQNAQALSSRPEFYTFHKIVSNTAGTTIGTSPYSDSLKRRRKGAASALNRPSVES YVSHLDAESKAFVAELLKYGEGGNVPVDPMAMIQRLSLSLALTLNWGVRVVSQEEDLF NEITHVEEEISKFRSTTGNLQDYIPLLRLNPFNTNSKKAKEMRDRRDKYLNGLNRDLD DRMEKGIHKPCIQANVILDQEAKLNSEELTSISLTMLSGGLDTVTTLVAWSIGLLAKR PDIQDKAAEAILNMYGPNQPMCDAADDQRCAYVAALVRECLRFVIPYSPN AFLA_088830 MEELMNNNMNPPQSSGQEEPSISQIDSMADDTYSTLSEAVLDTQ EFDGSPGPNEALLPPTLETRKKRKAGSGKVREEISDMNSGEFPTRRESNFLPNPGSKR KFPGSDDSDFASAPPDEDDFQFTRPTQMPQLPHDQLLLTRSDQSPIKRQISQRGGSKG DSRPKRKALESKSTNLSSIEHQAIGAHGQDCKTLARMNKPTDENGPIDPAHLKEAIHG QKIALNERPHCNGSDKARQEEDGDEYYRNHSHVAEDTSRSSSPPVDVSRVQHELEASI SLPNASSRPTRRQRSVVAKSMQEKSETQTWKAGTLAHLRRLLPTIFLGNQRT AFLA_088840 MSRSRQIAPSGTSQVESVPQQPNNTSTVRVPGTLRLRAENEPTV ESNTEGRGLHRHIRWSEDVIDNEGMGKRSSKVCCIYHKARPVGESSSESESSDSESSD ADSDNEIDNPRNTLGRSSVHHITDNHSHEQESEHDRERGRLTCCPNHGHRKLKRRRPS PNAYEKMPKTTKGR AFLA_088850 MSVSIQELDNTVQAFYEGKGDLQKQAQQTLTEFKQNPDAWLIVG NILQESSYPQTKYLALQVLDDVIMTRWKVLPREQCLGIRNFIVNFIIENSSSEEKLRS ERAFLNKLNLVLVSILKQEWPHNWPTFINEIISSCHTSLSICENNMAILRLLSEEVFD FSQDQMTSVKARNLKTSMTQEFSSIFQLCSEVLNTANQPSLIKATLETLLRFLNWIPL GYIFETPIISTLLTRFLDVPEFRNVTLKCLTEIGGLQIGNPYNYDERLVHMFTETLTV VSKIIPLSMDLKQTYAKSNSRDQEFVLNLALFLSSFFSAHLNLIEKLPNRDYLTHAHF YLIRISQIDDREVFKICLEYWTRLVQELYEEMQQLPITDINPLVSMGVSGLSNGGAPH PSTLANYPLRKHKYEEVLSSLRTVMIEKMVRPEEVLIVENDEGEIVREFVKESDTIQL YKTIRECLVYLTHLDVVDTENIMIEKLAKQVDGSEWSWANCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCRRHFVALQPGENEPFIEEIVRSMRKITCDLSP QQVHTFYEACGYMISAQGQKGLQDRLIENLMSLPNSAWDAIIGQANQDPSILQDGETI KIIGNIMKTNVAACSSIGTYFYSQLGRIYHDMLNMFRASSQLISDAVVRDGEIATKTP KVRGLRTIKKEILKLIDTYVQKADDLEMVNANMVPPLLEAVLVDYNRNVPDAREAEVL NVMTTIIHKLHNLMEDKVPLIMESVFECTLEMINKDFHEYPEHRVQFFKLLQAINLYC FPALLKLDATQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMAETDTQTSNIFFR QFYIPILQDVFFVLTDSDHKAGFKSQAMLLSRMFYFVEAGKVQDPIYSPEQAPLGTSN KDFLQEYVANLLQNAFKNLQEIQIKQFVIGLFAFNDDFNKFKTHLRDFLISLKEFAGD NAELYAEEREQALRDAKAAERDRAMKVGGLLKPSEMDQEDEL AFLA_088860 MTAVTSASMINDHESEGSRTDHSPSRFTAVNGKDSLISATPTSV PAVPGSATDEDSREPSEHCGRNGYDDTTHRHEERMRENGNIKNDQEDRRSQRSSSQCA VSVTGRSKRKRSGSGEHEDDAHTTHRAPKSPASHLDDATNQHVHPCTSNGSTTSQADQ DFKNTSPSVYARPEGSEDSRTSANTTWHEYDAQLVSQAQRAQQIDASDAQLAEALQRE AQGHDVTQKNWTTVSRSIEGTTQNEQSSSSLAGFSQERPQTAVQVAPKRKRVFSNRTK TGCMTCRRRKKKCDEQHPACNNCIRGGFLCEGYSSRSTWQKPSSGKTPVPLQSKEGYA DVGSQYVHDISQQHDRQQSLAEQLEGGKVRSIIVEDNDRTTTHLNNSSPTGVGSGRGS WSKRSWPNTGHTTYITDHIAKSDYRDVPSIHELPRESHSKTDYQIVPPIRELSHGTAH GKPGVSLFQGGIDQRPTLATNVDTSSPQAQARMALSIEHQLSARTIPGEETEKDKMIR GELYRPFDVHLVEERERCKAALWRFNSACNPVSGLSNKEQNRLLKEILVPPTSAVGSP SGVTSPRPTGSIGQGAVVEAPFQCHYGYNVHIGEDVMISESCLFVDDCPITIGAHTWI GPRVTILSSMAHANMQERKGSQSRHQGRPVTIEEDCYVGAGCTIYPGVRLRRGAYVAP GEVVKSDIVAYGFQGLKPSYM AFLA_088870 MLIYPLFITDNPDEETPIPSLPGQYRRGLNRLVPFIKPLAHKGL RSVILFGVPLHPSAKDALGTAADDPSGPVIQAIRLLRSRFPQLYIVTDVCLCEYTSHG HCGILREDGTLDNTQSVDRISDVALAYAAAGAHCVAPSDMNDGRVRAIKLKLIEAGMA HRVLLMSYSAKFSGCLYGPFRDAAGSCPSFGDRRCYQLPPGGRGLARRAIQRDIGEGA DIIMVKPASSYLDIIRDAKEIAKDIPIAAYQVSGEYAMIHAGAKAGVFDLKSMAFEST EGIIRAGAGIIVSYFVPDFLDWLSK AFLA_114200 MMASGQRSTDPNGAGDQTATGLRSPQTAPPRRFTASAGISAAGV GGRPRFLTRGPTSGYLWRRGTARRPAWQGSCKEGERDLRPAQLALITLPPT AFLA_114210 MGWSVHSVDFPYKVGHPLSPTWPQIWEVRHSAKGAWPTGDPTDP RFSPAPRRAVTPVRALLGRSKAARALGACPGALSVPLLPYFTVFAAAAGLLGTLAPPS GAAAP AFLA_114220 MANWPTGRSVEHRKRRPTVSPSAKANGRLAVAPTRSNGRLAALA KAGVYLRDLAPDARRRNPQVPAPNAGPPPRGSANPSTFAPNWYPCRLALRPCSTADPP GWARCRANVPVSGVDSGGHRPAIQSGPVPRPAVPMSDGPTGLLIAEPRRRGPPCRAVI AQDRRQLVGPQPWPGARPVPAHRARPSQTVDWSLLRSRGPWPTGAHVGWATGVPIEQA LHSLGTATPCASPMPARQLAIRPGRSSAPWPTLWPSPCRMGQLACPVREGVGHHRDPA VPGGPPSKRPALPDPLVGGVVHKSSQGRADAQRLVATRLLDRLHDPLGHFSRMQRIHP RAR AFLA_114230 MAPTRSLPPAPAGAVDAAGDPARPLQRRVLDLNREAASLLEKHS SGLSKRMMTFIQSTLAVTEALSHYPVGDAWKVQMDEIQRAVNDIRKDTNELTARTEDP LPPSIEP AFLA_114240 MEGLRFTGNTPPQAETQTTESTLTTLPTMPPTLSISGISQEAPS SATEGTRRSARLVQQIDRAQARNPYIFRGAPTPAPQPRSLSPRKRRRARDSSGPDTVA ILRGRTRSDVTRNTASTSDDE AFLA_114250 MAQFLRDPEVRQADIIAIQEPWRNPYSDTTHHPAFGSHQLLYPT ADDTGGQRARVALYISRKINPRTWKHTVHSADCQELELHVHGRRLRIFNIYNPGPWDT GRTDTVDLLDHVVPERGDHIVLGDFNLHHPAWSERDAHDDPAAAGETTTTNDDTDDTS RDRQTRTDRKAPQLLEFADSRLLDLWLEPGTVTRDQNNHRSTIDLVFGAQSLADQYIA CEVAPKVHTDSDHLPIRTILDLAPHAYQPPKRRQWKAMDAAKLREFVASNLDIYSHWD ALGTNPSAASIDAAADFLMEVVQRAIQHAVPWARPSEWAKPDFTPECKRAVKITRKLR RIYMRHRLPSDWAAYVKARNRKGRIISRSLRRGFRRWVSEAIDQGTHGIWRVAKWARN RGGRAANTIPTLNGPHGPADTTEAKAEVLRESFFPEPPPADLSDIERRTQPPQIEFPE VTKEEVAKAIRRAPPDKAPGPDAVPNKIWHELYKVPVFLERATALFNASIKTGHNPRH FQTSTTVALRKGGPRDYRKPKSYRPVALLNTFGKILESIIATRIAWALEEHNLLPRTH LGGRKGISTDHVIQLIIDNIYRAWGQGKKVSMILLDVSGAFDNVSHARLLFNLYQLKL GHFADWLQSFLTGRTTRISLAGELSAEFPTPTGIPQGSPLSPILYLIYNTPLIQDLHV RRPQGGSTTAFGWIDDACTLAVSDTFAENVETLNAALTRAGRWACRHASKFAPDKFEL IHFTNPRETETPPQSPGLPPDHPDQIWEVPLPPAGHDQMEIIFTDTVIKPTETAKYLG VWLDKTLSFSIHRTKALAKAHGTLAALKGIAGSTWGAPLRAMRRIYQAVVVPQLFYAA AAWYSPKGGQIVASINQKMLAEFTQIQKQAALLISGAFRGTSAAALNVELYILPVHLQ LQQVIEETAVRIRTGPELACPESVLRPRTAQERRRSGWTPMEALSRKGGPLWPLGKKE WETRKPYILAPWEPPMTTVIDSHEAALFYHRQYCARREGIAVYTDGSGLNGRIGASTV CLSQGWKRNRTLGTEEESTVYAGELTGIRMALHRLRKETRPATVFVDSEAAIQAVQNP RRPSGQYILDQIYYIVRRYNMQGRVQIRWIPAHIGVPGNEAADEAAREGTQKAGEAIC LAAAAKRQIRRRIKDRWTREWKAEKTGRTTHKLVEIPNKRVLDLYKGLPKPHASIIIQ MRTQRNGLKHFLFKIKVSDSDQYHCGQGSQTSRHILLQCPLFTDPRKAMLDKLDPGIR RKMDYNGIMSHPRAMRYIAEFMHQTGLLSQFRDVEQTGHYKRGTDVEDDA AFLA_114260 MKSKLDRDEDIKMLNWLSQIDYALQQKHFIRIRQPGTAQWFLDS SEYQAWLKTDKQILFCPGIPGAGKTIITATIIDDLYTRFRDDTSVAIAYLYCDFRRQH EQKLEDLLANLLKQLTQRLSSIPDDVRALYKQYKDQPKRPSLEEISAVLHSVNALYSK VFIVIDALDECQVTDGCRARLLDEIFNLRARQRAYICSTSRFIREIEKKFKGCVSLEI RARDADVQAYLNSHMTRLPQFVRKDLHLQNDIKATISKAVDGMFLLASLHIDSLAQEP TEGHLERALQKLPKGLDDTYVQAMERIESRGGGSKELAKMVLSWLTHAKRLMSTAELQ HAVAVEPGQRELNKKFIPTTEIIGSLCSGLVTIDTQSDVVRLVHYTTQEYFERTQKQW FPDAENDITKICVTYLSFNVFESGFCRTHSELKDRLESNKLFKYAAQNWGHHARVSSI QGDQCILDFLQSEAKVSACAQVMLAPRFYYHRSYVTTQITGLHVTAYFGLEKPVAALL EGQHTLNLWDVSDRTPLHYAAENGHQEVVKLLLSKGADPNSLNSWTPLHCATINRHHE IVKLLLSKGADPNITTSDRDDSRTPLHYATKNGHHEIVKLLLSKGADPNITTSDRDDS QTPLHYATINGHHEIVKLLLSKGADPNSLNSWTPLHYAAKNRHHEIVKLLLSKGADPN VTTSDGDYSRTPLHYATKNGHHEIVKLLLSKDADPNVTTSDRDYGQTPLHYATINGHH EIMKLLLSKGADPNITTSDRDDSRTPLHYATKNGHHEIVKLLLSKGANPNITTSDRDD SRTPLHYAAENRYLEIVKLLFDKGADPNVTTSDHNYGRTPLHCAAENRCLEIVNLLLD KGADPNVTASDDLYGRAPLHFIVINRDQEVAKLLLGKGADPNITDRLYSRTPLHYAAE NRHPEMVNMLVDEGADPNITDGLYGQTPLHSAVENKDKETVKLLLNKGADPNIMNSLN GRTSLHYAVMNRHQEVVKLLLDKGADPNIMDRFYSQAPLHYAAENGYYGVAQLLLDKG ADPNSLNSWTPLHYAAKNGHQEVVKLLLDKGADPTVTDSHYSQTPLEYALENWHQEVV TLLRDKGADPNIKTSGDDNYSRTLLHYAAENGYQEVGEALKPIRQ AFLA_114270 MLQWNPAIQLLESFWALDFVGACSAQRSSQTNKRTSSGTNRPDR IPKPSSASEMSNPNDYNVGWICAIRSEYVAAQAFLDEEHDGPEYVHTHDSNDYTLGKV GKHNVVIAVLPHGEYGISSATGVAKDMLHSFPNVRIGLMVGIGGGAPSPKHDIRLGDI VVGASGNGKSAVLQYDFGKIIQDQEFQESGFLNQPPTVLRTALNGLMARYELDGHQLE ESINGIFEKKPRLRQKYKRPDLSSDRLYRAEVTHPDSEASCAAVCGNEPSNLIPRRER TEDEDNPVIHYGLIASANQLMKDARLRDRFAKEKDVLCFEMEAAGLMNQFPCLVIRGI CDYSDTHKNREWQGYAAMAAAAFAKDLLCRIPPNMVEAEKRISEQLHDG AFLA_114280 MVLGHIGKALLGGLLPCAAQPGSPVSEITVALRRKESEARLRDL FHSSLAPVNFISGQNINAVKNADAVLFAFPPEQVHEVLGATKMRQALRGKIIISILAR TPRDELERLIGGNDKADGLVTNDIRLVRAMPTIGTEVHESATLIGDLSSPVEKEAMEL AMWMFNLVGKVFEVSHDYFDTATGMSAFCNALTTVAIQTITRKAIAEGIPMENAIAIA SQCIRGTVSMVLSGASPQKLEHSLSAPGSITEQAILGLRDSQLLALLESSLSAAITKA KG AFLA_114290 MGIHTQLTIAVIGCGTLGTAIAAGILSPKERTDLEVHHLTATVG TESSKRRVENALSQHSSRLTVLTQERNVRAVWEADVVLLAMNPVKRADVFAASGFRDA LQGKLVLSIMAGITTKALNTLALGENSAPDNESALQCVHAMPNMAAKIREAVTLYTAG SGTTKENLEIASWVFSQVGEAHRIPESSFDICAVSVGCAGSLLLLAIDGLLDAAVAEG VKRPDVQSLVVNSAIGMMKLVPAGDHPSVLREKIASPGGCSIRALLELEKLGVRAAFT TAIMAAAEKSKHMSLS AFLA_114300 MCQADEASITRVMSDEQLNSLLLRDFEKPILWRNFAERYPLHGI PSSISDFLRELGQLGIEKLEAHNYAEEDTNDELYLNEIVEHFEAPPETRPPLNFLDIR NLILSRVPVHVNKVDLLRLAQRRKAGSAGKGRPLKVLRDYADHEFFLLSSRHSISPLH VDTAGQLTYIVGISGCKTWYLPRRFTAAKYEILAKFGSSTPEAYYDGWVKVDIMPGDL LIMPPGCPHAVFTPENALTFGGNFYTLPHLGSSLRVLGLQAQFNFVFSNESITEQDYE NFLVMLEAYKDEMDLQQMGSVASSGIIWGITNQHKTRKDVSKICARGQGFGKLQAKLR LLIWEIHMKGEAKLS AFLA_114310 MKLKPYDVCDTLGRQRTSFGQDKLLLLPKHDLFIRQTYFHTYRK PGNKDHKKVQDRLQCILKLSAYIWILVATSLTFSHIEQINDFDECIKRIWHWKDIYPI SEHLEESARGILKGLDKQKERIMQGNAQE AFLA_114320 MKPTVKGLESDLMTKELFGPLFAVQTYNDASPTDFEDVCELIDS TSEYALAGSVFARDRRAVKIADEKLRDSVGMFCINDKSTGAIIGAHPFGGARSSGTND KANSVNVLLRFSSIRCVKDTYVSSSSTLSACHVPE AFLA_114330 MESTVTEIPSIINGKRIRTGHKGKQVNPWDRYGAPLAEYHQVDP KTIKAKAIPGALEARKHWANMSFKDRCAIYKKAAKLVESPKYQWKLMAASMIGQGKTC GQAEGDCIAEVIDTLNFHVYYCAQLYDQQPPKQFDSSSSRLDYRPLEGFVLAISPFNF TALGAHIAFTPALLGNVILWKPSPMAVLSNYLLYQIMEEAGVPTSVIQFLPVEDPIRV VEPAIASPHFAGLHYTGSSAVLRAPCTQIGTKTNIYKNFPRIVGESGGKNFHLIHDSC KDDVERLASEAVCSAYKQTGQSTALLTLELVPKTIWEQGDPKRLSCEKRLR AFLA_114340 MQYVLPSDFVACCSADLRRIQSKLRGLVQRASSIMLPCLLDLMA TELRAWRSKWKDHFEGKDRPQLNDDPSFNPGWYHLTVLINLWEHSVRLNVASSILRQH TDGLSGVMPGFWSPPGDVPMDLDLMSIQQSIHWN AFLA_114350 MPGRLEGKIALVSGSTQGFGRGIMETFIREGAVVLGMDLQATDG PVDGYPEHQAYQIKANVAGRKLAGADIPLFSRMP AFLA_114360 MATMKPSHHDYTVAWVCALPLEMTAAELMLKEIHDPLPQPPTDP NAYTLGKLGEHNVVIACLPSGVYGITSAAAVLAKMHSTFPSLRFALMVGIAGGVPSRS TDVRLGDVVVSIPSGTSGGVVQYDFGKTLPDGRFQRASSLNKPSQLLLNTVSKVRSKY AITEADIDGTISRILNTNERLKQQFSRPHRDWLFNAEYIHEGNHPDCLECDQTQLVKR ASRDSSEPHIHYGLIASANQVMKGAKARDSLAQDSNVLCFEMEAAGLMDQLSCLVIRG ICDYCDSHKNKEWQGYAALAAATYTRLLLAAVPSQENISHRNYALAQVNETLIRRQIA ALRNLYVSLYQDQKNRNPDRTPGTCEWFISHPIFQDWESSQTSRLLWVSANPGCGKSV LAKYLADFLPKLDVSRAVGYFFFKDDYEDQKSITNALCCILHQLFDKKRHLLDDTILE QFEMNESVTSSFSELWIILLKAASKENAGEIVFLLDALDECEQHGSSQFMEALRRLYT DESQHNFNLKFLITSRPYSHIRQGFQPLNIPGQPAIHLSGESDVETKKISQEIDIFIE VKVNEVHDRLQLTNNERDMLRESLTRVTHRTYLWVYLTLEDLVQNQDYIDEKRIAEVT SNPPKTVDEAYERILARSKDPQKAKRLLSIVVGALRPLSLKEMNLALNLRKGQLSYAD LTLEPENRFHEAIRSICGLFIMIVDSKIYLLHQTAREFLVAQEGTVEDINRPSGWRRS LRLQDSHCLLANICIQHLLFTDFEETPLSVAVGPSRDHSVFLDYSATHWTAHLLESKL QLEGMLPDLNIICDASTTRCQTWLHIYWASLNTEFPTGFTTLMVTSYFGLTPVTKHWI KINGIDFDARDHVYGRSALSWAAGNGHSAIVKLLTQRSWKKFLQRRALVDFAGKHNRT PLSWAILNGHEEAARVLLRAGSDINLADDIGGTPLYYAILSDRRQLLELFTRERTLLE SKDDIQKKILLSAVKEGHGPVVRMLLEQGADVESRDNEHDRTLLSWASGNGHVAVVKE LLAKNANMQSEDCQYGRTPLSWAVENMHSAVLVLLLDKSGLESMVHIQKFRDIVGAII TLQASVSVDTLARLLGIPMSDILDQLNSLQSLHPSLHMPTDPNALIEFLHLHFRYFLL HTTTALRVEKKEMHKIIADRCLYIMSSLLKENICDLPSNASEFDDIKDQTIDQCLPKH LQYSCYHWAYHVAQSNGPVSDEVISFLEQHFLHWLEAVSIMGRIYDGLGILNFLTKMP EVKLSEFLNDARQFILRNMACSAPLQLYYSGITFSAGESITGRKLRDKSWSAQLQTLK GHSGMVNTVVFSPDSEILASGSGDGTIQLWDAKSGKQLQIFDSCLGWVNTMVFSPDSE VLVLSSLNRTIWLWDIKSREQLQISKGYLDYTYNLAFSLDSEILASGSGDGTIQLWDT KSREPLQTLDSYLEWVNTMAFSLDSKILALGSDDKTVQLWCTKSRKQLQILEGHLARV NTVAFSPDSKILASGSGDKTVRLWCTKSGKQLQILEGHLDWVRAVTFSPDGEILASGS DDKTVRLWDAKFRK AFLA_114370 MSDTPEGIVEYGYDNITEWYLQWVKSQKSPRERYTKMLLDKLQP SPSILELGCGPGVPILRMLLDQGAQVVANDLSSKQIELAKARCPEAKLVTGSMTTLTF EPMSFHGVISFYALFHLPRSQLKAMLTTIYDWLKPGGVFVFNLATVDEEEIHGEFLGY GMFWSSYSVDQNRAMLTEIGFDILQMEVLHANDGTLEEGDPDYDSEFMWVMARKKESP DGLRNVQAV AFLA_114380 MLALLVAFKSSIDLGVHDMVGSMVTRERPCTPIHQLITLDHSEY LPNRRRCGHALLQTFPDPFDSTVFYLIAINLFHAKPKN AFLA_114390 MPVSGSSARLCVDYIPATLGSRRVSILPRGTRPAYARQCRRSVQ RSYASVSRSQTPSPAIIHITKGLNTRGPRLGHEYMRQYATAAANEARSDVAAELQGGP LKEYDARVQQGRLRDDPYQRQIIGRLQDLHERLRGYHPPAVIHPNPESLDLQPKTSFL GSLFGRGKAKEELIIPENLPKGLYMYGDVGCGKTMLMDLFYETLPSNIVSKSRIHFHN FMQDVHKRMHVVKMQYGNDFDALPLVAAAIAEKSSVLCFDEFQCTDVADAMILRRLLE SLMSHGVVLITTSNRHPDDLYKNGIQRESFIPCINLLKTALDVINLNSPTDYRKIPRP PSGVYHHPLGQDADQHAQKWFEFLGDPKDPPHPETQEVWGRKIEVPLASGRAAQFSFQ QLIGRATGAADYLELVRNYDAFIITDVPGMTLNQRDLARRFITFIDAVYESRAKLVLT TEVPLTNLFLSEAEMKSSLGDGEGSDLSDAMRMMMDDLGLSMQALKSTSIFSGDEERF AFARALSRLSEMGSKQWVERGLGVGLNAEQGKSEHDAWKKVRSHWSEDNM AFLA_114400 MHGTQPTSLGHDLGMLGVLLHVVSDAANNLGVMAAALVIWLAHY EGRYYADPGTSMGIAMMIMLSSLPLVRRSGLILLESAPNGLDPADVKHDLEKVPGVLA IHELHIWRLNQNKTLASVHVVVSDPSVTNFAKTTKTINECFHAYGIHSATLQPETCSM AEVICTEHESVETVQELRKRSLEKCQMMCGTLCEELTCCG AFLA_114410 MVYPLPPSNPRAIRLSRTLSASPTPAPHQITSHILKSSTQVRNL WGCSRQRFGNLDAYAQIRIARYQRLSQSRTGGTPAIHPRKPQVLWGHQHHYRHPWWNT RWGWTRSKPHGPGSTQHATRPQKSFWEAESARMQQRMEQIQKEIAADPYTALFGRRFQ VLDFPSNIENRIMSACRSVFGVGKADEIDRTTPVAQAKGVQDLEYDPIRNRMVPRKSS EQTTVTGGRESPDTLVRNPTRYENKSGYLSVVDRSKEHSNEGASARQDSEPVKENQTD PSNIRGQADTESGGATLNEPHQRQNSVERPVSEVESHENDMKKQLDSERGTQADVPDN QNCSKSPGFNPDHNKSTGAAVLEPAQQQELRMREERAEDLDLLRASDIRSLYNAKSLN GESEVRRKTRKDLDNAFDSYVDPVSDIRAQDVRARFQEPESASSVIGTTSGPATLEST TQFHSEDSSKSFELPVQEERTDASFPGKHAHKNTHVTAGSSLIGDTYRVLAYDPSTLQ ITRAETNSSFHTTHEFLHPSEILPRLNNPSKFLPYFEEMQTDGYEIVSGGGDILVFRK SLVEKAKSELGAYVRDEPLFSDEASRRSITADTASPASGPGPDCSETNVPPPKSRSRA GKILRRMLIGGFATAATCYALGVVSEYFRTGGQDGRGIDGFTEFESERRHRDRG AFLA_114420 MLSLKICCFKSSIRSRKLTIISARLLSCQLEYWTGYREKYKDAP ITAGLLAIEHYPEQHSTRAVLPVSTTTEEHVFLESALEGKFKLLLSQLLLNIHRLHPP GDKFPDQEVFLIGLHGSRLHIFRGIFPGHKTSRLWSGRHNASGTETEMRRLVVSSTNH RFYGRQNLERFMQKVEWLQLSTSDSEPDPRVFRILGSKEYDLWVESDFHAALRLLVGL VMYLMSGRARCGILQDKFSCSPYDEDDEPDSEDEELRRKVAQEEDDVVLQERKLRALK RQKREEDQERFWRREAMRSSTNDRIGGFKDFRQPWWDWVWEDKHDDGCAKDDADVIFE GP AFLA_114430 MELDEPDHRGAAASIPGQGSSNAAVLSAKEKVVEIEVHGLKARV FLNCSEEDLIPSRYLKKAERAPVAQRTIWLFRRQQNARQVNLNRWSKVDIRFRGVFKT LKKVPLFRKG AFLA_114440 MWKPNRTPVRTQDAPLPPSFLSQAIVAGEQIYCSGQVGVSPSTG KMVEGPIQERTKQILRNLSAVLVAGGSSLQDVVKVNIFLADMGDFAAVNEVYESFFQD PKPARTCVAVKTLPLGTDVEIECTGLVTKRRTQGNSRL AFLA_114450 MVAQTTSILTALLSLGGLELVAARNAAEEPTLKPRFMPKHMKRL INYQTSAAPGASSKAPETVEVPRSNTNVLDSILQPPQEATPAPTETPEVVVITLSIDA AGNTHTLTGTPAGTASTSTVTSSVLTSEPAAASAAATTTGSTASQAASNQETTPSSST GAESPKATDASTSSEAASESVSPSVSQTSSTLAAEDSTTASTSSVVGSSQEPGTSTTA VTPSATATKTGNVLSDLVSGLFGGSSSSESASSVQPTEVSSGSASSVVPSNSATGASS SESASSVQPTEVSSGSASSVVPSNSATGASSTEGTSTVVSPSQTGVVSSTEQPSSTPG LVNSLLSGLLSGSSTSSVSESSANQATSSDVIPTASAGSSVTGSSGQPTSTGVIPTQS AVSSVVSPSAATSSGLLDSGLLSGLLPTTTGNSSESTSQLTSIDVIPTPSALLSSILS PSAAISSGLLDTGLPSGALSTPIANGSETSTANGSSTDLLSSSGILPTISISIPPATP TPSAGTSSGAIPEPSGLPTSGVIPGSSGSSGSTTSSPVIPGASTGTQTFTPGPSGSVI SPGVPTSTSGVVPSTGSSGSSLPSSVSSTPLIGSSKPTDVPTSQSNPISTNTPTPASS TTVTPTSTEQPSTTEKSTVTTKVTPTPEPTTTSDATDWVPSTILVDPPTPTTQNTATH TTATTTSTQTQLPGSISPGNVPVEPPADSTLIQLGFNGKLRYSFVATTPLSSSQIFLY VPLALEYALEILRKEVSMLAIQPYDNSKSTGYIATVAMGYIPTDQVDALRKMLNNPNS RLYQQADGSANALMSMVDPSIPLVVGESGSSSSGSGSSGSSGSSGNTGGDNGNSESDP NSDAGASSSGSTHASSVGIGVGVVAGAAAYGAGMFWVARRYRKKRQLHQRSSSNVEQM SEGRNGAPLFGAGGRMSRNSNNSRGTGRTQMISAPVMAENSLGWN AFLA_114460 MLWGSPSKPEDSSEKPIPREKLPPQLQQLVDHDDGFYDDIYSSY SVDSTDTPYRYAGYANRLRTVLLSAHRYVAYTSDIGESFRPVAHPYLVRSAYAISWSY LIGDVAHEGYKAYLRNRRVLAPPGEAYKDAKELTQEQVIKGMATGNVGGSLRSSTGES DSLEPWPTTRIPLIEDYRVVMAKRAVFQSIASMGLPALTIHSMVKYSGRALKNSKSVW FRTWAPIGLGLSVVPFLPYIFDEPVDEAVEWSFRTAIRAYAGEDAVRSLPPAKTADPD ANASTAALTTHSWEEYKEERRRAKEERKKELEERGRKGPLALLGLGGNEDAKKKTD AFLA_114470 MVVYYQLAGKQVGSHVLAMGVLGSLFGGVYLATRGGSQPKQAAP PIQASSKDEETFIQDFLKQVNGSGEEKKSSH AFLA_114480 MLSFLSVLNLTSSDASSPLQSAVITMKPLPFPLALNIGTDIVHL PRITRLIHRRDYLTRFTRRILNDHEQHDFRTRFALLGATNPPNERPLPSATEMARWLA GRFAAKEAARKAAPNGAASLGWKDVMVRVSETDRGRPEVVYMDGETARIGKLSISHDG DYVVATVLAAG AFLA_114490 MPFSHHSHSGQFCPGHAKDSLEEVIQLAIAKKFQVFCLTEHMPR GKEDFYPEEIEAGNTETSLVANEAAYFQEAQRLREKYADQIKILIGFEIDWIRPESRT LIEASLARHPFEFFMGSVHHTLTIPIDYDREMYVQARDLAGGTDEQLFQVYFDEQYQM LQQLKPLVVGHFDLIRLKSDDPERSFTQWPAVWERILRNLDFVASYGGLLELNSAALR KGMSEPYPKAEICKEFLTRGGRFCLSDDSHGLDQVGLNFHRVLAFVENVGISTLHYLD LADEPAVDERFPRTQIRSIPLQELKKLAFWQ AFLA_114500 MARFPTISAEERTPTTDFIEQGIRRQTFSKSAFIAGWEDASGTI LGPYAALPHDSPSLSPSLDKRELAVLAVMTEYDAPYVLYAHSEIALAAGLSREQIQQA VDGMVPDGLDEQEAMVYSLVLKLATLRRRRSTQERKHFYCA AFLA_114510 MANSAHTSQPPCIEPNSAVVPWSYSRLSTVSILRHLPPRPVVDY LVAVYFNTVHWFVVVAHEGHFLHHYRQMMDLYAQDESSVPDSEEDFTFALLILTVVAL GGRYASAHAVRRRRCTQAFSQSPTSSDGRTSADIATATCRLFAVLRNNSTDNLTCGTL ATVQSMLLLGNLYLYHGHSNLAWTHTQRPRSE AFLA_114520 MCYGLPPLISDEDCVVEIPREDCIYPPTGSPSFLMLDGENDSAT GPNSTTLLTYQTHKLKFYVILGHIIQTLYRQTKGGIEGRCLTGIQEIVPVKNRPTGQP HRTLRRWYSELPRELRVSEMAARQDQGEERTPDDDDDIIDPVHDDACNDSSNVHERRK RIKNSIYGMQALLLQLAYDHALQAHAVSFVGVHLFAAGVILGAFAGSDPLSRLAFEAK RRLSRVIQVQRRLKAKVIVSAQSLAILEKLAYDVMKKEANRMFGEGSGELDNGYSYPE PLSRQQEDYTPTLQEPLPAAPTSNGSGAKNDMLDLSSIDDMQSNENRLLFDGLLPDTL LGLGSSMAEVDKRECFWTVQRVLLIPFGSFLGSVCTSTIGR AFLA_114530 MASKNNHFVLPDSTPRSSKLTINIAGFHVHLYGVQELSAQQRED TTVLFHIHGRTRTYKDAEPVAHQLLYGMRKRGDSNRGLVVATFDNRNHGDRTIDSVAI QDWKGGNIQHAQDMLSTIDGTTDDIKLVMKYLASYVDGIFHPTQFIVTGVSLGGHITW NMLAEEPSIAGAIIIVGSPNLTDMLVERLGYASLSDIPQNTKEWPRSIESLYRERDQA LEKIVGKKILILNGALDTLVPSKFTDPWVAKYAHQNDVKFIVQEDCGHVLSFRMMEEV VEWVAQILV AFLA_114540 MPLAHNNPDDVSVGVSKQDTVDHARPTKVIVIGAGISGILAAIR FPQRIPNLDLVVYDKNPEVGGTWFENQYPGAACDIPAHVYQATVESNPNWSEFYASSK EILQYWKDIVAKYGVWKYMKRNHKAVEAFSTPLLRNCLCTAVTTHRRHYTNQASCLSG GLN AFLA_114550 MCSSRILYRLGLRASRKQTNKSKKPIKEILQNVIRCAKQVAEKP GASESLQAATLLFRYKAREVASLIDSWQGHQTVARLGDVVKGINQLHQVNQLSDLVNE IPAPELQNEAKKSFLNTIGKVSRYAEAPRILRRIAKRFRVARYMTAVPVNLPKEAFCI PTKGTYAPDIESSVARIDHQYSDRKRLNRVYHLLGYTEAQSASLFSGQVLRTLREAKI HAEIQLVIYCELQRPTLFPRVVCSSKDACFLCSTFISLHKQIHTPRCHGRLYPGWRLP SVPQVKELEERFNKTLENSIKESLSMLFSRQRKTTYPPPNESTLLTIPISETTKSDLT QLDLWQLSCERSIQLRSQMTDQPRKAPESGNPSYASIPSGAACEGSTTDLEFPPMVEG QDPDSKIISKQELSQGIVLHNSIDPGGSSACYIAGPIKLTIEYATGLEGIKYSVEWLT AEEMKDLQGRPRPPLSVEVGSLASEMSIQNHNSVCILMKGTALRLDWESKST AFLA_114560 MVTVEMREEESRDITPPGVQRLWVFLNNEDSAHHAAGYIPTSAD DPLAKHIVSRGLLTQVDTPDVFQSALQHIEQCISGHEHCKAAAHTNPLPTRVVDCTNP LKPKLWATDGREGKYIALSYVWGEPQARSTTRDRLEMYQGGIELSALLQMIQDAIRVT HSLGYRFL AFLA_114570 MRSIYSDAYLVIIAASAKKVGDGFLQTRTSHVSEANDTPLAFQL PGGRIGSLHLLTNEKFSGYHPGMEPVNMRGWCFQEHMLATQALVFASHTLLYHCRTNG VRNIGNSYNYSPDETAGRVPGRIFSMAESMRSQGSSIQPPARTSLAAEEWKSAREAWF AALRNYTPRSVSHPADKLIAFAAIAETFHALCFETRYLAGLWEDTLLEDLLWKKPKPP LPRPEAYRAPSWSWAARWLGINRLLLLNIQLIPLKRQE AFLA_114580 MGANPLLDIETKQNPKVSGMIDAMCPIKRAAEYEEVGDTVAYLL SPPASYIIGTSLIVDAGITTTVRLF AFLA_114590 MLDVGEFENAFSGREKLLWAQGSLQEFVRERLSEAVSLENDGTK LDGAFKACSMVRIAGFQVEPTSNLCDHLRLRDVNKTVEVFHHASFLMAHRQKSFFPPG LVDETLETLALLFPQGDKETEKWYKKQDGPEELDEAILRCGKVDRRIRDYKYWHDRLV ILKTEFDESRPSTITQWWNDRRDVSQWYPLWVAISLTVLFGLVQSIEGALQVYKAYNP AFLA_114600 MRSSRLRTTLSRFQKPKALWPDPERSIGKFLGIKKGNLNIWQAE GPAKEAFESITPHITELLEYSCGPVPSSSFVLFDIFMIGETRETALPHIMFSCKHCEP RKSAVAAMRKSNILEQCPPGIHLGNWDYPPHSKDPQHLASTACHKSSDFYVSQENESI SSPYNNRSITVHNPYKEGMGQALQLVLQNTSTEPGYLRTATIGSIVMLSGRRFYLAPA HVRYPQSHILPDMVPQGSRSEDSECEFGGFDDGDESMSDSLEVEFMSQYSLTPESSDL EEDWDLDEDDSFSDVESDCLNSEVQAESPDSSIVAPSDGANYVPDDANIPSLLHPPYP EVKFPFLKSDNLDYCLIEVEETEHYMPDLPVLSQENICQLNSGSVDVLAATGSGNVLA GVLSSRVSYIRLPNGTRFVQFLSVQFEDLLQPGDSGSIVRDARTGMIYGHIVAGDIGS QTAIIIPAVDVLNDLMAKSTSTEIASAEHNPETFTHQRGLETTSKENGKISVHVSRKC PFCPEDAWPHHIEKHVQRVDHISYIRSAEGYDASLLGSQISGRASIGPNTARNIEITG QTSPCGVGSVCEADPKVDLKNNSPLIAKSLHQQRPDPKDDEWKAGQFLERLESQSAQD NRLDMGTIVPDDIDPTSSSDSISLVRPGYPNQALIRDYTVDWICAIPTEDAAAKALLD EEQDSPFCNTSYQVHEKSNPPQVRNTCRWLVSHSNFQSWKNSDSGGFVWLSGKPGSGK SILSGALVDGRLVNDESAKIRYFFKDNEEKNCTETAMRALLHLVFRAKKKLDFEFVGQ MWTSAAYDSSTGDVICLLDALEECQQPKRDRLVQDLEQFSTFSRGRVKVGPNVKFLEH LLAQDINGYALGQIGKNIMTISVLLVGGYGTSSAANLAEDMMQRLLTIPIALIIYTGD RAPSSKHDIHLRYVIVSIRYKGERGILQYDFSKTTPQQVAQEANAINIPNEGDHQIHI TFGSHNSGLQVARSNFRLTQGELTTRGYNPTKRIIAAVARRRVILMRILTLSIAYQPF SPLVARPQRSESRSFRSYLI AFLA_114610 MLPFKTLFSAIFLAVGGWSSVLTKPHSPYLCSSLGFLFGYDSGI ITSTISLPTFQEYFTNPSDTVTGGIVSAFQGGAILGTIVNMVWADWLGRKRTILTGSV ISCLGCALQAGSVNMAMLIIGRFIAGMAVGMLTATIPMYAAELSEPKWRATLSGLLQW MLSWGFLVAQWLGYGCSFSSSEFAWRFPLAFQNIPGLILITGIWFLDESPRWLMEKDR HDEAKVVLTRLRGNSSPDLIELEFREIRDVIEADRAAGNTTWKTIVTKPSWRRRLILG CGVQAFGPLSGINVINYYGPRIYQILGIDNNQTSLMIIGISGALSIVYCTICLYLVDK VGRVKPLIVSAAFLGASLLVNAVQAQYMNPNNPHQLRSMVAMNFVFSLFYTPLGIISW VYPAEIFPVEVRALGNALTTFTNWTVNLIFAQFTPGALSSVGFKYFYLFFALNLIAML CYYFFYPETKGRTLEQIDELFGDQLVPHALEDPEGAQAAMEKEAQVAHVEN AFLA_114620 MLAGEYAPSRDPVPSVWLDAVEKVGLLGWLQRVKDILRTTAPNL LSAIDNYMSHVYKIIADAQITNGGLVILLQAENEYSMFEGEALGLDRQYMQYFIDKAR DAGIVVPITSNDANNNGYNAPGTGLGVIDIYGYDQYPIGLSLYSIGGGTNWVNLGYPD FYASYDLGAIIAEEGTVTREKYSEAKSQGQFFKVALATTLHGLSNQIQPRCYHPAYEY VHSPYTSAKHPMELKQAPTKVTFQALFHDIHVYR AFLA_114630 MARIAMGFLFLTLAMVYTAILQKLVYSTGPCYNHPLTCPESDHG QIPNQISMFLQTPIYVLSAIAEIFCFTTGTEYAYNQAPKKMKSMVQSVGMATAGVGAC LAMAFTPITKDPHLVIMYSSLAGVMAVTTVLFGAFFGKHDREKTLLL AFLA_114640 MVDRVRGSCRAFRLNYIQHSPSSKIPGVLGLREAMATNIVNAMM AAGYLATIPAAVMADMWLGRYRTILVSALLQLCGSVILFGTSFPRAVQAGAAEGGLAT AIVLISPGSGGVRSSIASFIAEQYTEINPKVKVLKNGKKLITDRELTIQYIYNVYYWY FVLVPRFDI AFLA_114650 MKNISRDMVRKNGKLVSCEPCRISKIRCDHQQPTCRRCDIRGLS SKCYYHPAPLTRPRKKRQAPRSVSPANPSREDEVFDVSSSRGDSIAPTGPQMGVTSAS QPTYLGSTSFISAFDHGQQDMCLSTPKTAHASTLRKAWSADFTHVFPRLVQLLRPLRL YEDLVTDKYYRSSFTVIPAPLVLTPLRLLRDYWDRERWPHEGLGSQITQNTATQSIKV EANMTTDRFYNLFTGANLRWEFVGIIFAMAGLGASVSSSSPLLSLNGKDEISSDAFTM EMAAASHACLEICRQYDNVNDLVVWLNYAYFVLASNIMGETSHQIYTQFGDLVSCIHA MGLHRPHSPESSIPFFLSQTRKRVFAASYRTDKNLATFLGRPPRLPYHYCDVGLPLDL DDYSLVLDNLSLDKAVRQLTSDGWGTCNGGLRPATVIRLRYMIARLREQVMELSLGRD SVGSRQNELHITYQECKRLWDKVPNEFHYSKHTWEVLGPHLSIVSLVIHLEYLYTVFQ VERIRCSESLDAMTDLLDSAMQIVSVVTDFTRQRDQEGSIREQYTWIFLFYALPAAGV VATELHRCTVSRVPLPCSIPRSRIIRNLSLLVSWFESANPPNNSTHQACVEVTKAMIK LLDDTLDYPSGGQMADGPQPGTGNRPAPNNSDRVGDSSHLIGMSLNAHEQDETIPNVD TLETSEDFLNWLDELGLDTSVPEFFRWQ AFLA_114660 MSLLNLPNEVLLLIIETLSSQKDILYFLMTSRRIYHIQQVDPLY KFNIKFGGSSSLRWYSRKGYTLPIEALLKRGADLECTNERGWTPLIYAALYGHEEVVR LLLEKGAVLECTDERGYTPLIYAASLGHKDVVRLLLENGADLDNDDHPYGRTPVIWAA MNGHEDVVGLLLEKGARLDLVDNEYHRTPVIWAAKKGNEGVVRLLLERGVDLSHGDGQ GYTPLAWAAIEGHEGVVRVLLEKGADFKNKIGGSRTPVLWAAKRGHGGVVRVLLEKGA DPEEKDSLDRAPLAWAVMKGHEDVVRLLLEKGADPKHRSFDGSTPVVYAVTTGHEGIL RLLLENGAELWCVSKRGHTILAEATIHGREDMVRLLLGKSADLEHKDIEGCTAMMRAA ERGHEGVVRLLLENGAELEHKDNEGCTAVMLAAKEGHEGIVRLLLEGGADLGHKDDEG STVVMCTVLQGHEGAVHVIRLLLEGGANLHCEDKDGNTPLIIAAEDGFQDTVRLLLEY GADLECKNQHRETALARAQEKGHQEVVSLLLEHGAKQE AFLA_114670 MSSNNFRITEHTVPGCHIREYAGSTAGRQEDVLRLHVKQYTPLN PPEPLSPEAVTITVLALPRNCMSRCGMKYYNAPSEIVSRFAASGLQKRQTWE AFLA_114680 MDPRCVDRTTKFGFRDLPTELYPESLEGSNPANPPVLGEKSYLR LDEVREGIKICGHGIGGSGGDSQGKVKEAVIPKGSHLFPFENVAEAAEISSAWLGKEV QSFAIAEREWAEKRQSMDKRDHLVLGEEWFKTIKPLGAFRSARKPGKERL AFLA_114690 MNEPASEPEGYPELEEETLRHIEGKVYVVGAKEYRLDRLVGESM NVSYNQRRAVFWATRASDSLEAIIKFFIEQHPILATVDIRRRIFCRLGRTAIEAEIKA LEAGEDVKGLPHILERCSDVQGPEFEYPGGVLDIVAMTRLPGYPLDLFQGQLEPWEVD YAKGEVLRIVR AFLA_114700 MLSSGQLELKDIGSARSPHVEERNGSLDPDLSDDVKAGFTVNDQ RDMQRMGKKQELRRNFRMASTIGFTTCVMGTWEILLTSNTPGLTAGGRPALFWSLVWA YCGQFFIVLSMAEMSSMAPTAGGQYHWVSEFAPRAYQKFLSYASGWLSTISWQSIVAL DAYLAGTIVQGLISLNDDGYTPARWQGTLLVFAAAIGMSLFNIFGAKHLPLAEGIFVT CHFFAFIPVIVTLLVLAPKAKAEDVFTGFTDYGSGWPSVSWTVMVGQVSCMFVVLELL TDISVAEEIENASVIVPKSMIWAFLLNIPFAFGLLLTYLFCMPDVQSALDSPTGFPFI HVFREAVNNTAGATILVVIVLVLIVMITISSLASASRQTFAFARDNGLPFSNWLGEVN PRLHIPVNSIIVTCLFSMAMSLINIGSTVAFNAMLSLSTTALMATYLISIGCIIARRI SCNPPLPPSRWTLGRFGMPVNILAMVYASWSFFWSFWPNAYDVNAENFNWASVLFVGL MGISTIVYWTYARKHYDGPVVKVEGRKFQ AFLA_114710 MSSGNPDGDSYVQVTPDDHSAFIIIAALIGLSWSILIIGIRVYL RLKLNPPFGLDDVAAVFGTIIGTVQTAVILQAVRNGIGKKEALLTDDQVESGLKGIYV AWLLYPVVVCSSKVSISLLIARLTRTKLHLKASQLLTGFILLWGVISLFLIAFQCKLP MPWNVGAVDHCGSMFAQWTAVEAGNIFIELLLPCMVILMVWDLRVASATKITVVMAFS LQLLATIPIIVRLVLLQQSTTADNAANPTFTITDTVVVTEVAMHFSLMSATFPCLRKF LQVFDLNMGATTHMDCEPGGTYYSRSRSAGSYALQSMERGSRVGNEELPGAKSRFNSR GQCQTVTTVSGGLSDGVNTQKSHQNRALDDAEMRSIDSDGSQHAIIRRTQWEVKVE AFLA_114720 MKAIRRSLKGEKDPKPHHHHHLSITPKSAIAILPPKKVIKALYD YQPDPGNTQELAFSKGDFFHVISREDDLDWYEACNPLIPTARGLVPVSFFEVIGKNER NSAGSLDLHKKKESHDSGFSDRAPAAFPGPDFVNGSPKHNPPPAFPRMSTLGKGSSPM VYGVVQYDFQAERPDELDAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFIELR DMQSGQAVTDPLDAVRRAGVPKVEEWKKMTADYKNSSITLGKIDSAAAAANNVNSVTS GMEKMSMSHQSTSHLSQNGNSYGYHHRNPSKGSLAQHMSHQSMHSYHQPLVAPVAASI PRYCFDNDKYWYIIEAKMEDGRCWELSRYYHDFYDFQIALLTQFEEEAGNRGKPRTLP FMPGPVTHVTDAISNGRRQNLDEYIRKLLSMPPHISRCQLVRELFAPRAGDFEIDPSA FGEDARFSGGSHHSAHEPPRSASRQSSQAQINPSHDRNSHQRAQASISQGNGMPPPMN RQASSLTQVSSSSSGALKVKVSFQGDLIAIRVPNDISFQQLREKLMDRLKINNEIAVQ YKDEPSGAYVDLVSDSDLDTAIQRNSKLTLFVELV AFLA_114730 MSSGIPPWRVSASATATAQTYPTHATPAYIPVQARRSLAHTAVA SPTPTPASQPSDAEAARKRVEWPAPVRLYVQRSFAPEHQMSSVSREEMESKLKAVITE AAENNKLDKIDWASLPLPQVMVQNERNRILASPNVPAWSAVATQKYESSSDASSRKRK SVEYNGDANSCPPWRQTNNHNAFEDRITYSPTDKRQRIDHKNTSKSKANLELRRKRFE EPRARYGSSPSSRDDSPSPSANQGPVVGRCQDLEKNYFRLTSAPNPDTVRPLPVLVKT LDLLKKKWKRDNNYNYICDQFKSLRQDLTVQHIRNEFTVSVYEIHARIALEKGDLGEY NQCQTQLRALYAQQLGGHPTEFKAYRILYFIHTRNWTAMNDALADLAAADKRDPAVKH ALDVRSALALGNYHRFFQLYLDTPNMGAYLMDMFVDRERLSALTAICKAYKPDVNIRF ITEELGFESDEQSAHFILDHTSEDLLQEKDGAVRLLTGAKAAQLFEAAKADAHRIVDI KGQI AFLA_114740 MLFKPLDLSTALRPSLLPDETLLFVQDAVGLYEGKYKIPHYQDG HAYLTSHRVCYVAVEEPRKHSVAIDLKDIDRAEYQAGFLKSSPKITLHPKPPKNSSRS KGTGLSRSQSPRVQNSAPVVKPSASALVNATWICPICTFSNPIPSNFDPSTATATNIP PCLTCGIKPPFTTVLKAAIAAAASSHEGTSINSTPLGGGQDTAQSLVSCPRCTFVNHP SLHECEICGASLSGLLETPNSNQHRAESPAPLIHLQGSIKNTEISDNIKLSFRGGGEK TFYERLKGALVQRKWLLYNAPPVPQQPSPNTALPTNGSVSTPARSPAPGIAGLEQRGL EARRNNEVVIGNAFEDLEALMASAKQIVTLAETLARESGMGDETSSETSAVLSESAAA LGMVTTKDMLSSGSENLYLSELSRNLAEYLTDDTKGILQKEGGIISLIDLWALFNRSR NGVELVSPADFQKAAELWESLRLPVRLRRFKSGLLVVQRYDWSDEKTIQQLQDWLLEL QQIPPAEPAPWDWRQFGRAITAQETAQRFKWSVGVAAEELEMAEDRGILCREEGIEGL RFWGNHITSHDLESSITNLVI AFLA_114750 MSTWQTEALKAKTILHNSIPTQWLLPEDKLPPSDQKNVADFPRA SGQFTDRELSITEMSATALVAGMGAGLLSAEEVMVAFLKRAVLGHQLLNFATEFMADK AIARAKELDAYYQRTGKLVGPLHGVPISVKEHIGIKNLTCNGGYVAWWVHYTSQRDTV NDIAPEDALILQCLHKAGAIFHVRTNQPQSLMVCTPLHLCCSNNLTGTTTNPYNRTLT PGGSSGGEGASMGFKCAPLGIGTDIGGSIRAPAAFCNAYGFRPTMRRNPCSGIKAPEP GQEAILGVVGPLAAQSLDDLELFQRVVLDAEPWDIETSLVPLPWRRVKENRKFTVGIM WDDGTVRPHPPITRALQAARSKLQAAGIKVVDWEPYKHAHGWDIISKLYFPDAATSQK TLISQTNEPILPLTKWAFSYAHPTPLSIAEAWALNVARDEYRDEYHARMKDMGVDFIL CPAYVGVAPVLYEAQYWNYTAVWNVLDLPAVVFPSGMVAEEGDVGGEKKDGWVARNEV EEREWKKWWVDPGRFVGAPVGLQVVGKHFKDEETIAAAKVVEEVIRGLEGRARL AFLA_114760 MARQRRRRFNARIPRNRTDHPRQFSNRYYQYATEDAPSRPASSM RNAPNIPPPATSVVDVPEYASRPGSPNRPWSPNRVGEWARAPRPPSVLSSQYERADLN GSPRPGTPSSRYGGSPRRPLPPAPLFTAPRSMMGEQDTSIDIGDPDDDVFGGGGRTIH RHGQHGSVHSFMSESTVITDEKEAMNKIDLDEDDEATGMVDPNLHYGPAPEKQSRRGV REAQMTKKEVQLVNGELILECKIPTILHSFLPRRDDREFTHMRYTAEGIAKNIVNQKQ VNAHVYEYTTQVSLDSDLKFKGAEKGIMPCQVIFCLKEHNKKKLNSHRWFFNAFGRAL QPNICILLDVGTKPSSTALYHLWKAFDQDSNVAGAAGEIKAGKGKGMLGLLNPLIASQ NFEYKMSNILDKPLESVFGYITVLPGALSAYRFFALQNDAEGNGPLNQYFKGETLHGK DADVFTANMYLAEDRILCWELVAKREERWVLRFVKSAVGETDVPDNIPEFISQRRRWL NGAFFAAVYSIVNGKQLWKTDHSLPRKILLQIEAVYQFVQLLFTYFGLANFYLAFFFI AGSLSDPKIDPFGHSMGKWIFIILRYACVLVMCLQFIISMGNRPQGAKKLYLSGMIVY GIIMVYTIFCTLYLVVLELIAKTGGDSELAVSDGLFINIVVSLLSSVGLYFFSSFLYL DPWHMFTSSAQYFALLPSYICTLQVYAFCNTHDVTWGTKGDNVINTDLGAARIINGSI VEVEMPSEQLDIDSGYDAALRNLRDREEVPEPPVSESQLQEDYYRAVRTYMVSIWMVA NVILAMAVSEVYGVDSGGTNIYLAIILWSVTGLALIRVIGSTTYAILHVVQKLVEGKA KFDAGNLAHGTGSATSSAVNGSTVQYGGGGTFRDKFTEAKWGMKQQVGKVMFWRK AFLA_114770 MNSNSSHMYPPAPNYEEEPPAYGYGGSYLSENESPRHAAPTMRL LPTSTTTVDDDFRENVGNTSAYHYGEAYEEDEDSR AFLA_114780 MASYNPFSRQESHGSYSLGSYRLLVPLSWLLVVVVGIYYTIHAP ADVKHGHGIFNQANHHITPFSQSTTVTGIYWILLLLSQLSYVYHLFHKDASIVTATAN VGAHFILNNLFIFAWILLWTRGHFWGSEIILIAHLINQHTAYWRHRALPPLVHLSAIA GPFAWTLMALFWNGAVAVHSSSLPARIVANIFIWVIFLIGTTHITVRQDDLLGYCLSF LFLGLALKQIAVKTIALQWIFAFVIFAVFLAESLYITGTKYTGRDVLLRRLTHPETAD REREPLLNEQSGAAA AFLA_114790 MEPTHPYVKELEIACLTVQRATLLTKKVLDAVDKGALDKSDSSP VTIADFAAQALIIAAIHRAFPDDDIVGEEDSKALRGNEALLERTWELVSSIHLDDETS EALLYSPKNKEEMLDLIDLGARGTCSRENRSWVLDPVDGTATFINGQQYAVCLALVEN GVQKLGVLGSPNLNLETGRMHEDIVDRDGYGYQLFAVAGHGAFMRKMGTGTLLPATRI NAKPQITDPKDLDFVDCVAATSSDIVAHERLASHLGAPWPHTTDLWAAQLRYVAIAVG GCNTLIKIPRNASYRSKMWDHAGGMLIVQELGCIVSDLAGNPVDCGLGRTLASCYGMI VAPASIHGQLVEAVKQIM AFLA_114800 MGRWICMYHTVPHARPSLADRRVFRIQPVTQFLATTTTFQHRAS SEASYVPLEPGLLLLCCFYPIGNLILLVRLSLVLRNGSLVLPLSCV AFLA_114810 MLILLGLLCLYTGLYVARTYWRLRHFPGPLVARFTDLGRLWWVK TSRSHHHHMGLHSRYGQYVRLGPNMISISDPDAIPLVYPIRPGVPKSDFYRSMMPYTR KGRSLPLVFNTRDEDLHKRLKTPIAHLYSLSNILTFEAFVDQVLEILFRQFEERFVPD QAPFNLGNWLQYFAFDVMGTMSFSRRYGFLEKGRDDTGLLSAIWAFMKAAAPVTQMPW VDLVWNKNPFIALFRATPAQPILNVVLSRINDRRNELYSTTSTPEKVNERDFLSRFMH IQSNSDTIPPWAVTAWSFSNVIAGSDTTAVAMKTLWYNLLLHPATMHRLRKELVQAQQ QSKLSHPFPAWNEISGLPYLNACVNEALRIHPPFCLPFERIVPAEGMTIGDHFFPGGT VIGMNPWVINRHRPTFGEDADAWRPERWLEDPARTRQMENTLLSFGAGRRVCLGKNIA LLELKKLTSALVLHYELEIVNPEKFQSQNFFFFKQEGLYAAVKRRSAGSPELYPDDAV PH AFLA_114820 MEANDSPHDVAVVGMGCRLPGNNNTPEELWRSILQKVDASSEIP RMRWEPYQQNAQNARSIGKVPRRGYFVKNLENFDAGFFNISPKEAEQMDPQQRLVLEV TWEALENAGIPLSSLSGSDAAVFMGVNSDDYGKLLLEDLPHVEPWMGIGTAYCGVANR ISYHLNLMGPSTAVDAACASSLVAIHLGRQAILSGESKVAIVGGVNAIFGPGLTSVLD KAGALSSDGRCHSFDDAASGYGRGEGAAVVILKNMAEAVKDGDHILATLKGTAVAQDG RTNGIMAPNQKAQELVARKALDVARVDASTIDYVEAHATSTPVGDPTEVSAISAVYGK GRSPDKPCYIGSVKPNVGHLEAGAGAVGFIKAVMSVQKGILPPQANLKTLNTRVNWSE GVQVVQDIEDWPSSGYPRRAGVCSYGYGGTVSHAIIEKYIQTGPAIYSKEQWPKGTQV LLLSSPQRRSLETQAATQAEWMSTVGKQNDLRCVAATLGTRRSHHKYRAAFVVESHDD AAEKLNAFACQTPTKWTTSGGKPEGDDRPVVWVFSGHGAQWTDMAKDLLQYRVFRDVI ESVDILVQKEMGFSAIQAMEMGVLNGSDQVQVLTYLMQIGLSEVLRSLGVSCGAVIGH SVGEIAASVAAGCITPAEGTLIVTRRAKLYRRFMGAGGMALVCAPLEQITIEISTQNV NNLVVAINSSPSSCVVSGPKEEIEAFALNLNNKGIKTIHVDTDIAFHHPMLGELMEPL AEALVGYVSPSQSKVAIYSTSASDPRSTMDRGIRYWLDNMVNPVQLTSAISAAAEDAS PLAPIIKKILSMESRGATIQAIAIDISSHDAASQLSSRIDDLCFPPIRGVVHAAGVLH NEHVLSVTPDSFERVLAPKIAGSLTLNMLFPPKTVDFMVLFSSCGQFFGFPGQASYAS GNAFLDALATYRRSQGDNTIAMQWTSWREIGMAAGSEFVRAELATKGITDISQEEAFQ AWMHVSKYDVDHAVVLRSRALEKHEPLPSPLLVDIAIHKISSILTPPPTPPLSASSDL LPLPRNPADRFDSLSRQVRECVANVLQMETDEVASQEPLSNMGMDSVMTVHLRGRLQK SLGVLVPPNLTWSHPSIDHIVKWLMEKTNDKE AFLA_114830 MKIRAALALSATASGVLAAVVPQQALLNNPQIHHENEKYLIELA PYQTRWVTEEEKWALKLDGVNFIDITEERNPGFYPTLHQASNVRYPTKMEHVEEVVGL THRLTKANMERDLEKFTSFHTRYYKSQTGIESATWLYNQVQTVIEQSGAAEHGATVDR FAHSWGQFSIIARIPGKSNKTVVLGAHQDSINLFLPSILAAPGADDDGSGTVTILEAL RGLLQSDTVAQGKAPNTIEFHWYSAEEGGMLGSQAIFSQYKQERRDIKAMLQQDMTGY VQGALNAGRKEAVGIMIDYVDRGLTQFLKDVVTAYCNVGYLETKCGYACSDHTSASKY GYPSAMATEAEMENTNKRIHTTDDRIKYLSFDHMLEHAKLTLGFAYELAFASF AFLA_114840 MAIHPTLSPQSNAQGTLSPQQARAISAWSEQAAAHLGNLTISDS VPAADQHRAGPESPSTRGALRGTTVTLSIPLDDPVPSTGNTSAPRVKLLGQPSAQTQQ VPSVSFRRREPLRRDSLKRREALLKGKDGSRRRQRWENDRLLNNPWAEPPSSRDWAVE PTYTRHDPMPYYLAPLWDVHYKHIDHTSSRQTAKGTKGEKHRVPKDLRLKLKHARAAR GMLQDIEEDIRQFIQRWGEKQLLLERDGLADAPGSSDEDSEDEVVFVGRNGQMHDSPE RKKKLQQMREAMHANRERDGEKLVFESLVDDRASGFGRWLVHSIASYYGLHTWSVTVG EPARREAYVGFYPPAPGSRAGLVTTQPTCRQEAMIQPGDALPQPLWTQV AFLA_114850 MRNGEKKRKRTIHRSQRMRHNGHSDANERLIVYLTMACVYWMDD LIASSKEC AFLA_114860 MGSYSVSSRQHSARTGGSSSSTYSDASDRSKSTAPTIYSERPTS KRRENMDPKDSVSTYASTNHDDELPKKPRYEVVTRGAESDIFPSDAIPSNSSTFGKLF PSSRRLLIGHDDTTLDGNMNLCVHTLAPRRDGYQQAVILFHLRMYDLYSRDFSFRRYC RNSEREVCHSARRPISSGGPNKRPGFQRSLSSALAGLRPGSNGDHSTHSSKRKRQDLG QKSVKEDDEDFDGQDSRDQPLADTIMLEFSNYAHVEIKRRGAGLSKRYEFEYWCTRYQ WRREHRRDGDLQEVAFHLIDLRTSKTIAHLIPEILTPMEAVEEQAKGGWVPPSSMWIS EDSVYEKMPDVADMIVVTGLMVLVDDSIRRRWHSRKHGQLMSPVRSSLTRSMEFMGPR RLLSEVFHRRGSA AFLA_114870 MSANSASAPRTSWQDQLKAHCKNHKLDAPLFNIYTERRGGRTAW TCVASVQGRQYPAQFWYDGNYVNNAKEDAAEKALNVLSPQPSRNNTSYPGQMYVCPPR AFLA_114880 MTTQEDRPGERALIWKQDLRILPLCAAIYLLCYLDRSNIVLQLF TLLVPGQPRYLTKQGNSIALMVFLIAYALFEVPSNYFLKKLRPSKWIAFLMLGWGAST MGLGGAHNYAQVTGIRFLLGVMEAGLFPGLVYYLTFWYRNSERSMRVALILASATLAG AFGGAIAYGVGHMNQAHGLSAWRWLFIIEGAPSCASAFLVWFFLPDYPETASWLSAEE KELAMQRLQNEGSKGAAHAMTWADAKEILTDWRLYAHYIIYFGISTPFSSLSLFTPAI TSGLGYTSLRAQLMTVPPWAVAYVVTTAAAWSADHFNWYAVRGLHSAAFSFIGAMGFL ASAVLPPDAYLHRYGCLIVATSGAFACIPPLLGWLSSNLRSTAGTGLAIALNVSVGAP GQIVGVWIYKANEAKRGYPTGHWTNAALLLFVCVGCLLLRVYYGWRNKGRGMEDKFAY AFLA_114890 MTCLRLCLTRQTLLHSIYSATIPSLRHTIPSVTLSGARITKMST SAPATSAPRKPMPSALKFDLHTKCSTTKARASTLHLPHGSVPLPIFMPVATQASLKGL TYDQLKQTGCMLCLNNTYHLGLKPGQAVLDEVGGAHKLQGWDRNILTDSGGFQMVSLL KLATVTEDGVRFLSPHDGTPMLLTPEHSISLQNSIGSDIIMQLDDVIATTSPDHARIE EAMERSVRWLDRCIDAHKYPERQNLFCIIQGGLDLELRRKCCAEMVARDTPGIAIGGL SGGEAKEEFCKVVDTCTGLLPDQKPRYVMGVGYPEDLIVGVALGADMFDCVWPTRTAR FGNAVVPSGTLNLRNHTFAQDFRPVQEGCTCTICRPKDQGGLGVTRAYLHHIAAKETV GAHLLTIHNVHYLLSLMGAARQAILEDRFPAFLREFFSKLYGEKSKYPEWVVGALRGV GVDLMED AFLA_114900 MSPSAETPSTGTSNGSTPSLAHNPNHEEHQYLNLIRTILASGEY RPDRTGTGTRSIFAPPQLRFSLSKPAPNPADDPIPVLPLLTTKRVFLRAVVAELLWFI SGCTSSLPLSDQGVKIWDGNGSREFLDKVGLDHREVGDLGPVYGFQWRHFGAEYVDAK TDYTGQGVDQLAEVVHKLKNNPFDRRIIMSAWNPADLKKMALPPCHMFAQFYVSYPNG QDQKGHLHCQLYQRSCDVALGVPFNIASYALLTHMIAHAVDLHPGTFVHAMGDTHVYL DHVEPLQEQLVREPTEFPELKIRRDDRGSGVVDGWKPEDFEVVGYNPHKAIKMKMSV AFLA_114910 MAPISMSKDRPSPQESFTQMADKDLESIGRHCQFEYCNQLDFLP FRCESCRGTFCLDHRTETSHKCPKAGEWARRRNAQNASPDTSLPTQKPTIYNSDQCAH LDCKTLINTMKDPGVRCPNCNRQYCLKHRLREEHDCAKITPLGGRPAAAGANANETLQ KSHAATQSLTPTPKPNSPAARAVQLNTLKKSAKGDANVPADKRLYLHVVGTSDTQRVD PPNGDFYFDSRWKVGRVLDDAARRLRVENVNNRADEKERLRIFHVESGEFLEFSDAIG AGKVQSGHTIVLMRGAGVMLGK AFLA_114920 MDPSKRDDDPPAASFTPTSTSDALASPTTTNDPVDRSHRLNNVS MLATPLPVSPSGFSSSSLLSPADAPARSTSPQAHTAPRRISSSNSLRDEHRSSSLKKR SSTASLRSVRNDSRTSTSPHRSVSQHSSSSLSSSPTATTPTTLSNPNMLSKKSRLTKA NELPTPTAASIATDHFQREVELHHSADLQSQTLVVIHDACYGHRFSRPRASRAALGSI VERPERIQASVLGVSAAYVRLTHRYEGGRFAPHPDMNLDELPVPPFQICRTARSMALS SPAVTHVHGSRWMDDLKTMCDAAESRLALNGKELVRPPSAGKDGATETAPSLHEGDLY LCSESLNAFEGALGGVCEGVDAVFGPRSTKRAFVCIRPPGHHCSSDHPSGFCWINNVH VGISYAAMTHGLTHAAILDFDLHHGDGSQEIAWEQNRKATAAPRSAANYKKTAIGYFS LHDINSYPCEMGEPEKVRNASVCIDKAHGQSVWNVHLEQWKTEAEFWDLYASKYAVLI EKARAFLRFHTERLASTPNGPPPVAAIFISAGFDASEWEGAGMQRHKVNVPTEFYAKF TADVVRMAEEEGLGVGGRIVSVLEGGYSNRALTTGVLSHLSGLGDATSCLVSGGVDDT ADRLTAEMTNRLGLLDISANVQHASSLPAYDSGWWSPALLEELEALVYPPPAVKPREK STPTYFAPTQSFTAKVVTTPRDRRSTGSQISVETDAPPLPPVSWATATHELSRILIPS HRQTTSCRPEDLNAEATRLRRERQTAAQHTSVRSAGNEESRMKLRARKPKTPLPETPN KETSKRQAIKSNRRTTIERASDLPDPSFDKSPNTRSTTRRKSAASTITSTPENKAPGD KTVRSASAASTRRPGSSRSATPKRASSPKTAPPVPRVPSVYTNLSAGEEAVITPVESR SLSRGTPDTPQDDLDSLTAGVRKLNIKLKVPSPEEHAARERERERQTSKRVSKTPIPS KKSSGTKGLNAPANKMAAHSNTALVRPDAENPITSLPTATGVVAEGSDIHGGAILDRS TVMSTAVPSQALPLQTSISPPVTPGATYDSTHPQHALYSPPISEPSVKAGIPVFISNS PIPFAPASAHHATAPSTSRESPP AFLA_114930 MVYLLLHFIVHEKGSSESDNGSNNWRHYSNLVHFLAYGPSNNSL VSFLWPQFFESRTTSAMINWQIVNIAQLGTLDLLQNHTEQSQFGAFLLVT AFLA_114940 MIQSPVFFPSPPTFRRLQVLHLVVYLTLIDFFSLASLLIAGSSI CCGNPGFIALKVLNWHSAQRRNSNEAITLTTTEIEDSHP AFLA_114950 MSSMRGLVQFIADLRNARARELEEKRVNKELANIRQKFKGGSLN GYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISSTKYSEKQIGYLAVTLFFHEQHELL HLVVNSIRKDLLDHNELNNCLALHAVANVGGREMGEALSTDVHRLLISPTSKAFVKKK AALTLLRLYRKYPGIVQIEWAERIISLMDDPDMGVTLSVTSLVMALVQDKPEEYRGSY VKAAQRLKRIIVDNDIAPDYLYYRVPCPWIQVKLLRLLQYYPPSEDSHVREIIRESLQ QIMNIAMDTPKNVQQNNAQNAVLFEAINLLIHLDTEHSLMMQVSSRLGKYIQSRETNV RYLGLEAMTHFAARAETLDPIKKHQNIILGSLRDRDISVRRKGLDLVYSMCDTTNAGP IVNELLRYLQTADYGIREEMVLKVAILTEKYATDAQWYIDMTLKLLSLAGDHVNDEVW QRVIQIVTNNEELQAYAAHTLLNYLKTDCHESLVKIGCYVLGEFGHLIADNQGSSPIE QFLALQAKMITSTDNTRAMILSSFIKFVNLFPEIKPQLLHIFRLYSHSPDSELQQRAF EYLSLATLPTDDLLRTVCDEMPPFSERASILLSRLHQKTAGTTDKKTWVVGGKDANQD QKEVLMAQNTGLKRTFTTIVNGTSTGTNGTAASPASSATGDLAGLDLSASSAPPPPNM ASAAHLTPDWDIGYNRLYFKEQGVLFEDAQIQVGLRSEYRGHMGVVKIYISNKSSFPI GSLTTTLDNRAAPNLKIDSKSLPEPSVPAAGQTQQTFFFEANGPFTDAPTIRISYLAG ALQAYTLQLPVLMHRYMEPSTLSAEEFFKRWRQIGGPPLEAQNTFGVVAKAKDINESF TRQTVEGFGWRIVDNVDPNPKNIVGCAVFHFGTGKTGCLLRLEPNYEKMMYRITIRAT QEAVPQALAKQMEQKLAQGSTSDRYA AFLA_114960 MQSAVIKAENAFPAGAPVGQSNSVRYSGVEMPSDSSGNRNVQAD GDASLSRMKLERLNHSEPLLSIPRGGSSPQAPRELTPEARTGDQEEQHDHEKDNVEAG ASSDDGIGSPRTALDPKTEKKKMKRFRRAKLKRLTSNDRERMLKSRALPEDFDTTQVL RTPFDNKTASETPVPFPFYHMTSISGANASKMLLTDGLQRLNDDDYVISPLSSASTTT GSGFPSTAADRNLEGYMPNGTLANRAAPTPVPDLQRHNRSAFPFSRSSSFSEPSFNPS LHFPGRYSRPGEPVSHPGMPYGRRPVDYGINRPGTSMVVGYDGHRQLEGSVSPTGQTD QPMAYGMDGHSMDYLYTLCSCA AFLA_114970 MREEGGRACQDKRYTLWRERSNKNDYAEAKVAMEHSTCIQTESD GPRRPQPCLKRVINIHSHRVGAGKEIPGIAADRRLLVDAKNAGGPF AFLA_114980 MADEDVESIHVYRRLVDNLLTRAELVKLDKQIEPPLTETHLGEA IWKVEGDDEQVASRLSQQTQFAAVEIAFRERFYSLLVSGFNVAIVFLATHGATTSIDE PSFIQIWNLLDIISIFSDNEQCEPGLIFWLIEELLDSQTIDGCRKVFDYLESRRERNT KKHFKQKSLIILRSCNELLRRLSRAEDTVFCGRVFIFLFQSFPLGDKSAVNLRGEYHT ENVTTFDEIPELDTSVSDEADVVMSDEQGPPTTTEGQQENNDTEAPSIADPQAPVQDQ PAAPKVIISQEEGVDEKANDLNKLYPTFWGLQAYFSAPTRIFDPQHFATFRTGLEATL SAFKSVNTDLESSGTSKTSEELRKSNKRKRTSDGQEIASSFNPKYLTSRDLFDLEVND TAFRRHVLVQALILLDFMLSLTPKAKAKLAELTNKSVLYGFVLNEDDAKWAVKMRKAI EEYLQEGVGGKFYYRMVDTVLSRDKNWVRWKAEGCPLIERPPVSVSDYLGAREHATKV YANKRLRSSPMGSLNLNFLSEGESLAGLERLKEPQRFAVPSSDSFMMGIMDDELDMDT AQTKEDKENATRAKASKTWRVLRLSAKSKLAAFDKIEDGKNLKLLFEAPQPSEGTPQV LEGTPQAHETMTKASTEGESGGSGHDHGSNSSEQGNVTVATEKGSADSKDAAAAAATT TDT AFLA_114990 MGLQSRQAMAHGLDSRPSVEDFREDSVWVQLAKTHWLETPKVRK VKQDVIKKDIWDPLEADNFNFRSLLTLENLNILEKFLWPTYTEDASNYHVLLLALIVT VKQREHLPIWDIFSDRPDDFSNLFHRILSMSIDQSLLTSSRLSIVSFIISSFQSLENV LIRKECAPLVSISIWHNLSSDDAREQVLGKGPTLKKAWRAAAKRYDAADEAAKAKMRF DRSWLYTMLLDFLQRLNGTEKDQVDNLRYCERFLEFLVDLESQLPTRRYVNTLLKDLN ILPVIRLSKLYRSSENALFRDLYNLLKHFATFSIDDYTGESLSPQAVYDTHCQELAHL QRTSMKYFKDKLMILALSNYGSIEQRPELEGQLSSLDDSELRSLCSHLGFRTDYPKQC QITPDRHLYLEILLSFYERKAPFQDAVSRLSIVPTEENLYDPALLRNETYDGSKPLAI PKLNLQYLSLGDFLWRSFLLYRSEAFFQIRKDMETIVKRMQPRASRDGKSLTFDGFSR MAIPISKPAIIEVAPPKVGFSNPAFVRAEIVIEVGRLADHVRMEWESLRPDDVVFLLA VQPGAANKMAFQDPTLTDSPSLTHLRTAEIVQVLDENGRPLREPVSGQTNGHRSRPRI RRLLVNLDPDAFKVDKDRSMQGKPDIYPLVNVVARRKGRENNFKSILETMQRLIVSDI ALPSWLQDIFLGYGDPAGARYTELPNRLKSVDFRDTFLDWQHLIESFPGTTIEPSGEE TSSFGPPYVLEYPPNPGPYPVDAPKLNSVRFTPAQVEAIASGTQPGLTIIVGPPGTGK TDVATQIINNLYHNFPNERTLLVAHSNQALNQLFQKIVALDIDERHLLRLGHGEEELE TESSYSKYGRVESFLDNRNFYLSEVTRLASSIGAQGAHGNSCETAGYFNTVYIQPAWA KFWDQARTENISLEEIIAAFPFHAYFSNAPQPVFDSSSPKEAVLDVAEGCQRHIDKIF SELEDIRPFEILRQPKDKANYLLVKEARIIAMTSTHAAMRRQEIADLGFHYDNVVMEE AAQITEIESFIPSALQTMKDGQLPLKRVVLCGDHLQNSPIIQNLAFRQYAHFEQSLFL RLVRLGVPVIILDQQGRSRRSIAELFRWRYKQLGDLPVVETADEFKQANAGFQFDYQF INVPDYQGAGEREPTPHFIQNLGEAEYAVALFQYMRLLGYPASKISILATYAGQTALI KDVLNHRCAKNALFGMPKIVTTVDKYQGEQNDYVILSLTRTRTVGYLRDVRRLTVALS RARLGLYILGRREVFESCYELKPAFDLLFQRPDKLMLAPGEMFPTTRSLDDEIQGTPM EGVEHLGQYVFEMTQAKLKAIGEQDIMVEDAMPNGEEGLVDEDEIMLGAGEEPDEDPL HEHVFTGA AFLA_115000 MTALRFVRSVSLGVLSGYIGAGASLRVTAAKPGLVNFELDIQKE HTNRLNILHGGTIASMVTYLSSGGKVGDKILAEASCDKFGKTLAYTSIKFINSKGEIV ARGSHTKYIALAWKDPQNIVEELGGRQS AFLA_115010 MAMAVAPSRPFDYLESLPGTTFYKLYQQPSTALAIFRRMLPDLA KCFVMALLYLKDPLPATDLEAWVKAESLKERDNALSILGRLHILSNTVITDNVRAYKV TDPFASSLRQALTGAEKTQSFGVLWHISDGAAVSIAELDEYARRQWEGVLGYMVGTSG LGIQRDANLSKGVKQLLQAGHLVEIRDRRVEITQDGFAFVLQDVSTQVWHILMLYVES ADAIGMSSVEVLSFVFLLSSLELGKAYDKKHLTSNQLRTLTDLADFGIVYQDSPDASH FYPTRLATTLTSDSSALSNPISGSLSGPSGTGSNKAGSGFIIVETNYRLYAYTSSPLQ ISLIALFTTLKYRFPNLITGKITRQSVRRAIEMGITADQIISYLATHAHPQMRKHNVS RSTSNQAGIPPSVLPPTVTDQIRLWQLERDRVKATPGFLFKDFVSLAEYEAPCRYAEE IGVLVWKSDRKRMFFVTRHEQVAAFLRSRK AFLA_115020 MFDTDATSNPGFQIQTHCQRTSDGHHIRRATTELSSKIFSNSIH PANDTPVSCVTVLRTVRPSSLLASPDARSRPATRLFDPISAFISRRETGAQGNDCVKN GHLNVSGPISGSVKVLPLRKERVEYHTVPKGKLPTHHRLLEDFQLANQKAIGNTLQKR DRMEYVKKSLRWTSGFENLKLETRAKADAFSPAKRPKAPSRCATRLSPLPDTGNQRHR DQIGRMVLSRNHPIGFRSKLKKPGLTIHADWVSQVSESQPQQYWLGRFVTLVNAFHYE DSFHEPDIATGFGMLSSYSRPLGHPDSNEAGYRIKRAFMVLENVCMNDEASGSLRKFR CEYINKFGDGWMV AFLA_115030 MAVPRSERTTRDDGILKLMLYLLRNIVVIAPNARLAADGDEEET SRSATINAFQAQDVFALLLTMCSNVGDDFNMQDVILLEILFHLVKGVNVQKLFMDDAQ RSAKHTDELDTLLKQESSLRREYAKNAPTRHGRFGTMIWVKRDDAKVSTVSGQDVLKD SQATLQKMDESKKWNRPQPRKTHEDSVLNNDFSTPAHLNSTASRNLRMFVEEFLDSGF NPLFTHVRKALEREADRVVPINSRQFFYTVAWFLDAERARRARQQEMHLQNGKPMKEL ERDGFGLVASVLDQETLVFLNRSMQISFDQKDWEDLNAEMRCFTQILLTVQEMTQSLL EEDQEIAENIQNRIFYEETTHDRVLAIMREYKGQGFGYLDACTELSHVFLRMLERYSK ENVDMQVRSRRRSRRKNMETQVAAPEDNNEEHASDDEDIMEAERICRERKFDFKRFAA KFCNQKCVDTFVAFTKFFRELNSDQLKRAHRYFYRIAFKQEMSVLLFRVDILNLFYTM IKGPGAMDSSKPIFKEWEELVRQVIRRMIKKIDQRPALITELLFSKMNSTLFYLEYGH ERQTLPSVRRAPAELEVNPSEATTQEDKIKIVIGALVMDGQADLVAWVSNVLGTAAEE RESWEAYEDAQRDEAQGAPRAPNPMIGM AFLA_115040 MLSSSLRSLGRNGPSIASRAFSSSSSAYAAEVKSLGVIGAGQMG LGIALVAAQKAQVPVTLVDNSQASLDKGLKFADKLLDKDVSKERITREVADTVRSRIT TSLKMDDLSSADFVIEAVPEIPDLKSSIFKQLAQIAPKHAILATNTSSISITKIAAAT TTNPTDLQAPSRVISTHFMNPVPIQKGVEIISGLQTSQETIDTAIAFVQRMGKIASVS ADSPGFLANRILMPYINEAIICLETGVGAREDIDNIMKNGTNVPMGPLTLADFIGLDT CLAIMNVLHQETGDSKYRPSGLLKRMVDAGWMGKKTGKGFYEY AFLA_115050 MTPRTCVSCLESMPADELVNLPCQHKYCNTCIRRMAATSMTDEQ LFPPRCCSRKIPSETVLPLLSPKERGSFVSKATEYATPVADRWYCPASTCGKWIPPTA VNAEKTQTQICPYCSTRICSGCRGISHRSRDCSSDADLSAVLEVARLQRWQRCFNCGA VVELIFGCDHITCRCSAQFCYKCGKPWSSCICVTPAERPVDFFAFVIDGNALNRDEEA GLTAVLASMLCHERESEESLTDKRNKGNQGCRAEGCLVRDRNISKVDLGT AFLA_115060 MLLCNLFPATSRAIKEHSRKRHPSPQCKGVASLSRSHLNAESPL VDSKPIYLHQASDCSSMECHPRIERGKAVSRRSRITARIERCRLIQRFFRRTPHKE AFLA_115070 MGTTKPRPSGKASLAAAQTLPERTFIIDNGAYTMKAGYAPDSIT EDETEALSACSVISNALVKTRDNRVYVGSQLGTHVTDWNELMFRRPVEKGYTVNWEAQ KEIWEHSFFDEKTVRSKDLRIAKPEETTLVLTEAPNALPALQKNADEIVMEEWGFGGY LRCVGPSLNAWNEVQSLFGDPIVQKYDSAVSPRECLLVVDSGYSHTTVTPLYKGQPLQ RAIRRLDLGGKHLTNYLKEMVSMRQYNMVDEAYIINEVKEAVCYVSDDFARDMEQTWK GNRKRGQFDPDEGVTVDYVLPDPNGSKKGFMRPHDPLLNAKKRKSALSGATAEALSED VLVLSNERFTVPEILFNPSDIGMKQAGITDMILQSLSVLPTGLHPAFLANVLVVGGNS LIAGFMERLEKELREVASAECAVRVRQPQDSIRSTWLGASRLATNKDEMKKVAITRQE YQENGSVWTGRKFAGTL AFLA_115080 MSTKLHVTLTTTLTLVEQFHLTLSSPSGNPASTELSGRDALPLL SASSTALKSQVTKLSLLAITSPFTSSAVGSVLSNLNGSVLPSFVTAALLITPTDHTKA FQAEALSLTKTGLNELSSLVKEVQLIAERNDEVQDHMEKKGIELSQNEKDLVTVATGR VWGACDALIDLASNGVVGFIIRRVEEWRDLVRDAVEEIDEWNPDEEGDEFFDELLSDD GKQSLGKDSEGESSEDSSDSEDTAVLYELKRTSIRLLKPVVQIYPAIITNRLKKVPEF SPSLANQLESLMTNLHRIPEQVDEVAGALYEGDLERSIRYLKRTKDCAVVAVKLVVLP WVEQNVTDSQQNAEDKFTNWSKTWLKVIDEVSKPLDDIKEAKLQ AFLA_115090 MRSPSRPPSALSSCSRSPSRSSSSRQQIGGDFFRPSSAMRLPSR SRQSLYVGGTSAELNSVTSSDSASKLKLPTSRTSTFRDTKHTLSTLRGSSGTAVIQIP DTNITQEGLHVKKTRKTPTKTHQNSRAPTKMPKSPSTKATTLDLTLEQQSEMETRRTS RSSSALRESIAKAKAARKAAMQSVDNSQSSSLDELSVEDPFNQRPKDSNKGLLRKRLE AGRTSGHLNIAAMSLTALPEEVMTMYDFDPDSVTEWYESVDIVKFIAADNEFVELPDS AFPDTDPEDQDADERGNQFGGLEVLDLHGNLLRSLPIGFRRLQRLHTLNLSNNKLNLE DIQIIMEMESVKDLKLAKNHLQGSFPADIKNLSNLEVLDLHSNSLTELPNEVAYLTSL RVLNVGDNHLSTLPFEGLSKLSLKELSAPNNRLKDTLLPASVQALMTLQYLNVTGNSL TKLSNHDTLELPNLHTLAISMNRIQCLPDVSSWQALLSLSAENNSIADLPRGFTDLKA VRNVDLTGNNISKLDERIGLMESLVIFRIANNPLRERKYLNMSPEDLKRELRNRCEPE PQDTDDEEGSVATQFTLAPEAPAQSGVWQVKPGGVLDRSYADLQDLDMDHLERINPHE VRCLYLQHNELRCIPAPTLSMLALNLTDLDLSHNPLDSTSLSPTSLDLPSLQILNLSA TGLTTLEPLLASLQAPSLTFLDVSNNRICGSLPPVRQTYPSLMTFLAADNQFDSLEFD AIQGLQVLDIGNNNISFLPPKLGLLRAEGCSKNWGNGSALKRFEVAGNSFRVPRWQII AKGTDAILEWLKDRIPAEDLCQWEPDDESSI AFLA_115100 MPRDPELQLSQCSLAPPAEISGPVSPTRRTSLSASPDISVRAES HTSRSERGKFFSPPSLSSSDMTPPPSSQITGAPLRQSRSRSNSYLASPPDIEKTLCVA YGASENLPTTEEIDTADESKLRAIAKELLSVAQEARMSALHFKLQNSLLSFTSNEAIK RAEVEHKLARREVEIIQSSEYRRRHSENKPPQKIANVELELAHKRNQELERVNATLDR RLRRAKKLIDQEKVKSDRLGEENSLLKGRIRDNRKHLSLMIEHGSLSPSPQTEIQTPH RKSVPHFADNSHHMASDENHNPFAALLAADRVLNRESPSAMSTSNQNGAQQQFGNHHL NKKVNTSL AFLA_115110 MAIKHNNQILNQHFRKDWQRRVRVHFDQPGRKHRRREARLAKAA AVAPRPVDKLRPVVRCPTVKYNRRVRAGRGFTLAELKEAGIPKKLASTIGIAVDHRRV NYSKESLVANVDRLKDYKARLILFPRKSGQFKKLDSSAEEVSAAKAAFAAEGKTEGYA TRANATLPIKNLTAEEAVTEIKRDDLPKGEEAAYRRLREARSEARHKGIREKRARAKA EEESAAKK AFLA_115120 MAPCLSGMGHVLPINQARSLPASALSIGRYAQFPSTRRTASHAH TKSQDTTAYLNNSEAPQAHPLSGYYHDILSSRSPYSRQASSSRPISEEPDNEPSISSK IEPQSPQDKMSIVFGTRLAGPGRSSRYNPGATPSESTWKTINGVAIPPRPEEPDNCCM SGCVHCVWDDFRDEMEDWAARVAQAKAKGTSEGPTGDLLHTPRAEVASASTSMDDDGG GSETKWTIPDQADDLFASIPVGIREFMKTEKKLKQKHQQHGEGS AFLA_115130 MAVESAVRKYGKMLGCDFSDCSRYKILQLHSNLPDGQKELFSPV SRACRRVILSTDVAETSITISDVKVVIDPGKSIQCCSACRQGWQSPAGEYFALFTRDM HKSFRITRFPGMMREDLQQATLQVKRTVSSASIQDTLRDSIEPPDAAKLDLAISNLQL LRALDEKERLTPLGVLLSELPLDPCRAKLILLGVIFRCLDQLLIIGVIGGDQSLFYSS PVQETRNDVHRTRVEFSRNTWSDHLSAANAFKATREVWYRKGRAAAFGFAVSNHIHFD RVYEVLQAARHTLEFLAKRKIISCHEHLDERFQFGGASLNTNSWRTPLIKALLFHVMY PNLAAPSSASRRRYYTETNDMTHMSPSSVNSTERPRSLFIFNSTTKPSSGDTYVLKQT SHVTPLAACLLGGRLHGSGRRICMDSWLGFLVQANEGSGGDRAARLLIELRKTLQIAF DAAFHSLGQLENHQPTKEPKSTRSHDLLFDMISEIMIDILVRDIDPVYSKRVKTATQW A AFLA_115140 MSLSKTETDVILNKANVALARSQRLVASWLPPKTQEEQANTKSE EALQQEEDEVFTAVPETLGVGAPLPSRAADGSWNRTELDSNDKLRRQLLGKNYKKIMS ERSAAAGRQPSNMKSLSGASSATNQSQTTAGRAGDDDDEDEGRTASVGKKGPTKKRKA ESIPRSLDYIVTDNDDRGDRVDGGGDGEVETLVKQGESLSQQPSRLRGRRKATSFLDE ILAERSRKRKKK AFLA_115150 MSGLADPASRNTVVSGATDVPGRTRKLPLLSSSVPASGQPMGDP MEVTPPASAAATGAGPSAHNNSDNDRAGASTIATSNGSTEPAHVHNHVAPSQAIGAAA AAQQPKVVQTAFIHKLYNMLEDPSIQHLISWSQTNDSFVMSPTPEFSKVLAQYFKHTN ISSFVRQLNMYGFHKVSDVFHTGSPDSALWEFKHGNGNFKRGDLIGLREIKRRASRHA LIHRDSFPGHKAAASQPGTPAEPVPDATEARLMNLEHTLYDMHNRLSRAEEGNAALNA RCLAMAESLSRCYHWTHSISRFLQGMIPDREGLLYRDVSSMQAELEKHLDSVRALEHP PDPYLSIRQPYVPTVSIDPGPPLSPRQMPQEDSRRPSVMDATRPNMIRPPVPSHLAVS PRRYGSIGAANSSPTYSRPQVPTVIPPQQPVPHPLSSVSSPPGPNLARRHTSADIRQH GWPPPGVSPFQSAHQPGTATAPWSPSPHRTPTSSDQQVREVLAQYEMGAPRRLQDTSR HATPPLNPDQTNSGPTADSSWTLGPRFPRHDTSLPATRRSSMASNVHSLLNPADTAER PDEEQHATLEDRKRKRLE AFLA_115160 MDPAVLDILTRFKDLKSTSARRALYHLLLEQMHPYEWREVRDRM NQVSFQKDILGTLPTEVAVQISRHLDLSEIHIFRRVSRRWNCLLSSRLFRDAVCHQYV GHNSRSIALESPDAFTQYAKQRVRLERGQPISKVLNRPYSPIPNATGLVGLDFSHGNY GWIEDAIVYVHNLHSNTTQSFCTENRDTFTALRISESIVAAITLHG AFLA_115170 MFKLARSRPIAAAFRAATVRFLSFAQTFESYNSTLIDIGLAHRS LPFNLAFASNRETCRSMNICPRSSSSRYEQPDALDFPPPPSRRTNRSDTQYGVGMPKG EVAYSAEEAEAVAKSLGNDDMVIKAQVLAGGRGKGSFDNGLKGGVRVIYSPTEAKMFA GQMIGHKLITKQTGAAGRLCNAVYICERKFARREFYLAVLMDRQSQSPVIVASSQGGM DIEAVAKETPEAIITTPIDIKVGVTDEIAGKIATELGFSEQCIPEAKDTIQKLYKVFM EKDATQIEINPLSETSDHQVMAMDAKLGFDDNADFRQKEVFSWRDTTQEDADEVKAAE HGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGNPANFLDVGGGATPAAIKSAFELI TSDPKVSAIFVNIFGGIVRCDAIAQGLINVVNEMGLRTPIVARLQGTNMEQAHKLLNE SGLKIFSIEDLQNAAEKSVQFSKVVKMAREIDVGVEFTLGI AFLA_115180 MVSIGDKRSSVLITGLYCSCHGSRPRGGSCNLRNEFLCGHQHVP NIPPATQESPGYYHTDWLCRRGNKSWLIWFLSGGWAWTGIFHHAMTRMFKLYKLKKMG GK AFLA_115190 MPKPGNDGYRTPTIEDAESFIAVQRNGNSLYPFAGAWARQAHSS ALEALHSTPCRKPEDDAVANVNVPYRFRGLQIIGVIFFLFNILLYVIIWSLLVMRFYH YPYTFKASFLHPTESLFVPASIVSFGTILINISQYGPDNTGPWLAHVVGILFWIDACL AIIFSAGIYLLLWSTQTFTIAQMTPIWIFPAYPLLIIGPHAGILSSKLEPSRSLPIII GGVTIQGVGFLVSLMVYSAFIYRLMSQKLPRENVRPGMFVSIGPSAFTVAGVVNMAAN AKRCFPDDFMDNGPLAAEVIRVVVNFAALWLWGLAIFFFFIASFAHWSAIGPGRMVFS MGWFSFVFPNTALITATFAIGHAFSCKPILIIGCVMVVPLILMYIFVCYMMIRAIALR QILWPQKGEDKDEGGFEINEIKPESPGNHSPA AFLA_115200 MSQKVYVTYNQVHKLCQSSADNILTTFHPNLMIAIGGGGYVPAR ILRSFLKRAGEPNIPIQAIGLSLYEDLGRGDAEEVPGTKVTRTQWLDLSSLEMANLIG KNILIVDEVDDTRTTLEYAVRELQKDVEQAQKQLGREGEKTNFFVFVLHNKNKSKKGV LPADMMESGRYHAAVTTDDVWICYPWEAKDIDEHDALAKENPLF AFLA_115210 MTRAQQTLSVLLLVSSLYLVLYLDLVPLNETVQKEIVPVLPFYA LISFGCYLLGRLGVAILTFNDVPEAHEELQKEIEQAKAELRRQNVEVD AFLA_115220 MYQGAPKSKILVVVPDAPLAAEFTVLVLLIGAVYDTNIQYRHIE YLKVSSMGRVTKFGVWVV AFLA_115230 MAKTRYQTSLTAEAEGDYPSFKQVNVLFEGLQDILYRLWTCRSS FMVQAAEALANGSRNPLWRLPYGQSGVLTFFLQLIASKEDVGTGLLLHALRLIGNSCA DTDENRTIVVKGNYTSAIIQHLLNPELIKIVIPVIYNICIDFGEVN AFLA_115240 MLSKSLAFDAGWPSNDDIQALAQVQLRINQTLAEISGTPLFAEH YPLGSDLSLMLRSWLQRTEDQLQICSCVMLGNLARSDEVCQAMVQDLNIHRDLISIVK SDAKGAVLYAALGFLKNLAIAGDNRLHLGRAGIIPAVSQLWEYETVPQVQFAATSIVR QVIIASVENVSHLLENFPGDEDHRTYLSQLLLLFEKTDSTPIRTEIGRIIASICRTLI PKSREQDEEADAILKRLFGIHSGIAFPLGAMISQTQWPVVRSEGWFALALMASSQPGS GTALECLEKMDLFPTLEQSLSAESLGSADEANKLQLIKDRDNIIVLIQEILKQDPIQL SDSAKNTLRGLLNSQVLRNLDSTRER AFLA_115250 MGRLDSICDAKLHGRNDHSRHFCLSALTWCVISCTCIFMVFASV YFSRYSLFELGLLPLIQRVNPAPFHVYFKEFGSFEKPKNIRIVALVPFRSHERTEILD CYLQRNLLDNHGFLDQVIFIPQTNNTQSLDWLTSTVKRTPLYQISGFGDYIQWGGMDE NVIFIKIDGDTIFLEDHTISTIVKTKLDHPDSLIVSANVINQAALQALHSHPGVALPY LPELSSSDQPQIPVTQDWRATDLPAWEGPADFKVSKGYPPPSESHRWLPSADENGDRT PIGMSMYGDNGPELDDWTIHAQQHYSFLQHLEDGDLYRYKFPMWVDPTDSLSPNFLCL RAGDPSIVKSIIQQDTDKLSLEVAQEVLGSDRGTIIDGKGLAAHYSIEASSWGLDSTD ILHRYRAFNVLWCCPSSARPGYSTGLVAYFWLSLKVE AFLA_115260 MRTAVLFGRFCSSRSGTPQLLSRFILPVRWRFSRSPSWRQGLGW KSHRRMHMPGTLLLSSLTPGAFLKLAEEGDGNEKTGEMQMLEASRQEIQKSVTEDARG LLKLQQSIFVFWYYYIYDPIATGLRFAHLVVVFLPVILTVPVVWLGKRLKTGKEVRSG TLWWYNFLVRSMERAGPAFIKLGQWAASRTDIFPPELCNIMSSLHSNAPSHSLQETKK TICKAFNGLPFEDIFEEFYEEPLGVGAIAQVYKARLKSNLAASQDQEALEIQGLRDKV RKNVDILMKSTPQRVPSSYVAVKVLHPKVERVIRRDLKIMSFFASLINAIPTMHWLSL PDEVHQFGEMMRLQLDLRIEASNLVMFREKFKSRTTAWFPYPYLDYTTREVLVEEFAQ GIPLSTFLDVGGGVFQQEIAHEGLDAFLHMLLIDNFVHADLHPGNIMVRFYQPSELDL SLRKHTRASDAPTAADVDVTETVLARLRPHAHSPEDWERILEQLNAEGYRPQLIFIDT GLVTQLNDTNRRNFLDLFRAVAEFDGYRAGQLMVERCRQPGEVTDPEVFALKMQHLVL SVKSRTFALGNISIGDVLSEVLSMVRGYHVRLEGDFVNVVISILLLEGIGRSLDPNLD LFKSALPILRKLGSNTTFLKSVRSGDTSMLRVWVGLEARGLLQASIETVELCVKSDML SPNI AFLA_115270 MGWFWADSPSQPTPVAPSPLASSGTTPPPACPMHTSNSPPVPSS VPEPPTACPVRSKDSPFYVPPKSDTPLPSKAENKSTLSKLNPLNYMFSSISQERAPNQ TVDLSLEREPSSIPRGDTDGNWEYPSPQQMYNAMLRKGHTDTPQDAVEAMVAVHNFLN EGAWEEIVGWERLFSKGLKEGWAKCRRGEENIALDAAREELTNAGALENQPRLLRFKG RPQELTPKAQVLQTLGWLYPAKFGTPPPFDRHDWFVMRQTPSGPKEVRYVIDYYSGPP EPTGEPVFYLDIRPALDTPTAAIERMMRWGGDVWWRATGAAVRENGGN AFLA_115280 MHLRGLKRMVDLRGGITDNSIHQSSMLAAILTTDVKAASGLMTQ PVFPLARDLQPVSASIQERIAPSDTSELQRLGAAFVSDLQLSSCLLSILRAMRDIVLY GQACNQNPAVLCTDDHEFFRSLNREVEHKLLSYVYSESTTRGRPISEATSYLGSLEAV TRIASICYLNHFLIVSPPSSGLGRALTRHLKQALADCPWPPLSKEGHGLLAWVLFIGA QSSAGQVERPWFVERLSRIALVCGWHTWRQMADVLIDYFYLPSTNQADWESIWNEAMV AFLA_115290 MATKAAFKRLTREYQNIQKNPPPYIIAHPSESNILEWHYILTGP PGTPYENGQYWGTLMFPPEYPFAPPAIRMHTPSGRFQPSARLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSASEAERRVLAARSRWWNSTGGGSHVISTPGVTPT AKGINNVKAGDGGLKFRAEWPELDQENWKWMRDNHIDTKTGQLIPDPNAAAATKCSPE TSALRRRPNGSAHGLGAVVEGGHVAREAGQSWVRRNRVWVGLALLFGYALVARLLNDV QG AFLA_115300 MSSPLSTEDGDIFERLQQRADPKVLEEQQQAVNERIHAIYRKAQ NRLGELIDQNSTLPCAISSVQILNAHHTRRGFLERIFNPLLSSNQKRPYTLSEALREV SARADKLSRFDIFQQPVSVYLDKSPEGDPQTGLPTLDVYVSVKEKSRVLLKTGTDLGN TEGSAYGNLLWRNVFGGAENLNLNASLGTRTRSAYQATFETPILSDPDFRLEIGGIAS STQKAWASHEEALKGGWSKIRWMSQSGHRHEVGYNGFWRQMTGLAENASPTVRADAGD SVKSSIFHSWSKDRRDNGLLPSRGYYAKAFNELAGWGPLKGDVSFWKSEIEAQNAIPI PVPGIEGNSGISFTTGFRAGLLYPLGMDADHRPQPSRTNDRFLVGGPTDVRGFRLCGL GPHDGADAVGGDVYAAGSANLLLPLPRVGAEKPLRLQAFVNGGRLLPLRTAQKSTPTT GSEVKDAMVSTISELGNGVPSIAAGVGLVYAHPAARFELNFSLPLVLRKGEEGRKGLQ LGIGINFL AFLA_115310 MAQYYPQQQPYGSQPSAQNLQFYPSSYGSVSGHTTPSQASYGGF SAGPNPAAQAYPIGGVGGGYGGFGSPATGVSGRMGEQGGLRTGWLAAFGTEGYEGEPP LLEELGVNFEHIRTKTLTVLNPFARIDQHLMDDSDLYGALLYIVLYGTFLLLSGKVFY GYIYGVAVFGTVALHLILSLMSPALDTSPTPNAADPANYDPHHKPSYSEASAAGHFSA TLTFPRSASVLGYCFLPLVLTNLVGIMIPMDTMFGYLLTTAAVGWCTYSSSGMFCAVA RMRGMRGLVAYPLALFYVVFGIMGIFSSRGSGTLAAKTGAN AFLA_115320 MSKESNLLRWSSPFKVTAPRRTPKLPGDKITLPQSALEQLLAAA PLQEVFPNRPARPYTAVFDPLNPRTHAGESRPHERVSERQHQLPHPLTFRIVNPKNGR AIYAGIREFSAEENEVGLSAFLRDALGIEDDQFPSETYGYWQTSELSETIDGTAESQP TSLAPDLAPLVTVHVEQLPKGAYVRLRPLEAGYDPEDWKALLERYLRDNFTTLSTGEV LQVSGGQHESFRFLVDKIEPAGDGICIIDTDLEVDIVALTEDQARETYRRRMEKASRA IGTQGDSSTGGVLSIGEKVYGLVVPGAYVDYEIREWDRRDPIIITVECAGDADVSLFV SPLTPRQRNRPREDQHLLSDFTTQPIKRVRIESTNVELEAAEALYVSVYAFDHREYSD EYPQNQELPLQYKLQISANHVQSASTPGIDTLGLCSFCFGSLYVDTYDPEGKALRRRI ERRYLSQMMTGCGKPWCQNEYCKNGRRARQPSSIPMNVTPLESMSVANILATIKPVVD AICLQSGNLNTAPFYFCTDQLGQQRRILAEMIAAEGSVASGKEYDLPWCVASVEATGG DLIKAREWLENWAPTKNEEARVLC AFLA_115330 MMRSRYRDWWSSRCTPPTSNGVLETKEGPPSSDDTRESALYYQN AWYRPSGKLIPRSIDEGWAHTIQQCQGIISFDAVLCRGPRHNVDLYTSLEHDEDGYRP EGQPLGPAIATVALGPRGCDGCRTSPEGPAVWGESPERQFPLLAPLPLHSSSVAVAKR PVLFPDVHPILVARCAECLTDRWCRRCNKWFCTNCLPSPERVRTNLSPHQTAVRGPRA SQDTSLSHERRRFQVGVSRDCWECGPTCATCKAECQRTCQNCQGEYCVDHNEGCSPTM CDWCNTSARHQFRQLY AFLA_115340 MALDNYYHNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDIADGVDISKLTVGKRVALLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRIDSAGSGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKEVAVSKLFK AFLA_115350 MSGNQGGDGNPSPSFQPETSARLPSNISNENMLCGTVRQSRVTG QQRRSYGTLEPSEREERDSRLDESRPESSNRIPPSQSPSTVTQSDKPRKPSVTRRMSS KRTIPHKGQEFSTDDDVQEVEEDIAKQQATNPQNSPRMRPLRKQSSSLRRRINARINP LTRADPADNEDENTVLPASSLEVAGNGTSSQHSEGGSQDSNDNNDEDVSDAESFTLKD RQQAINETHPFGIRLWKPALYKKSRSVEKTAEGDIHSSPGGRVGTMLFLTNLLWTAFF GWWLALAALIGSVACFIFAYSPSAVEYGKVFSGLSWYLIYPFGSFVRLDTDENYAEED EGEGRSISEYEQWQNGDLEHGRLFFGPRRGRSLVGRRRNSIDSASEQDSLLGRTQRAS SHDSPLGHHKRRLFGRGEWTLGRVVFFVFFYFLVGPLMLLVSLLCWLLVFWIPMGRVT IILFDHLRRHPLALSYHSDTSYTRLSPNSSSSILLCTYRAAGLRYWKYTVGGTNIFLI NLLGVVLFVIFDYFFLEKTLGVQNSLTHPGLIFTLALVSIIPLAYFIGQAVASISAQS SMGMGAAVNAFFSTVVEVYLYCVALTEGKGQLVEGSIIGSIFAGILFLPGLSMCFGAI KRKTQRFNVKSAGVTSTMLMFAVIAAFGPTLFYQIYGSHELNCRPCFSTIDAGGSDCR RCYFSQVPAVNDSFFRKAVKPYSWFAALFLFLSYIIGLWFTLRTHAALIWATEIEEKK AAAVVQEHGPYEPRHLLQSGPPAAAGANAGPGPKGSVRDSQLYRRILGQSLKHIGLSE NSFESGAEQLESSSTRDTNTPYLVPPHGEGDEDYSGFGRFRGLSGEENEHLMRQVTEV AATAAAVAARDAARTRKLSVQQTPARHSNRGSTDPVKAVVEELDDIGLENGQTSGGHD APNWSKARSSIILLGATVFYAIIAEILVNTVDVVLESVDIDEKFLGITLFALVPNTTE FLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVLFSAIYERLIDPAELLTHTFNL IFPQWDMVSVILCVFLLSYVYGEGKSNYFKGSILVLTYLVVVIGFYLSGYSNMDVMGV DRLDTLALGTSQTFHTLGRSKSGMAY AFLA_115360 MSSIAPSMPYRRPSRQGSRQASRDSRDDIHFHIDHYIGIDVGTG SARACIIDGKGDIVGLASENIGLWQPQQGYYEQSTNDIWRCICVAVQRAISQHNIDPE TVRGIGFDATCSLSVFSNVTDEPISVTGPNFDSDRNVILWLDHRPVEETEKVNSTNHN LLRYVGGKMSIEMEIPKVLWLKNHMPKDLFDQCKFYDLADALTHIATGNEKRSFCSVV CKQGYVPVGVDGSVKGWQEDFLAEIGLGDLTQEDFKRMGGVDGVNGDYLSAGELAGTL CEKAASELGLPSGIAIGSGVIDAYAGWVGTVGAKVDLGSEQLSSNAAKNDKTQAFSRL AAVAGTSTCHLAMSPNPVFVPGVWGPYRDTIQPGYWMAEGGQSATGELLKYVIETHPA FNQAVSIAESYNANIYEYLNEHLKEMAHEQQAPSVAYLARHFFFYGDLWGNRSPIADS GMTGSVIGLTSNKSVDGLAIYYYATLEFIALQTRHIVETMNKAGHSLTSIFMSGSQCQ NEILVGLIASACGMPVLIPRYIHAAVCHGAAMLGAKAASADADGKTEDLWEIMDRMSK PGKKVVPTNNATEKALLDVKYKVFLEQCYKQQEYRSLVDNAVSSWKST AFLA_115370 MRPSTVIISILSAVNSYAQATTPYPSQRISTDILYWPITSSEPS VFARVSYDPTSLESNVISYSPPSAVQLESPTLVRIGLYTSNGIDPEQWTGTLTSWSAI AGSDGQRPMLQLYLDSSNKVYQVALTLSLLESTVASNTTSPIMKLIPLEAGPRPHLNR PVVVSPDGTNPEDVAEKTFFQKYWWVFLLITFLAMSGSGEEQ AFLA_115380 MSAMTGPRSPTSPWPQEPPNLQITGHPTSAAIFSLCDPHQSPLN SSLSPRNTVPERSGPNYFSISVESSTTPRKTGDTLSYAQSSIPSPKPHLLPTKAVLHD YANHTGTGSTVDERRASVIYRRSLSRVLPKKDFIPDRSHTSTNLTSESGRAVAVERCA ELLESSPNDVMLLDVRPYAHFAKGSIKGSLNLCIPTTLLKRPSFDTQKLANTFTNHVD KMNFARWKYCRYIIVYDAGTSDMNDAGPLVNVLKKFTTEGWDGDGLILRGGFDSFSNR FPALLQQQSSPAKPSKKPTSMHIDLPSVAPIAGGCALPDSSHPTIPFFGNIRQHMDLL GGVGQIPLQLPENLTESLRQSLPPWLREATDPADQGRGVSEKFLELEKKELERMKQAL TYDKSSDTSAGGVFPEKFRVAGIEKGTKNRYNDIYPFDHSRVKLQDVPPGECDYVNAN FMKAEYGTKRYIATQAPVPDTFTDFWRVIWEQDVRLVVTLTAEFERGQIKCHPYWESG KYGPLQVNNFSQKYLDIDSPDSQEVSSPFKTSEDSAKPYIIVRHFGLSHSAFPFQPLR EVTQLQYPCWPDFGTTSQPAHLLKLIEQCDKVTSASPSRDVGATGRRPVLVHCSAGCG RTGTFCTVDSVLDMLKRTPAENTAHSQNSPTVDHSDHDCVYDGKLDLIAKTVADFRTQ RPSMVQNLSQFVLCYESVLEWFVSRMENKSLA AFLA_115390 MPVFQSKTFRRATTASSSLGERIGETYRARLPKHPFLLFGFPFV MIIVAGSFALTPAAALRYERYDRKVQQLSQEEAMNLGLRGPDGEEGIKRNPRRRIIGD EREEYYRLMAKDLDNWEQKRVQRFKGEPDGKL AFLA_115400 MIAESLTRIHRDFDIFLEEKVDLDWEEQRRRIFQHFGLAQKDDN TGDGRGAFGRSMRQSKQQLGATSSDTAPGVTHRSVFGRSGMEKSVIGVPGTGTASRRF FEAPIERSEASGTQSSDLHFLREKMGRYADKVQLLNSARLQAHTFPILHEFSEVESHV GGDVPRQLFDAYHALISIVQETPNIVNTSDPGALKERQFSEDYLEEAPASRRAISLRK RIVDGSRTFLENLFYNEVESVIAKNPREAQLGGIPTVINKIRAYIRLRAVRKDLAPDG TELQMVGQDYCWILIFYLLRCGFITEAAEYVSQDPGFRSLDHKFVTYMTTYAQNRRLP RDLQQKINGEYQQRSRNAPDNTVDPYRMACYKIIGRCDLSRRRLEGVNQSVEDWMWLQ FSLAREDDRVEEVAGDVFGLEDIQTDIAEIGQRVFGKGQEGPGGYGTFFLLQILGGMF EQAVSYLGSYAPVSAVHFAIALAYYGLLRVSDFYTSGEEILSFTVKQYPQINFGYLVI QYTKEFRTGLVEAAIDYFSLLCLNADLPGSLGKSQASVCHEALREYILETREFARLLG DVRSDGTRVKGLIEQRIGLIKLVDQEEFLKTITVQAAAVADDKGLITDAVLLYHLAED YDRVIDIINRALSDSVAVELGGPSLKLQPLQPRTKQQDAQASGQEALREPGSSLSLTA VEDPVALARNIISIYNMNALYYQRIRPVNRDACGLLLQMMEAKAEVEAGKWTPALDAI NALNILPLRARGSVPYIRSAAQAFSSFPTMISRNIGHVVMWSITCIGHERERLRSGTY ENEIRQSLADELLVMAKDLMIFSGMVKYKLPPRVYETLARAGAEIGAY AFLA_115410 MGHDYSDVSTTSANSLSSKSDAYPLQNETTVLATPTLESISEKT MEASNHHHTATHNPGSQTSSLESGDGSRPHVASNFHETMAAENETTLRHAGSSESNGN CATAGPSEDAGTPTSYNLINNPPNLARIRQVMFECKDPIEISLEEFETYWPYIDNVWV KQRSNSSKEGHCTTDYYMCRLRRPTHRTSETRPLPEGKRPRKKRVREGGICNFQIKVV RFEGAYSTVTIARTPGSSPIHSHDLDYIDKVKRNSGLMEFARKEAIKGYLPSSIYTKF QEEPEKLIEAGGKFCTVTDVRNVSAKWRIQNPEVKLISHDGYEYQKGHGIVRIRVTDG NCKAPTNPTPPKTYQDSPLPPDTLLFPQFPLDFLEPYLPKYDERRMQTVLSGPEAKLM THYLRSRHDAILIGVGTVLADNPGLNCRLEGAGGFGGLGRMWQPRPVIIDPTGRWPVH PECRMLRTAVEGKGKAPWVVVSPGAQIHPQKLMMLKGYGGDFLRIVEYNQNWRLRWEA ILRALASEGVKSVMIEGGGTVLSELLNPEYTEFIDSIIVTVAPTYLGSGGVPVSPDSK RDEQGKPNAALNPREVKWVPLGQNVIMCGRIRAVTTIQAVESNTHSPGS AFLA_115420 MPPKKSTSKASAKPSKSTTNTNKASATKTAAKERPAKASASKSK GGDARTKTTKAARTTAPKRKAEAVEEPIREIKKARVVEPRVTKQKPKVVINHAPTTRL NVYVCGEGSSGELGLGPERNAVDVKRPRLNPYLSADKVGVVQVAVGGMHCVALTHDNK ILTWGVNDQGALGRDTTWDGGYKEVEDNKSDADSDSDDDPALNPYESTPTAIPSDTFP ESTVFVEVAAGDSSSFALTEDGQVYGWGTFRSNDGILGFDSTHTVQPTPTLIPSLKKI KHLVCGDNHALALDERGAVFSWGSGQQNQLGRRIIERNRLNGLQPREFGLPKNIIHVG SGAFHSFAVHQSGKVYAWGLNSFGETGIQAGAGGDEAAIVHPTIVDSLSGKNISQVCG GAHHSIAVADGEQCLVWGRLDGFQTGLKVDTLPEEAVIKDERGRPRILIEPTPVPGIK ASTVAAGSDHSIAIDTDGRPWSWGFSATYQTGQGTSDDIEVATIVENTAVRGKKLNWA GAGGQFSVFTEPVAL AFLA_115430 MEQDSHLDIQQGLQSQTANDLFDYDVGLDELLQRAPTASSINAP TGDSGLGLGLDEEVKVARKRQPVAKLDETRVGHGKIDKELSTEQSDVPAQGLFMPHDS NNHRAITRDVPEDGDELEILLREQQCDEATAKSLSTFTDLDADLDGMEAMEEFNILTP T AFLA_115440 MATDFKTVLAPPKRANSDYPLIDSDPHLRRVFGYARPSDYAIAG GAAAASPLAFWAMERVSPSHVGRGGFAPVMRLATAIGLIGGLHVLYQRSCNRFYGFTE NSREVEMDTREMVDKVKRGESLYGTSKVSAYLQGVAARNSRYSELFIHVLPWFNIVNH DQHGVDTAKYYQQAERELEAERLAKAGSA AFLA_115450 MEEDSRHAYFMKQALLMGEKALETGETPVGCVLVYDNQIVSSGM NDTNRSMNGTRHAEFIALERMLRNYPKSLLRSTKLYVTVEPCVMCASALRQYRIQAVY FGCSNERFGGTGSILSLHTDFSIDPPYPVYGGLFSKEAVMLLRRFYIQENEKAPKPRP KKNRELNTRFEDDA AFLA_115460 MGLSNCNGTIYLKLCLECLKTTWHLGKTAKCWENGSSEAVEKRS VKVSKSWLNSLCKLEN AFLA_115470 MFYFPSHSTRDFAECAKKITFLLLIKANKGIPATTSLKPPGDVK PINASETVISCRTVSSDSDCGLS AFLA_115480 MQNLLRFCGLDSAVTQRSRDVQADEKYRRWSELPETVRNTLTPF LTSKYTVTVGAVNSSCTYPLFSATLTHGEWLRTFVQDLLQKGSGDNARLVFSVSSRIV KGQDVSIASFLLPFAVLNRIVGGTQKEKEDLLYELTSVLSHPLPDSTNHIYEAILLCS QSIFEILDYLSRWLQGKKKQLNSLRSHNYHAGRSHREACPDSRLDTDASQVKAVESLL ASIPPEVISKRAVECRSFSRALFHWEQYIRQSSNKQTDSKGFEPLFQRLQDIYSQIDE PDGIEGISNHLHALNIDQQVLEHRKAGRWATAQSWYELQLEKEPNNVDAQWNLLTCLK ESGQQDAILTRFEILQTTDPGSRFVPFAIEASWITGKWEKLRNYLQLYSQQGTGDFNI GVGLALDAIRQGSYSRFGDIICGLRLSVAKSLNANSVASLQSCHDSILRLHALAEMES IAGLDSRSEKDALPKIRAALSRRLDILGGHISDKQYLLGLRRAMMELTCNFPNSDIAD AWLASTRLLRKGNFTNQAYQSMLHAARLKNRSATIEHARLLWKDGYHRKAIQTLEGAI AANEFAPDNASDGSDSVYLASNREKHQNLLAARAHLLLAKWTDRAGQTQSDVIVQRYR EAIYLHSSIRWEKAHYYLGKHYNKILDSEKAKPLGKEAQIYLSGEASKLVIDNYLRSL AHGNKYVFQSLPKVLTLWLEHASTVDQPFDPKRGNNEDFKTHTLNQRRKILDDMHSQL KKYVNRMPAALLFTILPQVVARICHPNNTVYDLLTKIVAKAVNFFPQQGLWIVLAVVK SSSKERASRGINCLQKITEVNKKLKTETPSDMRAMINQGQRFSEEMLKLCVARVEKVS RINLARALGFNHKIAPCRLVVPFQAMLTPTLPTSHDAEYLKGFRAFPRDPTTIEAVLD DAQVLNSLQKPRKIGVRGSDGKIYNILCKPKDDLRKDQRLMEFNNMINRFLKRDVESS KRRMYIKTYAVTPLNEECGLIEWVDNLRTLRDIVIKLLRERGIAPNYTEIGHYLEEAC SEISKLPLFTTKILPKFPPVLHEWFIEMFPESGTWFAARLRYTRSCAVMSMVGYVLGL GDRHGENILFEEGTGGILHVDFNCLFDKGLTFDKPELVPFRLTQNMVDAFGAYGYDGP FRKTCEITLGLLRQNEDALMTVLETFLHDPTTDFIGKKRRTHVSVPETPAGVLENVRN KLRGLLPGESVPLSVDGHVDELIVQATDEKNLAAMYIGWCAFF AFLA_115490 MTFIAHGLYLDHDRHVALDRRSSGQRPLRGGGDSCALVARDVVG AVVLVTAVMTTAATVTEVEVPAGAEALVDMVRKGGE AFLA_115500 MSATARGRSPNRSPLDPGPEQASTPMRRTMSPRSESRPGSERSP SSDHVRRNGYRSRSRSRSASRSRMLSRSRSRSWSSRSRSRSRGSRRCRDRSYSETPSP SDNLPRSSKVCAIAHCCPICALYI AFLA_115510 MSEPRLFTVRPLSKQARNDHKDAFRVYLSSSSLAALKLRAGDAC TLNYSGECAKTAIAWSATENIQTTVVQTSRTLQDCYGVKIGEKVSISKTDGPLGEIES ISFVECSDIERMTRYGPIPALERHHWAWALEFPLARCDALAVGLIFDLELKGQRRSFK VATMRALNQTTHSTLLRFTENSKTLIGDDSDEERGVHGFDIQVQSSGLGGMGRQIESI NESLADFNIGSEIVAMPSFYEHTRGVLLYGPKGTGKTALLRQIQAAGWRKAFNIGSST FGRNIGESEAKLRNLFQDAIRCQPSVVVIDQVDFIAPKRTSLDSQSLTSVLCECLDLV RGAMVLVVAATRHPNEVDDALRTPHRLATEIELHVPTAYDRAEILRAICGYQSPVLSD SLIDMMAEKTHGYVGADLFALLQLVCRKARQRQIEKADSNSWALHQTSKFTSGSSDDL ATKETVPLEVQEADVLTALQETRPTAMREVFLETPKVRWSDIGGQHDIKRRLQKAVER PLKHPERMRRLNVNSKKGILLYGPPGCSKTLTVKALATEAGLNFMAVKGAEILSMYVG ESERALRDIFRKARSAKPSIIFFDEIDAIASKRSSTSQGGVNVLTTLLNEMDGIEELK SVLVVAATNKPEVIDPALMRPGRLDNILYIGPPDFEARKEILNIWCRKSIVHPGVSLE ELAWKTEGYSGAEIVSICETAGDAALDEEEETGQAQDIRWEHFELALKQVKRQITDNV IQQYEQWGNSVDI AFLA_115520 MSVSVQWTHFYDDRGFVDLGEDILSAANKEFACLQTDSDGNHSI RAPELVAKQLHDILLRLLPAHNTLVLATVDKHRRPSRLVRYWLPLSMMLLTASTSFKV LKNRRHQLIRWVASAAETTVEFWSNWVFDPIQRLIGTIRHDEKSEIALMSKNSLEADR ASLERMVVDFILDRGEPKPEDYALDINSITNKVREGDLTPVLRAYEKDLRTPFVGTVR GDLVRALLIQIQKTKVDVEIAIGGIDALLKSQELVFGFVGLTPGILVSYASLRWFLGL FGNRKGLRMGRRQDELRHALRYVIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIGI DFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFQNIRT WFSNVEQHASEGVHKILIGNKCDWEEKRAVSTEQGQQLADELGIPFLEVSAKNNINIE KAFYNLASEIKKGMDTSKSEQPGSQGVSIDQQGPGPNGSTGGKCC AFLA_115530 MVVFIYKLTRRRNSTLLSAPPETYWGQFEEISKYNTHLNVLERL WTAWYAWMQNDVLATGIMSFVMHEIVYFGRSLPWIFIDSLGLLKGYKIQSNKIPSLRE QWDCAKFVLLSHFTVELPQIWCVSFWMLCFDI AFLA_115630 MPEDIGDFVARSIARHAAIALVAPGIGNLAGAVGDLMDFFDVMD VTDAVDAANLTDAVDAADGTDAMDASDATVTHSSGDGQVCFGSSQDVNTTQGPANIDD AGNVSTYPKSGTDVSKHVSPNDVLK AFLA_115640 MGSPVLATGGVSIRDMWDPTIRDYIIAIWASFAIAAPVLSPLVE EFAASVKGWTWTIWELL AFLA_115650 MYLMETIRDSAFGKLVRFFSGYRLLLYPEEIHDVTWRNYLGRPE ASQEESMTPDSEEFYELHALYTVVSQASRRRRRRPPLKSVLAGPWRGTQDGSSEVIGW SDAGDSENPQNWSIRKKVLVTLLICLLTFFIYIGSAIYTPGIPGVAQQFGVSKEV AFLA_115760 MAFNRLTASPSTIYSASTGGTYNVRRLTKRTPIILIVGLNYQSH VNEAEVTVVMGRDAKNISEEVALDYVLGYTAGNDLSARSFQLPEASRMQFGHSKSFYQ FGPIPYTIVTTSEIQDPKDLRLVAPVNGEVKQQTSTNDMTWSVRQIISHLSRGMTLRK GTVIMDGTPAGVGFFTKEFLKAGDVVEVEIEGVASVKDEIRYTWYSRDNRCTLLGKKR YFWLLDAAYKEENIMSKLRYKQE AFLA_115770 MAVNKYASDLKQRRVKIAFFSATPQGGGVALMHHAMVRHGIFRL TKNNHNILQGVVAPGMPFTKEDRDVVSGWVLENAKRYWLGTAGTQVSALLDRFGPQVY PWLIRYGPPPPSWFQKNGPLVPPWEGGADVVIIDDPQLPYLIPLIKQQTPDRPVIFRS YIQIRSDLTDTPGTPQAEAWGLLWEAIKQAGLLISHPVTKIALQLSTREGFDVKVSEA LHLGKPVIATRAGGIPLQIQHGKNGYLVDVGDTDAVAEHLFELWTDRELFDRMSSHAA KSVSDEVSTVGNILSWLYLASKLSKGEKIQPNGSWINDMAREEANEPYQANENRLKRG LTT AFLA_115780 MSPDAKVGFGHVRLSIVDLSPGNQPFIDTKDKTVTTTTTTTTTT NMVSPSKGSGNIIDIGTSTAHDTVESQEKQVLQQRLLKQQDGKRWLPTALIWEDESAH RLWWEANTLDEFYSIRDEVNLLKRWEQEIARQVLDHSVLIDLGYGPSGINRPMDVTKV VPLLNELERNGKHVHYYTLDISRKALENVLAKLPTSYKVVKYTELWGTFDNLRAWRGN IQKPKWFLTLGSIFGNDEFQIAINELKKLVLGHEWYRPEDWEVIGRHFAPGEEIVTNG WYKYSPNEMQKQFGGVGFPILGNGSCPLGWFVSDCLFLPDVNVGLSMLRAFG AFLA_115790 MVLVALITGGTSGMGLDVAQELDKTGLWKVHIIGSNANRGEEAA ASLRNTIFHQVDVTKYEQQGAAFDKIFNEERRLDFVLANAGIAEDTTMFFARHPTGIP PPPDMTGLTDINFTGAIYTSYLAMHYFRRSPEKTKGNRHLIIMSSIGGLYPCAHTPVY SATKHGLVGFTRSVGKRLLDEGVKVNTVCPGVVLTPLMTTELQAFFPEKIVMKMSDVT NVVLKLISGTEVTDSNGTSVPSDQLHSRAILISGKQYHYVEMPEYFDEKTQLTHQHMM G AFLA_115800 MASPRSGFAVVTPASRGIGFALARYLLQHTDLPIIATARRNSDN LRHRLLCGMENSAAEQRLSVFDVDVTEEPTVRSLADDIRQTHPKAPLRLALTVPGILH VEKSPSQIDAHAALESLKVNTIGPMLLMKHLTPFLPTRSSPLFDPFDGEVKLPQHAIY AMMAARVGSISDNRMGGWYSYRASKAAVFQLAKTLDLYLEGKCADRAISLAMHPGTVK TDFTKSYQDGREMLSAEESAERLCGVLGSLGLEGRGRCWDWKGCEVRP AFLA_115810 MTLKNLRLGIAIGHGTGRELTVVFKKVIQELARQHSLQVDFIES SRIYHSYHSLTTSREEKDLIQHIAEETTLDAEEYRKFCETATANGIAAIFRTAIHAQS LYLVRGQLKAVKIENFNLGDSNALLFIRDEAQGFYAGENEYHDSQHSIIRTSPFTRST FDQILEYALERAKEEWGVENVPKTVTLVYKFHLFDGVFQAWAPHWQHRFGIELDFIQP DTMNRNLMASGIQGRRIIIAGNEYADIIQPVFLKWFSNATIESMCAENVYLSPSLHRL SEYQTVHGSADAIAGQGLVNPFATIRAAAAILERHGGCPGVGFQSQTERAIQTLLMKK LTTPDQGGSCSTDAVVEHFLRAMADPATIVNPFIGRDIATGPIDAMAGKKTAVVVVDY QNDFIASTPSHVVSELSSTMPRLYNMLEMRATKSSSCASWATLAIKGLAGSAGMHCSE NQRSA AFLA_115820 MDHVVNSGFNLGNELDYMLDRSYTAASRLNYQFYLWKDALQFNL HPSILLPQDTSTPLWIADLATGTAVWLLDLLRDPAIAHYPSLQLHGFDIDLTNAPLPE WLPPPITLRQLDVFEDVPRDLLGRYDVVHLRLLVLVVQNSDPLPVIHRVHQMLKPGGY IQWDDLNYPDSEVFKSQAMSDVPTPAHDAFLRFAQSSGRNDWVLDLPYHLMERHGGFE NAQLRNYTDRLEMRKANGDQYILVMEEFSARLKRAKKSEEAANIDLMIRGLAEESRLG VGLSMPRAVCVARKVG AFLA_115830 MPIDTSVWYKKHIGPRLSAPMQLILEEWSDIPSGELQRHLHAVR DKAWKYGEFPCVGQWMFLLPGIAAFPQFKHVLECARQGGIVLDLGCGLGQNLRLLAAN GAPPERMWALDLRPELWQLGYELYRDHERLPATFISGNFLQEDDCEGLLKLYAEVDIM IAGQFLHLFSWEGQKQAGKRIVALSKPGTILIGYQQSRGQAREYIRPWGMMFYHNLES FQQMWQEISRETNTEWTVDATVVDLEEWGMQAEDTEWMPPDHQGLNFYLTRLS AFLA_115840 MVRVLQSLLLLAASLSATLAADESTTVVQILNGHYNTLAFSDLR GSVVGGNAQATTYAVNCKEDSSSCPLTKPITITQGPSTFTMSAVYTMTTMGAKGTGTI VQDCDITSSTATAVCSVSVGAAVEYKGKSTSTSFATTATATGSAEVLYEPLTITAGLG NFNKAGPTGATATGAAADNHPARVGMAGAAAAAVAGALVL AFLA_115850 MVVDSTKLLEDEAVELSEIAPTRKRHGTWASTKSWYSRTVLRSG KGYNRLPVKAQDPTHFHGWRFGALTSCILVGICLGLNVAATIYVRVTYPPSSDNLGLI QESDCDHIHSVDSRLHYALNVVATVLVSASNYNMQCLTSPTRAEVDQAHAQRRWLDIG VHSIRNLSFIGRAKLALWLALLVSTLPLHLLWNSAVVMTTTFNDYSGLVVTENFLESR SDIGLDCTSRAMEEYRSSNMSSYVTCWLFDQARNNRSSLTQMDPSRCISTYQAGLEGR SFNMLAVTKQSDALNQSTTFPPPRNTTLPVLAYFHPLDYPDQIEQWCSGLCQRWGQGN NSTKFCFDENWDEAAVPFACQEHKVNGTGWEPNALSQTSSWMCHPDAILYGECSGSAA TRNATKWTILPEHYEIDHCLVTNASHTCQLLYSPIILYIAIACNTMKFSSILLCLLVS REPTLATIGDALDSFLRSPDMASKGQCLRSKMDDTIFPDPDDMGSTQAQRWQHGEGWL GRCYQGTSVRRWGACILLWLASIIVGLVFLFKGINLVGAQNAFTMGFGALSLNAIVNT SGYQGGTAASIVTTSIVANLPQLLLSGLYFMYNAVLTGMASAYEWSMFAYQQTTLRVT LPWGAQRETYWLQLPWRYSLPLLVCSTIIHWLISQSIFLINLKIYQPNNELLTRPNTH FPSASDSGVITACGYSPLAIITAIAVAILMFAVLTTVSTFKLKPDIPVVGSCSVAISA ACHPPEEDSDAAGKPLSWGAVRHQEGDRPGHCCLTSQTVEKPRYGELYAG AFLA_115860 MTAQQSLRGKNAIVTGGSRGIGKGIALELASRGANILLTYNSSR QQAEEVASQIRRVGVDAIAVEGKADDLSAPKNIVDAAAERWGCIDIVINNAGAREDYP VEAMTYEAFDRQIAVNLRFPVFLIKEATRHFGKTPRIVNLSSVYARDGQPGCLAYVAS KGAMESLTRSLAKELGHKYNATINCVSPGPVNTELWASTLQSEEKEQWDQVIQSTPAA PRVAEVDDIAQIVAFLSEEGSRWTTGSLINANGGLLFV AFLA_115870 MRLNRTISVVGCHCAGEVGDVIVGGVLNPPNCKTMYEKLVYFQN EADDVRQLLMNEPRGRPAMCMNLVLPPCDPRADAGFIIMESDEYPPMSGGNTICTATV LLDTGMVKMTEPVTKLVLDTPSGLVGVTADCEDGKCKAISFDNVPSFVYKLDLPVEVL GLGTVKVDIAWGGMHYAIVDARSIGLKIANENGPKLIELGERIKQAVRNTYTPVHPEN DQIREVTIVEFTEPLHQKGDKRVAVNTVVVSPGRFDRCPCGTGSSARMAVLNARGELQ VGESFVHQSIIGSTFTCHIRGTTTVGQYDAVLPTVKGSAWITGFKQMVLDPSDPFPAG FRVGDQWHVRQQQ AFLA_115880 MEDASAGIMLAEYQREIGRKRRLTTAMTIATTVNVHGHVVDGSM TRVALKRVAALVAPRRVCICETAATQPRIDNLQRELPGGDDDCHAESKGRPKLCRKVV YQ AFLA_115890 MAVFNRQMDLMQQARASSTFNPMKLTVIIYDNEATVSARRAAFH RVEDALGLLDNMKLPHVYSGLDREGLYLEGVRRARVITNDMITHGHSHFQWLNERYQI CNSSPFGMNFLMFRRTIELQGTAEQRRYWLQEIDQMRINGAYAQTELGHGTFVRGIET TATFDVDTDTFTIHCPTPTSVKYWPGGLGFSCSHAIVAARLITHGKDHGVHMFIVQIR SLEDFAPVRGVELGDLGMKMAYNGTCNGYARFNYLRVSRSSLLAAHAQVSRDGTYSQA QAKAGTLPLSKRVYSTMLDTRRNIVRCVAFGLAQAATITARYSIVREQGRLMFSDAAE EETAIITFKSQHYRLLTLIAQSYAILFAAKDFDFRYDQLVREQENDDHARLPFMHALS TGLKAWATTIASAGATEARKMCGGHGYVALSGLPEIEGSVSATATFEGENYVMWQQLV VYLFKQVRASCAGQDVDAEVKDYIGGVRSYLGDGAFPSRIQSCDKKTLFDGSTLVLIY KKRSQRVLAAAYSSYRDMCSRCSAAEAWNACMMTSLAAGQAFVEYIVLESFVKRTSPS ATRDAPVSQALSHLFSLFALTTITSPTPSFAAGSFLEHGLLTPDELNEIRVHINELLA SLLPNIAALTDAWDFTDSSLCSALGCKDGNVYERLLSWTRQLPANSGRAVEKAWEASE GIEEFFKASRL AFLA_115900 MDSFLFLALDYSLRGFAVSLFGTLWIALVFWYLATYLISPLRKI PGPFLAGWTNLWRMYHVTQGQSQVVLHELHQKYGPVVRIAPNVVDLDLPEMIKTIYNT KGDYRKTEFYHGSSAKSYGRIIYNLFSECDPDIHAQQKRPIAKYYSLTGVLPLEPHID EVINYLCRRLEEEFIDGSNAGITCKLDQWLLFYTWDVVGQATFSEPIGYLKNGFDFDG TIAISDTAMDYFSLVGQLPVLDHLLDKNPIYRIGPPAFGNITNISITHLLDRLQGKDT SYHDANKPDFLDRFIDAKDKYPDIVDDSQIISYLMINMIAGADTTAITLNAAIYFALK DPLVWARLQKEIRACQSSLDAAPSAVPYNIASALPYLNAVVREAMRMHPGVAMTLERY VPPGGLTLPNGQYIPQGSIVGMNPYVIARNRSVWGEDSDVFRPERWLRDDSQESEEEF QARLRLMNNSDLAFGAGSRICIGRNLGLLEVYKVMATLISRYDIELAHPHRDWKTHNS FFVRQEGINVKLSRRS AFLA_115910 MLAGQGVKAIVVMWVMVIISFILVPLRLYTRVYIVKALGLDDHV FNLGWVFLLLYTVFTTIAGKHGFGQPITSLSMDEAVQAVYMEMVGQTFAVLGMAIAKL SLGIFLLRIVVKAWHRRSIWISMVSLSVVSVMTAIIFWTQRLPSKAVYDPRVPGRTIV SVTPFSVLLGSWCAAVDFYFAILPWIFIWELNMRFKEKMTIAISLSLGFIAGICGIIR TIELGGLSSANYTEDTVPLIIWSAVELAVTLICVGIPTIRPLYRYIVHGSSVKESHEV YKRQDESGSGSGSRGKPTFAMPMRNFARAGRKDELLTTTTTTVDIPDANDNRPDGNSR SYVTGAGQNDEEALVATIEEENSRYRDHICVRQEVHVERN AFLA_115920 MKLRWRWNRRVMHSFRAGFRLLINFPIHTLPPHGAFHLISAALV LSSLPILRKL AFLA_115930 MDTDLNKYNSKWVEFHIRDHLKDGEISVRHTVIEDGEFQDPNNR RKSIHEDVIDEIVIPSDGIGEICAHGRRGSEGRLDLFHGNDKICELHWDDRDGRRENL VEMLDESDKYRIEHGGWSPEANGPLGHVYVDVWAKDKSK AFLA_115940 MSSSKPGRSSQKGSGNDGCMSAMDAVSFVNKVKNRFAEHPELFS EFLLILQAYQRESRPLRKVYEQVEELFDAEPDLMKDFKKFLPEATAYKR AFLA_115950 MSELPKCERDFDIAYQEWERDSAEWFDQEAWDKALESWISPFLE ERDFGYAILQRRRRLLSIKPAARPKCEDKSQMKSPDYQEAERKREEEVNELMEAYWTS NRTLLAMDETMPLAFNVVEIVLLRSHRDRHGRPYSWVMDRLTCALTGGCCGRACGCCE KPLLTYYHPLNYKYPDGKMEVGVYGHCTAECPCCIQVRHRYHPHPRLPKSAF AFLA_115960 MPFTIEAAHLWKAFVLMLIWVVTNSSRPLFVVFFDWLSVHFKYW LERMWPLPEGGSPEARPDQENKGSVGAEESEEGKKKKWSLGDSEEESDDESEGGVKLS EGSVGEKKRAWRRVWRVVVVEEEEEEEEKKFVRSDLPGYGLLAQTKDILKPRVEKLRH WRGLRREVDLEKGVRGRSKSAGPVPHQSQPKATQVEASGGAAPGDLNAIVSGLAPTML GADPTGGQSEA AFLA_115970 MYSFSKARAWSIVLSTAVVMEGYDLLLVTSFFASPPWTKQYGTL QLNDKYELSAAWQTVLYNGPAVGEILELCLNGLVVERIGYRRTKGRTFEHDGSTGIIK VPGPGHEITTDRVKTKISFLMLRMGIEDQYWVVATRYQGTGGSKSKEGDQGFLPPTRQ PVGTLATGVCPFPTLVIETGVSESLPKLREDVLWWFNHSRGDVRIVLVLCIRKRAQQP VMLIEKWQLAPPTTPRPLTRRALQQLQQQVPYPMPPQVQQQPSSQSAYCAQSIEITPQ AVTGPSLILPFLAVFGRPPVAPEADLILTTAMLASCIQHL AFLA_115980 MKPYLPEYEGHEPQSGLTLAVAPFAGRLGGNQDFVVDRSDPRNE KVLEKVPDAAPWMSLSEIFDLRGFLSLDLWKFACLECIASMMNVFISAWVTLHEPAAV EAPKTEVGIYHTVTFFSPLFGGLTNLLLTPLLIYTFAPSSGGHISPTITLATLFARII TFPRAILYMAGQTLGGALAGFAIHTAYGSRDFTVGGCHVDTTLVPVNAALIIEFFACL VLIFLAFGVALDPRQAKIFGHAAGPWLVGVVLGVVCWATAFTRPGYIGASLNPARCFG VYVASEFPGYHWVHWVAPLAAAVAHGLVYLIDPLWSDPRLE AFLA_115990 MNNYPELFKRHKQLMKLEAIDELQEQKAQSRGAARVRFVNYFTK STGIVRADRTDKTLEAHIVENEGLHKPNHQQSMESTTNMLEVDYTPGRRKSAPPCSVD ADELLGSKNIMRPKSSGSQPSTLHSESAVTMIEESTVSDGQDENTTVTRESSHQSQEA RRQFCYLPKDAYRGREHLWIPVHMEGIDEITAHQSMFLPQGTYYDKLVGDTVALIERW ISDDLTKRAILGGFF AFLA_116000 MATSTVSAQQLTEAKAKFKQDGWAVVPNVIDPEKTKEVVDRLWK AKEESERRGDPTYLDWLDPNSSNVRIFYLMELDPIFRELISHPVAVEMVQSALGQNFL VSNFTANIALPGSKSMGLHSDLSLQCPDPWLSTWGLNVIWCLHDVYYENGATLYIPGS HHWKTKAEVPPEEEARKLLVPFEAKAGSIIVMDGRLWHTSGCNVTQDKERALLFGAYN APFLRGQVNWGVGLSEETKKTLSPQLREWLGVNRDGNLGVVTGVNDVFAEGAPPPAQA AFLA_116010 MGINENLQPVTWAVSMPFVVITSLSCILRVYSRLCLSKSFGADD WFMVAASITWLATQAILGQMIVFGGGKQDKDVPPENLLKIVTLLFVIEFVYIFCQWLI KMSFLTFYLRVLSISPLYKKTVYGVMVFTSAQTLAVLLFYGLQCLPLDAFFHPEAHPD AKCIPTAVTLYFPASMNVLTDLLIYILPIYPLWTLQMSARRRVGLIVCFTVGGSTIIV SLLRFIVLVQLASGSRTFYVYGSVAIVTTIELCTAIMTANMPSLRSVWRTHVSGTLYG SSGRKPSSYELGTTSRARGNRKMIKSSIVQRLKQGATNVSHADSEEELCRNGGDIVVS TQVNVSSVADPSVNSPQLPPSYYKPR AFLA_116020 MGLIRFYTSLALISASAFLVRGQSDDLGLANGYTNLKTNNFDLQ LVTDAQILASLKPSGSSFDFLPSDYLPYRAANGQYHIGDITFRYRAVGEKEWTAGDSS QARAVVKSLDANALAAADITSTLPSSSALQIVRQWLDVEGDLGLSFTLTNKGNSSVEI GSLGFPIESNSIFTNRTADEVTAQCSLVDPYIGRDAGYLQFSPTSGQGPTLIITPLVN TSTPFEAWRNLDEVSDTYTGYGSQTFEGFYEWQTHSKAYAEKEWAQVNPWNEPTAGSL KPGESTTVGLRFSVVKDGVRGIQKAVQGTNTPLTIGTGYIVPRDLTAQLFVFHSANVS KVVSDNNAFDIARPSSNLVSLSPTESAWGRTRVTITYADGKVQTVHYFITDTAPDVIS KLGEFSTTAMWFDDEKDPFGRAPSVITYDEATKAQVLQEARVWIAGLMDEGGAIFLAS TMKEHGLPNAAEVAKLEEFASKVLFGNIQNANFTVRKSVFYYDPDQLPSYEYSNNIDW GNWWSWNKDASYSTDRAYDYIHVIGAYWSLYRAGRDNPTLLKVHPWQWYLGQAYNTTV TCFATNSAGDGLVGYSRLGLMGETVVGELLADLQREGWTEEADAVEAAMKLRAEAWDR QSEPFGSEMAWDCTGQEGVYYWSKSDANGIALGLEWERPAVLGFHVRLSPASACPRLR SFSYAGKLQRIERMIHHYGSSLNALPLLAEFRQNPSDTYLLRVGYGGITGPLSNIRED GSMYNAFHSFPDTLKGDDYSGDYGPSFLGMMLGAGTYVVDDPDVGLIAYGGNLSVESD RVTVRPRDAVRRRVYVASMGVYVTISTGQIEEFSFSATQPNSLELDIVAGSSNTTTAI VWVENPGTTDAYAVTTSGEQTRGGVVVELSAGSVTVTVARQ AFLA_116030 MFLLLIKLATYAAAVAAQVDSSSPFSFTSKGNPILSNGSWYSGD PAPLVVNDTLYIITGRDSAPPDENAFVMNQWGMLASSSANPEGGTWTLYPDVADPQAV FAWAEPGSAYAAQVVQGHDSRFYMYAPVTQADSANEDAFAIGVAVSDSPTGPFQDAHP SGPIISQSVPPPGNTIQNIDPTVLVDDDGHVYIYFGTFGQLLGYQLDPDMVTVASNVT QVTSLTGYFEAPWLMKRQDVYYMLFAANNAGADSPCTPTSYHACIAYGTASSPMGPWT FQDVILPIVSSTTSHPGAVEWNGEWYLVYHTADAVGGGHFRRSVAFDKLIWDDSQAPA KINVVQQTFGPKSPSPPTHNVAPRAVASSVHSTPIQYWVQALNDGIIRENPLPPDYWC SYEATDSPQTSTLVYTWNETVQLNGTSMVFFADHAAGANEVAFETVDTVAIRAILVAS GAQGQYAGVGVKEWEALSTTLHSY AFLA_116040 MKYAAVLTTVAALASRALGAGVSGTAEGFASSATGGGSATAVYP TTTDELVSYLGDDEARVIVLSQTFDFTNTEGTTTETGCAPWGTGSACQVAINKDDWCT NYESSAPSTSVTYDNAGSLGITVNSNKSLIGEGTKGVIKGKGLRIVNGVENVIIQNIA VTDINPKYVWGGDAITINQADLVWIDHVTTARIGRQHYVLGTEADNRVTLSNNYIDGE SDYSATCDGHHYWNVYLDGSSDKVTMKGNYFYKTSGRAPKVQGNTYLHAVNNYWNDNS NHAFEIGSGGYVLAEGNTFADVTAAVEDSSFEGELFSSSSDADTCSSYIGRACKANSF TNSGDLSGTTVDVLSKFKGETVATADTASTAPASNAGQGNL AFLA_116050 MFYEPGVTEHGLPHDPFKACVVPRPIGWISTKNKKGQCNLAPYS QFNNLTFDPPYVMFSSNQTATGARKDTVVNAEETGTFVWNLATWDLREAVNISAEQTP YGTDEFELCNITKEQATIVDVPMVKESPVKFECEYHTTVRLPGNPPMGTVDIVIGKVI GVHIADEVLTDGLLDIKKTQPIARCGYYQYTVVRDTFEMIIPNMSADVLYGLEGNAAR NRQKNEENEESSNNQ AFLA_116060 MEAVQSFLSSLWQSVGFWKAAAIFFALLNLKTLPIVWHIRVYRY FFKHGYLITPAVEQPPRPSTEILKPVSIFSRAPIMELDFNMHKSNSTYFSDLDVSRTA LMSSIVVKGAALLEKNLKAEGKKGFLGFILGSVYTNFKREIPAYMKYEVKSHVASFDQ KWIYIITYFLKPGKGSSKKAQDDSEVQKKRLLAVSISKYVLKKGRYTVPPKDAFEAAG YTPLLGTSAANGHATGVENGHANGAAVQRQETADGKSDEWDRLKAEIDRGLTIVEPFI DQEDKLMEDYVHKMGLPGFA AFLA_116070 MIRYCADRIMRLRTATYFDEINFQKFAISYLLYAAFLQLGDHNR ARMLDVETMQIARLLNLHCISQYNGLNCIETQLRKKGFWLIFYSFVHARLQNMFGERL LYLDPALLQTINPEDMMPLEVDDEFIMENEVLAPRIQTPCVVTGFILHSRVFWAAVRD PLPGHSAEEPCPCVQARDPLIQISYLQDRLQNLKYLLHDIPAILRPWGPPNEDLLNSG GTAAISRLNFATMRANLHVTHLWLQSLLIDQLEAAHAGQRGALPASRPGHSLYKTDPK SLWLEREELCRQLFFVLSTLPQASLEANGLHLAYKVRDIAASILACPFHPQEPEAKRA AEYVQDATSWLSRLDRSESINALHLQSWVDTDRVRSEATIP AFLA_116080 MSFVESVPDRVANGFDRIATADIEQIIQQLTRDEKVALLTGEDF WHTVPIPRLGIPSIRFSDGPNGLRGTKFFGSVPSACLPCGTAIGATFDRDLAVRIGHL LAAEAKAKGAHVVLGPTINIPRGPLGGRGFESFSEDPLLSGIIAGNYCKGLKEKNIIA TLKHFVCNDQEHERMAVNSLVTDRALREIYLLPFMTAIAIGEPDAIMTAYNKVNGIHA AESPKLLQDILRGEWGWDGLLMSDWFGTYSTTESIQASLDLEMPGPTRWRGSALAHVI TANKVSMATVNARVRAVLRLVQKASRSGIPERAPEGQLNREEDRRLLRKIASEAIVLM KNEEGILPLDKRRRVAVIGPNAQVATYCGGGSAALNPYFAVTPFEGITNAALEGVDFA QGVYGHENLPLLGKRLRTPDGHTGFVLRIFNEPPTATTRVPIEERLETDAMVFFLDYS HPHLQSTWYADAEGYFTPDESGLYDFGLCVQGTGKLYVNGHLLINNADVQTPGSSFLG SGTVEERESIQLEAGREYKIQVQWGCAKTGKFKVPGVVDFGHGGFRFGACKRLSPAEG IEEAVRLAARVEQVILTAGLSAEWESEGEDRTTMSLPPHTDQLIERVLAANPNTIIVL QSGTPVEMPWIHQAKAVMHAWYGGNETGNAIADVIFGDVNPSGKLPLTFPRRLKDNPT YFNYRSEGGRVLYGEDVYVGYRFYDEADIDPLFPFGHGLSYTTFELSSLELTKGSSHN MKVKCTLRNTGQRAGAEVIQLYVAPVSPPVKRPLKELKEFRKVWLAQSAEEVITIPVD LIRATSFWHETSSSWCSYQGTYRIMVGTSSRGNFLEDSVELGETTFWSGN AFLA_116090 MLRGLMRQDFKHRTSRTSSLTLTRTRVRRYNSHPTLVFALFGSC DLFMHTYVYPADTMGTTKAESTNKPAGEEELAAVLPTGGPAWYRQGYLLRLNSIILAL VMFSSANGYDGSLMNGLQALDQWNNFLDYPTGVRLGWLNAIYWLGCGVGYPTAAWLAN RFGRKPGVYVGYLFLGLGCALQTAAPNQTSFLLARLFVGVASALFGNSVPLLINEIAY PSHRGIVNSLFMSGWYVGGTVSGWVIFASRDYPSSWSWRLPSLLQALLPLVALPGFLL APESPRWLISVGRPEEARTILTRYHAGGDTNSPLVNYEMLNITSAIEAEQEAQSSASY LEMLKIPGNRRRLFISITLGIFAQWAGNGVVSYYLSLILDTVGVTSVKDQTLISACMQ MWNLIFAIIGAYLIDRFGRRPLFLASAVVMFVSYVFVTALSGSFAATQHASTGAAVIP FLFIFFAGYGLSLTPLLTAYPCEIWPFRLRARGLTVTWVASICAIFFNTFVNPIALDA IEWKYYIVFIAVLLIFGITAYFFYPETKGYPLEQIAAIFEGPTSQGGIDPERAAKCLD VFDDVKSLSVTHQESI AFLA_116100 MTTQQSNAQDLEAARSKSDDPTKQFDPDFVTWDGPEDPENPKNW PRRAKWRNTVAVSIFTFISPVSSSMVAPALSQLGADLNMQSDIEVELALSIFILAYAI GPLFFGPASEVYGRVRLLQISNLWYFAWNLGCGFAQTSAQLFVFRFLAGIGGSAPVAL GGAAIGDIWTPEERGKAMGIYTLAPILGPVVGPIAGGFIAEYTTWRWVFWSSSAVAVA IQVVGLIWLHESHPATLLNRKRNRLVKQTGNHKLHTGIGPINLSSKFGGALVRPVRLF TTQPIVMVVALYMGYLFGTTYLLLATFPTVWGTQYGEGQSIAGLNYVSIAIGSFIGLF LNFVLIDRIYRSLKEKNSGIGVPEFRMPTMFIGSGMITIGLFWYGWSVQGHIHWIMPN IGVAIFSAGTMGCLQGMQTYIVDSYTTYAASAMAACALLRSLAGFGFPLFAPYMYRDL GYGWGTSVLAFISIAIGFPAPLLFWHCGAKLRAMSAYASG AFLA_116110 MSTRPVAIAPATSKTSSGSNGGNPTHPTTMSYNCQPCVRRKVKC DKAVPSCASCEKAKLECLYKAPQPPRKRKHRESEDVHQRLARYERVIQENGLLSTTEA RSPSCQGTPGSVHDDGPARTAHSGAAKTGRLVSEDGKSRYIDSRVWLDVAEISTRELS DNEGDDQAAPMAMDLPIDDPLSATLLGMSNDLSSYHPPYPDAMKLWTIYVKNVDPLCK ILHIPTTTEMIEMVSQQPTKATRAQECLVFAVYYFAVYSITDEDCIRRFEKTRTSLMS KYQYAMRQGLVNASWLKTTELQILQAYVLFLIAMRTRIDPHTFWIWTGVAVRIAQRMG LHRDGEDLDLSPFDVEMRRRLFWQLIPLDGYAGQVSGTGISIAPGDWDTKQPLNINDD QIYPDMKQPPNAQDGASEMIFCLTKAELSEFYARIAVNMNSVSSKGQMRDNAHLERLI DDLESDLEMRYLRYCDIINPVHILTLGIVRAAANMVRLRSQMPSLKSQKIDEAQRREL CVLAEKILDTDNALYANSDLRRFQWHIKTFFVWDAMICILSGLATEGFLSRTELDRKW EKIIVAYSNHPEIIESKGALHAVVNEMTLKAWISNPPSNSTVEPAFVSALRSQHETKG FAHVHNPDTTTRHDKPAEAADFLDTFLQSPGGTDLYFDGNINYGAADWMFWDQA AFLA_116120 MYEPVFASHAPSVGCIDITNASILIDKEDFEGIHIAAEALAEDF ARVTGNGASPILYDRSQDFDTEVAIVLGSITRSPTIQKLIQDGKLDVTAIDGQWECYI TTVLHDAIQGVKKALVIAGSDKRGAIYGLYTLSDQIGVSPWYWWADVPPKQSSEIYAL NVVTKHGPPSVKYRGIFINDEAPSLTGWVHEKYGPKFNVDFYKRVFELLLRLKANFLW PAMWFGFPHPGSSFFMDDPLNQETADKYGIVMSTSHHEPMQRAMNEWFDHPYYEPEKS WSWSKNKPKITKYFQEGADRARKYESYITMGMRGHGDRAMDAEDPSAVLKDVLSTQRS IIKNTYGEEDAVHQLMALYKEVQEYYENGLHIPEDITLLFADDNFGTVRRLPSGDEST RHGGAGIYYHLEYVGVPRSYKWLNSNSCAKVWQQLEQTYNRGANDIWIFNVGDLKPME VPLTFVLTLAWDARSLQMNQLQEFFYTFVEHTFHLGSAVSQQCSELLLKYDRLVALRK HEHIEPETFSLIHYGEAEDILRRWEELLSQAEELEKSIPAPMMPAYFQFILHPIKASC IYVALRVAQAKNQLFAVQRRNSANTWAYEALRLFEEDFNLSEEYHSLLNGKWNHIMRQ PHYGYTQTWHAPSRDMISGLSFVQNRQDSNPVVGWIGVAVDGHPGIRPGLTNEESDRT HPSRRDLVAGVTLPPMEPYGPKSRYFEIYCRGTKSVSWTLTSTHRWLTLSPSSGTIQP KGGDERVKITVDWERVPSQLDDILLVDLRSSLGDYEQIHIPVSNRTVSADATGFVEAD GHVSIYATSFINSPLSAYRILPFIGRTPTGGVALTAGTPVSHSEYLQYPFITFTPTAA ATLILEFTLTLDTDTNLPITYDIQLDDGALASHRLVPQVEKPGKLPDGWQESVMDNIW TRRHSVDLSLPGLHKLRVRLQTENCVLEKIVVDLGGVRDSYLGPPESFFHQGKYR AFLA_116130 MCTRYQAYEIKDQNKIVDSTRTICYQDEHEGLYMIKDTHLLGYS WDEIWSFENDSDNDYSRVVTTTTCSRVKQGTQFDTNFKVSAGFNGLGLSLSTECGPES KTFSESETTKTETTEDTCTVKANTSVFLYQKVYHFRTDIWFRLDSFAQMWTVGNYKRP GVALVSSDVDIHANSFFQHDKSLVGKGDLDATTTRSVDEKTNIKPFEKCSDRCRDYLH ERGV AFLA_116140 MYCFKSSTIFAVLSLLSQALSSSSQEPLGIKDFHTPEPTAKWVH PGVLVSQPQLDFIRLKLAAEEEPWTGAYKAMLGSRLLSPLLEPTPFTNVVCGPFSKPN IGCTNETHDALAAYGNALAWAISGIETYALQSMRFMDAWSQTLQSHNCSNAPLQSGWA GSVWPRAGEIIRHATVGGQNAPWPPASIARFESMLRDVYLPLTARGSDYDSNWELVMI EASMGISIFIDDKSNYNKAMELFAERAPATIYLTSDGSYPKTVHCPNCSKHEIETYWR QSVFQLNGQAQETCRDLEHTGYGLASISHIAETSRIQGEDMFTTDIGRRLMYALELHT DFEDGRAAPCWLCNGTLEGVLSPITEVGYNALHTRLGYDMPKTGKYTIKNRPVAHNGL FVGFETLTHASNPR AFLA_116150 MEPIQLGIHVVQAVIALIILGCSIYGVTIASTTTGFGLAIFTAI ATILVAIYILTASFIAKSVFKLWLLVGANCVTAIFWIATIGTLASQQHWNHQCQYNHG HCYRKRDGNDSHGVHAATIALSVIDGLLSIFSIGYSVWAKKNHRTKTPATE AFLA_116160 MALTNTSAINATMRAVVWQGDAYNVAVVDLPRPTIINQTDVIVR MSRAAICGSDLHIYRGTTEGMPAPFGLGHEGFGYVSDVGSGVGSLRVGDPVIVPFTVD EGHLHTELTTGLYGAFGNGGDLGGTQVPTLNYTDPSTNDSVSLLNDYVMLSDIFGTGW ASLDYAGFEAGDTVAVFGAGPVGLMAAYSAILRGASTVYSVDYIPERLQLAESIGAIP INFRDADPVEQILALEPNGVTRSIDAVGYEQVNRNLTVQSDVIIRNMLAVTSTGGGLG TVGVYTHESNNTSTAPRASTVNTHVDFSLAQFFYGEFTWGAGPSKPIDLAPELLHLVT SGKARPGFIVSDVINIEDAPEAYARFERHNTTKVIISFD AFLA_116170 MSSSPDSRKNSTAPDKGLDQKTPAPAQQATNAASAIPNVPKGPW GDRGVTSPTAGLEKPNKDEKDSSLNVRINLDLRADVALELHAVIQGNVTIGLF AFLA_116180 MVIGIAMMSAMVPTIIGLNEATKGARESEDKRRENEKKSRFHLV AACDIDADSESRRQEVHNASVYLGSDKRVWKVSRQIIVPNSCPLTPHLKLYITKHPTP SMTAFNGHFFELPDLAQGNLNGLVAISGETPPTLRWVYLDKNTYEMRWGGKQDREGHI GGPFDLTRDGDYLALNDTQRWLALRLEDTEQDATEAVAGVWRLCCDWEENNGASLSID TPSMPIYLRRVLADS AFLA_116190 MLADVVDSLARRSSDAMISTKILETDYATVLEWIGAERMEKLPA EGSSYDKTLVWAALFVERLHSFDKAIEEFAGDSHLAAQLAYVHCASLLKLGEENSCAL MNLFGFFYRCSTGLGSLLDRAELFQVSGDIKDQLSLALADLVTLVVGIATHFRKTIPA AGYLHVDIYSEFSDQIHNFRSRCERISELMWTYQLQREGYDSAKVTNTRTIKRWLEPE DLVLAKVVEATAQLAQEREESTCVWMMPYLNRFLKGKRQTLAISGNPASGKSILATVI NDHLQHPVGDVSYRTIFVPINSRVLANTMPRAIAKTILSQLFDQQIGNVRLYRVLSDT YRRCQETFDPEVYDDIIWGVLENALEASRKDAKELVLIVDGVDEANGGEAALLKRLRH ATHNAKSTRLILLTSQQESKTSPREGQDTVRITPELILDDISAVVRSILQGSDSFNKA PQERREIQVTRIAEASNGSFLWAKLASKQIRDEAPANTQALVKAVDNLVNKKPTVKDF INRTLHSNVTQEAIKLVVWLATACRPLQVQELSALLSIQQDKQRITEQDKESPLHILK PVASLVFIQNNLVFLRHAKVRDSILEIFNHGNILPTIKNRNLDLAQRLLLYAKLVVPD GRELALEPLESRFTRNLIERHPLLDFALRYWIDHLRTAMGCNTDREISAAAKEIRNIL PTSTTLPRLEMAVWERKETPVLVSFHDTQTRLYQSILSSKQQATLQTLLCEVSFYWSI HKAPAQGDHIFYDAIKICQELLSAQHSITMTVAQYFLEITTGQVTTSKTTTMARRIEV LHLLVESYKVVYGSTSDLFISTATQLAEHYHYIKEEHKAEEIRVSIGARHPGGPKDPI KPQLPDDSLHIHLIGRPQPTVGGSSLEIDEIETDVEVSESFEFESMLSQAEQYANAGD HKAAELIYLEIWQRTSWEYRLHRSVEWELRNVKIALAYSNFLMLQKRESEVAAILWGF WQDYEQAVSTLDEAVVSQFMQVAKLMKSVRLHAVALQVLNHCAQSISQHSSIYAELHQ AIQSTSQEVIEKESTVSESILEEIVFSTLTTTQFSAQATTSLINKYLSQRRWHDATQA MKRVLRSIWPALFAPSLQDVILPSKNVDYCVQLAERLSNCYRARQRLVKEENIRLRVY RAARHGRPLGDRLRDRATIAILRFYERSSKTDELINIHQEVLDDYTKKYGQAHPNVLK ELWALATLTHLRPVCVDYYGQIVKILNKDSNTCHPDAFEPLLIVVTELLTQGRFSEAL HPCQTLFNTLQHPHVNPKLKDQAFVKLTYERYVHCLRMTRADIVAIHDVTVQYRKTCQ SLFGVTATITVQATTTLAQICQESYAYKSEAIELYEELLKLKASQVDIDYEGIKATLD ALYEEQENTLTSSTETITTAEFHKVVSIHKKRFEATRQKYGWAHQESLSQMEKLVSLY SRRNEFQTAFSLLQEATSQVLSTETSAFNLCLAAQSIASSFISSGQLQRGKAMAQEIY RELVARDIEVGSISFNFSADQHQALVFLAQLEYSLQEREAMSVSMNEIYTSLVTEYVY FEKLRTAMKSKTSSFQSTLSIVCRLHSVLLSRGRQSTATGLIEKFTHYFISAERDNVD VNFAQGKVFVTTLLEYFKSHSSRDYISSIAIASYNRVTQLLASKNYHTAFDLASVLFK YIRAHYGFSSLTAVKLAFKLGLAISSRDIKSFVEPNVRKDMLSLSATIVKGALDKFEQ LKLDITQLDHVNLDRLIGLLDEQKDYSTLVRVLTSLWNARETRSTSQQQHIYTLALGR MLVITRYMIGDYVDAIRLAKDIVYNCARVHGPRHQSTVEMTVLLSQMYTSVAQGYQDQ KDYRDLAYRYYKEAAVLHENALRSFVDPSYFSSSLGDTSSPGGSNASSPGEATEGEAK YVRQHLRLLKLAVERLGDWPKEYSEYENLNNDIFKAFGNELKGVEGVDKWDLKKFGCG RAEATDDLILPASEEGVVLRERLAIPA AFLA_116200 MLEDTSLESPRPRPECSSHMEPGGKTEIGAWTANVSSCLGRSSK HYVPSSPPNHLEPAHSHGDVHNDTTDRPQSPVWNPNERQINSDQSVRLVTDPTEVQVA DEAAVEVNGSETESAPCAEQITLKALLEKIRQEKGTDQVDILSVCFGEPGVDLNVHIQ GDFTVTLL AFLA_116210 MEELTRSLVNDVEVLNNHLVSTGHPLPSFDRHTPTVVLPNDASP DAHAARERILDNALRLFQLAAGPSAYLLNLQTGYQYASCVRWLCHFQIFHLVPLEGSI AYADLAVLAKAPEPQLISVVRMAMTNGLFLESPPQHLAHSATSALLRNDADFHDWAVT MSDQSFPTAFAMVEAHERWPNSVEGNQTAYNIAVGSELPFFNHLAEQSDRKRQFAGFM RSMARSQGTDVEKLAEGWDWAALGQACVVDVGGSTGHTSVALARKFPDLNFVVEDLPE VVAEGPGYLSSLDDAQDLKSRIGYRAHSFFDPQPVQDADVYMLRMILHNWSFDDCVRI LSRLVQTLKPGARIIIVDIVLPDPGVVSASKERLLRVQDLIMQQVFNSMERYLENWMD IFRKVDERLEVKRIVEPPGSLMSLIEVSMAA AFLA_116220 MVNIYIFGDQTVRVDDAVHKLLHVKNNPILKSFLDESFAAIRKQ IFRLPANERTSLPDAHTLPLLLEAVRRGRRHVALESALVCLCEIGQYIALLQTTDLCH PPTGSILVGFCTGSLAAAAVSCARTSIDLLTLGIEAVVVAFRVGMHVARRANALGGDG GSQWKPWSLAVTDGSESETEKILEEFTRDEGLPAIMKPYVSAAGSNTLTISGTPRVLE ALKASPHLRGTKSLPVSIYAPYHATHLYNEADVESIFACKPVESALFHRELRTPLISC ATGTVLKEKTFGDLLRALVMEILTCQIRFDKVEESIVPHTPGATAQLIPIHTNIAPRM KTSLTQVGLQVECFKAIANQEPAAEALSESPSNDSSKIAIIGFSGRFPEADGLNEFWE LLQQGLDVHKPIPADRFDLEAHYDATLREKNTSRIKHGCWIRSPGSFDARFFQMSPRE ACQTDPAQRLALLTAYEAMEMAGFVPDRTPSSQRDRVGVYYGMTSDDWREVNSSQDID TYFIPGGIRAFVPGRINYFFKFSGPSITVDTACSSSLAAIHTACNALLNSDCDTALAG GTNILTNPDNFAGLDRGHFLSSTGNCKTFDDDADGYCRADGVGTVILKRLQDAIADND PIFGVIVGARTSHSAEAVSITRPLADAQAHLFRKLLAESGIHPHEISYIEMHGTGTQA GDAVEMKSVLDSFAWDDSRAPDRPLHLGSVKANVGHGESASGVTALIKVLLMMQKNRI PPHCGIKGRINRHFPTDMEHRNVHIPFRETDWTRLQEGKRRSFINNFSAAGGNTAVLV EDAPLLEQSRAMSLSDPRKYHVVTLSARSVKSLSKNMHALGEFIGSEISPGLLARIAY TTTARRMHHSYRVAFVGNDLQEVKQRLLDTDVTEDIKPCPTKSPGVGFLFTGQGAQQT AMARGLYDRFSSFRADIVEFEAVGRGHGFPSILPLITGAVGVEELSPMIVQLGTVVIQ IAMARLWRNWGLTPEYALGHSLGEYAALQIAGVLSISDTIYLAGSRAALLEKGCTAGS HGMLAVKASVAHLEEALKGVQVEVSCINGFDDTVLSGTNDEIDRASKTLSELKVTFKR LILPFAFHSSQVDPILEELEHIASQVSFQPPKIPIVSPTVGHIITDEGTIGAQYIRRH CREPVNFLGAIQAAQGSGICNSGALAVEIGAHPILTRMMKAAVGSSVQVCSTLCHRED MFETLTESLSVLHLAGVRLNWDEYHRDFKSQVVMLPAYSWDYQDYWIQYQNNFCLTKG SPERSESVDAIQPMSTRLSPSVQKILEEEMTAAQASIIIESDITDPELLPVALNHKVN GVTLCPSSLYADIAHTLGTYLLGKKEDVTDYKIDVSNMAVEKALVVKGTGPQLFRASL DMDWNMLRGMMKVYSVNNMGTLTTHHAQCAIELQRPHQWQEGWNRQLYLIQRSIEQLK KGVEEGWTHKMRRGVAYRLFSSMMQYGPSYQAMEEVIFDSSGLEATAQVRLQSTTGWY SLNPVWSDSLGHITGFVMNCNDSIDLTENLFVNHGWGFMRCVEPFSPDTVYQTHVKIQ PVDGNNGFYVGDVYVLNDHRIIAQYGAVTFQKVARRVLEMLLPATTSKGRSSNIRPRN VGTAQSAKIVQSKRRTQTPHVEDAWQQVLEMIARELGVDPGQLTEDVNFTDMGVDSLM SLTIIGNFREFLSLDVPWSLFEDCPSVQSLRIYLNMSSLSESDSIETSSYPTPDESTT TTITSPSGSDRNVGRNSGIDGVGTTVGLVLSILAEEIGVNVRDLSNADGLSELGLDSL LSITALGRVRDETDLDLPSDFFLEHSSVAAITAALHAIFGSTEQGPEQSLITSHPPAM SINLQGDEGCPQTLFLFPDGSGSSTSYSALPTISKDVRVYAMDCPYLKRPNELAKCQL QDLTPVYVAEIRRRQPRGPYSLGGWSAGGIAAYEAAQYLVDQGERVERLILIDSPNPM GLGKCPPHFYRFLEEAGVFGVHGGRKPPAWLLQHFQAFNDVLSQYTPEPFRPADATPN TTLIYAQDGVCKSPRDPRPERHPGDPEVFSWLLENRVDMSCNGWDQLLGEDNIHLGTV FDANHFTIVRTPAVVRLSEIVRMAMSRKFQ AFLA_116230 MSPYDPSGYATPFSMFHTLPSPVPESTIRSQTHIESYPSLDGTM APILCSPESADISLPDIPHVAQLRTNELEGESPSVLQYNPSWDRIMAEHSTAIGDAGD CITRAAAVLKSVRDPRTSCVRSRTPPRSHTQSLDATLDDGRTAMDTVKDILACPCAQE IRVALLLVLIIQQVLESYQALLTQQHDTPREESPLGINLSRYDTPMAIGRYLLDNELR SKIIVQVLSSELEKIGLILDILTRHAQSMAHQPDELILGTYIDSLQTTKKEVLESLEQ GNDI AFLA_116240 MDILKDFLQAPPPPNATIKKLDFTKTTPPIPAYKNHFAAIIDNA LTPAESPQNKSDPDPGNTPWDRALLNVGNGKQVKATGFRNCGRIIYDSPDIADRLLNR LLPFLRECDIVQISGQPLVTGAGPATRGETFKLTRLNEKLRFLKYTGGEYFRAHTDGC YVTPDERERSLFTVHLYLNGEGEQDEGELRRAIARRERVDARVSEDNSGWGVVFGDGD GDANEDIRSEEKEENIEGAREQTLLGGATSFRLESYAGERVVRVFPKAGSALVFQQRG LCHAGDDVFRGVKYTMRSDMMYEKVER AFLA_116250 MLPSIPAGGKTLVYSTVDGHDIKLDYYLPSKQEGCLPAVIYYHG GGMTAGSRRNHCQEKGYIFISADYRLCHPCTALDQIEDAKALFKFLAGEGFQKALPGS TSLDTSRIAVTGFSAGAYSARAACVYATPKPAVLLTGYGSAGDWLLDHWTTGRPPTSI AKLVDLNEVPNLLADKTVVSDDTPESGIMSNRFALTVRWELDGTFLDGSLGRPGLGAK LNKLDYAERAAAIPEDLKPAFLQLFVTENYPPSVFVHGTADEVVPDQESKHHYEQLKK LGVKTELLLVENGPHGLVDFSSGIPPRPAKGSVEAYGRALEFVTEVFNAV AFLA_116260 MSSAYSALQITKYLSYLSLPAKYHAYVETPHLFPKDEAALTVLF RCQITRVPFENLSVYYSATRQPDIHPETLYSKMMGAEETGPTGRGGYCLEVNIFFHHI LRGLGFDVYTVGARNRDRVNGVPQGDYGGWVHMANIVRLPSGVRYHLDVGFGGDGPTR PIPLVSGASVQNLGTQEARLLYDNISKESQRKQNHWIYQCRNGVDKEWNSFYCYPDLE FFQEDFEVINRFAAWEFLKRDLIVTVKFIRSGEEGEILQHQETFVHIPDGPDEVHIAG KIMLVNNEVKLNTGGKTKVIETLDTEAARMKALRKWFSICLD AFLA_116270 MAGSARAFVVLTLAIIIGLYKIYLHDAIVLTFGIGRVIQPLEDF PDYRCQRIQHPLLESCEDLWLDSITRKLYAACSNPAARKAWSPAGNKYDLAGLAASGS SDHISVLDIDQPGSDGLYGVHALGFRDGANSQQLHLHGFDVRRIDNGRRLRFWLINHR PPLDARTGERLDPIKVGANSTIEVYDLDLGNNLKSDHLEYVKTIASDAVIAPNNLVIV DDEKGDFLVTNDHSTKVGTFRDLNFLFGDGSIAYCHTDTGKCHIATKDNCSLPNGITR DPSSGHIYIGHSAKGTIGVNILTDDNRLVQIAEVPLTMGVDNLSIDPEGNIFAAAFPD AIQLMKAFNDPYGTSAPSTVLMVRKKEGGQDTYEVVKVVEDSEAKVLPTSTTAVHDPI SGRLFIGGITSTFMAVCERVA AFLA_116280 MLDDAFRDTPIVKKNYPFTQQTAMEAHDTMNEDYNLQQPVVSTT GLRQGLTSYGDAHFSLFLRKVFIKALGYSEDALSRPIIGIINTGSGFNPCHGNTPQLI EAAKRGIHLNGGIAIDFPTISLHESFSHPTSMFLRNLMSMDTEEMIRAQPVDACIMIG GCDKTVPAQIMGGISANKPVLPLLTGPMMPGSHRGQRIGACTDCRNNWASYRAGTIDM EEISAINEELAPTIGTCGVMGTASTMACITAALGLIPLQGASAPAVSAARLRIAEQTG ANAVAAAESRRSPQTILTSDSFYNAAVVLQAIGGSTNAMVHLMAIINRHPDISGSITL QTLDEVGRRTPLLVDLKPSGDNYMTDFHNAGGMLCLLHRLRPLLRLSAKTITGETLGE VLDRTPFRDFEYSRNIIRTLSNPLHPSSSLVVVEGNIAPHGAVIKASASKDKRLLRHT GPAVVFENPRDLSLRLDSPDLDVTADSVLVLKGIGPIGNPGMPEAGMIPIPRKLAAQG VTDMLRISDGRMSGTAGGTIVLHVSPESAVPDSPFGVIETGDMIVCDVENRVIRLEIS DEELQERIAQRRRLTAEDKTSTWNERQTRRGYRGLYEREVNQAHEGADFNFLTAKGPS SR AFLA_116290 MTLVHIVLFKFRSNVSEEHKKTFVTELKQLKHLSCVKAGRLLVG GPSVTDPIERSQGFQIALVSYHENREALAEYQASDEHHRYVSIQV AFLA_116300 MLRLDGKVALITGLGQTSEDGWGIGAAIAMQLSQQGAVIYGGNR SLASAERTKARIEREGGVCDVQETDVTDSASVKALVDGCIQRHGRIDILVNNVGKSEP GCPAEMREEIWDQQVDLNLKSIYLTCHYVLPIMEKQETGGSVVNVSSIAGLRYIGKPQ VAYSATKAAIMQFTKATAVIYAPKNVRLNTVVPGLIYTPYTQALAKRYAPGGNEEEYM KMRDAQVPMGRMGDAWDVAHAALFLVSDAAQYITGQELVVDGGITSSTGRT AFLA_116310 MDMFSRVHSVHGAELPPTSKPIMDLEKQSIMTVDHPSQSDPKLV VNIMSKWEANLVKAAGSEYPHHGPLFSWLSNVNQTTDNSNIDPKTWLIVGASRGIGFI FVRLLLASGHRVVATARGPGSALDAVARDAPDRAIILTCDVSRKQSIAERL AFLA_116320 MEHTAKDAIERWIDNVSTELQSSSTTSANDKRAGQSWLDDTQAT AQRKRRYPGPFRDDISLGPSFTQSTSSRRSSSPTRVKAQLATATSKVVFVHGSAVPGC MEAKSLFSFLTSEDSSPWQANTDVVRKISSASSRCATELRSEGSWVMDVVRPLLEAAI GDLLWNLGVWLPKEAWNEKYKRAGIDIFGRDLSHVDHPHTGKRLLGLGVEVKPLDGNL IVAQVQLAVFMAGLMSWAYSSQYRGASSVSPPPIVGCTVIGED AFLA_116330 MELANLISQSIPKLQDESPQRANDARGNVIEASNKCGLPVDILR RILRQAMTYSAFSEPEPDCIAQTDVSREIPRLSPLLTYQLDVCLPSMVRLLDWLKDVD GEHTCAYQIAHDTKDTWWSSASKRPELIENYGKYMALITSGGAHDVSYVLKGFAWEKL GNAVVVDVGGADGFVGISLAKEYPNLAVIVEDNLGLKDSADDNIPQHLKSRVVFLPHS FFKPQSALSRDADVFLLRHILHDWNDNDCRAILQALAASMKPGASILVAEQILQRPGA ASWQRERVMRALDMQMMIQFGSKERAYEDWDALFKSVDPPLEIVDCVQPVGSADSFME LKRRA AFLA_116340 MLYHTVKSLVVALAFGATAASAQNPVTSLVSRRVTEYLMPATTE THEFARVPNTNFVLLTQMSDSELIKIELDPTTEEPIAYHSFPMGKNSSSQLHGVWPST VHPGMMWLSLQADNKLLLVDPGQDLSTEPSIIQTIDIPAPGNGPHCVFEIGNRVWAGL KVASKQTGQYYVFSADVSNSTDQKLYQCLNSPVFIKEEPTTGLIYVTQDNDSSIMRIN VTSGETTQLPIPPSVGNNAVGMTTAYGSMSGVWFTLAGNATGGTGTFGHIGSSGEMEF FKLEHPLLGTNAGLLHVADASTEAGGPALWLLSTSLLSTNSPDALIRVNFDAGVTSIS GEEYISMPTQNAMVHRVLPLDKTVLVSELHTFTLAQLTYNNTIAGQWLPAEAVSNTTV YTEAG AFLA_116350 MRGSWVTLFLLGIAPLTTCRSSYHYRREPRILLDRLGWFRGKFE QECSANDDCVSLCHDHNCIWLSKEEAEYSGKYIERFMFQLQERHYYSRYRDADDSRDG KLSSYAPGVCKSGTCHRSCSPRCPDFEFCALSDGLCKPPQPDGATCIKGNECEAFCVD GVCKGRELGGSCEHSKQCDSRFCLGGLCRPDDLEEGDECDTHWVCASNACHNNRCVPG DLSDYEQCHIPEQCASRYCPGECRPMDVEDGGRCHAGMQCKSRLCLQGTCGKKGVSDS GSCRDDAECASYKCIHGKCANKRLNYNDRCNKDSECETGYCKNNKCAVSIGQSCKTEG DCPGRGPTYCSSAGKCGHTHFYGDKCSATEQCLKGHCCVRGKCDSCPGMENASCERHS DCGSGLYCYKSIVFPERKQCYPADGSLNSPCGAKNKCRAALECRAGSWMAVPGDTSYA HSHGAFAAVFAVRIMNPKTAKHVLHKERFQDIDPRV AFLA_116360 MLRTTIRKIFTFTIPPLYYFMLSTLRGFLSQLCPCRKMECRQNT SRFPSLLSSLWGPRRSQQSLSVSTSTQTQTQPPAPAPAPKIDIASTLQKKRSAEQDRV SRETAQKELVDEIENLVGLENVKRQLLGVQNWVQICRRHGREPRNEWYNIVFQGNPGT GKSTIARIYAKMLYAIGISDSNTIKETSGRDLAIKGTQGVQRLIKEMVNSDSPSAPTA GVLIVDNPHTLMPSKLESHREILDCLLQAMERKTGRIIVVFIGHGPDMETFLQENPRV QHQTCSTVSFADFDRHELHQLLVRRITEAFGGKMQVEGGQDGQYMQAAARRLARSRGE GFTNIYAVRQLVETIAHRQAECLAEQQDVGMEDVDYFFFSKDDILGPNPSDIRSQSVA WTSLQSFVGQEPVKASVREVFGTVEENYWREVKNQKRLLVRVNRVFAGPPGTGKTTAA KLYAQILADLGLLSSGEVTVKPLSAFNNVSDTDDILSSTVGKALIIDMNTPETDDNDF QVYDSVLDMLIKELSANGENRCTILVGSDHAVDTLLPELKEASRMLEHQVVRFQPLTR EQMEELFQAKLQEQDVDATPEAFQAAMDILESARMRKDFDNARGIERLLTAANRNFDQ RRSRAPDGPLSQRVLEPEYFNADLVGGKAALAFREELRHSIVPDDIISVLKRYHNEMK IAWFQGHEPRARVPCTLVFKGASGTGKKTVARHLSALYYKMGVLKTAAMVECSVSDLV TTSVSHTSIRTRSQLERARGKLLYVEDAHRLGDNEYTLQAMDELIYLLPKLSQDMVVV LAGPSQDLDHLLANRPRLASLFQEEIPFRNPTPRECLRLLDRRLEEEGVRGPRLYLTD PREVTHREFTRAIQILSMFPCWGNARDIGLLARWMVSVAVKDLPLDGTTLPEVRLTDE QAMACMIKLFNLKRDRLRFNQDPKARTLPRILSQPRTTERGGVRFPV AFLA_116370 MPVNAAGEWWASVSHTPRFLNWGLFIMTKIFSADSTNTDQLKCT SRSSTPEKEALWWTMLCLCHSNPKLLSK AFLA_116380 MSGLEVLGIAASILQVVELGTHLSIKLYTFCRRLKDTDQRIQSL SSDVALTCNVLRQLGDSLQQDEDAKLYSMEAFATAQQVLGECRKLFQRIGDAVDYPDQ KATKGLLQKAARKVGFLCIEEDLEVLRVNLERLKSTMLLMLNVIMYAGQLRSRAELSV LEEQRMLIRTLVEEKKTNETQFERLTKALESAKITDNRLNKPQPVTCLTTTFVCNDAP LANDLLSSELREYYALVKKVLSEIDASQPRLEHDQYRRMRDDILQFYYSEISYCESLH GHQVAQWFREQYSTLCKEDVLLGWLQKYHR AFLA_116390 MSESIIPPRNSSGSMKKTIQPTADWAVDIDHGAETDLQRTLSTR HITMIALGSSIGMGLWLGSGTSLANGGPAAIFIGYLLSGTMIWSVSHSIGEMAVMYPL PSAFIQWTSIFVDPAAGFALGWAYWFSYWITIANELQGVVTVLNFWTDKVPTAAWITI FWVVIILINVWAVKFFGEVEVVSSSIKFGWIIIVIISLIVVSAGGAPAEGPIGFRYWN SYAFTNGFKGFLSVMPTCIFAMSGSENCALVAAETSNPRRAVPKAVGSIWLRLSLFYI LGSLMITITVDPKDNNLFGASGVNASPFVIAYRNAGLEPLAHIMNAVVFISVVSTGSI SGYAGSRALMGLAHVKMAPKIFGKADKVGRPLAGLFITLLIGGGLGYLNVNNSGEEVF TWFSNLTSLFTLFGWGMICLSHLRMRYAWKVQGRDVSDLPWKSWTFPYAAIWGLGWCI LLIIAEFYLSVWPLGGQTTAKNFFANYVSVVAIVVIYIGAKIYYRGPFWVDSRTIDLD SLRRFYVKTGDEESVEDKPGAMGHVSKAVRFLFN AFLA_116400 MYRITNIYVLAAFGTIGGALFGFDVSSMSAWIGTKQYLDYFNSP DSNLQGGITASMSAGSFAGAIAAGWVSDIVGRRMSLMIASVIWIIGAVLQLSAQNVAH LVVGRVVSGLSVGITSSQVCVYLAELAPARIRGRIVGIQQWAIEWGILIMYLISYGCG KGIEGPASFRVAWGIQAVPGLILLLALPFFPESPRWLASKERWEESLDTLALLHGKGD RNDPVVQVEWEEVQEAVRIAREAKDVTFLALFGPKIWMRTMCGVSVQVWQQLLGGNVA MYYVVYIFTMAGMVSPMSYPESDNNATLYSSAIQYVIFLVTTGVVLPYIDRIGRRFLL LSGAITCMILHYAIAGVMASYGNPVDQIDGNENLRWEIKGAPGKAVIALSYIFVGVYG LTWAPAAWIYASEVFPLKYRAKGVGLSAAGNWIFNFALAYFVAPAFTNIKWKTYIIFG VFCTVMTFHVFFMYPETARRSLEEIDIMFESNVKPWQSSKLQDKFGEEIARRQQSVSE EKAADASHKEVA AFLA_116410 MNVLVWRNSSKRVPSLVTRNTALRAKSFLRTMSQFRVIEHTVRA QHIRDRFGATEPGHANKLRLAVKQYIPKSNEKPSPGDVTIIGAHANGFPKEMYEPLWD DLEQRMSSLGRRIRSIWIADVAHQGQSCVLNERFLGNDPSWNDHARDLLFLINQYQDE MPHPIIGIGHSMGGMHLASLALLHPSLLQALVLIDPVIQTENPSKDYAPASSYRRDIW PTKEDAIKRFQNNKVYQKWDPRVFEKYVEYGLREVPTEIYPEPSELGPQPVTLTTPKA QEVFTFLRPNYEGGRVDLEKGEWQNEMHPDDLEEDYPFYRPEPAQLFRRLGEMKPSVL YVFGETSELSSPAARQAKLDVTGTGVGGNGGVRRQRVKEVTLPTGHLVPMERVMDCAN AIATFSDAELSRWDAERQKYLRRWNAIPRRDKITVDDKWKQHIGTLSRKPKL AFLA_116420 MSKPTLIFAPGAWYPSSAFDPLIAKLAPHGYTCQTVSFPSIQQA TEIKDLTADINAVRALVEPAVNAGQDVIIISHSWSGLPVNSALEGLSRTERQREGKQG GVTKLIFISAFLPDVGESLIGAFGGVPPEWYVMNEENATVTAADPFTLFFHDVPDGRE WAKTLRPHAWATKNSPATRTAYVDIPAAYLLCEDDRAIPLFVQELMVEKARGKGASFE TEKIKTAHTPWLVVPDQVAAYIRKHAGEEV AFLA_116430 MMPFLPILLVLAGLTFLFRRRRYKAIEQGDSPRVVVKGHTYSDS VEEIELPQLKSEKVENVRKACMIGAGYVGGLTALVLASQNPHIQFSVVDSDARLIAAW NSDRPPVFEPGLEDLLFEPNDPPALPTPSPSPKLEASQDEDCLENSSNSTNHGELIAL LPRRRKLANVNFSTNMHEAVAAADMVFLCVDAPSSIMNGDKSDIDLSRLEIAIQAIAQ VSTGHKIIVQKSTAPCGIVPRLKKLLKETASPSASFDVLSNPDFLVPGAAIRDLLYPP RVIIGHVFSEDMSPEALTALKRLYSPWVPDDRIVTMDAWSSELGKIAANALLAQQISS LNSLSVLCESTNANINYVSETLGLSQRSGLGFGGSSLQSDVLCLVYLARELGLQEVVD YWMAVLRMNEYQRHRVVKRLITRLGDVKEKRVAVLGFVSKGNVMDTRTTTALGLVRTL TSNGVRVNIYDPHVQADRSESTLRLYDCHPEMVTVTESIETACFGCSALVLHTDWEEF RQDQVRWQRISGHMASPRVLLDPHGVFDGFKMQQWGFEVLQVGIRSAKVL AFLA_116440 MPTSRTISIVGCHAEGEVGDVIIGGVLDVPGKTMFEKRQHFLYK QDEVRSLLLNEPRGRPGKHVNLILPPCDPRADAGLIIMENEEYAPMSGSNCICTVTVL LETGMIPMKEPVTELTLDTAAGLVQVRAECRGGKCKSVAFDNVPSFVFQLDYKIDVPG IGTVSVDIAYGGMMYILVDAGSLGLRIRNADGPKLVEVGEKIKKAVNAAYTPAHPENP DIFGYSVLAFTEPVEELSDGSGKTAINTVVTSPGRFDRSPCGTGSSARLAVLHARGQI AVGEKFVHRSILGTEFITHIRGTTKVADYPAVLPTIQGRAWITGYQTVVLDSEDPFPQ GFRVGDQWRCKL AFLA_116450 MPLPHFKVIIVGASIEGITLAYCLHRAGINYLILERRNERVPPR EDLLIVMPNGARIWDQLGLLDRITDFIVPIEKAYMTLPDTGSHEIGFPRVLRERFGYS PAFMSKRKVLEMLYTHLPRNDKLKFDKNVVRIETGPNCMRVVTWDGYDYGGDLVVGAD GAHSKVRGEMARLSKLEGLTMDVNNYMTVEYVRITGVSTQDLDYPALTRGTMLTSNCA GQNIACLTAPHTGQDTSCSIEDAAELANLLHGRLRGRRTKPSTEEINILLGTFTQRRI RRLKPIYREAKRAIRHMTFCGPWNRLIARYYLTRNEQVIAEWFSKDVAGGVSVKFLPL PERSELAWSDIQLEQHTYWGGVGTTRIQCGSWAALHTVVVIESPRYIGRRKPALCPL AFLA_116460 MTKSLPRIACFHGGGSKGAIYEVQCSQLAGLLKNDFQFVFFDGP FESGPGPGVLPAFRDYEPFRSWFKKDGSEIEQSDGSGYDISGRDGVERVWKLMEAAGP GGEWVGVMGFSQGTRITGGLLLDQQRRTAFGELGNTPKLKFGVLCMGAGAPMVSEIGH QMADTGSTDLVKIPTLHVHGLKDMFLALGRQQHATYYESGTSKVYDVDYHHAMPWYKH EVQRLAELIRELYRESTGY AFLA_116470 MTLQHKVFFSKRPSATRTGPAGADDLKWVPTSSTLIYGENDAVL VDTQLTVQAAEELANWVVDSGKNLVAIYITHAHGDHHFGSSTLLKQFPSAKVLAIPEV ASRMENEHSPERLQSVWEKLFPGQITNSFTSAEALPADEFELENEKLVVVRLGHTDCD DTTALWVPSIGLLVAGDAVYGNTHPYMGESGTIESRLAWIVALDKLAALNPKVVIGGH SDPNGSFGPDAITETKTYFETFNQVVAESTTAEEVYSRMMKLYPSRLNPGSLWSGAAL SKGK AFLA_116480 MSLPHHSTPLILITGATGFIGSQVVLVSLRAGYRVRLVIRKPEQ EAVLRARYPDYNDQIEISIITDITVRDAFKPALTGVDYVFHLASPMPGRGSDLQADYI EPAVKGTESVLFSALSFPQIKKVIIVSSVLALVPPTALQQKEVFVKDNTNEIISIDLP TVIPEGPRGHGLKYSASKICAHQATRDFLARQNPHFTIITLHPTFVLGESLIQETPEG IDGINALFWNSLRSEKPTMPNVWVDVRDVAEAHLQALKTEIPSGTEFLLSAPAASWEE VRELVRRKFPFVGCKLEGPIEGGWTVDTRTAERMLGMNWRRQDEIVEAMLEQQLRLRE VEASL AFLA_116490 MPLWQIYHPPGTFTTSTEKEAFSKAITENYTFVGLPAFYVVVQF HELDPENVFVGGDQRSKTAKPFVRIVVAHIAIRLPDADESYARVTASIDRVLKPHVLD KGYDVEYHVDETERRLWKINGMIPPPWKSEAEQLWVRENRPVVYKGAFPEGGKTAL AFLA_116500 MFNVRLIVTERPAIFRRDLEKVMELFAEGKLHYALPLKIHDISH IKHTYEEAHRGKNVDKYVFKVSPESEVEATIDNRPTFKMNQNETFVIAGGLGGIGRAS VGWMAARGTKNLVLLSRFGPRTDPGIKLIEELKVMGVRVETPACDVTDINAMRAVFNK LMPEMPPIKGVIQASIIARANYDAGNTYEDAITRYRVSIGEKAVSFDLGAMADDGILA EDKALLSRVHGYGALDLIKRETFYGMLDYYCNPDLPLLTPRESQLAFGLGTAGGDALE SLDHARQPMLQPLVLEGQRRSTKTETGTRTTNMKNIERFRASESLENAAKLFAEAAIG KLAKSLATMQDGASVDRNKPLQAYGVDSLLAIELRNWISREFNADLAVFETQGSSTLG TLSMLVAGRSTIKHEKWSIME AFLA_116510 MAEALPTSLSTALDISPSGDQFSMELPTDIAFGAGEFDLIHAFR VVKVKDLGYRTNKRTSPVSCGGYVASLMAKYAVVHASKHETLRTQTDVRTSLVQFYRP IIASKPVQMQLREVSLGKAWSTLRVETSQFGKIAASADLWWGTLSWPSDIKFTEQWIL PGWDCLPQGSCAVQKDEEKARWTTEMIQFAIDMSLPVQENFFPRSKRLPMGSVAATLE FAAAQRDSRIQGRPNWRELELDGSKEPITQTVHVTLSMSTEVKRNLPRKGVRWLYLRS EVKRIVDGRMDMEILLCDETMELIAVSQHAAHIIPSAQKLEKGGGKANI AFLA_116520 MPTTRYFDQCPPFPSNLHIVPLPKVSLEGLQNGSEHESQLLFQA CQEWGFFSLDLRQSDKGNELLGDAEQMFDLTRETFDLDQSVLDNYAYKPPHDLTGALS PLEQISETSVRLLLSQSQSSPKYDNITLGGHTDIGSITLLFNVVGGLQILPADRENKL ENWLYVKPEPGHALVNIGDTLVEWTGGLLRSSLHRVLTAPGEQALVGRQSVAYLVRPR NSASMQRLKGGIIPPVEEGQDDETRSVNEWAGWRARQIMLGQLKPQTRGGKSVVTPA AFLA_116530 MELLPKLVILLLAALGIASVSIRRFLNRRQFARRCGCQPVARSF SKDPFLGLDTIPGTIRAIRQHRVLGRSCEIFRAYGNTFTVKELHQSAIVTIEHENIQA VLSLNFKDYTLRHRLELFMPLLGRGIFNTDGQHWASSRALIRPSFAREQVANLSLLER LMQDLFVLLPRDSTTVDLQELFFRYTIDSATDLLFGQSVGALKKSQSGLAFADALQYA LKAIPVRDMLGPLNAVYRDRKADECNRICRDFVQQYVEEAVYAAGPKKEEKESRTTET KRRYILSHKLASRTSDKQRMVDELINVLLAGRDTTGSLLGNLFFMLAKNPVIWAKLRA EVAVLQNRPPTYEELRGLRYVQCCVNECMDSHSFDLALTNIKPPALRLHPVVPTNKRK AMRDTVLPRGGGNDGLSPVFVPAGTLVGYNIYAMHRRTDFYGPDAKEFRPERWEDGKL QPRWGYLPFNGGPRICLGQRYALTEASYVLVRMAQEFRGLESRDPGSWEEGLVLTLCP RNGTKVGLIP AFLA_116540 MKISVALGLISLALAVPRPPSPALSDTGVSNYNNVNNAAPPNQR PASPAQSNTQPATPNPVPANIEGLDTSYSVKCGRSTFPGADIHRAISLGVDLDRNGKQ LGTFPHDYTNYENFNFLNKKCNGKGGLRRREIPIVRGGYFNGKLDAKDNVFRAIYLHN IWETADGQGKAPAIYCGTIYHPKGAQTFQGCDVRKVKS AFLA_116550 MKFSIAAIALFTLSAVALPATVVRRGASAATVSLIGEVEGFRAD FYDMMGHKTIGYGHDCVAKQDCDSIKAPISNAQGDEILQKDLAGFEQCVCALPNAKAL NANQYGALVSYAFNTGCGGLQQAWTAAMTSKNFDSICADLPHTNTLNGVLDNRRKKEA ALCATPTTQMCGC AFLA_116560 MRTLCPGRGLTQILLYIHNPNMSSIKKILVLGAGELGTQVLLSL AQHSRLNNIVVSVLLRPSSIASTQPQKVRELSLLREHNIQLVPGDLVADSQESLTQTF RGYDTIIGCTGFVAGRGTQSKVTQAVLAAEVPRYIPWQFGVDYDIIGRGSAQDLFDEQ LDVRDLLRSQTKTRWTIISTGMFISFLFEPSFGVVDMENSSICALGGWDTKVTVTAPE DIGKLTAEIVLGLEPDVAFDNRPTFVAGDTISYAELLRIVEDVTGRTFTKSVRTVEAA KADLAKEPDNSLYKYQVVFGEGRGVAWDLSTTWNHESGVNVLSVKEYASRYLV AFLA_116570 MAETTPQPPTINGSCLCGTIKYHITGSPALKILCYCQNCRKSTG SLGMANSIYHRSNLTISQGHDTLRTYKDSATDSGSPVDRSFCGNCGSNLFCENKEKGP GLVIVTSGTMDLEDGQSWQPVMEFYCKDKKTWLETNLETKKFETVPTTLENL AFLA_116580 MFEYYEKKSIFVTGAPGFLGTVIIYRLVTLCEVQHVYVLCRGGP ERLRSSWTQWLPEPILNTLCDPSRVTAFDGDILLPDMGLTKDTLDMVRTHVHVIIHAA SSINLAKPLAGLFDVIIRASDMIGGFALSCPKLDRFVYVSTAYANGHLGPSGHTSDIE VDERIYDPGSSASVIEELSEVEKHGTSKVYEAHDFPWPYAYAKNLTERLLVRRFQDHR ATNKLLMVRPSIIGPSQSVPYPGFCLPLSAPLLMFAAGMALSTSRDMRIGTNLTDPDS QATLDGVPADVVADRLITHVAAGTTGCIHAVSGERARYKTRDVWEQAMQFRPIPWDLK LVWDPNGWRSPNQHFLCRRYNLLGVSYAFSERRTVKLSNALSPEEREELQLFSRVKVS DLLPKQVQHFRYIFDRTAPKDEKAWLATRTSDHRFGDQQRVSKL AFLA_116590 MIDLAYLKNEAMTRWRQTRNIPCADASATSSPDMAQRVCVFHDS LTRFILPLCTAMNDRPDSSIPVTKSTYLVDGSALSLKQVWDMRDFAQEVSWILATCYP ETISHIILCNAPSSFAMMWNIVKNFVDPRTAEKLVVLKSAEVYSTLEKCIDHVNIPKQ FGGEFVFQNGMLPDLDEGIRQTLSWINSESSLPPGPLKWIEDGEDRKAIATGCVGGIE RTEEIAVLRKLK AFLA_116600 MTSDSLLQLFSNPDSIPSDPRWSHLLAPYLGNGLIHAATYSLEE IRKHVDFFIKHIGPHLGPGPLGKDGYQPRYPSAMTDDLTPFELSLCWKDPKQQGRPIV RFVSDIIPADAERTRIASLLQSQRLIEALRNIAEDTSDLTLHMLPDIWKAVSHTLKVS ETLIHSGSCSPCGSSSAFIAFDLKKSVISGKFYWRLPFCLDVPGTLNLMDQVFSACFA VHEFFGSAVFSTSWHQIREHIRNHADTLLPRMISIDATAFPAPRIKVYVNCRFQGERN FDSWEHHLRFNDSVACPEDFRSTCRDLWNSLTTNPPEWAQTRPDAGPRSCLLLYELTA SSAKTTDEQRQKLSSKLYIMCQEIPLPDSIFSNSLPPEGNPLISFPRTEVSIYLNPSY FARKSWNVAEDGYMAKPRITLS AFLA_116610 MQAVEGSFESSPVEAARWYQPLASRVVQRWGAPRTGAQGSWGQT SWGQRLGVAQAPGQGVAAQVPGASTALQQGSTEDNGQYTWKPAGQASQLQTPQLQTPQ LQTNTQSAATSTLSQWTPTSPTTSTTSPTTSTTSQATTTSSDTTTTHSQSTSTSTQPT TLQTRVSHKATATATDTAAMSTGTGHQAPKKLDNSAWAAVAVCAVVGLIAGLVLLSLY RKRQRAKAVARAKERMRRDEEPKSAHLERNSGLNTLPHLFLASKTALFSVVSLRSTNE KDEVCSNRSPEERQIASIHPALHGKSQDVATKDDRTPAKSHTDGSSISDASTVAGTPS RHPTFRQPTELNYDGCSSSDDSSDDEANDHRPGLYRRFTERVASIRPTRSKQQPKRGH RHCNSAPNEIIIDGITAHHRDLKQSNDSRTHFRRSTYSEHSTPCHSPGIQQSNKSNSE PRSTSDDDSDHATESPNISRSTSGDDGDHNNGFQRPPLKTRGSFKDRVTGLAKLPRIG SRNSLYKGSSAEEKDKKLSTIPDDEDNRIVASYAPATFKTYSVEMEHSPANDTQIKLG LGQSVTIFQVYDHGWVCDPIFSFPLVS AFLA_116620 MRRALLMQYFREVFVSKIEWIKFGSEAAHDILVSWVAASQEVWL DVRTQFERSDEGKVL AFLA_116630 MRSTLFLLPFLPTALATRPFLNEPDTGIEDVLGDTPAGTLPDLE RIVGLPDFEWAARRYMNASSYTYYRNGAAGEWSYRNNLEAYGRFRFKPRMLVDVTNIE STLPTTILGHNFSAPFYISPCARGGLAHPEAEKNFVKAAYEEDILYIPSLYASLSVDE IAAAKPSNGSQTIFQQVYLTENDTETKQLFEKVEKLGSKAIVFTVDSAADGNRHRAAR YGVGSADSSYTYITWDYYKKLQNMTSLPVVLKGIQSVEDVKLAVAHGAPAVILSNHGG RQLDGTPSPLEIALEIHEEAPELFEQIEIYADGGVRYGADVLKLLALGVRAVGLGRPF MFANTYGVEGVKHAIQLLKHEIAIDAGNLGVGDLKKLDASYVKWTNNGWYS AFLA_116640 MTTSPKFRIRDAGAVEGDDQFVVAAFDAVIPYLTSIGSHEQWGT IPFSHREGWVDETVQQIGDSSKSSAFQGDQNKNGVLRIFIVEKECNADGPEYFDRPLA HYRVSSDRRRYLSVGFAFVRENWIPGYIESQKHLQIPEAERENNIYLEVMVTDCRVGS LRRGAGSALIQGIRDYGRKKQKKAFWLDGWAGNDKKLVHYYENQGFQVVGDFSLPRAN KAPWVGTLMRMDI AFLA_116650 MKFALLSGVAAGLLPVVSAVSVSGAAEGFAKGVTGGGSAAAVYP TTTDELVSYLGDSSPRVIVLDRTFDFTGTEGTTTATGCAPWGTAAACQLAINQNDWCT NYQPDAPSVSVTYDNAGILGITVASDKTILGSGSSGVIKGKGLRIVSGASNIIIQNIA ITDLNPKYVWGGDAITLNDADMVWIDHVTTARIGRQHLVLGNDADNRVTVSNSYFNGV SDYSATCDGYAYWGIYFAGSSDLITFKGNYIHHFSGRSPKVQENTLLHAVNNYWYDST GHAFEIGAGGYVLAEGNVFQNIDTPVQSPIEGQLFTSPDTNTNTVCATYLGRNCEVNG FGSSGTFSQADTAFLVNFEGKNIASASPYADAQSSVPSSAGQGNL AFLA_116660 MEPVTESRDRYNLRKELTLMERDIKALEEANTHTLDQSVLHQCR VRALPLTPDADDSLTPKDPTNVVKQSVSPRYYPLFKYSFTHSVSRIGDYNFPNLYRML EPEFGTLSIFHVAKASKPHIKRIMHNDLGVDDSHLLRGEVLTVIRIMLGQLKQNVFVS EMVAPVLLFSLNRRHPRVIEAYFDGLELLVRRTKPYDFTFLNAAGFKTFAQWLLGNPI GDTFKRTDQTYGSIMG AFLA_116670 MALNVALRTSLARQSTAYGFWLTVPSAPVARTILRAATASPVEA FSWVLVDAEHGLITDRDYYDLTTAIAAEGASPIIRVPWQEEWMIKRALDSGAHGVLTP MCHSVEDARRIVRYCKYPPVGCRGYGPLYAPHAFPGVQAGAQYDDNADQNLMVMVQIE SRSGLDSVEEIAKVEGLDVLLIGRFIKACDSSHNEEPSEAWGPFDLAKQIGVVRGGDE HTAAIDRILKAAKSAGKKAAIFCTSGEQARQYAEQGFDMVSVITDQGAMGDAMVQSLS AAQGRDADNKPRDGY AFLA_116680 MVHELPLLQEPNPWDGSDQFHPVQEAGCSYDLIVPFDDSCKTPL HSLEGLADIMFSPEHMLSILNNPRYLARFREFLLEERPRSLELLTYYLNTRKALKALE YVNALVRCAVDLPPSAITVTEQVGESCNPALQRRVHEALQALTDEELPAFITSRCIGI TSRVVEERVRGTLPRKFQGTSDALAEVFCLTDPSRRDNPIIFASEEFHRTTQYGMDYV LGRNCRFLQGPKTNPNSVRRIREAIIAGRHHSELFLNYRRDGSPFMNLLQCAPLCDSQ GTVRYFIGAQIDVSGLAMEGAQMDSLCALLDKQKNGEAGADAEDGEMKEDVRPDKFRE LSELFSPRELSVVHQVGGNLFKPIPAVFDRYGKGHSRTWSTADTVEMEAIRERDIKTA LFRGSLTGVYENYLLVRPYPSLRILFTSPALQIPGILQSSFLSRIGGSPLVREQLLDA FMAGRSVTARVKWVTRFNPQGRDRWVHCTPLLASNGEVGVWMVIVVDDD AFLA_116690 MFEAKAPKSAQFLRRRSARAGQSRPKATATRSRVTRSRPATQHK GLSVVIPVKADLNKKKKNGEKRTDNRVSVEIANKSPWSAIDIASQVLDLEEAGEWNDL DSELQDEQEDERLNEEQTPSKDVLPPDPFLPNGIRVTQARAAYDAGQYRSLKAAAAAW GISQTILKHRVKAPRPSRREAAHSLQKLDATDEDSISKEALRRVEEDDRRPTNVLIWE VANDLYRDKCGHLGLEFQPIGSQWPQRFLVRNPDFRKTWSQLIEARKAPPRAPRPGVS SGPHPWRFAEDASSIVDDDFSKFDIPKTEAECATYFRQIRSGPAATAVRIQRFLTHLL VEQAKSVDMLSRVRKALEEPERPNETAPQVATREHQKQSGHTQGSAAAAASDSRQPPA TTASTPIVGTGVGNSTAASSQPSQSTSQPPTDNIPQRQEAQVPQVPPNTSNPPPKASM RSEERATMARQASSASLPLPTNPSNIFFTCLIAMPRRRAHTKSRHGCERCKRRRIKCD EAGPPCSHCAARKADCRYAIGGLFRHSEWSEQCQNPPISATYQINQSATSYESGTNLP FASEASYNALTPVPKGRMRELQLMHVWSLKTCRSFSSNLSGVFQSFMVEQAFHHPFLM DSLLALTSLHIASGTASSNDNDVNNHHGSLNLALVSEYIDDALHYQNSAVPAFSSALE NISPLNCDALFACSVIMMACAFAAPLIGSSRGNTRESLTSPFHFVKGIHSVIDKARPW MANGPFRFAIITHSDDDWESSQQDNEVFHRLRKLCFHGDPAIRNILFHSITLLRNCFA KDETMAIPWIVVVGEDFADLVQQEVPMALLVYMYWGVLLSRLKEVWWATLSGRGIVND LAKELAGIDGWTEAIQWATEEVGTNKEN AFLA_116700 MWSYFWHIAFTALLTLGRLTAGIQLDINDPNSIKDAAATAAYGM MTYYHGNESGQIPGKLPGTWWTGGEVFMALVQYWYWTGDTSYNDVTKQALIWQKGHND YLPDNYTQDLGNDDQVFWGLAAMTAAELNFPEDEEVSWLALAQGVFNTQAEKWDPDTC HGGLRWQRNSWNGGYDLKNSVSNGGFFQLAARLARYTKNETYTEWAEKAFTWATSVPL IIEKGWTINDLVTVESNCQAPNQMQWSYNYGIYFNGAAYMYNLTNGDTKWKNVVEGLL NTTWRNFFPQEYGGNIMVEPCEPQKQGVVPCDGNQSTFKSLVTAWLAFTTTIMPETLD QILPKLQGSAEGAAKQCSGPSPDKICGQRWFLDKYDGVTGLREHMCALSVFTANMVPF KTGNRDQGPLTADTGGTSKGDPSAGTGSRKPEKDKPREITTGDRVGASIATVVVVGIW LGIAAFMVTGG AFLA_116710 MPPRRSHKKSRTGCIQCKKRRVKCDERGPPCSNCTTRGIECAYS STPAGALMPNTVSASPSSPQVSISPNAIPSQSPPATTHYDLRSLELMHKFSTETYYSL SSDALDHRVWQTSMPRKALEFDFLLDGILSIASLHTAATKPPLEARSYIDTALEYQNR ALTPFRHALNNISPANCDAIYAYSLITIASRIAMPHLAIGDNEGPNMIENILHVFELL QGTTEISKMTRAWSSQSSFPTVGDYWAPAAKCLDSETEEAFSRLTALNNQKNSTLPEE HLITDEAIDLLRRCFCRYSTMKDPGSVVTWLAVVNRRFVDMLRGLEPLSLLILGHWGV LLGQLDGKIWWASNSGRALVTDILSVCRKGVVEYGDAWLWPKRELRL AFLA_116720 MSLRGKNVLLNKLAHLKEKLLATSDVKVTYGTVDVGDYASVEAA VSSAIEEIGDIDILINNAGLALGAPSPFPELKVSDILTMNNTNINGYMFMAHAVLNQS MLRRKAGTILNITSVTGLEVPPFPGEAVYHANKACQEAFTNALRNELSGTDIRVLALR PGCVATNFHSLRVGHDKEMYDSFFEGYEPLVAPDIAQAAVYMLQQPPNLSVKALDIVP SAQRSLNVFDRSWNERRKDQ AFLA_116730 MVVRLPSTIWKSFGAGPAVRRIVSATPRFHITTMTQENEAAAAP NESGSEEQKLPPLSLKDFGVYNRMAAQMDGFHNHFRLSWKDLQNACETNGKRSSGLKP KQLILTGLRFCSQLDFHHSIEEEHIFPVLARKMPEFRQKKTLLAQHKKIHRGLDELEQ YLEKCRSGEEDLELTEMKRLMDAFGEVLWTHLDEEVQTLGAENMRKYWTLEEMRTLPM AFLA_116740 MKPTHAYLTAALISGALAAPGSTLAQRMRHRSLDHLTLPLQGEG SATDSEARVAGAAVQYSNNWAGVVREQAPPEGPYTAVSATFTVPSATAVANQNGVQAG SVWVGIDGDTYAGAILQAGVDFYSDPTQQNHAWFEWYPAYATNFPNIEVNAGDTIVST VRSNSPSEGIAIIENKSTGQTVSQTVTAPEPTATLAGQNAEWIVEDFQSGDTMVVLAN FGEVEFSGAQAEAGNAKFGLKGGEVIDLKQNNKVLTQTEVTGDQDMTVKFIGA AFLA_116750 MTFIPKSDETKEKVILEATAKDDIEIGQMTSLDEAELFLQEHGV TDTQLQELLNDSKKSKELVRKVDLIVLPLLCGTYVLQYIDKQALAYSAVFDLFTDAHI NSNQYSWLVSIFYFGYFFWEYPASHLAQRLPTGTVISSFVLSWGSMLMITAACNNFTG LGITRFLLGCFEAPITPCFMMIVGMWYTRQEQPFRAGCFYCCNGVGSMLGGLITFAIG QIKTFPVWRAVFLICGGVTVIWGGILMLFLPNSVLTAKRFSVEEKILLVGRGKQNQTG ILNRSVKWYQIREAFIDPQVWLLFLFTLLNETINGGTANFGKLIIKGLVSSPLLTTAL GIPQGAFQVLFILSGSYLSTRFKNIRTIIMILYLIPTVIGISLLWKLPRTNRYGVLFG YYIVGAYVSSLVLSLQMPSSNMGGYTKRVTATAFVFLAYCIGNIIGPHAFLAKEAPIY QTGCKLVLACALCQMVCAACLRVLLVRRNKRREERMRSDPGLDGQGESDVMADLTDFE VCSLFLFLSFPFFSEEKGILGGLLTGWLVESEVSVCSVNALHVGGFYSNLYLGS AFLA_116760 MLTIPGLFRLALLPIFALLLLQQKALPLTNSPQIQPYVSWLPVS IQNVFLDNRPRITLPQGTVVGTTVRDTLKHPVDAFRGIRYALPPIGERRFLRAEPIGP SEEVIEATRYGPRCPGKQLLPIKGDNGNSEDCLTVNIFRPHGVHGKLPVAVYVHGGAY NRGTSSMHNTASMVGWSEQPFIGVSFNYRIGALGFLPSTTTAEEGILNLGLHDQILLF KWVQDNIEAFGGDPSQVTLFGLSAGAHSVCLVLFLSKSNS AFLA_116770 MNHDLGHTLFHRAIIESGAATSRAVHSYDAHLHEDQFRQFVEEA GCKDAPSHEVMDCLRGQPESAITNASFTVFDRYNPSVRWAFQPVIDGELIKQRPIDAW ESGKWNKIPILTGFNTNEGTYYVPPSMSKSEEFTAFFQTLLPAYSASDIKTIDKLYPD PAKDTSSPYVDTRALPVGPQYKRVEAAYGHYAYACPVRQTAKFASAGQEPPVFLYRWA LNKTVQGGANHGDQMAYETFNPEVRAISENQEKIAGTLHAYFTSFIVSGDPNAVPGAY ADRPSWETYDTSSSGRIMVFGEGNDERAGGSGVGIAAQVVDDDWSRKECNFWWTKSGI SD AFLA_116780 MMTRQLPILLLDGGLGTTLGDPPHNITFTAETPLWSAHLLISSP STLEEVHKAFATVGADIILTATYQTSFEGFTLTDPRYTADDAAHFMRSAIPLARRAGS SSGRTVKVALSLGPYGATMSPVGAEYTGLYPEEMNSEAKLREWHARRLCVFVDETGSW DNFEYIAFETVRRADEVKAIRGAMSDVLADMYQGQGPDSEKNQLAMGKKPWWICGVFP DEEVDEEDVRAWVRAAVGTQEEETGVYLPRPWGIGVNCTRIGNVGRIVSIMQDELRNL EDLRTKGYVDEWNSVTGKPWLVLYPDGTNGEKYDPVTKTWVATETGKETRPWHEIYWD VVQGLPEGAWEGVVMGGCCRAGPEQIATLRRRIDERSNAQGV AFLA_116790 MADLHKVRELGLDEDTTLAILERLKHISQLYRSGKPLFPRRLLE DLNRQIDDGKEEVYISDFDDVPQVYSLKVPSWCTEFANTYRIRYQSIHSLGCVPPYDP ERVLCKCTPVAIDYVDTSGPGESTLEAIGGAFFKQRQIWLESLGHRNLEHHLSTLRTT ANIRKIVCFGLGSLGRLSGDCYTRTHTQHAAVETIAASLVRRGLSGSQEIKCYAQDPV YDEVDHEFLRSIGITPLEDPKGFLEVDEHTLVFSVSPDVPVKQIVTDLHWPGAMIWDT VTPSEKRKSWAKYKENDGTIVWITPFTTDPDSGRVRRMIKHYAHAQLEDSDGFFGDLT IYMKCEE AFLA_116800 MGPVLGAIAEPLGWRWIFWIELIIYGAFTPVVLFCMKETRGPLL RVKFMPDEASPRASDDSETLATFQETIARSAVLLTTEPTITSFTLWSAFAFGLVFIST QSIPLVFSDTYGWPSYTDGVVQAAIGVGQVIGLLACTLQNRVYTRSASHNPDSPGIPI PEYILHLSIPSTALALAGGLFMYGWGIYQSHWIVLAVALALIGYASMVIVTAVSIYIT DSYAGYAASAIAAVAFGENIFAAFLPLAAKPMYVRLGYQWASSLLAFVALALTLAPVV LLLKGRTIRAKSVAIKKMSHSHS AFLA_116810 MRYDTKDHRWKYDFVYEWPAIGQMSVWGMLKDGRPDVTEVYGDV DNSSVVQKLPPSYLSSNVINITKLPPFPHLGWTITLNDANLRYEMLPVGSGWAQLVLY ILLWVLPILMGFAGIFIFIRTFYRVKLNTDGDVAKEDKLPLLFWRRVREKFSGDDESD KSISDKDIPTDIAIAGAPEQRRTVLIATMEYNIEDWKVKVKIGGLGVMAQLMSQHLKH QNLIWVVPCVGDIEYPQDTPSEPFVVTILDKPYFINVQYHIVDNITYVLLDAPVFRQQ TKAEPYPPRMDDLDSAIYYSAWNQCIAETIKRFPSIDLYHINDFHGCLAPLYLLPTRT IPVCLSLHNAEFQGLWPLRNPQEKKEVCSVFNLPIETATKYSQFGNVFNLLHTGASYV RFYQRGFGAVGVSKKYGKRSWARYPIFWSLEKIGSLPNPDPSDTGDMTNNADAEVPIQ SYEERINDKLQAQKWAGLNEDRDADLLVFVGRWSKQKGVDLIADVMPAILSARPHVQL ICVGPIVDLYGRLAATKLERIMEMFPGRVFSKPEFTVLPPYVFSGADFALIPSRDEPF GLVAVEFGRKGALGIGSRIGGLGQMPGWWYTVESDATRHLLHQLKTAIKQALDSSQDA REEMRANSVRQHFPVLEWIQKLEALQRTAIQIHHTKNKNTVTGPMPESQNYWETQSVR MSTLGLPGPTQSMTEGLDTPPGRLLTPGQSRFAELQLEGADGNRNSSLGRKLSLGRRS GPGQDRKRPGKSPPRESQILGEDLEGENTDAEEEGTTTPQVNYISPEEAMAAVNNTLG TQDIGMAHTNNSTHSLAGPQGSTYMSVPGSPNNMSRASSPMPGTPGLPQYPFQFALGS GGNTPFTHSRNVSMLSLPSVVADHNQPVFELQKVDPTFTDSTRHFTRRFEEILNNLNK KNSMTDCCIETYLMKSERKFYDMYNDAQLKKQPDDRAVSDSNSDTQDNRASYATVTGG SDSNDPDEIDLWLSRLGYKRPIAIQRFMRRRLGKWPVYALFLGLGQIIATNSAQMTLL VGQVGETATKLYIIATIYCISSICWWLLFYRFPSVIVLTLPWFIYCMAFIIIGVSPFA LTSLGRAWAQNVAAGVYSAASSSGSLFFALNFGDQGAVPIKDWMFRASLIQGIQQLYT VALWYWSSKVTEAEVGGVSTAALSSWRLTAVVMPIAAVCFIVGVLLALGLPKYYRQSP GRILFFYTSLFRRRIVLWFFFMVIVQNWFLSAAFGRNWSFLWSSQHAKAWEVVILVIF FFVVLWVIILIIFRALSKEHSWILPVFGLSLGAPRWAQTWWGTSNIGYYLPWAGSLTS GALVSRCVWLWLGVLDEIQQVGLGMILLQTLTRVHVCFVLLAAQALGSIATICARGFA PNKLGPAGISPNVGTSLDTVGNAWFWIALFFQLLASWGFLLFYRREQLNRP AFLA_116820 MPAGFNQNSMQDYICSRWGFSKAHSLVPICLAITIEPTARLANA HAAQGYIDELISRYATFQGISLVPADGLYIEQSELMPSVVSLSDRQVIQEQQRSYYRK HFDILAKYLEIDLGASGQPPLDITYQETLERWNAEFDDHFSEGIKSMFDIMQARNYDS WWNWAREELIQWLHKVASDPLDVALPRKGNHLRRILNRWDPSCSDIVEAMVKSPRPVG CPKQAISSCSDIRLALKEILRLGDLALASEPIYIYSFPALCPKTTISSSGQLGYMETA RKVSSYPDVVCQGRLCADDLETMIPFVHIKSRRNEGSWKYDADATSILHAALGTGTTI GLSYASKTVLVTGAGPDSIGAQVVHGLLCGGARVVVTTSRTISESASFYQEIYRRCGA RGTSLTVFPMNQASKRDCENLIEHIYSADSPIGGDLDYVIPFAAVPQAGELDKLGSRQ ELAHRAMLVNLLRIVGFIRQQKEKRRISCRPTTIVLPMSCNEGSFGGDDAVGRSKGTS SPSIGIDVEEIASINTENETFLQRNFTLAEREYCLKAPNPQASFAGRWSAKEAVFKSL HASSSGPGAPMQDIEVLSHCGVPIITLHGEVKDIAQAKEIGRVEVSISHTSKAAVAIA VAVKG AFLA_116830 MSARRPPVSHIIVFDRGGLSSLVKKLKEGQGVRVIQGSDLDSRD PELGTMKDLFSPLLLHSATRLQSWGQRFQPRLIPGAKIRIETRLTRLLGTPPIMVAGM TPTTMHWDFVAAIMNAGYHAELAGGGYHTADSMASAIDQLVKNIPAGQGITCNLIYAN PRAIRWQITLLRRLSHAGVAIDGLTFGAGVPSLDVVKEYIQTLNLRHIGFKPGSAAAI RDVVSIARAHPNFPVILQWTGGRGGGHHSCEDFHAPILNVYGLLRQQPNIYMVAGSGF GSSGSIYPYLTGSWSATMGYASMPFDGVLLGSCMMVAKEAHTSKAVKDIITSTSGLDD NDWEKTYDGPAGGIVTVLSELGEPIHKIATRGVRLWAEMDKTVFSLPRQDRVAYLTKH RDMIIHRLNADFAKPWFGRNSQGAVVDLKEMTYAEVMNRLVELMYIRHQRRWIDPSYV SFTFAFAVRTLERLPGELNDSQHLSRVSLELDPPGFVRAFISACPAAVAEVLNPEDVS FFLMQTRKDNQKPVNFIPALGDDFEYYFKKDSLWQSEDIEAVIGQDPGRVCILQGPVA ARYSCDRGESAKEILDTLLYSLSDCFQRDMCAEELTIGIDSGLVTPDSWSTVSPGTKD LFMEGISTPSSTTLSDSTDDPCVCSFTVPYSSDRNVPVWVRAVLEDRFVLQGRQRRNN PFREYVEKYPESSIRYNPARSEVSVMAQDSPDIRSSMTIICQNGVDVIVGLHPPYKAD NLQLLYQFDPSRVPFRLSEIMEGRNERIKSFYSELWLGERTISRMDLHSTFTGRPVKL TRQRFEKLVLTVGEAFSDHRIVSSDSDILPISVGIIIAWDATIPLQGIDVPFHSSHLR STVNVFRQCLLRPGLLVGNVDAEELEGKYIPNLMAQPFSLDEKYIRQAFDLTQSPVLG EILGVKHTFHAV AFLA_116840 MITMLTSILSRLRPSWFPPFVKGHTPAPTTSRDPEISPLDIEDE PLEIAIIGCGIIGATLALGLLAKNIKVTVYEQAQSPRESGAGIAFTANARRCMSMIDE RIVDCVAAVATTNGDPRNPNNNMQFIDGYTHDPDGLDDMSWKKLYALATGPKGFEGCH RAHFLEEIMRLIPGGVVKLGKRLDRVEDYGVGKVLLRFCDGSVGRADAVIGCDGIKSR VRELILGEGNPASYPHYTHKVAYRGLVPMQEATARLGHYRAHNQHMYGGPNAHVLHFP VAKQTLMNVVAFVTDPNDWPLDRSMSQLATKDEVAGAFADWGPTVRDIIDLLPAELEK WGVFDSLDCPAPTYSRGRVCIAGDAAHASSPHHGAGAGIGIEDVLALTVLLDMVQSRV KTPGGRKTVALQAAFAAFSAVRRDRSQWLVRSSREACEIYEWNDLQCGSDMDKGYDEI KRRSHKIWHFDIDGMLRQLEVEYRKHLGA AFLA_116850 MLNCLPPSLKVDFHHFAMTRRAALPSEIVLLIVEKLDLPTLCSF LKAFPDFVPLLSTVHFSIRDESGNCILHILAAEGEQELLLQVLRCKVALPNARNKYMD TPLSLAVSRGHLLVVEALLNRLDVDTNFTNDRGRTLLHVAALNGHVSVMEMLIHWTGL DINEQDDRGQTAVSLAAEHGQERAVAFLVTKADTNVTGEVDHQFSAELNRSDLWGRTP LFIATEQGREDMVGLLVSLPEVDVNARTIRYGQGTALASAAKNGRENIVQLLLSRPDI DIGARDIHGRTPIQLATLEGHKSIALMLQRFHLDPDSEV AFLA_116860 MSKGILKALLPQGNELFYHGPLRFDSAFDKTNLYSYMHHTVTFS YTTAFWTWEDWELELDWAALRGVNVILAWVGYEKVLLDSLREIGMTDEEILPFFSGPA FQAWNRLGNIQGSWGGHGVSIAWIEAQFELQKKIVSRIVELGMRPVLPAFPGFVPPAI KRVRPHATVVNGSQWSGFQKKFTEVSFLSPLDRTFADLQKSVISRQMRAFGNITHVYA LDQFNEINPASGELGYLRNLSLHTWQSLKAVNPAAVWMMQGWLFYDKKDFWDSNRISA YLSGVERNDDMLILDLYSESKPQWQRTESYFGKPWIWCQLHDFGGNMGMYGQIMNITS DPIEALNKSDSLVGFGLTMEGQEGNEIVYDLLLDQAWSATPIDTRAYFQSWVRSRYSG NLSVPNELYTAWDLLRKTVYNNTNLTTYSVTKSIFEISPDIAGLVGRVGHYPTPTSIN YDPMVLNEVLSLFMNATRKEPSLWHNPAYEYDMVDITRQLMGNAFVNVYSVLITSWKS ETENRTTKVTSHSERLLNLLSAIDKVLSCNENFSLATWISSARDWGNTTETKDFFEYN ARNQITLWGPTGEISDYASKAWAGLISSYYKPRWSIFVDYLGEKNQTSYNETELKAKL HGFEMSWQGQSREPGISWANSSCRGLEVVLRNVSQSWPSIFGDRA AFLA_116870 MAIFEHVQDVIGQLPVLKSYSHMLICFPVQDDKREVALQELERA VRLVMKTFPYLSGRVINEGSGAGSSGTFKVTTYKEWESETHVFVRIQDRTSDCPGYDE LCSAQGPSSMLPGHLLSSRVAFPETYQDTEDDPAPVLDFQANIVRGGLLLDLAAQHNI IDGTGIFQIINLLATALRGDQFPLFQLHEGNRDRRDLIRLLGPDEPLLDHSELKPPVI MKAPPPSDILAPYKWRYYRFPVDSVNKIRDLANSKPEDFDPSTESLSVNDAITAFCWQ RITAIRLRKLETPGAFSKLSRAVDFRRIMRLTPAYLGHMVRVCNTRLTFEAIVESSLS RLASLLRKDVQEISNEYALRSYVTFIANEPDKSDIAYGGSFNAQTDFSCSSIAHVKAP DFGPLGKPGLMRRPTFQPLPCSSYIAPMLHGEGMEGLFCLHESEIEALAEDEMWKELV EYIG AFLA_116880 MVTTQNISRQRPGSACEECRRRKVRCDRRRPQCQVCFETGIDCK ISTTRLPRGPRKGQLRTLRTRIAALERCLADQHPGINQQMAGLFDGSGVECDSEEDPL RERATLPEQVPEICSDDQQGGGSPRSPDPQTRPCGLVSDLMRADLDQLYFDRVHPSAP ILQRWRYQVWAKQPRKSEAQVCLQYAMWTVAASLSAHFQSLRDTLYHETRRMLDAIDL RSPISGILAVEQAQAWVLVAIYEYMQLSPQQAWMSAGRCCRLVLGMRLYEIDDPNSPV TMAREHEPNLVDWTGLEEQRRTFWMAYSLDRFISFHNALPYTLNEELIATRLPTSEED FQAGHPAATQFLPEVMAGYSSSDSSNVQSSFSQCVILATLCGRALSHKQKSAMEQING ELGDGLWTRHQWLHEMLTYRIQVLSVLAQVDPMLIFARLVAQTLVLFLHSVLEAITWK TGEHLLGMFEYERLCVMAAHEVVNLVKLQAQLGYFKELLECLQDLDHVKNPSQSPRIS V AFLA_116890 MSRPYRNSNMLSQLEPPPGGNTVLIFGCQCLSFNIDDFHRLRAT VLETPEHRWIQDVLSELPVYYRTASTTYVQKLKNIPGTQQLRNLAEWFHTGKVPTDSF PLPYIQLAPLLVITHFTEYWQYLRIRHHKGPTSVKESSAESPVVEIVGFCIGFLSAAV VSAARNQEQLSKYAAVALRLATLMGALGDAQEREEEYTSLATVWKAAELENRLPLVLE AFPESYVTVRFDTNRVTIMTPRRTIKQLENELQSAGFNTTQVEFNGRYHWAGHEDTLH ALGRMCDSDPALQLPDTSQSVVPTRPNIPMDTPPKGPLHELVLWSILAKQCQWLDTFS KVYRAHLEDTASLIIEFGPERCIPPTYMRKLQGRTVHFADLDLNTLSRTPPLYQTPRS YDSDIAVVGIACRVAGADDLEEFWRLLCSGASQHQEMPLERYKDYETPWRPSAIRPWY GNFVRDIDAFDHKFFKKVPREAMSQDPQQRLLLQVAYQAVQQSGYFHRPNINRNIGCY IASCTVDYEHNVNCHPASAYSATGLLRSFMAGKLSHYFGWRGPAFCVDSACSGSAVAL HQACQSIIRGECTAALVGGANAIMSPLAYDNLAGASFVSPTGPCKPFDASADGYCRGE GFAAIFIKKMSDALADGDIVLGTIASTAVEQNNNCTPIVVPDASSLAGLFTQVTKKAH LHPRDISVVEAHGTGTQAGDPAEYVSIRQTLAGPHRTSPLSLGSVKGLVGHTEGVSGL IALVKILLMLNEGIIPPQPNFQTLNPHINASPDDQIHIAVTLEQWRANFRAALINNYG ASGSNASMVITEAPYASEHRMSSSIHTFTVALPIRICASDEGRLSDFAKRLRQFLHHP AISAAPATDLGNLSFNICRQSNPTLDSQVAFSCCSKSELSSKLSSFIDGDTNHIFRFI KSPRPIILCFGGQVSTFVGLDRTVYDSISLLRHFLDQCDSLAKFSGYGSLFPGIFERN PIIDQVQLHIQLFSLQYSCARCWIDSGLDVAAVVGHSFGELTALCISGALSLGDTITL IARRAAIIRDGWGHDHGAMLAVEGNKDDIMRLIDEACRKAPTNIAPATIACFNGPSSF TLAGTTAAIDGIQATLKTPSYISLKAKRLFVTNAFHSDLVDPLLPALEDVMCGIHPQE PIIPCEKATENVCTGTVTSGMVAKHLRQPVYFHHAVQRLAEKYGPCVWLEAGSNSTIT YMVNRALVPSSGHHCQAVNITTERGMQNLSDATVSLWKASVSVAFWGHHSQQAQKYVP IFLPPYQFEKSRHWLSNKKLPGPAHEAGATPAVSASALRFVGYQDTQQLVARFSIDTA HPQYQESIAGHVVSHTSPVAPASFMLDYVVEALRSLPECKGKIPQVQNVTSDAPLCLD MSRDLWIELYAQDTHKHIWDLRYLSEQLQIGRRSQVLHCSARFTMFDPDDSQIRSEFT RYSRLVSHRHCKELLNDPNISDIIQGRNVYRTFAEIVDYSEPYRGVQKLVGKDNESAG RVIKRYTGQTWVDTYLCDSFSQVGGFWVNCMTDRAPSDMYLASGMEMWMRSPIYADPT TPRPDTWDILAKHERGDDCYTSDIFVFNPTTGQLVEVFLGMQYTRVKKATFSKIIGKF MPQCAAHSSDVNKLETAVPHAAVPVPQAAKAKSDSSGPKSRINLTARIKEVVAEFCAI DPSEITEDGNMADAGVDSLMAMELAREMEEAFHCTLPAADLMEADTFRDLVNCVKGAV GECDSDEHENSSRSSEEISFKDRSPDGDYNTPDTEPPTSVASDTLDLELPLESVLEAF GETKALTDHFLADNKCSGRIHIFAPLQNELCVTLTLEAFEQLGSHIRTASRGQRLDRI PFDPQHQELTNYLYKRLEEARLVHLDGNTVIRTAISAPNKSSLSILEEIKCSYPEYAG ASKLAYFTGSKLASVLCGEQDGLQLIFGTKEGQELVSWMYGDEPHNVVGYMQMLDFIK RLIQKVPRTGAEAGALKILEMGAGTGGGTKWFLPVLSKLDIPVEYTFTDISPAFLAQA RRRFKEYSFVQYRIHDIEKPPPDDLVSTQHIIIASNAVHATSSLQESTRNMRKALRSD GVVLMLEMTRPAFAIDIVFGLFRGWWVFNDGRNHAITNEHRWETDMHTVGYGHVDWTD GHSPEVSVQRVIFATATGTQSERLPLGKPLEKVHPVQKVDNASRRKVIDKYIRRSIET FTLPVTSQNGFGSDGQVVLLTGATGSLGSHIVAHLAQRQSVKTIFCLNRGNPNEEPFQ KQTSALKKRGIPLATHEMCKVKALTATISHHKLGLSSELYDTLKTTVTHIIHNAWPMN GGLKLSGFEKQFEAMRHLVDLASDIACHLPTESKVRFQFVSSIATVGHYPIVTSQNNV PEQSTNIEFVLSNGYGEAKFVCERILQETLQRYPSRFQVMVVRPGQIAGSSSSGCWNT AEHFPAMVKSAQTLRALPDLQGDLSWTPVNDIAASIVELLLTDNTPYPVYHLDNPIRQ PWHDMIRILASELSIPTGNIVPFSEWIQRVRSFPGSREDNPAGMMADWLEENFERMSC SGVLLETTRAREHSSTLAGVGPVSEEVTRRYLHCWKQCGFLH AFLA_116900 MKSFNFLWLLAAGLITQLQADESPIEGYTIEEFSWEVETTPGGP TVVLNGTIEKVLSQLREINPNYDNEFPTVVSAEQAAEEEDTSSHLVERGEVVCGKFPS AYQSDIDKGIKYLRTVPGKPQRGPGPGSCGQVSCSWSSAIWWCNDNTFTKVLPSFNNI ADGAQLIKNTCLHGGQTFSGQDFHNDKWNTIVRFHKC AFLA_116910 MSINRMDINYVLNSAETDTNSIDEEQAPFESSTNKSYQSDDVTQ PAIPHYPLSEDMSCPGHEGLCFCPWCPDRWFGDDEIDSHIGVQVSMAPL AFLA_116920 MSLNASNLFSVHGIVAIITGGGTGLGRTIALALDTNNAEKVFII GRREQPLHETAAQATNQSIIPIVADITSQESLENAYHAVAAQTSHIDLLFANSGTDGP SAHLPPKPDGSPPTLAEFRDHHWGHQMTDFSETLNVNVTGTHYTILAFLPLLDEANKR RPPQDSNELASPHPQVIVTSSVSAMMNRPASLAYSFSKAALLHLVEVFAAQLAPYRIR VNGVAPGLFYSDLSKHLFDKSGVSGRGISEGSFTTELNPLTRAGSDEDIAEMVKSER AFLA_116930 MGKLQPDCDPNDLPPPYEQVVSGQPSLDDSLPPLAGPSTATPPI ADNEVQDNDRSEITERPSTTMSPFLSQDPTALHSLISHEARIPPRPCLSVRGAHQETH PDRNDRKENRTESVVDFDFRINLTNYLVGDPDHDAGWYQLRVARDGDGQKLYRGGRCR SRTWKRNARGRRVRLPTEEDGTATENVGLVEDDAGPDLMGWCERFCQDPSPVKSFTFT RHIENFNSSIIHSTLTSHLRSLNYQGNIDISTSFSNRSFTVYSPHWINRARNNNFVYY TCLILQLWLITWPIIWLLERRYAVVGSVWLFSREVGSQHVYARNRDEAGIAEDLAPVV TQAAWERRLDGKVLTPQDMRLLRRLEREGQERGGRILVVNWDRISGWGRDQYT AFLA_116940 MKPLIYLSLLLGISATTALAALRPDATPDEVAAAEAECGSLGVM RIDPAELPEGVTMTDVRMCADHPLGPGAYPSPGPGAFARFRQFLPSWVF AFLA_116950 MELSSGSRAEHRPIHAWAQNVYQGRISPESRFYKNSRILKSELS FRNRQRTANNNGQQVRASTMKLNPSLLTAAGLVSAQLASALPQASSSTVSPSPSPSAT PGSFVTTSGLNFVIDGKTGYFAGSNSYWIGFQKNNDDVDLVFSHLQESGLKILRVWGF NDVNQKPTDGSVYYHLLADGTATVNEGEDGLQRLDYVVSSAEKHGIKLIINFVNFWDD YGGINAYVKAFGGSKEDFYTNDAMQAAYRAYIKAVISRYSDSTAIFAWELANEPRCQG CETTVLYNWIESTSQYIKSLDSKHLVCIGDEGFGLDTGSDGSYPYQYSEGSDFAKNLA IPTIDFGTFHLYPSSWGTTNDWGNGWVTSHGAACKEAGKPCLFEEYGVTSDHCAVEKP WQNTALNTTAISGDLYWQYGDQLSGGPSPDDGNTFYYGTDDFKCLVTDHIAAINSRN AFLA_116960 MSCYSLHLGRISSNFDADAPCGIPNATYPHVQCCVKGDYCMSNG ICHYSKNNSVNGYYTADCTDPTLQDPACMNRCGNQPGSIIDYDEDTGLWACCTYTSDG KADCSSLSVEKFPAPAPSKLVTIQYLPATGTPLYATPTDVVSPPVVNSTGSQIGAGAA AGIGVGVGVGVFLLAMACAFFYIRRRRSSQHSVVPSKSWTESVPTVQQPQTVVRYELG KPEPRPQELA AFLA_116970 MGPTFAIVIATFFSLGAQALEAPIDGYGVEDFEWEVEASPSNKL RLRGTIEEVTNQVRSIKSNYKYERRDGTNASTASLEKHYDEESLVCYPNGWGAADGNT VQEGVEYLKNDVAGKPTNGPGPGNCGRVSCSYNNAIWWCNDNTESKTLDSFREIGLAA EEICNRCWMSAVASNSGFENLCAGQMFMKDKWNVIVRDAKC AFLA_116980 MSVPQYVAKEALVRDLRDFISRDADRTKRFNDAIATAVAGSAGA HDEMANEGIKTLDDYLRFCDDLLQWVPKVSSKGDELLQKILVFYWVFDQPALRGLQTE IQPQNSNTDLSWLSYWLVTFARQQGLFLSTPQSAASVYSFYRNEKYNQEADLWVEPKS GWVSFNHWFAREWKDIDSARPLAGPNDDKVIVSVADSKFNGDWDIVDGNVTISGIDAK GVEWPIDKLLQTTTIDYHNGTFMHAFLGPTDYHRQHAPVSGEIIEVKNIQDQVYLQVA TKNGGHLSGDRRLIRNPHMITRRRERLLSGGHGYYNLDAPDNAGYQWCQTRGLIVIQT KDYGKVAVLPIGMAQVSSVVMTVKKGDHVKKGDNISYFQFGGSDVVVVFEKKVTFKSG LKPGETKLNVRSELARFQ AFLA_116990 MGVETSAEHGEMISPAGAPQYSSQESVAANKPMEIGVDTPVPRI TLRAFVMGAFVSMGGLLFGYDTGQISGFQEMDNYLERYGQLNDEGKYYFSHVRSGLIV SLLSIGTLIGALVAAPIADKLGRKWSITFWCIILNVGLIIQISSPAGKWVQMVMGRWV TGLGVGGCSLLVPMYQGESAPRQVRGAMISCYQLFVTLGIFLSYCINLGTEHLEGTEQ WRITLGLTFLFALILGGGMACFPESPRFEFRHGRVDSARNTMSKLYGVPENHRVILQE IEEIQQQLDAESQEQVWHEFITAPRMLYRIALGMVLQSLQQLTGANYFFYYGTTIFQG AGISNSFITQVILGAVNFGTTFGGLYIVENFGRRKSLIAGAAFMFVCFMIFASIGHFM LDVQNPENTPGPGKGMVVVACFFITAYAMTWGPMIWAICAELFPSKYRAKGMALATAS NWLWNFLIGFFTPFITGAIDFAYGYVFAGCLAVAALVVYFFVIEGKGRTLEELDWMYV NKVAPWKSSNFEMPSLHDQQYGNQHGRKESRSYHAENA AFLA_117000 MSDSKYSRTETNSYPNEKNANGTLSLTAPSDKGEWNTKDVGRQD NEGVELSGKKGSCPRKCMGQIIFLYILQNLTTDAGQSKGQRKKGKGKWQKVSLSEATK PTTPNKDWSVIPDPEQAKILQDLVALCRSTNPHVARMRHWNTSNGHETSPTRRSVVAI DCEMVPVGPGQNEVVQVCAVDVLSGEIVVDKGVVPTKPVTDWCTPWSGMTPQRLEDMK REGKTVNGWEEARAEVLKFVDGDTILVGHALRNDVRALKMQHAKVLDTATVTKHAVAN EMVGSGCKRTWKLKTLCQDFLGINIQQSRNGHDCVEDTLATREVLLWCVRNPDKLKKW AVEQSAAIQAVSSSCSSGKELEDSTPFEGVEDWL AFLA_117010 MCEMTEPIVVDGGLAHECLQSMPFDAQKGAKFVSELTKYIQFQS TIELLDDPPAGYLSAPTDLLGGMAEVLAKAKSNQFPSHYEFENAILRVLHSANDGHLG ATLCSNTIFYFNNLVSLTSISSDGVQLPQLYTLEDADVLQKDPKIVSPVVSINGYEAV SYLNHYAESDHFQDPDARYNHLFPSNARYVAQQESTGTWTFNNGLWPGYAVQNLTFSN GTSLEVPTLAQVALEDFKYRNGSALWEDVCLTQSESASDDTEDSLSKRSDTAGKKAPS MYPKPIMRDEYNTISGYFLEDDEDVAALFVPSFETNKYEGDPLAFANHATDFVKKAVD AGKKKLIIDVSGNPGGNTASAYDLFRLFFPQTDMYTASRIRAHESVNLIGQALSSLTK DTDYYGAEGIALYDLVSPNQTYHFQSWEEYYGPQQVNGANLSQIAANFDFDVISGWET PIRGYGPVKLNDTESPFAPEDILIVTDGYCTSTCTLFTELMTHLGGVKTLAFGGRPQN GPMQAMGGSKGSQVASSALIDAWVEGAKILAEKSGQDEKPLFSLEQRRALNDSAPAVE ELPFNLPSLSVNWKNSYLRDNHEIPVQFLYEPADCRLFYTWENYKSPATTWKDAARAW WGNGTCVPGLSAASRSTADGSPNN AFLA_117020 MPVEFISLTFPNASTEIKPIPNATIDPEYLERYARNLDDYAFNY TLVPYDSSYFDPWTIGATIASKTKNLKIIIALRPNTLYPTVAAKALATLDQLSSGRVV VHFIAGGSDAEQAKEGDFLTKEQRYARLEEYIRILRRAWESADPFDWDGEYYQFKQFS NKVRPTKETIPVSVGGSSDDAYRIGGALADIFGLWGEPLKETKEQIEKIYAAAEKAGR TDRPRIWVTFRPIIAETEELAWEKAHRTLDALQANRPGGQGNAPSRPQNVGSQRLLDI AARGEVQDRALWYPTVVATNARGASTALVGSYQTIVDSILDYVDLGAELISIRGYDNL NDAIDYGRYILPPVRQALQERGAQPTA AFLA_117030 MKFTAALALLPLAASAWEVTTGYWKSSETDVCAKTFLPKGFHVT INDLLPGQKVFFFSDDECEDLEFSVHEVGTVKLESRVKSFTVLDFEQKQDLK AFLA_117040 MTTAAVFGSTGAVGGQILATLLASDAFSSVKTVSRRLPNAQSPK LQTLEEGDITKWGGLIASLSPKPSIVFNAVGTTRAAAGGIANQWKIDHDLCIENAKAA KEAGVKTYVFISSGGTRGFFSRYVPYSKMKIGVEDAIKELDFEQAIILRPGLILQREK PKAALLENIVQNLNKLGQGVQDMIGQDQTVIGRAAVAAARMAEEGKAPSKYWVLEQAD IVRLGRNEWKQ AFLA_117050 MSNIKRKLVVVGDTSCGKTSLLHAFTQGSPASGELPTLLDLHEV ASPVPGSQTQLSLWDTANHGEFSKLRNLSYLLCDVVLICFSIDSPQSLSNVLIKWAPE VRHLSENKPTILVGCKKDLRCDQNLKSRNVFSQAMTKLVGRKKDLQSDQKTKASDALS QMPTEQVSHKDATKVCRQIGARQYLECSATTLEGVQQVFEAAARAVTHYKSG AFLA_117060 MPVQSVSTCNVKQLKIRRSHSGCRPCRRRGKRCDEAKPSCRTCR RLSLECSYGVDYTFRNLDGALFQPQPVGQITSTRPSSVSKRSTAKGELGSNSKIGMLN HLSRLATSSVPPTINTQHEIEIRYLDHFQTHVRHLLPAALEFIDKSIQSPGLRFAVLC ISASNLSMLNAQVQNRIIPNDSRRSVFSPLVNDVHHNLAQKYHNLAVWNCRTAEPDAI KNEAPALLAAHVLLAYYHHASTDHLQFRIAVRNAVRFVLQNRASIADSTDGAESLQMW YRLCASHRPSKPPALLLEGEGASSFGPNLLPDVTEHLYLNCILGMSVDDLIYDILIKT LEIRTKLVVFRCVAAIRQVSENSSDIGPLAHEMMNKMLGRECGPDEYVEARDSFVRGS NLLSLLDVQQERLNVWRSRLSPDQLPPQCGTTSCVQSPSSSESSHSLISQHFPSHRDA MNALYCLLCEMTFEEAKQAFASDHTPSVVVVDPSNVIVNMAYSACQVADSLNLAVSNT SDVYTMSLAEVLLQLVFLWRSDRLFQYILDILWPRLEAHGRGYEHSHYPTHLVKRIIS QAAVYWKQGRVVNFALPAVAENIPKLKLLDIDHPVDLVVCGYSTDGVYFIEKASLP AFLA_117070 MARAVWTNLLSDDSIQRSSQTLSVLAGNAYLYGGELRPREPVDS AVYRITLDNEQLSDIKLSTITSTSGSPQPRVGSASTTIDGKIYIFSGRGGTAMAPIEE AGSFWIFDPNTSTWQQVKPADPQSTYPAGRSYHTLTNNGRDTIFLHAGCPEKGRLSDL WAFNISTRQWRELAPAPKPERGGTSIAYAEGKLFRMNGFDGKTEQGGALDVYDPENNT WSTIAYPADGVSGPGARSVSCLLSLKVAGKPSLVTAFGERDPSSLGHQGAGKMLSDVW LFDIQSGKWTEVQADGGNAPEARGWFDADVIANASGDSIVVHGGLAESNERLGDIWRL DF AFLA_117080 MAARMTRPSTPSEYSPLLSADPEAVDHGHSTFPHGQHEPPVANA PPSRLARRLYISHFLSTWNSRVFEFGAVLYLASIYPGTLLPMSVYALSRGVAAILLAP AVGHYIDTGNRLQVVRVSIVLQRIAVSASCVIFYLLAIGQPALSEIDSILLIALALLA CIEKLCSIMNLVSVERDWVVVVAGNDHDSLKTTNAQMRRIDLICKLIGPLAIALVDGV STKFAILFNLGMNICSVVVEYFSIARVYYEVPELQERKTKADHDSPSRESDQQSIMAR LSHYWHRLTRKALGDFASYFRHPVFLPSFAGALLYLTVLSFAGQMVTWLLSTGYDSTH VGIARTLAVAFEVLATWIAPWLMGRIGPTRAGLWLANWQLASLVAGISIFWIFPDQPL ISASGLVGGTILSRVGLRGFDLCVQILVQEGVEAENRGNFSSIETAWQNAFEIGSFIS TIVFSQPDQFEWPALISVIAVGLAGMLYTVFVRMQRGHLIHVPKWIAAPGMLQQTRER CIDRISSASDF AFLA_117090 MYRISIPLVLLLVQQTVSLPAPEDYSGVTNNGAYGVSDASLNAN VGGSIPQPAQNRIDPQSLVANDADLNNQVNPEPPAYGSAIDAAASQEVITSTIVVTWT KPGDTNPTMAPIAAPVDKSNFIEANEDAGRPAADAWAAFDYSSSENVINTPHSSSAPL IHASSHYEDVGIQNSGYPTSRSAQVIPAPEPSSQASVTPVPDPYPPSNAADDSSNTTT DDDLLPPTRAPRLPTFTDVPPRFSTLSIESMASQNGLLQTAINGISTILPVINGLGLL GIETEGTYFNLPGLLDAIDIPCLFRCSTPPSPARPQPGAVPQAPAAVQAPPAVAPPAV APPAAGGAAMGGGAEAAAAAGAGAGLVGASPAGGAEAAGGTVAGGVPAAANVVKGGAE AGAGVLGSGVEAAGGSVGSGLIRASAEAPQVEAPPAQGIAAQVPEAAGGLSNNPLLSV PGRSLLKGVENGIQTGLDQSGGLPGINNPPSQSLDGQTNDVLSNVGPQPGSDSTLNAP VNGMDNSGVNEMIPPVAASSSTPPQPVQNGLQNDAGNLMYPPPPPMPLNPPQPSYVQD GLQRGINDNTTMAGGLNNGYGNNMAPPAYSSLASPLQNGMNAGIGSNMQQAGNIGQNM PPEAATMNSFASGAQGPPASGQLMQPNAAAGVAMALPSDFEGRQRDTEKVTFTMTGFK NGCVEDAIQEAGGPVHGKGDGELTCQHKKEDSLPPKSLKDAQDAGENIENCVFIPVVH AFLA_117100 MVHPILPHLLQRLVSKPQGLKRYAARAKIAACNIYFFTPILFHD RGLSL AFLA_117110 MNIVFIPEVLIRPNQPQGVMSLLYFEKQNLWLQAQRCNMGFFSI GPCLHSIILINRATLNLNQRPDIRAPVMEISLKNDSLITPGYIFMAPYQTELPGPYIY DTDGLTQEPRIWYGPEPMAQQPNFSMACRGRNIILNKDYAQAATVQSGDGLTLSDMHE LDIIDNTSVLIAIYQPRRYNLTAYNVSADNGWVMDGVFQEINITSGKVLFEWRSLDHV GISETYTPIRLNTVVGDGLSNATAWDYFHINSVDKNDDGDYLVSARHTSCIYKISGAD GSIQWRLGGTNSSIKLQDYNFSSQHDARFIQENDTVTVISLFDNASNGYRNTSSTSSG IIVSIDHATNTSSLMKRYQAPGNGLLSTSQGNLQILANQNTFIGWGNNPSISEHAEDG TPVFFATLEDPRAMNYRAFKFNWTGEPSDNPTLRTYAAAPGSATTFWVSWNGATEVDY WNFYGTTSTSEEFTLLTKADRQGFQTTYTSTDYHPRAYAEAVSSDGSSLGNSSVVNTT SSLPSQD AFLA_117120 MLSLEEQENAISNEKGGYFFWDSFIKGRTTNSTYTPIESWTPQQ TEEMQQIFQHDFNRLESTSNLAESQGKVFFAKEHVQWFTDPAAISDYLSHKDSRTPSP VNIKLPNPYGTPQGFSANNLSIFPDHYLKTWRLTFLIRHPALAFPSFYRAMRELEKEE FAQTHEICPLMELNATLRWSRLLYDWCYQHQEEPIKGCDRDIQYPLVLDAQDIAHHPA VLAKYCKLIGLNPVHLKWEWNVPDQKIQKGVEDRIGHKSPEAVMKFTLDNSSHVLKDK TPAIVDIGLERKGWDREFGISIGEQMEKWVREAMPDYTYLRAKRLRVQDA AFLA_117130 MKLNLIAFFLFIFSFAAASPVAEPDEVDGLEAPDADIYEKGLYE RDSSPEHFQHDHKCNQQESRCEPGHWNSNSCKCNGKWCDQFDPNCNNWSWDKCKCIKK CDGPDRHCKPGDWDWNSCRCKGKWCGNYDSNCNNWSWNKCKCKKVCNEADRHCKPGDW DWNSCRCKGKWCGNYDSNCNNWSWNKCKCKKVCNEADRHCKPSDWDWNSCRCKGRWCG NYDSNCKTWNWDKCQCKKVCHQADPHCKPQDWDWNNCRCRGKWCDNFQPNCNNWNWDN CRCKHHKS AFLA_117140 MRVSRVGAQLPIELILLSAEYLMPADLLSLLCAAPGLARVLTFQ HTTLQDERGRTILHLLAREGELMELLLANDGIRLDPKDNCGRTPLSYAAEGYEVVVRL LLDRPDIETDSKDNLGRTPLSYAAEGGYEEVVSLLLDRQDVEADSKDNLGRTPLLYAA WRGHKAVVRRLLDRQDIEADSKDNDGLTPISCAAGGGHEAVVRLLLDRPDVEADSKDN LGRTPLLYAAWRGHEAVVRLLLDRQDVEADSKANWGQTPLSFAAGGGYEAVVRLLLDR PDVEADSKDNSGRTPLLYAAWRGHEAVVRLLLDRQDVKVDSKANWDRTPLSCATEGGH EAVVRLLLDRQDVQADSKDNC AFLA_117150 MRSHPSSRKSTIANFSGYSFCSTRTSLSRKMTDRKEVAVEQDAK SLEAGAPEAPSQTPNDQTMEQLDIFEAPKIRTKLRITAIMIALNVLESFSFSLHLLVL FVGALDQTIVATSIPTISAALHSAAGYTWIGGAYLLANAATAPMWAKFSDIWGRKPVL LGAVIFFAIASIIAALSKNMEMLIAARALQGAAGGGLMQLVFIVISDLFSIRTRALYL GMMGFTWALAGSAGPLIGGAFTELVSWRWCFWINLPVCGLSFILLLLFLDVHNPRTKL REGIVAVDWLGTICILAVTLLLLLGLDFGGAIFPWSSPKVICLIVFGTAMIGFFVFSE KRLAKYPLMPLSIFSNWSNNAIILVAFAHSMVSIGVEFYLPLYLQSVKQASPLRSGIL IIPMMITEAAVDILSGILISRTGRYREITWAGLALMTLGTGLYINLGIDTPVARIIGF EIIGGIGTALLFQTPVIAIQNTVSQADTASATATMSFLRNLATSLSIVLGGVIFQNGM ASRHSLLVDAGLSGSVLEALSGDHAAANVEIIKSIQDPAQRRAAQGAYAWSLRNIFIM YTSVAAVGLVAGAFIKQRHMSSEHTVTKTGIQQLTKRESAS AFLA_117160 MTKTAAAPQCSKGDDTADFGDSVRNEDEELDLEEAVEPWYNYDI KETSHVFYPICLAEVLNGRYLVENKMGSGGGSTVWMAHDLQETRDVALKVMASRE AFLA_117170 MHTFTSRRLTAALLIIGTVLWFSCFRNGDGSWITSPTGPTDPDA LLDSRIRNSTLGFQKIFAIGFKERTDKHDAIALAASYTGLEVDWFEGVRAANIPPKAY PAVRSHRTCPPLYNPDK AFLA_117180 MSSLSLRRTRQRLKPVIAASVILATFTVFLLSRTQPPQPHVETV TFPSSSGTGGVVNNDRNSSTCYVDAELLKSLGYNSSAEYRRWEIAVRRSKSHNGVSDT LDVPVPKFEILDLDTDDDRIYLPETQCAPTATIEAPAAKARADASHMVFGVSTSLERL SDSLGPFAHWAGGTGARIFAMVDVVPKKEKLKVLNRAQELDIKLTIIESKEEWLDRYF RLTKVLWENRDAHTQWAVIIDDDTFFPSMSNLVERLATYDATKPYYIGAPTENWGQMN IFSFMAYGGAGIFLSIPLLQQMNEVYDICYAFKDHGDKRISQCIYQHTTTKLTWERGL FQVDFGGDVTGFFESGRPLPLSIHHWKSWYDVDVVALGRAAAICGDDCQLQRWRISDR WYFINGFSLVQYSSPMNDMIGMEQTWDPSDWARDQGYAFSLGPLRPKDEKKVSFRMKS AVLEGQRLRQIYVHEPDSGSPRILEVVWTVADE AFLA_117190 MKSAIVSAFILAFLSANLAGAAPQSGGQPVQGSPQVQGGLQPQA GSQPQGGQQLQAGKPVEPAVQAMGPPQKIEQYQQLTKKKMQHPGQNQWDVECRTATGI QTNPSSADTKETTVISLYPLKPTKPNPLPDVWRRRQCAKELVLNQAARIHMQVVLRQE ARLASEVALHQEVRMSPEVEVALRQEVRMALLVVLSKGTREGRMLD AFLA_117200 MNAEERVLHASCSDLAMDLYEDLAEMRFNWPQRPTQPSHILTST CLTNAKGVPPEAGTQLVTDLDVNLCLGWDQAKESLIAQSNGHGLSAGGCRSCIYDDKR PSRKGVVSCWCTNVAESSKVSTGPGNFKAARKTFHLLPLLRTADGYVYCYNHRSKRPY V AFLA_117210 MGLPKVFHAVCFNQSTCTDDTSLQKVQLWQAICNIERLIGMILN LPPGTARYQLTAAAPLNADGSIHLTTYLTRLLDISIKVYDLEEFSATHESSMKRQMAA LEMAQALGELAGQAPATWWAGDERDHITPEDIVQFLHCCVLMRVYLPFALKQNLRDEL VYARLPCMNACEAVARRYLVLRRKLPAGLFMSRVLDLQALTAASVLLLLSHHVRSTDR HSFRVDISHLHGVVSDIITLIGERARDPTNSDLAVEAYNTLRALDQLLRQDDHTREVE RLTVTVPLLGKIHVRRNARSAPQPRVANQPSSQLESNMGIQTWTSASHPLDGQSSLGT NIPVNEADPSPDEWRLTDLSWSVEGSLDNLLEDAFLSESIGQYVDI AFLA_117220 MLASWLLTLALTAQQIHPGREGSDTVSITPIGEETGKGEQVHFV LSPYLRDIS AFLA_117230 MTIFQKNRRRGHKFVMSAMLFGFCMARITTLVLRIAWANRQRNV RLAIAANIFVNAGVLLVYIINFILAQRILRSKQPHLGWHPILRQGSKLLYSLILGALV MVITSTVLSLYTLDMHTRSQCRDVQLAAITYLLVFTCLPLAQVLIAFTLPRRPQEESF GQGGMTSKTAIVVLSSCLCILIAGFKAGVNWSPPRPVTNPAWYDSKACFYVFNFVLEI MILSLLTFTRFDRRFHIPDGSTRPGDYSCRALQPDKDSDQSQLRERKGSASVQT AFLA_117240 MGPLARYAVNVASTTDVQKVLYLTDKRNIRLAIRNTGYDFMGKS PGPAAVAFWTHHLKLVQSMPGYIRYSGPTMRIGAGIQGSKAQNAAHKSGFVIVTGHYP DIRIAGGYTQGGGHGPLGSRYRRQLTNSWSGRL AFLA_117250 MGKVPIRSRTGCLTCRRRKKKCDERHPVCSGCDRNRLPCEWVSN DLVPRTRRPRGRRQLAVTQLPHEAQAMVNVFAVPKPGMVQRLLSHFIDHSPRWLSTRT GERRSDFLQYLLPAVSGNLLVHNCVLMIASADLTKYCRDDIEVQAVAVEYYGKAVSAL QGSLNEELATMAAYKVSESASAIFNSPIVTQYLQQGNVMGTSQRLFMCIFRISMLVEK VTSERQIHDSPARAELVMLEEQLIAWQTELPSRRDADVAWLNDAITSELYRLACLIYI KKVLDTSLSDHSPPIQALVLAFVEHLGHLPPDAPSNNILCWPLVVAGLSAVVVTHQRA ISTRLGQIYDIWRSDILCQSAAFLRQKWRDDRNHSAAASYGEHGKGQNDSPVAINDIL KLQFPVILV AFLA_117260 MPILPHLPTHSQTPPIHTARLLLRPFRAADLPALHVLRTTPDVM RWTRQGRIDATTEETSKWMERFTQDTEPGEQPNYNFAVLRKPISGAVHATESEEGDVI GVMGIVSISPEDGPEVGYLFLPETWGMGYATEALRGFAEAWWRLPVPGDGVSRNGEGA EEVGTLRAVTDKTNLGSARVLTKCGWTVVGEGVDGEGEKKVELLYWILRRPGI AFLA_117270 MGMPFLKWTKCAMYTQLTIQIQDTGKSVPCQFCGVSFNISRIRS KGEPRSAAFGPGGRGGWIEGRDFTRDEESEEEQAQYRREYENCSSETGCCMVLRDAEF YGFFTPTPRLYDDDPMDGIPGDDPDYEYESQSDNEPLEYDSGASDGGVVGMDMRYGEC ADDPEWTFQAQGPDQPEYDTMFYPLSTKLGESIYIINEDGSRTMNRDAYNRSRCYEHI AGPDCRNTQGYLGKNISAEEMTGCHTVQCILAKREDWEPRPDDMEFEHKSRYHLTGVA ENMPSSGYGLKFAPVRHGIDNIQAETEFLLETSQEQLDETGLPFHPACFELFIQASKQ CLGEVDIDTLVRIRDRACMESQHFPIEDNEDVKEGQEQVWNHTVGHEYLVANPIFVPS LKPIIQSAISTDRGFSVNNSPFESRSPINPASTARDPFRALPIEIILIIVDHLNSPDI AALRLTSRAFTHLPTSLWFRLVVTEMPWLYEAWSSDPTPYHWATVIAHDVHQEKAARE EWDRDMEKQSLVIGEEMPEVQAEWLRNLPRWEWPDHPDRLEVLDLSPARLHYHTTNWY RLYRDITVNWKQLKGLQNRARIWDAVLQIVGAIKDARGEYVNEGFSDGIV AFLA_117280 MTIPAADDNNCPSYDKVIDLIVDYAYDYDIDSPAAWTRAKAALI DALGAAIESIHTSPECAAMIGPVWPQTAPVPGGFRLPGTQFQVDALKGAFDLGGMIRY LDHNDAFPGAEWGHPSDNLGAILSTADILSREALARGNPDEVISMKQVLTALIKAYEI QGVFQIRNAFNKVGLDHVILVKVASSAMVSWLMGLSRDQARAVVSHAWADGHPLRVYR QAPNAGPRKGWAAGDACMRAVHLANLVRCGQPGIRSAITTPRWGFYDVLYRGQTFELP RPFTSWVMETVLFKVSTAEGHGLTAVEAALTIAEKLAQRGLRPEEDIVNIRARTQEAG MIIINKKGPLHNAADRDHCLRYMVAVVLLKGSQITTADYQDSSPWARDPRVETLRSIT TMEEDPSFTRDYHDPQCRSVANALEVTLRDGTKLEELVPFPLGHVRRPETLQLVREKA QQNLGLKLSSERVGQILDTVDQPKFEKMAASDFVDLFIPQPASSAA AFLA_117290 MASTPSSGSYDLAIVTPTEDHSLRSFSLSQGNVQQTFLVSTQDG AFLDQQKASFLQSYSKDQSILGLVFDFLQFLLDEACPPAPLGAFLGAIESQCVRDANI HDLIVSEPEAKNIIRTYYRAHAVAGLNPRPAPSGLFSTVNNEAHRILMAFGGQGSTNL VCVDELADLYSLYQPLLEPLIASVAPALASLSREPSTLQHYLGREIDLYSWLTIPESR PDRAFTATAAVSFPIIGLLDMAHYYVLGKLLDSDSPKRLRSALQGLTGHSQGIIVAAA VAQADTWASFLAQAQWAIRLLFWMGYECHTAAPAYPLSSAAIRDSIEHGEGSPSWLLS VRGLRSPALDALITDCNRRLPESEHLSIGLINTERNIVVAGSPRSLRGLCLRLREIEA DDGQDQSRVPFRQRKPVVHHTFLPVSAPFHSSHLRAAADRVKERFPDASSPQVGDLLT AVYHTRTGQDMREMFSPSNNLIHSLVEAVACETVDWPATLQVSRSKPPSHIVLLSSSR LSDLVSEIVDGRGVRIIAGTVLAPTDPAVLGGKAELLTTKPSQAPTPWAELFKARLVA GPDGRPILETRLSQLLQAPPIITAGMTPTTVHWDFVAAVMQAGYHVELAGGGYFDAAG MTTAIEKLAAHVPPGRGITCNLIYASPHSIAFQIPLIRSMIQRGIPIDGLTIGAGVPS QDVVNEWIQTLGIKHLSLKPGSIAAIYEVIEIAKKHPTFPIILQWTGGRGGGHHSCED FHEPLLQTYRDIRRCSNLYLVVGSGFGQADQMHPYITGEWSLSFGRPVMPCDGILIGS RMMVAREAHTSPQAKELILAAAGVADSEWEQSFKKPTGGVLTVQSEMGQPIHKLATRG VRLWHEMDKTIFSLPRDKRVAALNARKAEIIRRLSADFAKPWFGYNAAGDAVDLEDMT YTEVIARLIRLVYVSHQHRWVDPSYRQLVLDFTYRTLERVSNADYATDKLDLSQPEQF VEQVQQLCPAATTRRLHPDDVRFFLTICKQRGRKPVNFIPALDEDFEYWFKKDSLWQS EDVDAIIDQDADRVCILQGPVAVQYSRRADQSAREILDEIHHGLANHFEEGPSQSDRP SLAISEMVSARVTVTESNTHRIIRPTSESLPSVEDWQAFLASQVTGGVRSAIMAEEVL RGSQRQANPLRRVLEPRTGQSIQIPLDGRDLHLVEDAKNRPLVHIKPSGDQEVAVDFY YYDFVETPGNLRFTYKFDSKSLSLVENLDGRDDRVKLFYAHLWLGRADLSYHRLSEVF EGEEITLSSDLHRHLHNALRHTVPDATASATTNTLPLEAAIIAAWKPLMEPLFVAELQ GDLLRLVHLSNSIRYTPGAAPLEVNDVVATKSQVRAVTIKETGKTISVEAQIFRSKTL VATVTSEFFIKGSFSDYETTFSHQDEAATELKVQSAIDEALLRDREWFLLDDPTQSLI DKTLVFRLHTVTRWKDQSTFTSLKTTGSIYTKHWNGTEQKVGTVASEVVECHGNPVID FLQRKGTVVQEKVPLKHPGLIDNGSRTIRLPLDNALYSSVSKDYNPIHTSSVFARFAD LPGTITHGMYTAAVSRAVTECLAADGETGRLRSFSASFVGMVLPGDQLTVRIRHEAMC HGRMVLSVAAYRKGTDEKVLQGEAEVEQRTSAYLFTGQGSQAQNMGMQLYDSSAVARS VWDEVDRRLLDQYGWSILNVVRANPKQITIHFRGARGRRIRDNYLAMRTETRMPDGST RLEPILRDLTAKSESYTFFDSRGLLYATQFAQPAILLMEKAAFEDMKANGLIQEGAAF AGHSLGEYGVLASLVDFLPFEMMMSVVFYRGLVMQFTMERDSNGHTGFSMVAVSPKRV GKYFDEAMLRIVVDLIHRQSGKLLEIVNFNVEAEQYVCAGHVRNIYILSGILDLLSRS ATGPQLVASLRSASDPAITDVAKEIAVHLEKAPQLNNPTELKRGRATIPLQGIDVPFH SSHLRSGVSVYRRFLEERIQAENVQVDRLVGKFIPNVMGKPFAIDRSYLEEAAAVTGS SVLRELALAA AFLA_117300 MFTEDNNAPTRVIWIRKGFGRQTRHKRPANLWLPWDTHGSSASN ERKLADLLS AFLA_117310 MVTELRNRVSFNSVTVNQCKYLVAVLQETMRLWPAIAISLPRVT PPEGCEIDGSWVPGGTKVGVSQWSAYRSERNFARADQFLPERWLPEGEEESFINDTRA AFQPFSTGPRNCLGMNFARAETRIIFARLLLDFDLELLTGRDEWEAQKVYIIWDRCPL YVRVRQAKRR AFLA_117320 MTARTPNRATGAPENANPTVRDQTQQDQGERSESPAIPSLTNKK RPRSQPDNTSSPACNQCRTRKIRCDRQQPKCSNCRRADVECDFATTPKRVDRTKQLLN DFSGVVARLDRVDNSLAKLSEQLQQQQPCRCSHSPVPSQVDNPWGASEPAAIYTTYTP KSSTSCRSPHLMEVDGSEDCDPEIPNGDLVDFDQGGQRLLDYPAALSLFKNLQRQITR WLTKDVPQGSGLWQVIAQQPGFKASLEYQLEQFPFGGLCHEPVIVSDHRPISTPPRYL LELSLDGFLRHINIHTPIFDDSSLGKAIDTHYQSLSAGTGDAWALTFTNIIILTVALD ARVARATASHLVSMNDDMLPSFLKNCDRALADLNRFTAPCLINVQVLLTLALVAREFY GSVVFEKVCQAVCQVARSTGLHRAHGARSMRWEKMPERERLFWVVYTMDKQRAFLTGQ PCDLYLFDSDIQLRSCGERAPFPLRLNAAYVHMMTIWEQIFINLYSSRAVLAGAADRS RQVQQLWGSLNEWNIKYHALLSSPILEKMADLAPMQLELKYCFMVSQVLVHRCDRNAR SQQRYRDPARSALKLIAQVAGDHRSITLARCAVLARMFRNYPLVAVHDLFSFCLTDGE PDSTEDGQLIHETRRHLELLHYADFPQAYFARLEVGLKWCTDMLDTIKDCLSRSAVAG DWGPMDGSSTGLSDRTPPSESELSSIPPDAWASLNLPMSRDEMLCGLSPSVPSEGLLD PQFSAFGLTTSSTGDSTVPAFAQGGDNPAVTMHPSMWVPAEVPCNSSEPLFDPEFTRS IMS AFLA_117330 MAVAELPNIVSTDSSPSPHPGSRLSSEPTDIESQKAPSNAEPKT DPNLVTWDGPDDPANPQNWSFAYRAFVTAIWVYGNLCTCIASSIFSSGSGQIAQRFHV GSTVVTLGISLFLLGYTVGPPVWGPLSERFGRKWPMVIGMALFTIFCIPVAVAKNLQT VLIGRFLTGVFGAAPLSLVGGSLVDMWNPAQRGVAMACCIGTIFGSPVLAPLMGNFIV ESYLGWRFTQWLSCIMGGSCTVLVVFGLPETFAGSILRKKAAAIRKAGNPDVHTVYDG KQKGIKDIFVIFLLRPFALLVTEPILLLVTIYQAFIYGILYLVFVSYPIAFREVRGWS LGISALPYIGMMVGILIGCAIVVIQTRRNYDGNKAVVPEQRLPLMIAGGCLLPVGLFI FAWTSNPNIHWAGMVIGSAPVGTGMYMVFVQCLNYLVDVYPTIANSAIGANTFVRSFF GAGFPLFGPFMYHNLGVAWASSTLGFISIAMIPIPVLFYRYGARIRSWSKNSKHT AFLA_117340 MTVTDSTPEGNVTAELCNWVTELKPSDIPADVLQRAKHLLLDGI ACGLVGSHVPWSEQAAKAIDDYEPEGYCSVIGYNRRYGPQAAAILNGSFIQAVELDDY HSAAPLHSASVLLPALFAAAEVQSKGHRKSVVSGLDFLVALVVGFETGPRVGSAMYGA DLLSRGWHSGPVFGSPAAAAASSKLLGLSPDDTESAVGIACTQAGGLMAAQYEGMVKR VQHAFAARNGLFGALLARDGYVGIKKVFDRSYGGFLTMFTQGNGRTPQYKPEEVTTAL GKEWQTTNIRVKLHACVGGCHGQIEALEKLQRNYPDRFAVDQLHNIRRITVSLSEPVF AHDGWAPEERPLTATGGQMNAAYIGAAQLVYGQVLLDQFEPHALDSDAVWSLIDKTTC VHSSEFDKPGHLCGARIVVEFNDGETVEDVVAMPKGFDPPITDDEIREKWRKLASSVI DSERLQRIENSVLSLETSADVSELLALISGEL AFLA_117350 MLSYTSHCLQALLGVASLPYRQYQAYSSPQAPLQVPQVPQAGPP ITTLVSSCAGFSYPEVACIDRYGSLLQGEFERKVRNVLGDADTYISTNAPSEPTFSDL QNADFLVWNQSAAKAILGPNPHVDFMFSIEDCSHEAPVYVPTTNELYFSRLQQGFLPQ LVINLNNDPPTLEEKLAQPPIYAATGARFRDGLLYLATIGGNESLAGYTFRPGLYTLD PITGKTQALLNNYYGYYFNAVDDLDIDHEGQIWFTDNDYGRPCQVNTYAPQINAATYR FNPKTGLVTMVDDTLLEPNGLTFSPDNKTVYLTDTGAGSAIIDPNIYPAPHIAYNSTR KGRTIYAYDVAPSRKALLNKRPVYLSMEYAPDGIKTSREGYLVSATGKGVVVLTDEGE PLVRVQTNFTVINIAFAGAERDELWAIGKGGVARIRWGLRGSYA AFLA_117360 MDIYEAASQGRIDAIKFAVEQGCDVDGPNEDGKTPLWFAVQSGQ PEACRFLMSLGAGRGPQNSSLLEVAVGGGYADIVALLWLPRERIHSFSAMGAISVRAT WAEAAFASRIFDYALLLATKAGRNAGLRLVEFLLGESMPDVNCKIMINGQFETPLTAA AEKGNLEILATLIDHPNIDLTICGKYNWPAFLHLLASPLSISTERGRVIARRLAYKAV PNRLFIDSREIRLQGAFQNVLRFGDDGLVKQVIDLVRGAAGTLILPLLIRANEVDGLT WVLNCDGVSSKKPPPAFWVLLCQYFKRYQDQDALGLFTSVTEFLVEKKIWNQAILKCL HACNFSFIQQFFYPLSEAPPKEVTEETLVGFPAASTNHCIIQEWAGQGFARVALWNAI HCGLWKSPGFENLLFSNADPNGSDPHPNGPGRPEPEIIPHAFFDDPSSAAQKISLRSA LPSASPNPSNPHLYSYQMELIRLEQQNKRRLFHAGDTRCPLSWAAKSHNAPLVDALLR SPQVNVNFQDPSDRTPLMYAIAVNDRPIVERLLNHRDIDLNLRDAEGRTAIFYAAQGG DLSIVQLLVGTQNVDFSIRNKNGKNVKEFAKKAKLKQDIVAALSN AFLA_117370 MGSLPEKDFPQVHRFITTHKEDGTPTFETKIPEPIEWERTNIGV DFFLAYTLGSFPAPLSHDADLNQYKDHLVNHPPFMIPGGAVVRYVDYHPGCEPMWHRT VTVDFGVVIEGELELEVEGGEKRLMKRGDVAVQRGTNHCWRNPSKTQFARALYIALDA KPVIVNGQELGESLGEVKH AFLA_117380 MYRYASKMPLDFYRKASVDISNVDTYSSTEVANDDSFQQVGKAT FLVWDQQRGSEILGSDPAYDIVFTISTGGHEAPVYVPDTNELWFSELGKGELHQQVIS LDGDSPTISEVLTDPPLYAPSGARYRNGKIYFSAGGGNSTLEGGPYHPGIYSVDPKTR KSTIEVNNYFGWYFNQANDMDIDQHGRIWFSDPFLARNHGTSTVAPQVQASVYRYDPE TGAVNIVDDTLHCPNGVAFSPDYKTLYLTDTDAGVPMIDPRVPLSEVPSLQYNSTNRR TVYAFDVSEDGSYLKNRRPIYTAKDFVPDGLKVASNGYVITGAGKGVDILDTTGTPLL SIQTNFTAVNMVFGGKNLDELWIVGHGAVARARLNLTGPALE AFLA_117390 MHSTKVTYPEPMQLSGILDQYESFQVTPCIGTEFPKANLAEWLS SPNADALLRDLAITIAQRGVVFFRAQTDLDGELQKELTHRLGVQSGKPAGHRLSKHPL HLIRKDDPEMGVLDPGRQQKLHGVENTQKRQRAVLEYHSDGSYEVCPPDFTMLRMTEI PPTGGDTLWASGYELYDRLSTPYQKFFESLTAQHEVPSLRKLAETEPGIYDGPRGAPA NTDMQFKQSHPMVRTHPVTGWKTLFAGGLHCRRVNDVTDFESEQLLSKIISLVGDNHD LQVRFRWNNPGDVAIWDNRCVLHCPTQDHYGLGGRMGYRTMGIAEKPYLDPNSPSRQE ALAAAAK AFLA_117400 MAEEVNERTRLLSQSDDPSPSLEELEEWEEPRNWKVSYRWLCIA VISVYGLISPVIAAIIVPAMPQIATDLNVTDPGMLQAFVSVYVLGWSFAPLVVGPLSE VYGRISLLNTGHGLFLVFNALCAFARSDYELLILRFITGAVGSAPLSIGAGIIGDLWA PEERGLSISLYTLGPLLGPAIAPITGAYIVSHTSWRAIFAWCSLYILITWVVGLCTLR ETFRPVLIQRKQAAAVRKGQLPGSVQHHHKSLADVFRQDLRRPFTFLGTQPIIQVLSL FMGYLFGLNHLSITTFESVWTDIYNQTPSRAALNYISIAGGFILGSQITGSLNDRIYI HYTSKDPAKGTPELRTILMLPAALLVPTGLLIYGWSAQTHSHWIMPNIGISIYALGLI MSYQCIQAYVLDCYAVYAASAMGALTILRSLLGFVLPILAPLIYRTLGYGWGSSLLAL WALVMGGLVPVLLWRYGAVLRKRSGILEEM AFLA_117410 MTVTQEASPKRESLHIIDDRTGSYYSIPIVNNAINASDFKKVTA PEDKAYPANQTENGLRVYDPGYSNTAVSHSKITYIDGLKGTIQYRGYSINDIVGRKTF IDTAHLLIWGHWPSTAEAETLQQRLDQVPVPQDFVFNVIKSFPRDGSLMGMVIAGLSA LQSSDMNAIPAHVGKTIYLNNPELADQQIIRVMANMSMLTAAAYCHHIGRDFTPPRAG LSYIENFLLMTGHVEAATGLPNPRYVNAIERLWVLIADHEMTCSTAALLQTASALPDV ISCMVSAISALYGPLHGGAIEVAYKNIESIGSISNVPAKIARVKAGKERLYGYGHRVY RVTDPRFVFIREILNELSEEVEKDPLLKVAFEVDRVASEDEYFTSRNLRPNADLFAAF VYKALGFPPEFILPLSILSRTQGFMAHWREAMGMPESTLNSSRSICPDTNIGNPPRIW RPGQIYTGDLNKSMDE AFLA_117420 MVQRKEPPSEQLRAYTLLIELLSYQFAFPVRWIETQNDLIQRNN TIQRFVEVGPSNVLANMAKKTAKGQYAEEDLVRCVDRQYLSHADDAQHIYYQYDEEAP VESADNEPAQPAASSTPAAPAPVAAPPVVVQTAPQPAAQAAVAVPDVDLSAIDVVISI VAQKIRKAFDEVPAAKSIRDLSAGKSTLQNELIGQLDAEFRGLPEGSEDLALEALASH FTNFSGQPGKVMGGHIDRLVAARMPAGFNQAKIRDYLSSHWGLGPNRQTTVLCDAVTM EPAARLADAGQATQFLDSVVSRYGGKAGIALQKRAEGGASQTSAVAQVDLASLETLKK EQNEYLHKQFQLLAKHLDLDGVAQPSQTQVQGEDTDRLAEWDAEFDEEFLTGMRTIFD PRKARRYDSWWNTAREDLMALLHDIRPAAEDKASQRYQSLVNRWSPELEQMLEHSAQD DTTKEKAQMLLDDVRASGVANGPVFRYTQPAMAPETKVDANGRIQYSEVPRRQLHGEN KASTLNYAQVVAARHRDVPYAHLRSRAGVDWKYDDQLTDMFLNILSTGASTGLSFTGR RVLVTGAGVGSIGADIVAGLLAGGAHVIVTTSRQPSDVAASFRQLYAKVGAPGSELIV LPFNQASKRDCEELINHIYDEQSGYGWDLDFIIPFAAISEIGRQIDKIDSKSELAHRA MLVNLLRLLGFIKQQKEKRGFDCRPTGVLLPLSPNHGNFGGDGLYSESKLGLETLFNR FHSEGWSDFLCIIGAVIGWTRGTGLMSANNIVAQGMEDSLDILTFSAPEMAFNILSLL SGDILEVADDEPIYADLSGGLQGVSDLKDKISAIRKKIVSDSRIRQALVAENLHEQKV LRGTKPAEGNVQPPLKRRSNIEPAFPPLSDYNSVTAGLQSLQGMVDLSRTVVVVGYSE LGPWGSSRTRWEMEHEGRLSLEGYTELAWMMGLIKHFDGDLKGKPYTGWVDSKTKEAV DEADIEEKYGQHILGHAGIRVIEPELSEGYDPSQKEIMHEVVIDEDLPPFEAPQGVAQ AFKLRHGDKVILTPIEGSESVKVVVKSGAVFMVPKAMAFNRFVAGQLPSGWDPTRYGI PEDIVAQVDPMTVYVLCCVSEAMYSAGLEDPFELYRHIHVSELANCVGTGAGGLLAMR GVYRDRYLDRPVQSDILQESFLNAMNAWTNMLLMGAAGPIKSPSGTCATSVESMDIAC EAIQTLKAKVAIVGGSDDFQEEMSYEFGNMKATANAEDELEKGYLPSEMSRPTASSRS GFVESAGCGIQLVMSAELALQMGLPIYGIVAYSQMAGDKVGRSVPAPGQGVLTAARES IDAAQSPLLDVQYRKARLDEAVSEIKRWRHTESQKLIASTTSKEFKDLDAHLQHINNI AATRIRDAQWTWNNNIRHIDPTIAPMRAALATWGLSVDDIQVASFHGTSTKANDKNES NVINQQMTHLSRTVGNPLLVICQKSLTGHPKGAAGAWMFNGCLQALQTGIVPGNRNAD NVDVALQQFKHLVYPSQTIHTSGIKAFMLTSFGFGQKGGLVVGIAPRYLFSTITANKF EDYRERVLQRQQKIIPVFQRRMAQGRLFQIKDQSAWTSHQEKDVFLNPQARVAQKSTG EYSFPTTVAPVASSLPARTVSDDKQLFARSSDQWLRDSISKEQGNVSVGVDIESISSV NIEDEIFLERNFTPGELKYCQGSPDKQASLSGRWAAKEAIFKSLQIPSEGAGAAMRDI EIVSNGAQPPTVLLHNRAKSAADAQKVEEVQVSITHSPESAMAIALARRRL AFLA_117430 MYPLIPLGRSVPAVAAIAVGTETIRKVDFLAGTGNRFVAEGKRQ LFGEVGIDLFAGPTEVLVLADETADPFTVAMDLISQAGHGPDTPAVLITTCPKVGRET IEIVNKLLSATDLSTPDVAKVSWDAFGEVIIVDTLEELWELGDHYASEQVQVIGTNHI LPTRTTARYTGGLWVGKYLKTCTYQEVTLPESSGKLGRLCGRAARPERFEAPARSGDL RANRHM AFLA_117440 MTVLTREKKPYFGLTGGWLTFWVTVACATDMSLFGYDQGVFSGV VITRDFLEVHDLVGPEKTKTLSTVTAIYDVGCFFGAIVAFTIGEQLGRKKAILLGTTI MAIGAVLQAASFSLAQMFVGRIILGIGNGINTATAPIWQTETSQLKWRGKLVIFEMMM NIFGFCLVNWINYGLSFVGGSIAWRFPLAFQFFFLIILWSTTPWLPESPRWLIAHGRQ EEATVVLSCLEAKPIDDPFVIAQRNEIEFSVRYERENSMRWRDLCQKKGNDSKTLRRL LLGAGSQFMQQFGGINIMSYYLPTVLMDSVGLSDTMARLLAACNALSYLVFSGLAVLL VERMGRRGLMLLSTFGQFLCFLIITILLRFSRISDNGEKFASASVAFFFLYYGAFGIG MLGVPWLYPTEINSLPMRTKGAAVATATDWITNFVVVEITPIGIKNIDWKFWIVWTVT NAAFLPILYFLYPETGLSGFSLWEDVFADANRSLEDMDEYYRSNPALIVTKDPDAICR RRPQKYLQREEEEIERAAAAVDKRALSNGAVEHAEWTSAMRNKS AFLA_117450 MTAESSNLDHTLPSRPTPSKSAAVANNEESKLTALEKAELALAD KYSSPDVYIDGEKDTCWHPWLNNLELKPLRFESRTGTFVVVLRSLEDTWLGKHRHRGS VTAVTLKGEWNYKEYDWVAKPGDYVVENPGTIHTLHMSKGAEVVFTITGSLEYFHDDD TLKNTMDIFSYAHLYYEHCKKQGIKPNDGLWY AFLA_117460 MAKTLGKGPTSARLPIKKYSPYPHRIDSRLRYNAPRLGISAIIA IMRTWTAKESTASLTCRSRKVKCDERKPLCRNCERSRVECIPSDLVSFRHWQHPSLSK HGYFGIWEPSQTWVEVRISALDVTGCMNTKVLLYVSDEC AFLA_117470 MLDAPASDWQRHLDGVFSFSKVRRVNGSSGGMEQASFWSIARQE VVCSIMHRSELRLDPDLWAIDLEHIGQEGSEDLVNNQWVFFLTGSINGTSCVTF AFLA_117480 MWDSTKQAIRKLSSKLGTADLLRNNDEREAAIMLLEDIENDLGW AAKYRAWDLRKLCTDI AFLA_117490 MAPQGKRWPSREGFTVDVVGNLIHRTLLSPWKMIPLLALAQYTV KGREILESRPEVLKALKVLASLAVFSRLGAWLDRRSINNGLKDHYDWNREVVVLTGGS GGIGRRVAQLFGDRGIKVAILDIAAPEDSLPSSVRYYECDITSPENIAEVASKIRASF GKPTILINNAGILTGKTILGTTEAITRRLFDVNTLSHYWLAQEFLPDMIASNHGMVVT VASQSGYTVTPSMVDYSASKAAAIAFHEGLAAELVTRYQAPRVRTVLVTQGFTRTTLI SKLSPKDTFLAPLLDPETVAEGIVDQVLTGESGNVLLPGTSGTIAQRLRGYPLWFQHF LRCRLERVMRAN AFLA_117500 MGFLSKSKPSRPERVPTDTVVPLSFADDQPHARAICLHHTCRFD DVLDIEALRLSLERLLELDGWRRLGARLRLNDNGKLEYHIPAEYSPKRPATDFTVSKY SMGISDHALGAKFPRASSQPTVVGCPMDLVPLCIGPQSPRQIEDWLYSDRPQFAIHVV LFDDATLVTVTWMHTLTDAMGLAGFFKAWTAVLNGREEDVPEFQGIGETPLQQLGQHI AGETYVNYKFMLKGFGLLSFAFWYFFELFWYRREEQRIMCIPGRFVEQMRTESLKELR HQNKEENAPFVSESDVLFAWWTRAQTRALNPFRSRTITLMNVFDIRAAALPESASQNS ALVANAVQTSLTFLRPQQILNQPLGFLASQIRHSLVQVRTKPQVEAFYALYKSELTKT GHSPLYAAPNGLLLGCTNWHRARFFEVDFSAAVVKKGRGSDCTNNRGRPSYLNTVSLT TGLSLRNLAQIVGKDASGNWWLMCALRKEAWPTIEEELKSLGAKDSR AFLA_117510 MEKIYLRNYEPVPKADHVEDSGDSDTTLTVRPRRRSVQQYLKRN LATITITGLLLILLLLVIALIAAITLQPVRQALSWEHSSVYSGSHHSGQRQCLPTAPR TRLSCGNSTDEAEALGCTYDPLSACWLHQECPHDYVKEFTEFNNGEPFIYYYDKEMTR QMKDYDEVGRNVNGFYWTSNREHLVHCLYLLRRAHDLHMRGDRLDTMLADLEHTDHCT NMLANWLRRPDPALDELGTQGQTHCFMSCS AFLA_117520 MTDTMRANPETINVPGQAFRVETFIHVRWPWIILLHAKLWKASI IPLMTSRLDLLHENEIAGLDNMEDIHRMSKKANVRMDWDGGQLVFTEKQ AFLA_117530 MKNLQIPFVIPTRHTRSAPSVTKNTRVVAVLGADEGLNDPNAAS PSLGDGWMVSNFYLWLIVLDGVGKSQQWIIGMDPAYLLDKYGREDEMTMEDIEGNGTV LKPVQTKCAAGFIHGDPFEERKVVLDDYLLPRIRDRVTIGPKGSALRDFFLNQLEETV KDAAQCGDKSSANDISYGDYDTDGGLLVGVSPFDNEEDIKDAVIRPQYIVSILAQHPG VKLTILYDLMLLWPLGRDC AFLA_117540 MSAYNHLPTVDLSLVSNNQHVNILKDTGVNVTNDYGTPNLLFFY LIPFLPDDRKPDLEALQDEIQSWNAWELGQTEVQVRHRIADGSLPSDDATASRVKRTN YRAKVVDHLREVSETTWLVKNADSHSGPFSADVKVAEVNGKIRDVLKDFYGQESLPAQ FSVILNIITDLIASQPDADNRYLFTYVYYYYDVDQKVFKPDIRQLQFSVTQTAAEGEK DTVKLDISNLNHVYSLLREKWRSVRHEAEEIIEAGEKIRKDMALNFYFS AFLA_117550 MISDMLVGLLDGITGISKTIEDVFSGLANLIFENKNQVQSRTVW SLFHVFLYDKTTDDVRTYFRIINYSVTAEMVEYVSNKTTHQEVKMGFNFNMTDLSLNE NQWDRVKDDVQKFIDDISANQVENPIDELHEEYKDLATGKPLASNPYEFNDEIYYDGE FKSQEVFEKEYPFDVRKDGVNDRDNEYNGGHPYYSASTLPGIGSGSNKNLPYIACQSP MKFGRSHKFMYWEIVWKEAMKSKHGDIILVDLVNYEQAKGLTSETQANVIPSSTKKSL SFSPPTVMNTEKIIPPYKGIYSVHYKASNGKWAHSDIEYRQLSLEYHDDSKTGSGNGP HNVHYFHLSSWQNRQAVDPEKLQFLINEVDKKFTGQTIIVNCEFGGGRTGVFIEAREM YRATVKAKSTKWFFSSSDDPIQEYTKELRKKRSNMIDSFPQYEFLHTWAARMWAMTAR DNINAKPGPAGNPSGQGRHPPKP AFLA_117560 MTEADINAILGTIGTWVSATSPLLVYLWRTGIRKFTGRASAAAD PAPQSQHCQCCDAVQVLARQVERLAQAVEEQNINRGVLIEGGRTHAGSRAGSVYYSAS QSRASNIHQV AFLA_117570 MVNSPPKPAKAVVPASTVDPKAETAKFHHYCEDLVMLNPPNWEA STAYPEPQPSSRPGSPQRNRPAAPGPGGRVRRADGPPPVFLMGRCRMPNSRERLVSLN LNKCIGWDDTRKAFTAEYDTHGIARGHCGDCGYREYFNSASEFSLGCKCSSNARDRTR WEVKEFTQPGVIVFDEKTGHMGCHGYFGSLLGPETD AFLA_117580 MQWCNGRTMNQYIGAELDKRYEAWTQNKPSTRANSIIDIVLAEY MSTRPVRAALDPEFKSWATIQLRTFLFAGHDSTAATIVYSIYLLSKHPEILSKVRTEH DEVFGSDISAAAGILKQHPELINRLPYTLAVIKETLRLFPAASALREGQPGVYLQDKN GTKYPTEGLCIWIIHGGIQRNPNYWPDPHAFKPERWLVGPDHPLYPPKGAWRPFEQGL RDCIGQALALLDVKITLVLTLREFDFQDQYAHWDRLHPRSGPKTVFGERAYQIPQGGS HPVDGLPCRVSLRNQITK AFLA_117590 MIGILGTYRLLPEARFPDGMDDVTSALRWIKANIHEYGGNVNGV FAIGQSAGGGHLAMALFSGRLQQQNAMPTGVMLQSAALLYDLSQEQRRTSMIAYYATH DLDRILAQSALGLFNELVTAETMMPALFVTVAEFDFQECLQGNQKFHHLL AFLA_117600 MQAYLQYRSIRNDVRRQLDDLPDRARASLRDGMFRPEVTDGLDP SSSQTSSSLYSPRQEPVSHGLSSPLPGVELLEKTDDHENHCEVFLVGWDHDEDPMNPR NFTVTTRVMATLIVSALAFVVSAASSIESAVLRQNSAAYNVSEVVASLATGIFLLGFA AGSLVSGPLSEILGRNIVYTGSTSLFMVFVMASGLAPNIGAQLAFRFLAGVFGCPPLT CAGGTVADLWDPLEKTLIFPLYAILSFGGAVLSPVIASYLGQGTLSWRWTNWIVLIMA GLVLGLVVLFQPETYSPLLLKWKAHHLRQLTGDPRYQSKLDLDRTSLLSRIVTACGRQ FSLAMYEPIILLLALYMTILYIVLFTFFDGYTHIFSDVHDLSQGLTNITWVAMYVGIM LAGDGNGNDNGASSNGQSQPTKSPSLNPENRLWYAMIGAPAIPISLFWMGWTDYKNIS VWSPIVGSSLFGFGSICMFISSYMYVIDAYEIYAASALGFMTVTRYCAAGGMTVAGVP FYNNVGVQWTLTILGIISAVMVPVPYIFWKFGKVIRGWSRYAV AFLA_117610 MAASTALASPFNVRSESLKLTGLTAKSSKLGDSNIQFSLTDPNY PEDTPTDCAVKWSTNSTPPSNARCANNNYYIRLLGGVKDFDKFTIEIERVSDSIEEIG QASFSEDAPGSKWECKENPQEGVLKRCSYNGVMEVKV AFLA_117620 MAAIRLDVNLFNAKDDTVKRTNCVPLKDFDISSKKLSDLTSSFN KADWVAEASEDATNPADMSEKEWNIVIRNNSLTSASRLVFSNLGRASDGSKKLKFRRI ERAPYSAFVLKPRKFQPHEISDSEVKIEQQFHIPRFVVADDSYVDTFETKSSVATAMA RSSFSSIEAEASIEGGAFGFSAAVSAGFSSSESNALSKQSTAESSTMNITYNFPRVVL HLDEYSLALSDECSQDLIRVKDVNSLIAFHHKYGHFFATRVELGGRLFSSEKFSTLGT SSESEATKQMKISASASFSSKFVSGSASYSQENGQSGQDSDARRSMQSSISWQAQGGD TLLCNNPPAWCPTVAPFQNWRVIKQEDVIPLGDFIGRIPGYEDVPDRFNKLAEITRRK ETVSFRLGLDAWQRADKNKPEYLSLHHAWRIRQEVTQLYTNELTKDPFAAIKGLQSGQ WANYQIPYAIQELYDSGHPGLAFEDNSTDTVYDIEVETLLNQAPALHYGVRYQIFNRK RGLWLRAILFNQNGKEITVLAAGPKHEATLFEFRDQEREGPMRNGDKCCLLVYGPDGR QKGIIALSLRGQNPGEGVDDAKSIGALPYSVPNEGRIRFTVLEMVDQADVPT AFLA_117630 MTTPSYTIRAVEHSDIPALGELLYTSKLALTINRLLFKNWPNEA TQRQNYLGALEGIDVDSPKSRTVVDNDTGEVIGHLALNRRRPVEKLEQSQGETKKPYL PNFFAPEVVTAVLEAVATINEEVKTFDRYEITYIVVKPEYRHRGIGKNLMDYVFNQAR SAGVPVAVNAEPQIYEFFKRYDFHDTKHVDFDLAQWAPPYSGFGIFRLAGLIWHP AFLA_117640 MGFFDNDHENAQHHKEVYQKEGHEGHWSHDAIGGAAAYEAMKAF NDHQAKNGKPQDHAQAKQIAAGLATAAVTHLFETKGLNFIDREKAEYRAKKDAEEAID RHYQG AFLA_117650 MVSYDPPFGYSLRVNGSCPERTKQCRATWDGFVACCPSESTCKV SDNNKNPICCPNEADCREPLFRIAHCANASWTMYEHYGLFCCKEEDQGFWTSKKKYND SVGCAKQPEGASHTILNPIVQTISSTTFTYPRIATSTSTATSTSASAPHTSETSTSDY VSSSDDDPRGAIAGIVVGCVAGVALIAALAWYLLRRRRQKKQFGPGSDLQPPPGYRKN PESLPQGELTELPSSPPSQAVHEVHELPETTETR AFLA_117660 MRLSDRQKKKAEQNETSPTTMQTPPPSGIIVPLYIYPLSPTTWD PLYDSISAYPDLHFLVIVNPNSGPGASPLPDANYVREVARLNRYANVVTVGYIAINYC KKPLQEALEEVQTYATWADDYVKTGLGVGGIFLDETPNLSSPEAVEYLAILQDRIKST PGLLGNRLVRYLVPTPADQ AFLA_117670 MGADYLGLDAVGWHSMGKTWWDQVLAACLLATIVFGGLYILFLV GRKIRESWRKRDQLSEVPAAISRYLDRLSKEAQPLSVERHAGKDLQSFGVFLGSLSNP PTPDQTQLLQQWDITVLDPTQEGVLSSLSTQRTASYTLGRLDVRGLANSERASDNDEV IQALEVVSQTLRTHFKQSQDAQSPFNGVLLADFLAHFQPVVLNALVKYINQLGIDVWL ELSPPAYMTEQQCRDIDMLPIRGFIYRNATISPDGSSRNYFQMTEMRTAMRAIASQKS MGGTTIAMWETIDNGVELSHDVIHRTFKWCNYRSARCWIAPRAALCDATVATARTITE EPLGALMWMKGNEVTEAHNVWRSNSKVCQTPCGHDSLYESLQSFIPDLPAKLSLSPPA KEASIDSQETILDELSWPSRPELTQENPFSVSPAGADYTGLGCFQLGLDCTSREINDL VDAQRHVKDLNLLERIKLEELHGIAGQLRALQKVTNTTASAAFNAARELLGILSSCNG SETDAVKVYVGLHSGFRTRLETQVWGMYDTDTTSGTLNLNIYLSAKTEDRTSTLLHTF MSSRGYSRVECLMAEVALATQTGSLSKTWGLPPRIMHDIEQLTPTEAILFLRRLTASE SLEPSALSQKVRAFCEYQLIEAPSFAQLRALSSTAYLGGEISAEDLVSSRLAWYREQG CWCPEPTVAVALFKEVDARLPAILINGELQLLTQLATVAHTVLQTDQIDAAADLFALS VFCAFRKLALNEVYLEVLDRNPLPNNDMLQASCFAEMYAVGARCDMYFDMAPILLGKI IASRYREYYDVHQPPRRDDMFTELPTAYASMDIDLDPKGEQERPSVFYQFTFLGIFAV PALIDIIMLTTVGRGLYLTTFMSNIDKSLATLALMIALILCGGFGGWISSGGNYYLNA MAFPAMSMFVLTRFVAGLAVAFVGGIFAMIGIGVISGFEHGVVFFLYFLMLSTFLMTL SALSVYQIPGFQFQEFDIQGRTVIMMCIPILFISPIITIWVHHDTWVYIPFLWLFLIC LLIGARMVISQWNTWYLNIPRITDSDVVNWYLKTSPRDSLPADVEDIGTTPIPRQALQ ASVEKERNRHFWTRSTADPLVRKLADGYSATMFLLVWYCRYSRTKLPRPYSPTWNLQL NASVDTMSDMQRGVKLHNAFLHWRHTGGDVWCGILYFILALVDKWTALLTGQSVVGLS NVSSMKYRLATGFGLAYYLMGAVILDAVSQPLWTLANKTTSRAIASLDSLQEAKLDNI RSRRALYWKSLAKFFFLHIWGAAVSLALMWAFEATSDATIMYLAYVGSYSGLLWYQYN KVFTGLLAVIPLALGTVIGFILGILLHIYVPAFAYSSVVSLASGTWTAALVSLYMTDI WMPLWKKETNAEPTSKNEPPFYTCSALDPSPDLSQTRLSQMFESISALPADVRYKLQP SQHPGVEVMQILQSQCSSKKSGRIEAAFRLAGGLVSLAADLWQQGETTIELVSAGHLL QDEQKIRTISRSTASGLHIFVVIGPDLVGNEWVSDIRRNCRMIAEAVVQATAECKSGI SHNQSLLTELLVADDRDDEQAPVPEGVKRQLETCTGERRRAICYWQKTLLRHLLLGLE CDLDWDKLPKEVRSFLLKRCCGQSCRLSSTQMDWIRSRFSTDESLGIEEFISRCNLGA ELAISVTSFAETLPPNQDLQHAFPDSWSMGAQLPASPNLSDLGFRGTVQLALSRLHEK LKTCIKFTVISLVADPEYQRELNYIIRGQPLIFAWPATLILNTVWTICKTLQRFILPL VLLHGREKVSTLYKNMKGRKTVIENNRVMTESLKGPSTGFFETMPDGTLRLYQYSGRH EERPKDNKHLTAINTYKDTLNLQKREEYSNGSLTNEFIYDYTSVNDGRNAKLPSRRQC ISGALSGQVVQYDKRGHIMSGSTMRNGDPVSFQFSYRKHAKFDDELLRAEFVSGHNKI QVAWCMPPRARPDKLNKWIPYPRVTEATFTKESDVYNAKWTYDHKFHPIISTTLNGEP SPTPPMIQDDWYNVLQKPKNCSFLDDNPLFSFSSVKAGFVSRMFGFNVKRYPIPTSLA REHLWKSWKSGKEFDAATTRWMDELLLRSDRVLNPYWRNRDFGRLDAAGKYLDALGDT VLARVDMDPEVSSWTWLAFKMSDLYSFGQGGDARINTRTLSTQLQDSDSQLHILALDT ATWPNEPGGVSACRRDLVNNLKSTRWHILAEAANDFGVPRFQIERNVQSLAVVPQWGL DFLNPTHGVFQSSLDSEVAERSFDTRKSDIEQNFIPILTSLVRCARTTHHTRQHIEEA TKALIDLNTYFESSRNWNDVWMSDVVKDAWRNLWLADDIDGIMPISQWRTAEHPTLVQ LDTALDMWHRYLFVFSVPVPEKIPDIFQASHHFTGATYGVVCKIKRKCALHVWDHSIS LREMVTFLSGAISFDSSFVNTTLIHLGRLSCILAEHHADVVLPCAAFFNPGWEAELGT CEGALQHRRAFMRKIDPVVNGITDMEKYKPIETIKTETPTVVMLSHIRYVKDIKTAVM ATDVIVNRWGFKDYRLHIYGDMEKTPGYSSECQEIIASKGLRDHVVLKGLGNPSVVLQ DAWLFMNSSISEGLPLAMGEAALTGVPVVCTDVGASFCVVTDSKTGKRFSEVVAPNDA LSLAQAQIRILALVDEWAPFAEDEEGYQPPKLSLRPSPEEVEQITKRMYAKTEQRRRL GMRGRDNVLNNFSEHRYLREHEQMLWIGKYQSRSFIARERMASSNSSLGFTKERVHLS QPSRTWWGRLRRGQGQLSSAGSSSESV AFLA_117680 MPSELVVLPPSSPLMISAIPPPRPVSVNSLARASVSSSIPYAKS TRSTSAEFQLPDGPIAPPAVPGQLACQSPVDTDMEDVEIIVDAPHPLRPELQFQNLPV EIHEAILDYLFGERAAAFTTTGPGKSPGRSWNKSLRHPRRKVLSNLALISPVWRSLVQ DRIYRHIKIKGTTEELYESARWFRAHPLLASYVRHVEIWIPVWGKRATKNSSSQIPAR RYNDEDVDGAAAHTTMVWDDSDTNHGNDYKYHYASHNATLEEIFYHVQSCFPEARILT LEGGHCKKPPMVRHFRNDPCGFSGQRLPTLPEIRSFVMRGAWNIMRDHRHWHNLSEAL PGLQEWHCAYAKPKVEGYHTIAEILRRLSPSIVHLNISLEGFYSKDSTQTSWLGDGVN PPHLCRLLGDVIPHLESLAFTGKVCACLFQPTRSSLSTWPPKSSKLRSLDLVVKNCCR DKRTSSGLPFLDDFSRITNLHFIRAFERLITGAVHSLNTHQVLNYMRIRFIDLDSACP PLNPYFQLIDDECSGLWSERILDMLHEARPQAHFIELSEGIYPQYGPNHQIVGAVYPR TRPLSIHAATYKIIADVSKS AFLA_117690 MGILNVVEDRPTPKSVYNWRVYLLAGIASCGSNMIGYTSAFIGT TITLDSFKEEFGLDKMSSAKVDLISENIVSLFIAGAFFGALLTYPVGHFLGRKWSLVI ASAIFTLGAGLQLGANHSRGLGIMYAGRVLNGLGTGVASNIVPIYLSELAPPAIRGRL VGLYELGWQIGGMVGFWINYGVQKNMEPGHTQWLIPFAIQLIPAGLLFAGALWTKESP RWLFLKDRRQEAMANLCWIRQLSETDIYITEEVAAIDQALEEQAATIGIGFWKPFQSV GTRPKIMWRLFLGCMLFFWQNGSGINAINYYSPTIFKSIGVNSDTIGITTGLFGVVKA VMTFVWLLFLVDQLGRRKLLLIGAITGSICMWIIGAYICVVQPEENPTDHLNGGGIAA IFFFYLWTAIYTPTWNGTPWVINSEFFDPNIRSLAQAATTASNWLFNFLVSRFTEQMF AKMGYGVYFFFAALSFLAFFFTFFLIPETSGVPLEVVDRLFEVKPVWRANETVKAQMK EEEERFRFEIKEGNFDKSEEEHVEDGNETRS AFLA_117700 MDEIFVPFNTPVCGAVELLKHRDQRHLGKVPTFSGVKRSPRHRV DVHSTKSRISDPGIFAYQGSLSQPLEFGRSRPPPSQPDPCKMPHAVKTWFTGKALIKV DE AFLA_117710 MSSVDEEPILEDIFVPQSLLNAVARREDTVEGTHRVASPCYPPS SQTQEIYVQKRNQRTISWATRVSDKRKAPQRASSYSSALEEGRMQLHQDQGPSVPPHV RGITSSLNLNSSDSTPPLRFLEHDPFADSSVREPLIERNSRSPYSIPRPGNINRKTLG DSVRSVYNRANLVKIKHQRKYWIRLLIEYAAYTIGAVFIYFVLVGLPLWKGAVYWLYW VMQHKFVFSGGWAIFVFLLVFYSFTPLLITFENDFPGPDYYEQRRISPTAPNTALLIP CYKSGAIIGRTLEAALKIFPASHIYVIANGDSATPIDDTEEVCRIYSVNHIWCPVGSK IVAIFIGCHAVKSFRHVLLIDDDCILPPHFPVVVSRLTHKVRCIGYTIKSVGTNSQRG SYCQQAQDLEYKLAGLQRSFAGHIGSATFPHGAISLWERAFLKDTLQHHPGFSISEDW FMGNSCRRLGGRIQMCSAVFVETTTPAALFFVDRRLRRGGFGETTVFKQRFLRWNFFV ANGIWYNLLYILGSWRLGKWELGAKLFVFQEVYETLLCLTRSSSVLQMWQVVIIHCSN MHGILPSGIPNSPKTTKLLEWC AFLA_117720 MWILDSEGDLLDGKRVWLRPGQKYLFGRIRQDGVRHAIQHSSIS RKHMVIEVSSVKDGDGSRIYAKSEITVIDQNSKCGTAVDGNQIRGESVNLTGDEHTIK LGRYQHLLRIKWQPTVLTFSFSSKELKAKDPLAHSKRNTAKGLQALVNGKYIVANSYI DALVYAATPSDLENLESLSPLEVDFDSAWPDPTEHLPPPGKELVHRPAEAFAPILDRV NLFEGYTFVFGDKAQFDMLHDPIANGHGKALLYRVENEVTTADEIAQFMRNAAGEKGL GSQRDGPGGVVLVRFRAKGYEEWSIELSNQIALQTNQRVIEQSEFLDAILANDASSLC RTLPSQEPTSIQEASATPASQPASTPVKNVQIADSQAVEEHGQPTKSRSKGPRVRSFV SKMKTFDDGFDINAIPAHPPDDEDVVVDSLPAMDMESSSGQRTQPHSNLQEEEDVLSQ LLPGANAMKRRRAETVQRAMDDSTLRPKEEVHQPKRQKIDVLEAARQHRDAEEDAQRQ RREEEEAELQNSLKDIENLKGLAIVEEMEMPARKIADKDSRWDERWNGRKNFKKFRKK GDRNRSRHMIQTVIVPLEEVTRKAFGIGEHYWVSSRKSADNSQTESRREPPASEQDTG ESRSQSLARTESEPTALRSQKRSREDRDSDSDDELRFRFRRRR AFLA_117730 MEPRRETPTDEVSSTSKGVTDGYATLKYQLLGPSLTKAGQDAVD QQKIKVSEIIYNASKGSKFFNHEQNRDRILTEKIERILKEKARLENLDLSHDLRRADQ LLDELELSRDLSQYIVHVDCDAFFAAVEELDRPELKTVPFALICLPINHQKYSAKAQE IRAIFAQYDPLFESASIDEAYLNITAYCTENQIDPEDAVNRMRAEVLEQTKISVSAGI AANAKIAKIASNRNKPNGQFRVPNDRDAILEFMKDLPVRKVNGVGRVFERELDAVGIK TCGDIYSQRALLAKLFGEKAFHFLAQCYLGLGRTKIQPVENYERKSVGTESTFNEMGG KEALREKLWAIAQELEKDLTRTEFKGRTLVLKVKLATFEVLSRQCQPPKAVFLAKDLY TFSLPMLEKLEKEIPNMRLRLLGLRCTNLVSTKKGGIDFFGWATRSKPTVESTSDAVE QEISAEEAFERAARQEIQEDMNDLEKLSQEVSEPNEVDQSEKDPATPSEPQPTYWDCP VCSKPQVADDRAFNEHVDYCLSKQTIKEAIQGASQDAEPVPPKPRKRKSTSQEPVDPR QKRLFFT AFLA_117740 MSRPPFRPSSPATMTSDKQTTNGPVAKPFTPSLSAAFNRSTKSP LTPKLANPGGYRTPRRLTPSEHPASTPKPDSESVYLSANITPRSGTRTSRRDGPISTP NMPSNGHCSPSYISASGSTVGKRTDRSPVRTGGKPDPPRTTRAKTLTTEPQRSRPNSV CGAPSNSPRFFHASDARSNPDVDSRPKPAAKPSNPAFVYANGEQEGPSEELNTAPVYK RRSTGFTRSVVGSKPPSASPRLASPRLNASSPRLSDGVGSQVGSQVGSQVSSQVGSQL GPQTGPQFGSQAGTRFDFAPQIVSHMPSPPMSHFPPKPTTPAPRHMKSSSVDSGHGAT SPREPLRPSPIIISPSDIKIDASAIASEPLPGLRPRIFSNGSAASVETHDSSLQSPAK SESGQAKDDVAINARTERKILDLEISNSSLLAINRTLEREMRKQNAELRRYRRLSRAG RLSVAPSSRSVSGASLGIMAEADESDEHEHSSVQSPEELSELSDEDSVMDEGVLSPDS LAEHDARHRAQDEKKFFIDLAKHQELLADSQKMNQSLKRCLGWTEELIKEAKKALEYS VHVNDIQLGGRVLAPEEIADLRESGRGLLRASDPQDLSYLPELPDSEPDSEADEVTEA ATETT AFLA_117750 MTARTSDKTSNTQPIIYSSASTTSQPTLTDSSIDDDIEIPSSIL STTTESSLTSFFTPLNPNLISFEDELSSYVGTVTDSWTEWALDPELGFDNSISDNIAP NLDLDIPQTPWEHDNEHGNLIIRGPTILLTTLKQKTVPTFLKKMGYSAPIPLSKNPVV RNFDLNINESKNDSPPWSPYTLSTRLLALERYIEDKPGTKLSTIYPPIETWGRRKVGY RAVWCIDRVFRFHGICIRGFGVDVACVSGLVGVPVVLLQADREAPVRAWRGAVRDVGL VGLWDLGIKMGRDGEGIVEVDVDVDFGCGGLDVDGLFSSSSPRGVEERVRECLVYLGG RG AFLA_117760 MQQLLQSTAALLAFQAVVGDAAPTSSSSAAASGPTGASYPSGFD MSTSWGNLSPYKDQPGFEVPNGVPRGCELSQVHVLHRHAQRYPTSWKLDGGVIEDFAQ KLKNYTKRHDNATVGKGALSFLNEWEYVLGEDLLLVSGAATEATSGANVWSKYGRALY HAPVGVASYDSSLNVYPNGTERPKPIFRTTDQARILESARWWLSGFFGNTGANSSYSE YDLVITHEGTGFNNTLASDGSCPGDLEEGDDSGEKFIPNLTKDALKRLSHFLPSDFNL TAYDVVGMFSLCPYETAALGSSSFCSLFTEQEWRDFEYFVDLQFYGNYGFGAPTGRAQ GIGYVLELAARLEGKRIETSDTSINATVDSKPATFPLNQPLYMDMSHDDVIVGVLAAL GLKYFNYGSKGLPDDVAHAVPRNFKLNEVTPFGAHLISEIWTCPEKTNFHELDGALYK NPDLSSTSDTTDVIRFVLNGSPVSQEGLDGCETSINGFCSVEDFLKGVPKLKVKAEYQ YACFGNYTAGHQVGDGRPE AFLA_117770 MVADTTYYDALGVPPTATELEIKKAYRKLAIVTHPDKNPGDETA HARFQAIGEAYQVLSDEELRKRYDKFGKEDAVPGGGFEDPSEFFSMIFGGNAFVDLIG EISLMKDLTTTMDITMQEMEEEELAASAEEKLNIHEEEAKTAAGTSAEGTAAAAPAAA GSATATDAPASEEKSEKPTPTPSSGTSTPRRYLGQQAIMDKSEEEARMEAAGLSAEEK ELHKKSKKKGALSREQEERLAAYELERKKAREERVNTLATKLVDKISVWTETDKGADV TRAFEEKIRLEVENLKMESFGLEILHAIGQTYIQKGSSFLKSQKFLGISGFFSRLKDK GTLAKETWTTISTAIDAQMTMEEMAKLEERGGEDWTDEKRAEYEKKVTGKILAAAWRG SKFEIQSVLRDVCDQVLGDKKIKLDKRVERAHALVIAGNIYQKAERDPEEEGDYMAFE QLMADAMTKKGKDEKEKKKKEKKSKHEHVEETTA AFLA_117780 MRATEVLYYMLRPSQLRSIVQWKVWHNPVHERNVNNETETQKAC FKFLDLTSRSFSAVIKELHPELLLPVCVFYLVLRGLDTIEDDTSIPLKTKEPMLREFK DYLEQDGWTFDGNRPEEKDRELLVQFHNVITEFKNMKPAYREIVKDITDKMGNGMADY CRKAEFEDASVKTIEEYDLYCYYVAGLVGEGLTRLFVEAEFGNPALLSRPRLHKSMGL FLQKTNIIRDVREDHDDDRHFWPKEIWSKYVTEFEDLFKPENRETALNCGSEMVLNAL EHAEDFQIEKFIDTIFPQQTAAQAKLKVQGEKSEAEKEKARQEAETRQDLYFMLALMG VIVLIVSIIMLTAAWLLGARFDLAFQELKSGNFRPPAKQIPGEL AFLA_117790 MSTTLPTGAKIINGVKTFIPLENNPEVHTHLATTLSIQSLTFHD IFTLSPPPQDLPHPINALIFLAAAPIYTRARSTLQSTLPKYTTTNETDPIWIPQTIGH ACGLMAFLHCVLNLDDGRHLACGSELAKLREELVSLAPGDRARVVYEALFLEEAHMDA ARGGSSGVPGPEEDNGFHFVGFVKGGDGRVWELNGGMPGPLERGVLGDGEDLVSEAGL RLTVGDFMEAAKEVEGGGYGGLGISLVGLVGV AFLA_117800 MAISETAFAYHEPAISTILNQTGFLLVLNLVNVCLDKLVYCGLI GQLFIGILWGTPGAKWLTRDMETVIQQLGYLGLIMLVYEGGLSTSLSSLKANLLLSLA VAITGICAPMGLSFILTELVSATPLQAFSAGAALSATSLGTTFTILSTTDLIKTRLGT VTTGAAMLDDVVGLVLVQIITNLGGSSDSFSAVTVIRPVSVSIGFGVGVWLLCLLGLR PALRVLLAHKHRFPAFTGTVQFYFLVNTCLLVGMVAGATYAGTSSLFAAYLAGVMTSW MDDFFKENSGSGDAGNGNVSSEPGTENTSQEENPTGQEKDSNQNDQTTRQQTTSTKRE TPTGSMVYEKYYHEPVTRILVPLFFASIGFAIPITEMFDGKIVWRGVVYATLMTFGKL ITGLWLVRLSSRPISSVLRILKKPFSRVVLFCASPRTGSKKQKKGTTETQQHQDPRNP EDKSQDQPTPTQAHETVTSEQPTQISQPTSTLPPKPRSLYPASILGLAMVSRGEVGYL IASLAESKGIFGTSSSGGSSETYLVIVWAISLCTLFGPICVGTLVKRVKTLQRMRVDR GGEDPLGVWGI AFLA_117810 MASLFERPSLVFGAAIVLRAILLVYGAWQDAHSAVKYTDIDYMV FTDAARYVSKGDSPYARDTYRYTPLLAWLLLPTSWDGFFSFGKVLFALSDVVAGWLIA KALTSFYRMSPPRALKYASVWLLNPMVANISTRGSSEGLLCVLVIALLWAVLNRKITL AGVLLGLSVHFKIYPFVYGPSIIWWLDEEREGLKSSSQKQKPEQDDRNLLTHIFNFIT PSRLLLTTTALATFSGLNISMYILYDFPFAQHTYLHHLTRIDHRHNFSPYSSLLYLSA AGDIQGSFESLAFIPQLLLSVVVIPIVLAKRSLPGAMLAQTFAFVTFNKVCTSQYFLW YLIFLPFYLPSSSLMKNPRLGITVTALWVIAQALWLQQGYYLEFLGLSSFVPGLFLAS LGFFAVNIWILGIIINDVALEGC AFLA_117820 MFHTFEGFENPNNSAPVRGRGERQPSVGRRVTTLRACTSCRHRK IKCDGEKPCEACRWYKKADQCHYADPRPSRRHVEKLSTTLDEYRGVLEKLFPNIQPEN LVSLPREKLLELMGKPSLLQTQPPHPASPATSASVEAHVSPVSNEDGNLESLQTMPEE SSDSQNSGYTEISNNFSDDVNALSLSSRQPSSYLGVSSINAVLKVILCLDPGALSYFS HPSTNTDSRDSALGYSPAEAQPWPVQESQQPITPPQPHRPVTEMQLLDAYFTYFQPFV PMLDEEVFREVYHSGCRKDERWLALLNIVLALGSIAACPSDDMSHTIYAQRCKSYLNL ESLGSSHIETIQTLGLLGGQYLHYVSQPNLAYSLMGAALRMAAALGLHKEFSDNQEGS CKQNIYSTDLKRRVWWSLFCLDTWGCMTLGRPSMGRFGPTITVKLPQYRERGNVLDII PLLENVRFCKIATQIQEILAAAPLTRYHEMSHFDNQLLEWYENLPYILKDHEPCSESI IITRTVMKWRYYNQRMLIYRPTLLSYAMRRVPYIALRSEERTAIERCRQIAEATIQDI SSTAQSHQMSGWSAVWLIFQAVMVPLLGLFLNDNTTNDPRATVASCQSQVEMAMLVLA RLEQWSPTAKRTLGAVSQILEASKRGTNMANEAGLVNSMFAVSREGAVPRAASGFHPS QNIFLQNEAGFDPFAPPVIDDSTAQYLWDFLSWSDSSLWPGITDTNSFNDETLFAQTD KTMKYPNNGAAFMGGSMGDGAYYANPPLPYY AFLA_117830 MAAFSQYPLSTGWSFKDSDDQSPEAWMPVPVVPSVAHQDLQANQ KLKNPYIGFNELDARWVNDKSWTYRTVFQKPAVAAGSSIILAFDGLDTFATVKLDGSV ILQSDNMFLAHRVDVTKALEAEGDHVLEIDFDCAMRRARELREKDTKHNWASFNGDPA RMAVRKAQYHWGWDWGPLLSTAGIWREVRLEVYSAKISDLWTEVELASDHQTARVSAF TEVDAADSVDSYKASFLLSLHGKEVAREVATLKDKVAKVTFDVTQPSLWWPNGYGDPA LYEISVSLEKEDCEIHSVSKKIGIRTAELIQQPDRHGKSFFFRINGVDVFCGGSCWIP ADNLLPSITAERYRKWIELMVAGRQVMIRVWGGGCYEDDSFYQACDELGVLVWQDFMF GCGNYPTWPELLESIEKEANYNVRRLRHHPSIVVYVGNNEDYQVQESAGLVYDYEDKN PENWLKTDFPARYIYEKLLPSVVEKLSPKTVYHPGSPWGDGKITSDPTVGDMHQWNVW HGTQEKYQIFDTLGGRFNSEFGMEAFPHMSTIEYFVENEADKYPQSHVLDFHNKADGH ERRIATYLVENLRTATDLETYVYLTQVVQAETMMFGYRGWRRQWGDERHCGGALLWQL NDCWPTISWAIVDYFLRPKPAFYAVARVLKPIAVGVRREHHDWSVTHAQPPKTSKYEL WIASSLQKETVGTIELRFLSVNTGLDVRAPILRDNVKIVPNGTTNILEGVINHKAQPE PHVLAARLWVDGEVTARDVDWPQPFKYLDLSDRGLEVNKVSESGNEQKLLITAKKPVK CLVFEERDGIRVSDSAMDIVPGDGQTVTVTGLKAGDAPLKYKYLGQ AFLA_117840 MAGTKRTWDGKQLSLQPNNNNNHQTTITMAEQPTQIHSIFETFR NELDEHHDRRERLIKISRDITALSKKIIFSLQRIRKLNAPLPENITKETQSRFTQIQS LFTNALPDLTGPNKWRYQRQLSGAIQEYIEALSFHHYLTSQTLITLPEVRTKLPAEIL VTEEDYLLGLFDLTGEMMRFAVTALSAGSVASEEKKMGLSREQNGIVVDLREMRSLFE GLSVSRRHNLIKDLGKKMEVMQGSVEKVERAAYGILVRGSERPAGWMPDLSGGGDEGY AFLA_117850 MTMINTVDETSLAASPTERRNSLEKHLLNRPDPQDLKERHILLD TNVAPSIQAARQELDRQRTTDSLKKHLEHRPDREELVERNILPHTNAAPALQAHAREL EKHMLADHLDQKIQNRPQPEDLMAQGILTEDEDPRQPTI AFLA_117860 MSTGRKVFHCAVDETALTTNISEIKKWTTNGAITLVVPLYTLER LHALKRAGSQVAINAREAVRFLDRVTSGKDSTPADRVALQGPMEQYENWSDAEKFFLP EFEEEPEATNGTGAGETPLEKKGPTGRDNKKNGAPDDLSQMLLNKLNFKKDSDAASIN SAGTHSAPASPPSSRSSRTSPECANSHVVENGNGTSNRKVKQTNGHKRSASGSTIPTV PLVLRPLLSALLWRLHSGPDASNAAKSCILITNDRSTQIWAQKFGIGVKNIHQLRTSI QYEEREYKNRCKYVEKTQTQTAEPKSLLSYDDESDEDELVFVPRGRGKGSSRGASRGG SNRKPAASKNTAPPVESTMEIPTQPIDPNSFSRSLPTTANKQQPTIDLSTQSGAARGM AGASRNYNSGRRGAPRGPTRGNSRGRGKLWVP AFLA_117870 MAFAALRHSIPSPTRLLRPTMSTPSQARLQSQCRQVSFSSYLVS PKELSEALKKNPATKISTAPRVVPLCAAWFMPNDPEGRKGIDIFRKHRIPQARFFDLD AIKDAESPYPHMLPTAETFADAMSELGIRRDDEVVVYDTEELGIFSAPRVGWTLRVFG HPRVHLLNNYRLWVREGYPTETGEPQQVERTSYPVPNYDSKLVIPYLELKEIAKEHRK EGAKEVEILDARSYGRWAGTDPEPRPGLSSGHIPGSKSLPFQELLDPETKTFRSKSEL CKIFEEKEIDDSKSIISSCGTGVTATIIETALGEAEYGDPNLRRVYDGSWTEWAQRVK PADGLIKKLN AFLA_117880 MKVTIKEWNGVATWRWDMPEDDVCGICRVQFDGTCPTCKFPGDD CSLLLGKCGHSFHMHCLMTWIQQESSKGLCPMCRQSMPSLKRNFRCQLLLTITTEFEW KQEDDE AFLA_117890 MSLPKYTTSTSLSSSPSKQSIRRTTESNVPSRPESTIDRPTTAQ QTRTFSQEQQVHEPVANVTFPHSENERPHEISTSHPPFQPFFTLIEDASTSEYYHPTV HYIFSDDDTDIVTEAALRSLEPEQNTLSRGGKGNPRATRDQLPQEQGEGAEDDELSNE RKESLLPPPIPGVRDNYIILDMDVLAPDNMQHTNTAPGHDVSVGSPGTQSAVPQQQQD SQNHNQHIQKFAVTSAYSLTPTWQVLNTQLVPAPTFENNPSGEHSPNGALMLKIQGTV GLPMTLPGKDKDKDNSIQRLEDMMEQFSKRLGELRQVIEAGERGYLTENADEEQIPTE PPNAEDAAVASPEGQNEAQTQNANDLVSIVP AFLA_117900 MGKLKDYITTDRNGIIENRHQVHAAIVSSDGTLLYSVGDPSRVT LTRSAAKPAQALAVISTGALEKFGFDEADLALMCASHNSEDRHLARARDMLRKVSAGE KDLRCGGHAALSETVNREWIKRDYTPTAITNNCSGKHAGMLGGARALGAEISDYHLPC NPLQRKVRDVVEELAGLETGPEGVLWGVDGCNLPAPAFPLRNMAKIYAAFAEAADVAD GARNASGKDRDMARIFSSMTRYPELVGGEGRFCTVLMRVFRGILIGKVGADGCYGIGI RASEYTRRLGVDGAMGIAVKIEDGNMGVLYSAVTEVLDQLDIGSSDMRRELALFHHPE IVNSAGVVTGSTAHRFHVCGMRSMVGLCSED AFLA_117910 MSGGGTSGIVLAARLSEDDSKSVIIREAGRNLADDFRVQTPALW TTLLGSEADWQLITAPQAEIHAWSKLSAIDWTWEKLSPYYKKSYTLQLPDEATREHIG LSWVDQNVNGDSGPINISFPAVLQDPLSKAWVDTFKGIGYSLTADPFSGNSIAGYSNL ATVDYKTKTRSYAATGYGLPAMQRPGCVF AFLA_117920 MAGPQRPSSGLPTRRTTTTRQPTRRLGSLATQRAGSPAISSKIP ASGGSRVIKSPSEPASVTAKRKERDLERGINEDTSIHVVVRCRGRNDREIKENSGVVV KTEGVKGNTVELSMGPNAVSNKTYTFDKVFSAAADQLAVYEDVVLPIVNEMLAGYNCT IFAYGQTGTGKTYTMSGDMTDTLGILSDNAGIIPRVLYSLFHKLEETESTVKCSFIEL YNEELRDLLSAEETQKLKIFENESKKGQSTLVQGIEETYIDSASSGIKLLQHGSHKRQ VAATKCNDLSSRSHTIFTITVHTKRTTDAGEEYVSSGKLNLVDLAGSENIQRSGAENK RATEAGLINKSLLTLGRVINALVDKSPHIPYRESKLTRLLQDSLGGRTKTCIIATVSP SRSNMEETISTLDYAFRAKNIRNKPQINFTSKHKLLQEFAFEIEKLKGELIATRHRNG VYMTVDAYEQMTMENESRRIVNEEQRAKIESMESNLRNKVQELFTLTSNFNNLKKDNE DTRAALNDTNDVLEKTEIVLKNTRSLLEEEEMLRKAHQDTESQLYDIGTGLLSTLDRT VGDVHGLHAKLDRKADLDSSNMETWQMSAKEVSAVTEEVDKKVEAFQLQHSQLLESMA AKINDYVSSELSHVQSNQSALSSFGAIFDKAEHDAKTQTCGAHDRMNEVLEEIKDLRE EVKRKVGEGLNGLSAAAARISKEVIGEFTEFHEQLHMSYSTLGKDFKSLFEEMVRHLN EQKMEINRLRLEVQEANLQTVEANRRASSNLAHAVEEEHATAESERDLLLSQFKTLME ESRQRQVSRLKGRLDSVRADISSSGDLLEQATTQHDRQTDEWVFKSEQFAKDVAASRD ELRTKMQNDWELFDQRNVSIQKTTESVHEETVRIVDAQISNMDKQMEALDDFVAKARS QNGEYRDAHISSLDNMASSVRESYSFVHEQLEGVGTRIGQLQEDAAQQQHSLHETTSP LSEEVRKPLTELRNNIHSRPLQEYIVTGATPQKRHYEYPTDLPRTEAHDALISGIRTS RDLTLLPFNGEDHLPGTSPTKGFVYNDTEDEVGNQTPTARITSNTGLREVDANVAAKQ LASSPGDRASVRQSNISIAGKSSEVDTVPESEDSDEPPAKRRCSNPTTTDSKLPKNML TKRMAGMMEGRENVPPPGLSSARRTRTRPAP AFLA_117930 MAIFQGDSWPEAQGRLFTQEQKYPRNPALAGKNRESVPDEIEEF NILGAGKLEVIRRSSPTSYITLSETTPQDLVAEFGPPDAIYRKHDRRITIHRAAGGGG EDHIHLSPPPGRGINVTDTDQSSNNSGTEDSDEELHQPHNLDPSSLPTECFLNYFHHG FDAFVSYPTTPGPAFPGSDLQDPSPPSPSTQLVVAKIILHGNVPGSYPFNRHRRSRWK IKLDSSGDAVSSETRYDEISERLREVWKGSYTNPAEERALQRPMVLNRGWGDSPESSV EFLGGWEESTGKGQRPGQDSHDGGLGNTELFGFPGLLFEVMKNSAVSCLTVY AFLA_117940 MPELSRSLTRSWSSTLKLPKSTFPARVTPADQAKYLRRCTDELY AWQRRERPADKPFVLHDGPPYANGELHIGHALNKILKDLICRVQLGLGKRVRYVPGWD CHGLPIELKALEAQKGLQDAGGSVSAAVIRKEARALARRTVKEQMKGFRSFAVMGDWE NHWKTMDKEFEKRQLGVFREMVDKGLIYRRFKPVYWSPSTGTALAEAELEYKDDHVST AALVKFPLLSIPSHLAQNPLLQVKDLTAVIWTTTPWTLPANAVIAVHPDLEYTIVQSD THGHLLVAQSRLQYLEHILKEDLSVIIPSVLGSELADRTTYRPLFKGADAEPQPIIAA DFVTADSGSGLVHCAPGHGMEDYEACLSRGITAFAPVDDHGRFTDKAMPSDPTRLSGK SVLDEGNATILEYLESQGHLLSKHRYEHKYPYDWRSKRPIIIRATEQWFADVGDIRES AVKALEDIRFVPASGRQRLENFVKNRSEWCISRQRAWGVPIPALYHRVTGEAVLTKDS VSHIMSVIDERGIDAWWTDDANDPAWIPASLQEASGPGYRRGTDTMDVWFDSGTSWAE IDEPYRDGYPADVYSEGTDQHRGWFQSGLLTFTAHQLAAGQTATRAPFRNLITHGFTL DEEGRKMSKSIGNVLLPQTIMDGTLLPPLKPRKGKKKQTENQGPVYDALGPDALRMWV ASSDYTRDVVIGKQVLQTVNTSLHKYRVTFKLLLGALSDFRLDNQVPYNQLQQADRIA LKHLSEMILSCQKACENFEFYKAVNALNRWANLEFSAFYMEAIKDRLYTYGENSASRR AAQTTLFHIYRHLQEALAPITPMLVEETWEHTPEAIKSQCEHPLKRVVSTPASEWQDD SLETDYQDLVAVHSVIKNAQETARGKKEMGSSLQSFVHIVLPQGVNNSVFQRSLTELP DIFVVSSVTLGTSGEPIPATIAEAEWQYSEEYELPSGQKGTVYVYTPQADKCPRCWRY VVPESQAEESVCDRCEDVVSELDASGAAKAADSS AFLA_117950 MASHKKSEDPLVVKDRQEQECESSDSTIASENASEHRSPMGLID EDGIETLNRIASQSSRRRSSVYPPNVPTRTSTLATISENDPAVDPQGPSFDLNKWLKM VLRESERQGREAHRTGIVFKNFTVSGTGAALQLQDTVSSMLSAPFRIGEMMKNRHSPP KRILNEFNGLLKSGELLLVLGRPGSGCSTFLKSLCGELHGLSMSKESVIHYDGVPQQR MIKEFKGEVVYNQEVDKHFPHLTVGQTLEFAALARTPAQRIRDMSREEFAKHITQVVM AVFGLSHTYNTKVGNDFVRGVSGGERKRVSIAEMALAHSPLAAWDNSTRGLDSATALK FVEALRLFADLSGSAHAVAIYQASQSIYDIFNKVVVLYEGRQIYYGPAKDAKSYFERQ GWECPQRQTTGDFLTSVTNPSERKARPGMENQVPRTAEDFEAYWRKSPEYQKLMSEIS HYEQEHPLEEEGDALATFQQKKREIQAKHTRPQSPYLLSVPMQIKLNTKRAYQRVWND ISSTVSTVISQIIMALIIGSVFYGTPDATAGFTAKGATLFFAVLLNALIAMNEINSLY SQRPIVEKHNSYAFYHPATEAIAGVVSDIPVKFVIAVVFNLILYFLAGLHRSAGQFFL YLLVTFIVMFVMSAVFRTMAAITQTVSQAMGLAGILILALIVYTGFVLPVPSMHPWFE WIHYLNPIYYAFEMLIANEFHGRDFICSQFIPAYPSLSGNSFVCSSAGAKAGQRAISG DDYILVNYQYSYGHVWRNFGILIAFLVGFMMIYFIATELNSSTSSTAEVLVFRRGHEP AYLRTDSKKPDAESAVELSAMKPTTESGEGDMSIIPPQKDIFTWRDVCYDIEIKGEPR RLLDHVSGWVKPGTLTALMGVSGAGKTTLLDVLAHRTSMGVITGDMFVNGRGLDQSFQ RSTGYVQQQDLHLETATVRESLRFSALLRQPPNVSIQEKYDYVEDVIRMLKMEDFAEA VVGVPGQGLNVEQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAICAFLRRLADS GQAVLCTIHQPSAILFQQFDQLLFLARGGKTVYFGPIGQNSNTLLNYFESNGARKCAN DENPAEWMLEIVNNGTNSEGENWFDVWKRSSECQGVQTEIDRIHREQQSKTQASDKDN ESWSKSEFAMPFWFQLYQVTYRVFQQYWRMPEYIASKWVLGILAGLFIGFSFFQAKSS LQGMQTIVYSLFMLCSIFSSLVQQVMPLFVTQRSLYEVRERPSKTYSWKAFLIANIIV EIPYQIMMGILTYACYYYAVVGVQDSERQGLVLLLCIQFFIYASTFAHMAIAAMPDTE TASAIVVLLFAMSLTFCGVMQTPTALPGFWIFMYRVSPFTYWVSAMAATQLHDRVVQC SPSEMSIFDPPSGQTCGEYMSSFMSMAGGQLSNPNATSDCNYCSVAVADDFLSSVNIY WSERWRNFGLMWVYIVFNIFLATMLYYTFRVKKWNLSGLKERFSKKK AFLA_117960 MALVSVFELASMSLTLFDQNASPPQMDTHPSHWHATLLGHAFWR DAEAYNKPIHDSIEAERKKHIHKRFPFTLGQEQPSTQPQTQPQPQTTPDNPMPDPNDS EAHRRRRFSMSDALLLNPFHSTHLSRRRARSSIRSDTSDQTTPEQEPTEARPRSSSRS RSLLRLPLTILRELSNTRKRPDLLPNDQATPTNPILEFRGGDTWDLLARDRKSLGLDL FWPIDFSAIDSVQTHNITKATPSKTEATEQKPKENPNNDTDEQEQETETFPLSSLTPL LHFRHLRTLKLTGMMSSYQKYIWQAAWLNPHLEELELGMALAPSLRRNYVTKWPCIRG GWTLTKERFREPVYYGTGTGTLHPAVGVGEYLDKIVIEKAKVAGMGMGRTRTRLSIRT LVLSGFVVDGDPFLQWFDCARLKCVRFGDGCVDAGFWLGDDMGGVTVVWPGESGGEVG KGEVGKGEVGKGVVGRVVERGEVRRIRFV AFLA_117970 MIDPPAEMIDFFDTLKTKPLPVPTTTPTSVAPVPTVVPGHDLIF QELSKTSQRTLWVVVVLMAISAIVFYILASRAPLTKRVIHNLIAISTTISFITYLALA TGEGITYKHDILTIHNKHVPNTHRDIYRQVLWLRYLNWFLTNPLALINLALLSGLPGA HLLVAIVADWIMLGTGILGTYAGHTPRRWVWFTISAIGYLTTVYHIGVNGGRSAVNKD AQTKRFFGTVSGVSLLIKALFPVAIAAGALALKIGIDAETIIFAIHDIFLQGIIGYWL IFAHDAAPGITLLVDGFWSHGHGNEGAIRITEEEGA AFLA_117980 MDEFFAQSFVPFFLPRLTASFLSNLREKSIMRFRLPIKPDEIPV DEKQQPNDDEANVTPQSASKEAATSRADQVDDDKSDVVNPEFQHGVQSAQAMTQVWSK QHLILAYVMIWIIYFVKNFAFGIIGTLTPYVTSSFKEHSLTGTTTILSTLIGGLFKLP YAKLIDIWGRPQGFALMIACMTVGLIMMAGCNNVQTYCAAQVFYSVGSAGVDFTLTIF IADTSALKNRAFWLGFVGSPYIATVWAYGPATEDILSSMGWRWGFGIWAIVTPVMLTP LFFLFYYNQRKAQKAGLVPERHSQRTVMQSIAYYGKEFDVIGLLLLTTGLALFLLAFN LYSKQPDEWKSPLIICFIVIGGLLLIAFPVYERYIAPVTFIPWSLLLNRTVFFTYTMA ASIYLAWYLWDTYFYSMLVVVFSQSVTQATYITNIYSVGSCFWAVLMGILIRYNGRLK WQALYFGVPITILGVGLMIKFREPGVNIGYIVMCQIFIAFGGGTLVICEQMTVMAVSS QQHIPAVLAMESMFINIGSAVGTTIATALWTGIFPQKLAEYLPADAQSNLANIYGDMT VQASYPVGSAARDAINRSYSETQRLMLIAATCLYTVTLASVMMWKDVNVKKIQQVKGR IL AFLA_117990 MADAAPRGRGGFGSRGDRGGDRGRGRGRRGRRGGKQEEKEWQPV TKLGRLVKAGKITSMEQIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQRT RFKAVVIIGDSEGHIGLGIKTSKEVATAIRAAITIAKLAVLPVRRGYWGSNLGEPHSL PVKQSAKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVQDAYTSSSGSTKTLENTLK ATFLAVVNTYGFLTPNLWKETKLIRSPLEEFGDVLRQGKKY AFLA_118000 MFRWYQAKLAKQPILTASVTSAVLFGSGDVLAQQVVDRKGLEKH DFARTGRMALYGGAIFGPAATTWFGFLQRNVVLKNSKATIVARVAADQCLFTPTHLTC FLTSMAIMEGSDPIEKWRNSFLPSYKANLTIWPLVQGVNFSIVPLEYRVLVVNLVSLG MCHLFSVKVLYSTDIATFRLELPSQHDQ AFLA_118010 MAAVAENGPVPVNDENTAPAVAAPEQKEETEKTNGDNITVFHDP ENFNVKHPLMHEWTLWFTKPPSGKGDNWNDLLKEVVTFNSVEEFWGIYNNITPTSELG LKADYHLFKKGIRREWEDPQNKHGGKWSYSFKDKRSVPIDDLWLHAQLAAIGETLEND GDSEVMGVVVNVRKGFYRVGLWTRTVGKSIPGDKSSRTPAQGKDVLESIGRRFKEVLR LNEADVVEFSGHTDSAHSGSTRAKAKYTV AFLA_118020 MDSFRLQTVGIPLLAKEAPLPLSSLSTLHYTYTSLISASCSFSL YESAPEPLAVGILRCTSYPLSSLSSHRRLTFSFPGSRWLGGWAGLG AFLA_118030 MSCPEHRKRAERVIVLCFDGTSNTFQADGTETGVGTEISPTSLS SNPLRRRSKLFSSKLVDSALAVSFDQHVLGGYRFLMRHYRAGSQIYIFGFSRGAYTAR FLNEMLDHAGLLSADNEEMIPFIWEAFSSYKLTVGAKNQLEQEESKKTSQFLKACRET VCREVDRVHFLGLFDTVNSTAEFQVNAEVKPDSEIIRHALSIDERRVKFYPVLIEPKK EYHYRVHRRLWPWSYRGSTTCGQGGGDSGKQDIQEVWFPGGHSDVGGGWDFDKEEDWR LSHGPLVWMVHEAQRAGLHFDKEKLDMLVSSERQEKVDSVDKKSEKPARDSPEDLVKS LHLSSTKGVLHDSLKTGEGTRFWYLMEHIPFRRMVLQLDGSWKPTRWPLHRGRSRDIP KYAQIHVSAIERMKADTTYRPMNVILEGPAVRKGEASAQFEIGEWKVWCHKGDRVREA YVRE AFLA_118040 MTDSDNPLILVTGNKNKVLEVKAILGPTATLEVLDINLPEIQGS VEEITREKCRAAAETIGGPVLVEDSALEMRALGGLPGAYVKAFVETIGNEGLNRILSA FDDKSAEAVCTFGYSQGPGHEPLLFQGRLQGRIVPARGVSSFGWEPIFEVEGEGVTLA EMEVGKKNGLSHRFKALVKFREWFLGARRPV AFLA_118050 MSSDRDVEVTQQQAQYNATHVIDDKDGLEANEKALGPTPDGEEP TQDEIKNLRHIAENLPVSAWLVAIVELCERFTYYGMSGLYQNYANLPLDGSQGRGALG LGHQGATGLTTFNQFWCYVTPIVGAIVADQYLGKYKTIVLFSIIYMIGLLILVCTSIP SSLEHGAGLGGFVVAILIVGLGTGGIKSNVAPLIADQYKRKKMAIKTIAKTGERVVID PALTIQRIYMIFYGCINVGSLSLLATPYMERDIGYWSGYLLCLCMFICGSCVLIVGRK YYVVRPPQGSIITNAFKALWIMVINRNMDAPKPTWQAEHGSRDVPWDDHFVDELKRAL VACKVFAFYPIYWVVYTQFSSNFVTQADQMEGHGVPNDLMQNFDPISIIVFIPVLELT VYPLLRRCHIQFRPITRIALGFIVASLAMMYAAIVQHLIYSAGPCYEHPGCDASIVNG QTTGNRVHIAIQTPAYVFIGLSEIFASVSGLEYAYTKAPPTMKSFVQSMYLLTNAFGA AIAEALTPAAYDPAIMWMFVGLAVASFLAGVIFFIVYRHLNHTEDEMNALDADDDVVA AAQQAEEEKRRKKEESS AFLA_118060 MARRVLLTGGNGFIGSHILAQLLDHGCTVCCAVRTQEKGDKILR DFAAQQSQITITIVPDIVAPGAYDTAVQGTPAFDAVYHTASPFTYANAGSNLQFLEPA IKGTLNLLKAVKDNAPSVKRVIWTGSCASVIDYDNLVADPPRIYTEADWSPVTWEEAV NGDPSKAYRGSKLFAEREAWQFMKEEQPHFDLVTLSPPATFGPLRHSITSIGELNESN SRLWKFCFSSTKDAPVPYMPVHTYVDVRDLAYAQFRAMIVPEAGNQRFVVCARQFDFQ DVCDILRSHHPELSERTPLGKPGTRSLPPGAYSIDNSKVKKFLGVEFRSLEETVLDAA RCMLDIERNEKQALST AFLA_118070 MPKYAKDQPIGFKNTIERVAIVGAGGTVGSHITNTLLKTGRHTV TALSRKDSGNKLPEGVLVAPVDYDDEATLVAALKDQQFLIITMAPTAPRDTHSKLVQA AAKAGIPYVMPNGYGGDIEDIKLGEETLLGPVAKANRDEIERLGMQWITVCCGFWYDY SLAGGEARFGFDFDKRSLTIYDDGNTKNSTSTLSQVGRAVAKVLSLKELPEDENDKSL TLSTFLNKGVYVKSFVVSQNDMFDSVKRVTGTTDADWTITHEDTKKRYEDGLALMKLG NMAGFGKMLYARAFYPDHPNDLSKKAQNDLLGLPDESLDEATKVGINMVKELQLRDEP FPSSLFDRAQEQTITAPRLRGLVMMPDAKPYSQSYPDAEGCFGQFGGKHYPPEVQPAL EEPANTYQELRQSPEFQRALNKARIGLQGRPTPVHYLETISKQVGGAQIYVKREDLNH TGAHKINHCVGFALLAKKLGKTKLIAETGAGQHGVALATAAAYFGLECEVHMGEIDVS KQSSNVGRVQLLGARVVSATAGQSALKEASDSAFNAYVEQHKHALYAIGSAIGPHPFP LIVRDFQSVVGQEAREQFLSITNGSLPEHVVACVAGGSNAMGMYSAFLDDPGVVLHAV EPLGKSDELGQHAATLSYGKPGTLHGARSIVLQHDDGTPANVSSVASGLVYPGIGPEM AMLHEAGRISVATISDDEVISTFFRMAKFEGIIPALESAHAVAFAIRLASQRPSSERI LVNLSGRGDKDVEYVLQNYGTGQ AFLA_118080 MILSIRTIFYDIYDHQTPSPKWWAGRLSRQLYADDTADNTGGGV HIPSSAKRVSLDSESRLTSRAPTAGSPRSRSHMERGSREADGSSTESPTIVAGASPGI FDEQKFRYSGASAAMAFPHILGVALGSDSPPKMRSFAYNFGIRPEEASNAHGFLGNLI SEKDLDFFSGVYFSILGPIGDVIDPRIYAQRCREYYRGSGSNAVAFAAVAAGVAALGS FLSPNRHPRESDLVQYAKAILDDPASMRLHGIDHIVAWGMRVFYLRATTRPSNAWIAS CTQMHLCEAIGLHEEENIKKMASIAGAAVLGHDADRLRRIFWISWAGHIMLSYEYDRS PVHFRAVTCQSIIPVSGSVADQFVQLVQIIPSPNSPFQLEWQSPAPSDELFERLKVLG GLQTTHPFLVVTKADIAFCFYRRLYQLKIRIPDEVIKLVIDSGNAAVEAAEQQASQGR LFWNVIGSVFQYACILLAIDTPAASVHIGAAFKGLENLVKAVDTGLTREALSMARHLL SLNMAKKRKELAQLEAVEANYQFFPAQPASETNTAVPDIDWDVDWDQFFIEPYLSMLG PDIQV AFLA_118090 MSTYIHLSHYRCIRCKEKQVESVKRRTYTTWLTPLSFTPEFTIP VSDICPWHNNQGVVRADYGDQDYHQDHFKQLEGYNAFRAMVFLLFPGLWSKVLGQQVF IADDERDSVVDDLNTAIYRLGGIIKDATDRYMFEVYHDAEVAGVVLPDDVLNFLREQK EQSPENEVIGMARSMKFGPTSCLADSVVQEVEDIHRGGRSGDH AFLA_118100 MMNTLANHGFLPHDGKNITRNTVIEGLSAALNFNASLASLMFDM AIVVNPEPNATFFTLDQLNKHNLLEHDASLSRTDAYFGNNHVFNETIFEETKKYWTGP TLDANMLANGKLARQISSKAYNPTYTFTSSMEQFSLGEVAAPIIAFGDIQNGKVNRTL VEYFFENERLPTELGWSRREEVVSLVDIAGVTQMISNATNLITPSRESRASKRRDLHS GLGF AFLA_118110 MSRIRLSEIPQEIILEIYKNLETPQDRLNLVCCSRLFYDLCLPL LYQKLRTGGKDLVPIARVVRTLVEKPSLAARVRTLHIFDWTRYVGFNCPEFDQALDAF REERDMKREQGAANQEEVKGGDGNEDEDAGDEHTGNDDICDDSSGDVDFGGDGDDGDN ASDDDSTYLKTFDYGPLREQAMLVTRSEDATNYWMDEITIGDADGWVALLLTLVPNIQ RLEIEFPCGSFWVRWVLKWEIARRLDSIPAFKSLSEVYVDWDREDVQACCRNIIPFFL LPSMRRFYASKLFAELASFEDYWPNEDDLTEVARFSPVTHIEIDESDGQWGMWKVVGI CKNLQSFKYNHSGCAGFDPGGFYKELFPFKETLETIWLDIKESSRENCTEDSHHCHDP FPSFKDFTSLRTLHLRMKNLPGLNIQSGDDHASMSFAEALPSSLETLQIADIGSLVNL QVLVQKLQDHVEYALDFTPALKDIAIEPLRDSPRMPELLVKLDRACTKVNINFHVCDI HDERVNWGAEGFAPRFL AFLA_118120 MSKLVIFGATGQQGSSILETVHHDPVLSKQYSIRAITRDATSKA ATEITNQGIETVQADIDDPTTLPRALAQAHTTDQERRRCSRGGRRYAYRFSTAVHASK LWNGRTMDVFDSKAEAEAYLRTLQIKVSLFMPGMFMQNLTTMMAPRLGLDGTYSVASV LDPDTKVPLIDAANDSGMYVAALLGGEEGVGGATLYAATRLYSFSEIVGIISAVSGKV VRYVRLPDEVYAGFMKSEQGGRVVSMMRFFEEVGYFGPGTGDLVEQTRSMVKGRLTTF EEFAEKYMKDLEACA AFLA_118130 MKTTFAVIISVLTASISAAPLEARQSNQVTLALSNDQSGAYAGV AFAADGTDKSIKALYGGTSVGASGSVLASSAQLASFPQTIHCVIKNNGAVIANLDAQH TFADLDGNPASATPVNLDHGIVNCSA AFLA_118140 MADRKAMVCHLINLLAEMNQVGGGYDNQPADPTSLLNKCREIND GIADIRAKREGQLAAAQNALLDSSTGKEDQVSRQTLDYVEDEINNGFRYLRDLLKKIK QTPGSGDSRVQTQVDVTSRNLRREIEQYQRAQSDFQKRLREQVRRRYEIANPDATPEE LEQGVDNVLMGQEQTFQLTGSRTRQANDARQAALERSAAIRKIEQDMIELGRLYQEVA ELVHQQEPAVEQINQGAEEVAGNVANANTQITHAIDSARRARKWKWYALLIIILIIAI VVGVAVGVTQANK AFLA_118150 MFSLLRHSRSGLVPRRLFSTTSRMASNTPVEDTIREKITTAFSP SNLIIRNDSHLHAHHAPMQGSTSKETHFHVTITSESFKSKMQPARHRMVYALLKEEMD REGGIHALQLRTRTPEEEQREKERKAQA AFLA_118160 MQGRMLISALSRGFAPFGRRAFQSFLNCNARHQLRFQPINCRSL STLPNLPLFRALQDHDQSSVAVVHSASSRSFTYGNLVADVVRAKERLLECAGGQQDGL AGERIAFLAENSYDYVVTLLSILAIDAIALPLSPAFPVGELKYIMDNSQAKVLVATEK YAAKAHDILKAGLEREPILEVKEKIKTGANSSDQVSLQDIVQESRGGMMLYTSGTTNR PKGVLIPQSALTAQAASLLEAWKYSPEDRLLHLLPLHHIHGTVNAIVTPILAGSSIEF MFPFNTDAVWKRLAAPFSPTFSASKITFLTAVPTIYNRLLSSFPGLSPEVQEAAKKGI APENLRLNISGSAALPTPTKQAWQDLSNGNVLLERFGMTEVGMAISCGLDFADRIDGS VGWALPSVEARLVDTETNEVIQPGEEYDANGREREGEIQLRGPTIFREYWANEKATKE AFVDSDDGKSKWFKTGDVATRRVVEHAGKGTSGDWAQGPMYFIQGRLSVDIIKTGGEK VSALEVERELLSLPQVSEAAVVGLPSEQWGQKVAAVVVLDKEKAANTGRNGKPWGALD MRRALKDRLANYKMPQEMKVLNGPIPRNAMGKVNKKTLVKEVFGI AFLA_118170 MIWTLAPFVALLPLVTAQQVGTTADAHPRLTTYKCTSQNGCTRQ NTSVVLDAATHFIHKKGTQTSCTNSNGLDTAICPDKQTCADNCVVDGITDYASYGVQT KNDTLTLQQYLQTGNATKSLSPRVYLLAEDGENYSMLKLLNQEFTFDVDASTLVCGMN GALYLSEMEASGGKSSLNQAGAKYGTGYCDAQCYTTPWINGEGNTESVGSCCQEMDIW EANARATGLTPHPCNTTGLYECSGSGCGDSGVCDKAGCGFNPYGLGAKDYYGYGLKVN TNETFTVVTQFLTNDNTTSGQLSEIRRLYIQNGQVIQNAAVTSGGKTVDSITKDFCSG EGSAFNRLGGLEEMGHALGRGMVLALSIWNDAGSFMQWLDGGSAGPCNATEGNPALIE KLYPDTHVKFSKIRWGDIGSTYRH AFLA_118180 MPPKPTIAIAGGTGHLGKHITTALLSTPFINSFTSIILLTRSET SPSSFNIPSNPKLQLRKYTPTNLADSLNDIDILINAIGPSGHNFKETLLRTIPKTNVK MYIPSEFGVDHYIHDFPHLEWDAKKRHDELAREILDPGVKVCRVFCGLFLEDSIGPWF GFDTRDGWYECVGSAGEVVSFTGLGDVGRVVAGLCGLFAEGGAGVVPDVLHVAGDTRS VFDVARIMEREGGGPIEVTEVALEEYKERVTKVVGSDPAPYLRFLIGEGKINHSSAGL GCDNEVVNPGERVWKWKSLEQLARETQGRPWRDLEWPSK AFLA_118190 MNPDYISQMETWSQLETMRRLLCQRPPIPELPSQILDDIDTVIT YRNNKTMLTSSTSIAPSLVLKPNNLKTVEKSSSKAINISLWKGDITSLTDVTAIVNAA NSQLLGCFRPDHRCIDNIIHSAAGPRLRDACNSLMLKQCHPESVGSVKVTSGFNLPAQ WVLHTVGPQVNSMKSPGTLQQQQLASCYSSCLDATESLPALPDGRKVVAFCCISTGLF AFPPDMAAKIALETVVQWCMNHPATSVTDIIFDTFLERDYELYQANISELKATVASSG NQISFPSSPLSQPKALVTPAISKARSWLQEADYLIISAGAGLSAAIGLDYTSTSLFQK HFPGFLHLGLGRLYDVFGFNDWDSPNQKWGYYFLHLNMVRTWPASKLYGTLCKLAARF NDRYFVRTSNADGRFVANGFPAEKVSTPQGQYRFLQCFAKCRPDAVFPSDPFVDAALP FIDPETQVLTDETKIPACQYCGGELTLCVRGGDYFNSGPFRPQERKWKEYMDDVARNL DGRRAVILELGVGLNTPGVLRWPNEELVEDPSNPGFRLIRAGIGASGCAPWDFEERDL AIGIEGDLNLVVEALVG AFLA_118200 MSFLRVSLDSYISDLWRGIELHPRFGAAWDLKIFHNGRWTMTAL AIIDISFAALQWEIHGYITYTMICVMLLRNLLIVNFFGNEEWYLCSTEVCDEPFGFYF AWGSGVFFPTIYTLQTQYLAMNPIELPLTHTILILSLGIAGFMVYYIATEQKKAVNDT KGVHDVGDEMAQFIRASYTTTDGVERESLLLCSGLWKYIRHPNYLGLLILTYAMCGLC GTRALLPWTEALFATILLAYRCLREERNCVKKYGKDWEVYCSRVRWRLVPGLY AFLA_118210 MRLILTGATGLVGSAALNHILSLPPGQVSHLYILSRKSVPLAKN RPDVTVIEHKNFNEYSPELLEKLKGADGCIWALGISQTQVSKEFTPYYGRIKGECEAA LIELSKKYPSLKPYSVRPAFVDAAYDPPTLASVMQRPDFTVGKRLLFGTFGPVIRQLY SKGVSPTKDLGRFLTDLAKGNGQPLTGEGVSGNGWIISNAAFRREAGL AFLA_118220 MVKIALIQLHPEPHDIENNHARAKAYIQKASQAGADLAVLPEFH LADFHPTHDTTIRQRCKNYSTHLTSYKPLAKECNINIVPGSIAELHTDPTTGEEKLLN VTYFIDNTGEIRGRYEKRNLWIPERQFVDRGATDSGHVAFDTPLGKVGLLICWDLAFP EAFRELVMQGAKMVIVPAYWKLDDAGEVGRRWNAESERVFVDAAVVSRAFENTAAVVF CNVGGREEEGYAGASQVAVPFLGCVGRVEGSGEGMSVVEVDMGVLDEAEGVYRVREDL GREDWHYGYKR AFLA_118230 MLGDAEIQALDDHLGNVLRENQQHHENIQGLLQQFHLLLDNYNR LKSDYEEEKEAREKYKKLARGQERNPFVLVLVDGDGYLFKDHLVKAGAEGGMKAAQLL NDSIKELLNDQLGSQADQCRVMVRIYSNILGLSKTLARAGLVGNEARSLSPFASSFTR SQDLFDYIDAGDKKEGADYKIREMFRLFADNNQCKHIFFAGCHDAGYLSLLTPYRGKA DRITLIKTASFHPEYDKLGLPVREISAVFTSTSASPPVGGNNITTATRPVCRHFQKDG QTTDIILQPTPSHDPNDPLNWPTWRKHLNFGLVSYYVIMVFAIIDVATVTWGPLNAEL GFSFELLNDSYAAGCGSLCIGGVILIPFALKYGRRPVYVFSTIAQCAFCVWQAKMQTV ADLMSANILSSMVGALAEVLVQMTVADVYFVHQRGLMNSIYVWFMTVGATLSPLAGGY IVISQGWRWVWWWMVILMGAGLVAFVFLYEETKFSPTLEGVVPVVAAAQINASAKGRD KKSPTDPEVEANVAHKDGETQRSHATHWIDHSIPVKPYWKKLALWSTSPGSFASMARH SVEPFILLFTIPAIFFMSVVYGAMTAAVTVSVTTLSSYMTLPPYNFNASQIGLMGLPP FIGTSIAVLISGRLSDSLVLYLAKRNRGVFEPEMRLWIAVAFIPFVPAGLFMFGIGLN NGSPWPLVAVGLGIAIFGTIPANSVALTYLTDAYTDVIADSLVGVTFIRNLISTVFVF ALSPWIESVGLTGFYITFGLILTVILLGNLVFIYFGKKFRVMTAKRYRYYAQRQMDLR N AFLA_118240 MVRIIAGPTIGVTLAAFGADVIRVNCSKLPDLNLLQLVLNAGKR TIDLDITKPNDMARLRELIADVDVFIQGFRYGSLDRKGLGLRDMLELAASRGKGIVYV DENCYGPDGPFAERPGWQQIGDAASGSSYVMGRSLGYADEKCVLPPLPVSDMTTGVVG ALAAMMAIRDRAVKGGSYHVLSSLVAADNLSLEEEVGLYPLDVVRETAEKFGFVPSTP DQFVMEILLQVIAGWKKGRPGYLDEDSPLMTTFDQGPWGRQTLLKPVVRLDEEAVTPR WTTAPVPNCYHDRNINWH AFLA_118250 MYRQATLGDVDFITGDYLAEVNLAKNAEAWRAGKHPGYEETAWQ GLQQTIDVIAEKGIRVVINGGALDPRALALKVQALVGPNMPTSKEELQHLDSGNPSIV PSRLTYAFMNTNDGKPLPMTSAHAYLGARGIVEGLRLYNGPTKEIRLGDITLARSGDK GANLNFGIFVSDPAHWEWLRSFMTISRMRDLLGDDWDDSFSIERVEFPHIHAVHFVIY GILGRGVSSSSRLDGYGKGFADYIRDKVVSVPVQFDLKQSTRL AFLA_118260 MAIAKVLLPSLSLFVFYAIFYYADINGLRALGEQYIASGTLPGT NEPIRTIYTGIEPIDHLLTTLTAFFWPTTDGSHPSLLLHSIAFSGTFGSAWVLITLEA WRKGNAWTIAAFPMIFGLTAQVLTFAFAAPLYCFFHLITSRTAKNPTPDTLRIPRSIT NTLPLVFILGYMVPTQLLILPISEHITFDLKQIFIAIWQPWPAYISIILTLIYTITTP FTSSDRTTPASERKNLSSLRWVYAFAFGNTALTHLVSWIVSLASVLVPDIFNPEVVDY LHPGRVFEVPIPWEEPVRTVASVGHGVHAFLRWDYIIGSLGVLVWAGSLHGAAQRGVY GSVGWLGLLWKVGLLSVFVGPVGAAVELMWEREELVLAKRGLTESGKKDP AFLA_118270 MAQVNGTCDSAFSSVRDILQQNISSDKELGASICISVKGKTVVD IWGGYADAARSKPWAENTIVSVWSMTKTVMGLAMLLLIDRGLVDPDAPVAKYWPEFGV NGKEGVLVRHLMSHTAGLPSFDPPIDQDTLLNVPKATENLVQQKPWWTPGTGHGYHLA SQGHLIGELVHRVTGKTLGEFVHDELTVPRNADFHLPVAEEEWDRIAEMVPAPTNMDI SALDPNSIMYRAVTGGPGNPNMPKEPAFRRSGLGSIGGFTNARGANDILSIVTQNGTV DGKRFLSPETIDKIFEVQASGPDQVIGLGIEWGLGFGIGMLGTLDWLPSGKLAFWGGW GGSFALMDLDRQVTFTYAMNKMGMSILGNERTGDYVRAAYAALEGYNA AFLA_118280 MSSASSSSSNLSEIPTAHPEHSPAFESVRPSTLSRRSTNVELSR IESLRLTHRSTVGSTAGPAPRDQWLPFGGGKDYPPLLPDPEKYVVEFTGDDPMDPHNW RMSTKIRFSCILAYVTFVSSFASAIFSSTVAATSKEFHVSTEVMTLGVTLYVLGFASG PTFWAPASELAGRRWPLTAGAFGASIFTIGTATAKDVQTIMLTRFFAGFFAASPLALV PAVFADIYNNRHRGVAIAMFAMAVFVGPFASPFTGGFITMSYLHWRWTMYIAAIMGFF GSALLLFFFRETYAPIVLVEKASILRRQTHNWGIHAKQDEVEIDFNHLITVNFSRPFR MLFTEPIVFLVTLYMSFIYGLMYALLSAYPVVFQQIHGMNLGVGSLPFIGLIIGEFAG GAYTLLSQSAYTKKLMANNDIPVPEWRLPPVIVGGIAFTVGLFWFGWTGWTKNIHWMA PTASGLLVGFGIYCIFLQCFNYLIDSYLQFAASVFAANTILRSAVGACFPLFSRQMFN NLGVQWAGTLLGCLAAIMVPIPLGFIIWGPTLRRKSKFAPTAAVFEEKSG AFLA_118290 MGWIHRTNLKVAQTPVGRWFRLENSGHPQERKGSFFFTEIRAGL ATFFAMAYIISVNSTITSDSGGTCVCPPESWADKCNSNTEYLLCVQEVKRDLVTATAA IAALGTFFMGLLANLPVALAPGMGLNAYFAYTVVGHHGFGMIPYRVAVTAVFVEGFVF LALTLMGIRQWLARALPASIKLATGTGIGLYLTLIGLSYSAGIGLVTGSTDTPMELAG CHSSMRDATTGMCPASDKMRNPTMWVGIFCGGILTAMLMLYRVKGAVIIGILLVSIIS WPRPTPVTYFPHTELGDSNFDFFKQVVTFHPIKHTLVAQEWDLSGHGSQFGLAFITFL YVDILDTTGTLYSMARFAGAIDERTQDFEGSAFAYMVDAICVSIGSLFGSPPVTAFVE SGAGISEGGKTGMTSCVTGLCFFIAVFFAPIFASIPPWATGCTLVIVGALMCKAAADI NWKYYGDAIPAFLTIAIMPFTYSIAYGLIAGILSYICINMMVWIVEKASFGRIVPPNK DEKDPWTWKLPGGFFPPWVKRAARGKKKFWQPDEENEGVVPDGSVSSNDRGEKSGFKS KSGVV AFLA_118300 MTDSKRRLAPAPPGTPGGNDAHPRRKNVGTACSACKARKLKCTG TAPCANCVKSRLECTLDQTLDKRRRGALKRKIDQLEEKEDLLFRLVNVLRESGNRSTI PLLNLIRSNASLPEIQYYLNHQVPESELALMPELLEVSHEFQRLQDADSRSVRRILDA KRLSDQPLFQVPAKPWTSVVSDNDFVSHLISLWLTWFHPFSNWVDRDRFVYDMQTGLL GAKYCSPFLVNAILAYACTYSDYPEAYAVPGDVSSKGVHFYDEAKRLLDKEEGRISLP TVQGIGMLWARACMIGQDRQAWIYRSQLAYSANELSQKHTVLASTVNEEEIRMARVVN NTSWGLFNSATTHALLYEKTPTIKPPQQPSFLSVNHESKQDEWHPYPTPADGVPSHTT CLFNELCSLNLIAYEVADFYFRKEAPRSRPDMEQKTSEFHKRLTEWADRLPQCLKTGK DPEIPHILCLQMYYHAILIAIYNPHRLSREKLMPYTNYDTINPSQALTICLSSARSIG HWMQMYRTTWGLEHMPIFHIQWVQAALFILLENLQKADNCEAFIILSIAAKAFSRRFE KAKRLLRSLQETARELRINLPVEVAPLFIEIDGQWLLRPVRIKEETSDTASGDV AFLA_118310 MELSSVDTPQPPEVAIFEDDETLKQCATFLNLPSDFQRTLKDDL NGSSHTEYGFNGNEISQHKSWTVFKLKKVNTADKYYWMQPSVFVEWNIPPQQTPTDSR NPDGGAQPTTNSNNAPGVQRVFFVNLPLDEQRSIQKNFPSRYLRYYNPYIWHAVFARE VAMLYDKCFWSLRHLVRDIEKKRNTSTLAELQATNFPNLHDIARHIFHSTEILEVAEH TINSVVTEQSRWREEFTDIASKARGAHLQTGQKLAFAAKEMHSLKIRSKSLTDRLDNE INLAFNLVNQGFGRNAQSDSAMMKTIGVVSLVYLPGTFVSGIFGTNFFDYQSGEAESW EMAKNFWLYWAVTLPLTLATVVVWALWHYRLTLKKGYWKGKSKVKGKNEDPMEKV AFLA_118320 MLAQEDSETDQHDPVLRALFSSVLSKCAAACVKAAIDLVSLVYD NYQTSATDTWWYNGFYTSIAGMVIVMSYTCLPALSDIEKTTVHEAWRKCEQILQFMIP YNFSSRNTLLFLRAARDRILSYLEDTSETGATDMGPDSSHVDGMENPFADDTDGLFNG ANWLGSAVAMVGLGFLCPADFKWFQDWLAEELP AFLA_118330 MPGATGTGMVYEPLATALSSHFQVALYDRRGFGNSLLVTPGELD TTTHVRTQADDVAQLIQHLSPGKPATVFAASGSAVMAIDLLQSRPDLVQHLILHEPLI LDHLPTQFRSYIKGGMVDGILKYGGSGNRIIRRELLAYVQGRRDQQRLRRDPHYARLM SQPVDEAMLFFKFELPIVLNYHLDLENLRLYRDRLVLMKGLDISPELASNPVITLSDV LETAVVFAPGGHNGFITDAEEFAKKMISALNSKKAKL AFLA_118340 MFLGHLSLIAFGAWAVHPVVGYVSQPRDQEGTCQKATVAILGAG ISGISAAQTLSKASVDDFLILEYRDRIGGRAWHENFGQDKDGNPYVVEMGANWVQGLG NPGGPENPIWTLAKEFGLQTTYSNYSNVSTYNQDGYKDYSHLLDECDEAYDIANQAAG KILVENLQDQTAKAGLALAGWKPKSHDMEAQAVDWWTWDFEASFTPLESSLVFGMASD NLTSNQFSDHDNFVTDQRGFNTIIKGMASKFLTEDDPRLLLNTKVTNITYGPEGVTVY SSDGNCVQAAYAICTFSLGVLQNDVVTFTPELPEWKKTAIQMFTMGTYTKIFLQFNET FWPTDTQYFLYADPATRGYYPLFQSLSMDGFHPGSNIIFVTVTDELAQRAERQSDEET KQEIMEVLRKMFPDVDVPEPTAFLYPRWNTEPWSYGSYSNWPMGTTLEMHENLRANTD RLWFSGEATSPSYFGFLHGAWFEGRDAGRRIAGLLNGCKEGNSTTCVPRKHYEVLHGT SPLADYSSVNGWEVSSFYDSNDD AFLA_118350 MPQQDSVERINIHDSTEINQVADAFRNSLERIGCHNPFPEAVRI ANYPSEDWLSEVQRRTPSSTGRPLEDVLREADEIFSYRISTKHPRFFAFIPSPVSPMS WLGDSLSSAHNTYAGSSESGSGVCAVEKSLIAWIAERFGLPSSAGGQFVSGASMATLT AVAVARDQRLEAELRHRATVYISDESHFCITKALRVVGILDSQIRTIRCDSKYRMDSD HLRRAISEDLADGFRPFLVVATCGTTSTGGIDPLNEIADIADEHGLWMHVDAAYGGSV AFSSTHRPLVDGLGRADSIAWDPHKWLFQTYGCGTILFREKSHPLKSFASTAHFCRDF EDEMEPQNPWNYGIELTRPARHMRLWFSLQVLGMDTIDKMIGRGFELSVLAEGEIKRL ADWVILAPTSLAIVNFRFAPHGVDEKLLGQINTHVSKELAAENIACILTTLLDGVVGL RMCTINPRTTDDDIRRVVRALGSSAQGAYRELFKARD AFLA_118360 MKIALAGVGDVGNYFMEEFSRSTHEVVLLTSKHKSHLDRLPIDQ QITDYSVENLTLHLQDCDAVVSTFCGPEDKYISVHLAILEACTRSPKCKRFLPSSWTT NIEDFGDQPIMIAHSRDTIWKALRAQHEVKWTMICNGWFMDYVVPASQRYLRDVGVGW VMDHQNKVFELYADGQQKVTLTSVRDVARAALSILEHDEIEWNEFTHFGGQTLTYLEL YKLIKRRDPEWTLKKLPFAEVIERITSGKLVGEDVDLEYFRIMGFTNCNKAPEDRALT WGTGLLEGLRARGVEELLDEAAKDEKVVP AFLA_118370 MNWTLRVVTLLLIRLNLVAATTCYTPDGYAVTDPRYIPCIAIEG ENSMCCKLNDTMPDTCHSTGLCYSSQTGYWRDFCTDKNWDSPNCLKKTFCDDAAGGKS NWTTRVTSCGDGSWCCGDTNNCCTNGGGFTLDSPLVAIGNNATVTTTVTATPKDSNKE SSDSSTKVAIGVGVAVPLACLACGMLGVGFLWGRRSARQALSQPEFQRVSSGTAMPLK TPQAELGHEQQIYEVSGNEQSYELPTTR AFLA_118380 MSAVGKVLIRRGHELISARLEYRQQPQNPIHGWLGFSIVVLTAL AFGFAIFWVDYTCNHVIATLAAVEDSNPTTYVRLDCEDSNDPCNPNDPEVAAPSTTKP ITSGLRSAIKHLRARGGFWSCFRGFRMYLAYTGFDLGAGYLLPAVVPIPTHSLLSLFL GKFIASMLLATWQMAGVFTRVAASMLPEEDDPIVPFDRRFGGKVKSAMVGGSGELSLL DAWTSFDWAARIRYVKIILKALVIEVALGVVGGLLVMGELELVTPSRHT AFLA_118390 MMFFWFSVLISPTLIPIGSLGPIFGLSVHTSVILTIFAALLGTI APAFTATLSPPSGLRQVAVARYAFGIWGAKFCGLLNIIVNGGYAVIAAVVGGQLLVAV SGDSVPLAAGIVIIVAIGFLVSFCGFTLIHHYERYAWIVALVLICVTWGQSARYFSPT PGLSQLSGIDYSGACLSYFAVVFGVACSWCPIAGDYYIHYPADTSKWLVFSLTYFGQV LPTIFVGTLGNHFGGIIISNDEMSAIYNTKGTGALILATLRPAGWAKFACTIFALTFH QQTNKTTVANVIANIYSSALCIQLWGKHFLAIPRFIWCALTSAIVLALAWGGRNKLEA IIDNFLAILGYWTLAFALILAIEHFWFRPRLGGYDLSAWQDPKRMPVGIAGTAALLIG IGFSFLGMCQTWYVSPVARRIGKSGGDVGDYLVFASVGVFYPVLRTLEIRLAGR AFLA_118400 MEIEREQKSLWHVGIESHDGSLESPTERTNTAVDPGDEGEKRMR HIQEGNGLLRSLRNFETWIDRKLGVEAMGVERVPEDKRRPPQKLNVCITVLR AFLA_118410 MLLGGLKGLMVEDYIMLLTYGFYTNFIIWVNIQAKHPKTNILPP TGMQGLTEEDIQDRVYGSKITFVLEQSMVLVQWGCKACMILVYYRLTSGTKMALPVKI LMAYIAISFVIVEIFYYGVWCRPFSNYFVVKADNDPQCEGAQHHLIMSYAFNLSSDLA MLCIPIPVFLSLQLLWKKKLALLCVFSLGIFVVVAATLSRYYCFTHPNSILWIFWYVR EASTAVIVTNAPNCYTLLRRILKVHGFTIFGTYIALRRKPTHSPESGPPNELAQFSVG RSRKHTMSSESTEHITREDKGLEIWQHTQVAVYEDLEEDSRTTMEQDRRGVYGNGTGL ASSTVTTGAIGLSRQN AFLA_118420 MYRTLLILLTLYLSTLVSAGKDPSKAQIKDSKPEKGNINTKCHG IYINGEKPAIAGEGVQRPVNANGERLPMGVRPPSTPQSVWATCDRRIGGSRTSKLDLN QCLGWNPTTEELIPQSRGNGITKGGCSSCFYAKPHLKCVCGVRNKQDDRISVQLDNVV EATHDGFLTCFEHTGSQVSMDQMAIS AFLA_118430 MKPGSSPETNIHKGGALHSECRFLKVHITSPSTTSKTPAQDPKK QGKGPTTITTPYRQVLLTADCRRPKSDPTKGILDDDWRETKLDLDKCVGWDAQTQKLT PESDGKGLMKGDCWSCDYFSQVMGAANKGEFECYCDNVEKKSKHKIPHSKDKSLKVKF DLDSVLWSKDGRLNCHKHIGS AFLA_118440 MEQPQTPVNAGQRLLTNVVDEIAQSNPQKRLGVIPSALEASEGF RDVSFGDLAHAVNALSWWIEKQIGKAENNETVAYMGRNDILYLIFILACNKTGYKPLL PSSRLSIEAYQHILGVTECHRFFYSHDKERQVSEMKNFLKDIDFYEVPATADILNCSH VPEPYPFTKTFAEAEDDVAFIIHSSGTTGVTTGMPKPVPLTHGFVATLDSVGYLPIPA GRRVAAPNDPSSSNLVLVTTPFFHLMGLYGLTCAIFHNTPFVNLPDRPVSVDLVLDTI RATNPTITLLAPAILEDISQTQAGLDCLGTLNAVIYAGAPLAADIGNRIVPYTKVVTL LGSSEMGIISSLVPEGDGNWGYFEWNPAYGVEMQHRGEGLYEFVIPRRENSRAIHGIF HTFPDKTEYGSNDLFVQHPSNPTLWKYHGRFDDVIVLSNGEKLNPVTLEKMVECHPKI GRAVLIGQGRFQTSLLVEPHWDEQEKAIDEAAFIDEIWPVVERANETVPNYGRITKTM IKLSSPEKPFKTTPKGTTQRRAVNRDYKEEIDAIYASADQQLNGSLPDIITLNNITQY VNETICSLLEREAIDNDEDLYSAGLDSLQTIQLATILRNGVFCQVPAGDRPRITAQHI YAHSTVNQLAEFLLKVIAGDSVASIPRHDRIQNMVAKYTEDIPARPYSQAQLPPTSTV ILTGSTGSLGAYLLHILLTNKNVSKVYCFNRSDAQSRQIQSFKEKGLDAAPLSDPSRV EFLKVSFGELHFGIDDTKYASLLSTVDLIIHNAWKVNFNHPLESFEDPHIKGVREFIS FSLESKYKAHFSFVSSVATVGAWTSEMGAVVPELPLEDDTAVLKQGYGESKHVSERMC VIASKKAQVPTTIFRVGQIAGPTTKSGLWNPDEWLPTIVATSKALGKVPSDLGSMPID WVPVDKLAQITVEALQTRRRSLTETPNAFFHLMNPNHAAWSSLIPAIESKYNTQTVPF GEWISDLESIKNPSDQDVREKPALKLLDFYRGLSSAEGMLSADISVERTKEASETMRG LGPISAELMENWMEQWGF AFLA_118450 MPPVLGIYYDAQVQSAKMNYVKGLAWASLLLQAAGLDADAITAQ YFGNDAPWYRDRIPLFESSASDIQDVYYYRWNIFRAHQRDLGADGYISTEFLNDVSWQ TQPSAMLIDAANFHLREGRWCRDRRFKSDYASFLYGPYRNPYQFSESMADGVWQGYLV DGVADDATAHLDTMQEVFKGWNTTTMDRGGYDTSKALYWIQPLTDATEYTIASIDASG GADGFTGGNAFRPSINSYQYANALAIANLAKLLGQEDVANNYQQQADDIKKTVQDTLW NGTFEHFIDRYKVDNEYVTYWDFIRGRELVGYVPWTHDLPDDTEEFAQAWKHLLDSEK FAGAHGLRTNEPSYEYYMRQYRYEGDKRECQWNGPAWPYQTTQVLAALANLLDHYNTS AAANLVTKTDYTNLLQQYAKLHYNPDRGGILDLEEDYDADTGSPIVGLTRSPHYFHSG YVDLVLSGLVGIRPRADDVLEVNPLADPEVVSYFRAERILYHGHEIAVQWDANGDHYG TSGLVVEVDGKVVSTAPSLTRITTSITREAPPAINRPIAVSVQQNSTSYPQGSVSVAD ADTDAVHAAIDGRVWFFPESDVANGWDTPAGNGSELWYQISFESSTKTASAEIAFFAN STQGFDAPEKYSIQVNVSGEWAEVSNANYSSPVANGITRATWDGVDADKIRLVFAPQE EKKVRLVEFKVFGEVVAEN AFLA_118460 MQFLIVAASFVAVATAIPASNGISATNIQSAADINNIASAWTKA KEDDGCSWLACISSVVGQSATCAAAAAELALNPIADVACVAGLGTMTLSGGPAGSVYG YIFVWFGIAAAFVVISELVSMAPTSGGQYHWCAMLAPPSAMKIFSYITGWLTVIGWQA TYATVCYMGGNYIETLVTLTNPSYQPKIWRQVLISYAIALFGFIINIAGGKLSEEITN ATVAVPLSLMLTVLINGTLGFSMLIALLFCVEDIKGALEARVPFLTIFHQATGSVAGT AAAGSIIVVMGSCSSAGMLASTSRQFWSFARDRGIPGWRLWTKVTTHTAIPAYAVTLT TIIACLLSLIGIGSDLAFNDLMSLSTSGLFSSYLVVAGLLLWRRCTGGITGAQLVWGP FHVPGVWGILINAFAVAFMTIAVFFSFWPPMNNVSAESMNYSVVGMGGVILLSLLYYL VRARKVYKGPVVELQVEPFLVSWLPDDPRNPMLFTTFKKVGITVVVSTATLAVALASS AYSGSTKQVMEGLDVGTEVATLGLSLFVIGFALGPLFWAPLSEFIGRQYPFIVSFGAM TVFLAGCAGAQNIQTLLVLRFLAGTAGSSPLTNAGGVISDMFRAEQRGLALCLFAAAP FMGPVIGPVIGGFLGMNAGWKWVEGFLAALSGVLWLMMACFVPETYAPVLLRQRAKRL SQKTGMVYRSKLDVENEGSVSLKRMFATSLLRPWVLLFREPIVFMLSIYIAIIYGALF MMFAAFPIVYQRGRGWNQGVGGLAFMGIAVGMIVGTIYTIPDNRRYTRTVKRHGGFAP PESRLPPVMLSAVCIPVGLFWFAWTNSPSVHWMASIAAGVPFGCGIVLLYLGIMGYLI DSYTIFAASVLAANAVLRSLFGGIFPLFTAYMYEGLGIHWASSIPAFLTVACMPFPFI FYRYGEAIRKRCPYSAQSEVYVRKLQVAAQQSEK AFLA_118470 MEHSTLTPFWGPQTSYLNFCEEDYVITRYIAEFINTLSSFVYIA YGIYGLSKLRHKPNASSRSIPYFGLIGVGVCSAGYHMTLKYHTQMSDELSMHLLTTPL LYRILSFQTSPERTRIVGIILSLLFTVVMVVHMVMDEFLLHAVTFGTAVYLIATRTLK IIPRQIPDPVTRKNIQSVALFGCASFIFGYLVWLIDEWACRVLTKTRQAVGLPLAFLF ELHGWWHVFTAIGGYIAVAIIDLLTSGEVRNDSTEHLAWPIPVIARLTARGNGLARKD K AFLA_118480 MADSKKLDTQEIHERCNPLTVANDPNRWLPESGSDTSYTSSREG TPSTVDTVIIGPQTRPGTPDTIELPVPPGSPEAPGSPGSPRTPTLRNPRRRNQEQRKP ALSGVCGNRYTTISLDSCLGWNPANGGSFVAQKNGRGMEKGDCHTCEYKRGQGVGEFA ITCLCNNTPLRRYVPGAETPRRRNFIQPGVITIDKNNRFRCFDLVGV AFLA_118490 MKLFSTILQGITIFAAFASAIPLSLRSPDDGAIRIPVKGVPEPE KRDDGAIRIPVKGVPEPEKRDDGAIRIPVKGVPEPPAEK AFLA_118500 MSGMLHKVKEAVTGHHDSTSDTTHTNTTNNANYAENPKSSNHGP HGSAIANKLDPRVDSDRDNRAGHHTTTTTGPGGTTTTHSSTHGPQQAPVGAAGGVDAP FGTTGHAGSHSTNAGPHSSNVANKVDPRVDSDRDNRARHEALGGAHGPHSSNLANKAD PRVDSDRDNRAAGIGATGAIGTPGAYSSGASHGYGTGTGTGAGVGTGTGLGHGHGTTT STAGPHSSNLANKADPRVDSDLDNRARHQGLASSSYNTSGGSTTAGPHSSNIANKLDP RVDSDLDNRHNVGNVGTQRHI AFLA_118510 MRSLWLTALLPLAAADWQFRSRPDLAPPRLNITIPAAPDVEKGY LFVAPFAGFSDDAGEMHGPRQAAPYIFRDDGELVWSGYGYYSIWATNFQKARWKGKDI LFSFEGDHNAGYGHGHGHTTILDQHYETIRELRAGNHKLTDKHEFHIINEETALIQIY QPIPKDLTRWGASPEQQWIVNAIFQELDIETGELLFEWSSLDHVLPDEAILPINPGQA GSGYNSSDAWDYFHINSVDKDSEGNYLISARDACAVHKINGSTGEIIWRLGGVKSDFD LGPNVKFCFQHHARFVSRDGDKEVISLYDNSAHGTENGRGSEVHTHPFSQGKIIEVNT ATWKASIVQAFQPPDGLLSKSQGSTQLLPNGNVLVNWGSEGALTEFRPDGTPIFHTYM DSGFLGLGVENYRGFRYNWTGLPNETPAIVSLENDQGTTVYVSWNGDTETKVWRFYQV TDEYGSRQYLGETKRTGFETAFSLKSGNVHTVSAEAIGANGRVLTSTGIVKTQVEILP PPKGVEASSSVSLQDKMQPQIYLGQKSRWEEHMILKVDRFHLD AFLA_118520 MPSKRISRRPFLSSEEVTVGSLITNINEPVKGAYGCPWPLGQIE DFAVRSVPDMCAATQPTKDTGLSTSFTRLFKTPGRGCADSDDMRPRGGKLYTLKNPRE LFRNLSTNKGVKKWLQQQIDEKSDVYLVEGLATLEGNSTSSCMDSEDSYPAQGELICA MRVLKLVFKPFRTKTLESGRLEKNSSWEVFSDCKLLHSQAAEWVEVSDGEESSLGDRD RRAMGDNGHGGMFAFDEDLREQPRNRREEVSRFSDDSR AFLA_118530 MRAPTSSLLHHAPTFSNKHQECRSRKIKCDGLRPVCLRCQQASR NCQWSNRSPREQQSRSPTTPDQSLTTRPRSAEYVSTKDPEAALRNPHIATIFRYYIEH LAGWYDLNDVKRHFGDIVPSCARRNSLLLSAILAFAAASQSSSFLKKDLWDLAESYHL ESVQALLQLTENPDEFRTGETLAAICLLRSYEIISQNVSCQNHLQGSYSLLASRPAGL EAGLLSAGFWNYLREDITVALIEKRSLMIELSQEHLPPTVEGEDDLANRITYLLGKVI NRCLNQDASPLEQHEWHSLGDELDTWRASLPASFEPIGTPGLYGQSNFPCLWTVSGWH GISTSYPLMYGIRELTISASSLQYYHTALAILSIAKPMQTGMNTLQQIQLINNFEKKL NHHAVQVSALAIFSNSAPVWSVAERLTESSRINRGDTQMGKQDRLASCEYSRVAVAVG DQRVKIWQWLSWVV AFLA_118540 MQRITHITRLCKPTRSCTQSRSMSSFPTSISPSQSEIQSRQLSP QNLEIAIRSLHHDGLVVVENVVPHDALDRLNHKMVKDAWTLRNRKENSPYNYNPGNIQ QDPPPVRKYFDPEIFFNPIATQITTTALGPRPKWTFCSGNSAMPPTAENPPMSQPVHS DADFAHPTHPFAYVVNVPLITMTPENGSTEVWLGTHTDSGLHVQEGMHGERASGRIQL SALEKQRMIRPPCQPVVPKGALVLRDLRLWHAGIGNQTDDVRVMLAMIHFAPWYRNPM RLEFAEDLKPLVEKETGLEIPVDWVTEAEAMSRYLNRGFGNSYDFSQRP AFLA_118550 MAAYTQKPLFNRCFQNAISSEAVIAEKLWAIEYLNDWFTNNSSA SIPGPQADPNILQWETIYHLCINGSKEAVIRLLQLGLTFSVPWDPRTLFHAAVRRYDN DKDILYHLLGNLPLNQFFLVFQPDETGETPLQCALNQPYFGEKEAMLLRWALHARRLG WEIHYNRTLAVAARNGHIDAVKMLLGALHDARHDMNTDPLISAIRYMAKETNNDENLA IGCDIVTQLAQYGHEMGWGNGVGYFVQELVGLFKYRVYGTT AFLA_118560 MGWNLDYSAITPGPGGTVMLGEKGGAEAVARRAKERRARERELA LQEGMLADDAVSETTLVPQSTQSGSIGGGDVKPGPSCQGKALNSGKMAKAVSEGDGAP EVSGEKKSGFRARWREFRERNLP AFLA_118570 MVGINEVRKSNVALHAREGDFVAVFVGGTSGIGEATAKELAKTI KKPTIHLVGRNQAAGSKILEELKSANPDGTFHFIQSDVSLLRNVDEACSEIKQKEKAI DLLFLSTGHLAASKQNTSEGLENNHALRYYSRMRFVHNLLPLLSASKAPARVVSVLAA GQEGKIEEDNLDLQKSWSIMKAGMYAATLNSLAAEHLATLYPSISFVHVFPGIVRTPL MNKTMGSIAGSIVSFLSRPMSISSQESGERHVFISTSAAYPPAAPEDPTNAGVPLVEG VKTSVASTGKIGGGSYILNYDGANAANEKLMSGYRAEDFPKKIWAHTLETFKKVFDPS Q AFLA_118580 MTDYLLSQTLSARYYQPDRFPHISEAIGAEPGSDHGQVLEKSSS PEPSQSANRVRKRGRPRISPGNTSPNDRRAQIRAAQRTYRLKKEAMFQELKARVSELE ESMGRISQSLSTFYHMALQSDLNLTHPYLFQQLNATVSQVKREGKSSAGSSLSATELH HIQLAALSSAKAANDAFTFGYTVNMNPGGSGAFYKPPASDRIRVSPSTRYANRSYLGN LPRDIERPLNGSATFTYSYNESTFARRLHRYCIEYAYQLFTDPRTDPQDIYRVFRLVS CVRQEDKLARCLSSLLSAGPKESLERPNVPFYCIGGAGTHYPQMQPDGKPLYPENMRL PGRVLGSIPGSAQEMDNKSSSEKRQELLKLYGLDGTWLDCRDVQGYLEEKGFCLDGAP CIFATPTLENQENSPKAALDQSKDIPMDLDDSHKLTSLPLRTVDGTDERNSEKAANAA EQAAWVLNIEEFVQTLLKKMVILGRAPGFRLTDVEAAFKSAAKVSSS AFLA_118590 MQSRGGVPQTIELEYGAKGHWIGNKNARNVLIWYHGGGFCLPAN VGYFKFWESLIQCSSAAGKDLAVFAVAYTLAPNAQYPTQLIQSVEGLRYILTETNRTP ANILLGGDSAGGNLAVGVLSHLSSPHEAIAKLDVQEPLAGTVLIAPWTSLEVSTDTKM NCLGDVITPDVAKPWSQAYLGRAKHDYYTDASTAPSSWFKNLKNQQILVLAGQNEIML PSIRHFVDKVKVRATLVCCQDELTGAVWLSFY AFLA_118600 MKSPIYLLTALLPLTLPLVNATPTAEPDDLEVEGSVLEDRDNCR VERPFVYRKYPCDSSDITGRANRGDNVNFQCRYRNWYKTPKGWVKQDDKPRRCQGPRP NSCN AFLA_118610 MSELKEDYVDVLIVGAGPAGLMLANWLSRFDIKTRIVDKRGTKI FNGQADGLQCRTLEIFDSFDFAHRVWRESNHMLEIWFWNPDKDGILRRSDRIADTIPG ISRFQQVVLHQGRIERFFLDSIKEHSDITVERGVLPTTFEFDEAKAADFEDYPITVTL RTLSEEEATPAQRQQHHRRADGTQSVINDGLFRSNLAADDTDDLIRVAKANNNASSVE TVKAKFMVGCDGAHSWVRRQLGFKLEGDSTDYIWGVLDIVPITDFPDIRHRCAIHSAN AGSVMVIPRENKLVRLYIQLQVTEHAQSGGKADRSWITPEVILQSAQRIMHPYKINYT YCDWWTAYQIGQRVGDHFSLRERVFLAGDAVHTHSPKAGQGMNVSMQDTYNLGWKIAH VVKGYSEGSILKTYQSERRRVAQDLIDFDHRLSRLFSGRPAKDGANEGVSMEEFKKAM EKGNEFASGIVVNYGTSIIVAKEGYSVEQGDGTEVAANLQRRVVSKTHLATKIDIGKR MPSFKVLNQSDARPWHLQELLKSNGRWRIIVFPGQLTQPQNMQRIQKLGDQLGSQDSF IRQYTPSDQLIDSLIEVLTVHAGPRTGVELLDLPEAFHPFDEEMGWDYWKVFVDDQSY HEGHGQAYFNYGIDPIHGAAVIVRPDQYVSWVGEVDDYEDMARFFSGFMRVQDSSKPK SRVVKL AFLA_118620 MTVKGQHASKCSLNIYIIKIGNQTSYYQIQNTMATKYAKSQGPD FRNEIQRVAIIGAGGTVGKPIAQELIKTGKHTVTALTRAGSQSTLPEGIQTVLVDYDD KVSLLRALEGQDALIITLPVTAAPDTQSKIIQAAAKAGVKYVMPNVWGCDVTNDNLVN SGLGWERLRGAFDEIEKTGVSSWVSLICGFWYEHSVSLGPATFGFNFAEKKMVLFDDG ETKINVSTPAQCGRAVAKLLSLKVLPEDENDRSVTLSRWLNKPVYISSFRITQKQMFE SWKRVTGEKNEDWTVVYESSRERYEKGLEGMQNGDHGAFVQAMYSRVLFPNGDGDYES KHALANETLGLPQEDLDELTRNAKVMIDRGYDYMTKRD AFLA_118630 MRPIPRAVSLPRFRLGGRATIPARTPFARYNHVEATRQARSDSN GRSSVQWGLTGLVTGIGGCLLVSRWQSSDSPQVDSSEVGGAKGVEYADKEAMIKVSIF SEIGIKIIEETLGEDSVSWDEEEIAIHGYSELSTSNCEARPIAVARPKTTEDVAFIAK ICTAFKIPMIPFAGGSSVEGNFVAPYSGLTIDFSDMKRIVSFHPDDMDVVVQPGVNWV DLNKLLKNSGLFLPLDPSPTALIGGMVATNCSGTNAVRYGTMKDWVVNVTVVLADGNV IKTRHRPRKTSAGYNLTSLFTGSEGTLGMITEITLKLATIPEKQSVAVATFPSIREAA ATASKIMRTGIQIAALEMMDETQMMVINKNGGAGGRLWEEKPTLLFKFSGTQRAIEED VERVRTISSQHSGENFEFAKSEEEMNSLWAARKESLWAMLAARPEGTQIWSTDVAVPL SRMAEIIDLSKKESGQLGLFSSVLGHVGDGNFHQAVMYNPNDPEQKQSVRDCVLAMVH RAVEMEGTVSGEHGIGLGKKECLLEELGPETVGVMRTLKRSLDPQ AFLA_118640 MNDGSLGNGVRLPRSNEGSASSLRSFCQGLSRSHRLTKANPSVD ISGRPDKWTE AFLA_118650 MVDRLNAARALRVAEIINDYRTLLVHIARHNVQIPTEDYHEEAY KEIRDGLAAAQALMSANYNPIVASAQSNTETEKAELKRTILDASARRFQAHRIYLRVA VARRWVIARQDILRGARPGIQHTASLIAVGNTFRQEMARVTDQYAVDDLRAADTRAGY WTEEDPSLPEILDWIHDHL AFLA_118660 MPGSKSPSPPPDQNRSPSPSQIDPAATAAAIVSNLHRSCGNFAI VASSEDVPGSPSSAGTPSSAGTPSSAGSPGSPGSPNSPGSPGSSGSSGPWSPASPASP RSPAQRMRRADDSPPAFLVADCTNHLGQRHKVNLNLNRCFGWDTDNVRFTVQQKYVSC LVIAI AFLA_118670 MQNLVPMTTSTLMPICSITKQMVCMILKDLERNPTPEMVKRGNV SRQFSDALHQMLHPDLTTNTALELEHLCHNQSGIRDYWAMSMFWGAHPDGVFRLEEDA KKALERTKSLHFEPGTQYSYCNLNFYILARLIENVSGQTLSALLTERLFLPAQMKTAR LCADNANLPPPCVGYEGTESSGFIPAINRMQWSGDAGVVASLKDMIAYEHYLQTCWDE EKSVYRAIAQQQSFKDGTVAQYGYGLKHVTIRGMATIGHGGALRGFRLHRIQAPSEKV AVVVMLNHQADAEGAAQDIMKSVLDIFPSHVPQSDPVNPSPDWFGTFYDPDAQLVVEI RHGGQGHVIVSYAGSDETLICAGENEAGSNNTVGIIDGGIPKLRRLVDNRVLDVKRVA TGYPPHKDDYLGEYYCAEIDSTFRCRGAGGMLYGHFEGYLGQGPPHLMRYIGEDIWML SCPRGLDAPAPGNWTVVFQRADHGDITSVTIGCWLARKVVFVKR AFLA_118680 MIKEDLLPHEMEGMEAIPSEFTVVQVIIHMHDGSGPAIEWKASG FTVPGYIKDERCDAIHMELSTVHASEKS AFLA_118690 MQFFRQLLAIGLVVTAAQAYATSRSSMLRTVVTTDMEQDDLASL IRYLLYTNELDTQGIIYSSSRFHWSGDGNGTKLFLPDREYTTPQWTWRWTGTRTIQDN VLQAYAEVFPNLLSHDPFYPTPDELLSKVKIGNIDFEGEMDHDTDGSNLIRSLLLDQD PRPLYLQAWGGTNTIARALKSIEEQYSGSQQWTQTKDTVSSKAVILASGFQDEAYANY ISVNWPQIRVENLQTGYSTWGYNCDKGQGNTRGLPDDGVYFTGDWIKANIQTGPYGKL YRSWLDGQSMPGDAQDIFGNRSTALSSFCKPLDPYDFLSEGDNVVFNPLITTGIQDPA NPNLGGWGGRSTQNTTSPNLWEMVTSEKNGTGVEVDNYTTDRWAAAVQNDFAARMQWT LTPSYEDANHPPSVEILNRTTVEAHPGATVTLAGAVSDPDNNTVTTSWWQFFEEGTYP GSVTVTESDGHRADVTIPSDAKTNQTISIILQGTDDGQFPLTRYGRVFIQVI AFLA_118700 MLKDTKDMWRDRIAMSCEGCKKESRPITNFVAQICSVNSPETLQ FYGAVNM AFLA_118710 MTVSPGNRRIKFALFGLGRLGVIRARILAFQQPRIELVAVCDTK PGTDKWAAENLPPSVKHFSEPQECLKNSGAEAVLICTATATHAPLILQALDLGLHVMC EKPVSVDIATTQAVVEKAASRPDLKFLVPFTRRYDKSYRQAKALVDNGDLGVIHAVET TGIDQADPNAFFVSFSEQSGGIFLDFGIHTVDAGRYLLDVKAGLSNPKKQVNRVIAFG QVAVYGDLAKYGDADNAWGLVEFANGKIFNTYLGRTLTSGFEDTTRLCGTKGHSIISA NSNVEIRDHLGIRTQSVPDAFTLFDATFLADLSEFADAVLDNKPMTCQPEDAFEAGKI CAALQYSFRKGVPVYFDDDGLPIMESK AFLA_118720 MAPFVQDSVTPDIVSMANIESKSAALNHDRVQKPVADDFMYDFK YNHHLPTTDILGVDIPADCDAKKEAEGIVARLAKTMSEGDAQAFAGLFLDYGVWRDKL SFTWDFRTFNFRDAILKAATDLFPQTKARSFQFLEPVPTVARPYTDYSYLQFVVSFET ELVVASAVINAVLTQDGWRIYTMHTVAEGLKQFPEQPAPDGHMTGITSWASQRSEAIN NVDPEILIIGGGQNGLAMAVRCKVLGMENLIIERSDEVGDIWKKRYEYLSLHFPHWPD ALPYFKYPQHWPTYTPAQKQALYMQWYASALELNVWTKSSVVKAEQDAEGKWTITINK EGKETRTLHPKQVIMATSLCGVPYTPTVPGMDEFRGVIRHSSAHDSAREFVGKKVCVV GTSSSGFDTAFECARLGIDVTLLQRSPTYVMSLTHSVPRMLGSYAPDEHGNLPDLEEQ DRLFFSTPVGPGEELSRRTAKVLEDLDRPLLEALNARGLRTWRGQRDTGNSTLGQTRN GGFYFDAGACEEIINGNIKVEPGYIEKFTADKVILNGGREKEFDLVVFATGFSNTIDS IRATLGEKIASQCGPIWGIDEEGEYKTAYRETGVPNLWIMVGFLPMTRYASKLLALRL KALQEGISPPPYKV AFLA_118730 MSPPSHFKLNTGAQIPAVGLGTWRSEPGQVRQAVSYALKNGYTH IDAAFGVPREKIFITSKLWNTHQPNVAEGLQKTLNALGTDYLDLYLIHWPVRLVPNES SELLPVNPDGTRSVDRSWDQSETWRQMEEVYKSGKVKAIGVANWSIPYLEELKKKWTV VPAVNQVELHPFLPQHALKEWCDKHGILLEAYSPLGSEGAPLMSDPVIQEIAKKNGVS AATILISYHVNRGVVVLPKSVKESRISSNSQVIPLSQEDMNVLNGLAAQGKAKRINTP LFGWDLGFDDWYSQ AFLA_118740 MASVLRFRTAWGIDPGTSYENWAKWFPTLKAQGYDGIEVDITDL NDLPSIRRIADDAGLEVNVLIHIQWPSYAGPTPEGPTPQDLLKGYRTKLETAKTVKPY KINAHSGYEGWTVDEAVEFYSGTFAIDAELGLTGKVSHETHRNTALFNPYSTAAVLRR VPNLRITADFSHWVVVCERLLDTHEEDKQILSAVIPHVTHIHARMGTTQSSQCPDPTH EVFKEERRFFETVWKQIIDATATASEPITFVPEYGPYPYHPFGSQKTFSEVADSEGAR LHPSFETYAKAAIASRS AFLA_118750 MSAPSSPSLVDSAAHIGQATEQVNAFLVANGQPQPSFDRDAPPV FPEAPADIQAARQQILDACQTIYDALVGPAEYLRWLACRHHDTSSLQWLYHFNIAAPI PLDRAVPYSEVAATAKVDENRLKSVLRLAMTNRLFCEPHPDFVAHNAASALLVTSPAL NDWVGYTAEETYPASVKLVEATERYGSSQLTNHAEYNLAFDTDEPMFVHLQRFPERER RLANIMVEMTSTEGYGVHHPVEGYPWEEVKGKVVDVSHASIAISRKSPAAQLVLQDAQ GVVEQGRAALAPALTERITFQTNDFFTAQLVSADVRFILHDHPDAEAVKILQNFLPAM KKGSRIILNVGVLPEPLTLGRSEERIARIMDMEMITTFNARESPLEDWKKPCGDAHPA LKLRRIFKPTGSIMSIMEFVLEEYLS AFLA_118760 MSGVELGLAIIGTLDLCLKYGQIIVTKYKTFNKADEEIEERILA IEAAWAKISQQLAFLKRVWASLDEDYQELQGRILRVLERKLQTAVLQISKLEKGANQT GTGFTDKRKALKYALMVKGSLDKAIQDLRVWEKEFDTTWFLVLRVADHTIDTELVKRP GTEKLSVARHVRDALQQEPQRASSVLFSRKQTPFSHAMCHSAFNMYNH AFLA_118770 MEHIELGDLDIHLKGPLPEIEARQISYQILQGLQHLHDNGFVHR DLKPRKSSVIVDEEPIRIALETEIKQACSHSRTPMEHDPLAEQRSTLSATLLELQAMR ENGVSLIDLEMFSEAETICRQAWEGYKQALGEKHINTLASQFNLGTAVLAC AFLA_118780 MMTIAAHSENQHLLPLFSLGVDVWYIVLDLLRQEVDPDDYEDDE EEEDPDSAWKPKNVARRQPYLPDLISLSSTCTWFRVVLAPIIFANLELNNTAKSARSI TAIGKGRHAACVKTLRYIGICETDQQTSPLEEVYPPEVDQVLSNLHMFGSLDKLTIEF PFDYESDLLFEYLQSDIFYPENAPLEESENTWRGFMAATFRAIVSNYSHASSNRRLPL NIEFRDLNIFMVSVYATEPFQSFLSQLKSFDLSLRRWDNGVGWTMITQRIFREFTHYL GPFFFDHLATVEEFSFDPRETGTLGNGGQPYCEDIGLRNTTLPRLRKLTLNNIIICLE LRDFLVRHKATLESITLQDCFACDEQAWVYGEQIDWRELFTTLAQESFPRLTEFEAVW SDSTLQLLGVDDTWADHRVVQRVREKLDREPDAKVFAYCEVDDKYGVRYCDGIVNQAA FLNGADNLGYLDLMAIVHRNSEQGSLVVTN AFLA_118790 MHFKAYILAAIATASTALAHMEMIRPFPLKSQFDPNNDWSNIDY DNTSPLEPSGSNFPCRGHHKTTNWRTVANYTAGQADYMKLAPGNNHHGGSCQISLSYD NGETFRVIESYMGGCPLKLEWDFEIPSFAPSGKALFAWSWFNIEGNREMYMNCAQVEI EGGSDSAQFDQLPEIFTANVGNGCRTVEGKETVFAHPGDSVEYAGKVSPGDAPFPKCG GNAE AFLA_118800 MIDDPSVASINLSNEVADVLLEWIRTTPPGAKFENGLELIGLNV SNDQTMVNNWNHSRSKAANVSVVMDLVEFLINPNVLSNVTCSIITTYSEQKKCYIAKM PELSVKLNIVFEGIVDVVTGDRIRANVAITRARACLIVVANGRILDNDRLILVHWQYL LNNDLIVDCPGELPTVDSNVEKEKKSAGPTNL AFLA_118810 MNGMDEQILACSEHDMRNAFSVVNEYLSQPKDPRPDPSSTDEFK KWLDRQCIFKMCYDTVADLGVRETFTVACTNSLAGSHIARRNFGANDKPIIVIADEDG QALEPDVIIPLVSLDMA AFLA_118820 MAAFIGANLNPCNYIGGVSFNPERDIPDLSGKVALVTGGNAGLG KETILQLAKHRPQRIYLAARSETKAQAAISSLKGSLSNNVEITWLPLDLMSIKSIQTA AQTFNAESSRLDILILNAGVMSLPPGETEMGHEIQLGTNHTGHFLLTKLLLPTLLKTA EEPNSDVRVVSLSSIGHNLAPSFETILDQDRLKKVNTNTRYGASKAANILFAAELARR YPSITSVSVHPGIILTDLYSSVSDRSALAALGSKTLGLFGTQVPQGAYNELWAAAGAK KHDLVNGSYYIPVGHLKPYNQYARSEDMGRRLWDWTESELRKFNALP AFLA_118830 MPSYQPYQCHVCQSRFTRHENLKRHAKLHSRSQEEASLSCDFCQ TTFSRPDLRHRHMKRKHPEYEQRRTNKRSLREPLASKQGGEGSRSQRHDVYLSSESSP DSQDGFHPQNSGDECELEVDGGFWNTPLPHEQHALFHRHDRESSTIRTSGPATAEGNR DQVVTGPSTQGPSLNGSDCMGRMVQDAIELERSLLLGTSFLKATYELDDQLQSAIITQ PTAFDSTLAGCAYNQGSPGLSPTNDQGDWLPSPTQITQGCDLFFKHVSHFLPFLHQPT FDATRVPLHLLLSILSLGYQHGEDPECGDQTGSGASLSTRCFHQARALTVAEENKTDQ PRQNTTLIQSYLLLQICAMMYLCGEDSARGLKMHSNMISLARAGALMKPMPTESSTTE DLEALWREFIKSESHKRTSFAVHQIDALWYQFLSIPRSISHLEVKHDLPCPEAQWTAP SAAEWAHRHLVARNPGPAVPYPDAVRRFLSSDASPDTIPTFDPYGAINIAQFLISSAR EVSGWSTMTGMLSMDRLDALRSSLIALGPFIRPQPETPRAMHATATWETAMIELHLWS PAHTGGIVASSLNAVVTQSTSLAPSYEFLWEADTAKAIQPHVDWFLRYLDTTLEPDME APWVAVYAYKAFLIAWQLVRGGIPNAMLVAGIADGDVEGALGWARKVFRRRQRWQLGR LILTCLDGLGD AFLA_118840 MATAMDTKKGPLSVHSDDNISAAEGQVDIHDAAYRRMPESLRNL SEDELNTLNKKIVRKVDFLVLPTIGILYILNYVDRQNLAAAKLQGIMEDLNMTTQQFA TAVSILFVGYLPFQIPSNLIITKISRPGMYICVAVVIWGCISAATAAVKTYGQLLAVR AILGVAEAVFFPGAIYYLSAWYTKKELGKRIAGLYIAQQVGNAFGGLFAAAILQLDGA HNIAGWEWLFIIEGSATVGIGVVCACIMPEFPHNSRILSQIERDLAVWRIESEAGAAE GTENESVLRGFTKALSDPKLLLLIFANMLSQTQGSIANYFPTLVASLNFNNTVSLLLT APPYILAGAVYYVLMYYSDRKNTVYPIIQLCVAIAIVMYIIPMATLNVGARYFSMVIL PFASVGPQLLLYKTINLHLARPVSKRAAASALVNAIGGTSNIWASYLYYEPPHFYAAF GTLMASAVLLAVTMTVYRWLVLRENKRLDSGDPEEIAKVVRGGVTEEMVQLNWRYEMY AFLA_118850 MVPQINNAEEAQQIVASSKFPPQGVRGQGSAFPAIGHGLTTPEY MISANETILTMIQIETREGVENVDAICAVPGVDLVFIGPNDLAQSLLGYVPARGDEPE FVAAVDKIIAAARKHGKWAGRMVNNGTMAKEARERYDTVAITGDTKAIQNWYIAEFEV ARS AFLA_118860 MINDTRSYHAKLLFSYLLPSATLRRTSSLGDRSLTPPQNNDIED HNNAKFSSFSII AFLA_118870 MSRQLISSEKFPPKPHNCPAVKVPGLVFCAGQTATGEIKQATNL KEVLELSGSSLEQVVKYNVYLADMKDFAAMNEVYIDFLPKPMPSRSCLQALPPGDGTV IEIECIAQV AFLA_118880 MAPAAPFNPPSADLPGKPFVPEWVPPPVTKEKHNFAELKSIDLS LLDSEDPAVVDDLVQQVKVAIRDDGFLFLENYGVSLEQLHRQFALAQYLYNNISEEDK ERLLFHPDSGKWSGYKHPYGFKRHRGAPDGIEQFNWYKPEWEDINCVPTCLHPFMDEI EAFSNYLTKSVNRRLLTVLSRVLELPDDYLWDNVQSHGSPTGEGYFRHALFRPVQKQT QEASKGLRMHGHTDFGLTTLLFSVPISCLQIWGRDEQWYYVPYKPGALVINIGDTLEI VSGGHFKATRHRVFRPPADQLHEERLSLVLFNSSIGDLRMAPAHDSKLIQREGCVEEQ GVYKEFKKLTSQGKLVPTNRQWREIQIATCTDPTDTVNNRVGAHQVLIDGKVMHQREY MGVKVVLPV AFLA_118890 MSDDEQHDQTFEQQQQHASPTYAALVSTLTKGAHVIIRGRPCKI LEISKIGTNIHLVARDIFTDRILSDDIESTQSVEIPHVYRNEYMLVNIDEGFLNLANQ DGTMKDDVKVPDGGLGQQIEQDFEAGTDLRKSNTAFGAISQIYPSGDF AFLA_118900 MAKSKAAKRKRNAQVELPQKVPKAAPTLTPPPDGEPKHLKTVVP DEDLEITIETLTTLAQYPGLTKSKACKDLRAAVYDFRQACTTGVNAAEGANLTARITG ALADEKYIDAKILLAEMRIRGEQPKIGALCRWVRDLDVVSGLSTQPDAIDRVPLERSA KELELLRVLDAILRVSTPIDTNPDAVDSTSPIAFQSIWDLRPSSAPLPVYASVLDKSI LADAPKSPSALRVIETTPGPLRKPPNHHPAILYTSAPNAVPLAPVGPTITYHPHPAVP GLGLALNVLSPAECKAIIAAGESVNFLPDAPLREDGDMSILAHNFYWVVDTTFHDMLW ARISSYVPQSINGRLARGINRRFRVYRYVPGAEYRCHIDGAWPPSGILPDDTYVYDAS PEDKRQSSMYTFLLYLNDEFEGGETTFFMPAAREGTLNAYPVRPVMGAVAIFPHGEAN GALLHEGTGVRKGAKYIIRTDVEYDVKPCEE AFLA_118910 MSQSKPSIIIVPGSFSLPEFYDAVTDRVASKGYEIKAIRLRSTE KLQQPATMYDDAAAIASEVAALVDQGKEVILVVHSYGGVPASESIKGLAKTEDSGKAG GIVNLAYLTAVVPELGASSADVLADIPTENRVELQLEDDGYLVMANPTASASLCFSDL PVEEGEAWMKRFARHSAASFTNPLTYAGYKDLPVSYLLCADDKVIPAREQQKGIDMIE RETGREVDVTVIQTGHFPIPSAPEKVVDWITSLASRYEKGK AFLA_118920 MAPQQPEFPITRYLIAGLRTFFYSQLFVTPSYPELVCRPNRHRH WVEYRSRPRSRAPFLSSQLLTSNPRRPHSHKRANRKGGNHWVVTEGYEQAV AFLA_118930 MLAREKRYQVTKLIEVLFTRELIARLKSKTASAPFVIINIVNPG FCFSNLDRSGSEPPQIVQIMRRILDRTTEVGGRTLVLAAAAPASSHGEFQSDGANHDV ESWIYSDIGRRAQEKVFDQTTKVLEARKPGLAPGIGL AFLA_118940 MPSIVTVPHGPAERPCAMPIAICGMGMRLPGGIRDSEALYDFLL NKRDARRTVPPTRFNVDGFYDPEGKPGSLSSKQGYWLEDVELSNFDSSLFSMGRKEIE NLDPHQRLLLEVVREAFESAAETGWRGKNIGCYAASFGEEWSNLHAKDAQNRGFNVIT GYMDLMQANRISYEFDLRGPSMTLRVGCSGSGLGIHLACQSIQLGECSSAIVAGANII LSPETTMLMADGGAISPDASSKTFDATANGYVRADGVNCLYIKRLDEAIRDGNPIRAV IRGTSTNAGGKSSALTAPNINAEETLIRAAYRNAGVDPARTAMVECHGTGTAMGDAIE AAAVARVFGDKGIYIGAVKPNLGHSEGASAISSILKAIVELENRTILPNIKFNTPSPR IQWDEARLTVPIEPMHWPEDREERISINSYGIGGTNVHIILDSPASFGLSNTESAAER APDFKLLLLSAGHSDSLTKMQNNYQDYIAKHPDRLSDLEYTLAERREHLPVRGFCISN GNHDEAFVSPSFTSQLQKKVAFVFTGQGAQWAGMGRELLKSCPEFVHDIRQMDKILQK QKDPPEWGIEEQLLMPPETSLLSKAEVAQPVCTALQIALCNHLARWEIFPSAVVGHSS GEIAAAYAAGSVSMHDAVLLAYYRGAASKEQTREGAMAAVGLGYDDVVHWLRPGVVIA CENSPSSVTLSGDADVIESVLSAIRNDRPDAFQRLLKVNKAYHSHHMQDVGHLYDSLM ANQPAIKRPNVPFHSTVFSRQLHEAEDFGSSYWRLNMESPVWFYQGFNSLLQSEVGAN ALYLEIGPHSALAGPIKQIYRAQNVSNPYLSVLSRGSNAVVTFLSCVGELWSRGVNIK YPLPATIPKALHDLPLYPWHYAERWWSESRSMKSSRFQRFPHHELLGARTIESSDLEP VWRNILRLGDVSWLRDHCVGSDIVFPAAAYIAMAGHAVWQITNLKDFTVRDISFKTAM VLDDKVPTEIITRLQPHHLTDSLNSRFFEFSILSHTGSVWTQHCMGLVAGGEISPGGI PTVTSFSRIVDPKRWYRAMSRAGLKYGPHFAGLQQITASVSESTASAIIQDSRAPGSS YTLHPTTTDLILQSAFVAIYQGQPRFLEKFRLPTFIEEMYIRGGVGEHDIHLNTTARK QTIQSHGVVKDTLIFFVKGLELSAPETDGNEQEQQSESAQLVWKPDIHFVDSTSLVQP AQQAELLSANPLIERIFLLCAIDLMDDIDGIPTTQPHLELYRTWMSEQLSKAQKEGSP LVPDAKDLFNLSASDRKELIHSLVASHTDGTMIPGSRILFLCYRHMLDIFRGRTNPLE LMRRDGLLARYYDCLQDKHDYKGFLQLLGHLRPRMTVLEIGAGTGGLTAKILELLRSE AGEDTYQEYMYTDISSGFFVDAQERFHDRPRIRYDVLDISRDPIEQGFPEAHYDLIIA SNILHATPKLTETLKHTRKLLKQDGRLLLQELCPVSKWTNFIFGLFPGWWLGKDDGRP SEPYISPTEWNCRLRAAGFTGIDASALDAERPYQLNTMLVATPAPLSYVKRVTVLYTG TSHPVVHDLRQRLSSDGYKVDLVSWGDDLPADQDIVFLLDLEAPFFDSITEENLDTFL QIFNHHSSSRLLWITHAAQILPQDPRFSQVLGMARTLRSELGVSFSTLELESFGPGSM EAISLLLQHIQQRTAENTEESEFDPDQEYAWVNGTIHVGRMHWLSVPEALAQSSGGGE KAILEIGRPGLLNTLRWVSQPLKLVGANEVRIKTMSISMNFKELLLAMGVVPIDCGQE LVGTDSTGIVTAVGSNVKNVSVGDRVMALTVESTSYTTVLQLPSHLCIRIPDNCSFEE ASTLPTVYLTVLRTLREKANLRRDQSILIHSAAGGVGIAAIHYAKWIGAKVYATVSSP NKIRFLVNEMGVEREDIFYSRDTTFLDGVMRATSGRGVDVVLNSLSGEQLHASWKCVA EGGSMIEIGKRDLLGRGKLAMSPFLANRSYIGADIATLSVLEPEWVQEQLVTIVNLYK QGAIHPIRPVKTFPVSEVEDAFRYLQTGQHIGKLILQFSYSPDLPMATRVPVLDLRGD RAYLLVGGMRGIGASLARWMVYHGAKNLVFLSRSAGERDEDKTLIHELCDMGCQVFPF AGDVTDLATVKRVIESVTTPIAGVIQLAMVLADTGVMDMNLETWNTALKPKVDGTWNL HKALPTNMDFFVMASSLSGTFGNYGQSNYAAANTFLDAFAQFRQSQGLAASVVDLGVV DEIGFVSRNASLHRSIVKQMGAPISENSLLSCFHLAIIRSPPRHDCSSVFNPLDGFRS PYQLLHGLQSKVGIAKNQFMWQRDPRTAFNRTHMQKDASTHDGNEREDGGLRSFLAAI HDNPGILQEQSSVERAATEIARQASAYTTRRADEATNLGLSLHDFGVDSLVSIELRNW WKQSFGVDVTVLQLMNGGSFMDLGQKAVDQLKQRHLKV AFLA_118950 MSDSPLPTQFNTLDPASSCPRILCLHGGGSNSQIFRIGCRVLEA QLSNDARLVYADGPFFAPPGPLITGVFTEWGPFRSWLPPDLGVGPGKGQGVKLIYEDP TDADMVIEQIHQSLQKAMEDDDRNGATGPWVGLLGFSQGAKIAASLLFRQQTDPERFT SLPFFQFGTLAAGPTPLVWLGSSESHQVGGKSSLDPLLRIPTLHIYGTRDHLIQSSVD WLYQCCSPDSARLFVWEGEHVMPTRTGDVSAIAEMIIEVLRGLAN AFLA_118960 MQGTSFLFGTLTPEHNWNSIRDLKCYFDQNLGAEWILAAVSGLP DYWDLLVKKLPSIDGSFPGRQVLEDFHRWLRQGFNADETSSQLGNTVLLPIVVIIGLI EYWRFVEAKNPGCEDPLQEFSSKLQEGEMCNSEAIGLCAGQLTAYAVASSRTRSEFER YGSVSIRLAMMIGAATDALDARHGKAKSYVAAWRNPEQCEQMKRAISLVHPQAYISVW LDERRVTITTTAQWIRSSLLRQFQGAGLTVAESTIQGPIHSPSSENKSLAQGIREICQ VTPALQLGDISNLALRTYTNDGHGTPVDRGSLHDLAVQSTLVAECNWYGTFASLPLSH RNSTQGVVAFGPDRCVPQSLTTSLGARFIHVSRLGHSDALPNGIDTSTLSATPTTTAA TPAAMTPALTTPVSPSLVPPASHIPTQQTEYVQNTPVKQLPAVDMANPDNEIRGKQHQ QAEPSLSTESTVAIVGMSIKTAGADDVDEFSRMIQTGTSQHELVGPDRVKFHTLWREG DWDPSYKWYANWMRNIDSFDHQFFKKSPREAANMDPAQRLSLQAAYQAVESSGYYCSD RNPTTGMDRDETDANHTGVYLGITLDDYQNHVRSHRANAFSITGTMRSLIAGKIAHHF GWTGPAMTLDTACSSSGVAIHTACRAILAGDCNAALAGGVNVITDPLAFQDLAAAGFL SPSGQCKPFDNSADGYCRGEAVGFVFLKRLSDALADGNQIFGCIAKSSVYQNENHTPI FVPNSPSLYNLFNSVIKKSQVDLSDISVVECHGTGTPVGDPAEWESVRQALEGPERPE PVYIGSAKGHIGHTEGASGLVALIKVLATIQSGYIPPQASHSTLNHLIQPTDTMQIAK ALQPWRATRKAALINNYGASGCNVVMVVTESPVSQPSHDQCISEELMNVPICISGRTI NTVKANCARLLKYLDIHTGISLVDLSFNINRQCSRLLPYRFSFTCGSLDELKMSLSQI VALSPGPEADTMVTPCQPERQIILCFGGQISRAIGLDRSLYDRTKVLQYHLNECDRAV QSLGAESIFPDIFSHEPVEDQQHLQTMLFAMQYSCAMSWLQSGLSKRVATLVGHSFGE ITAMCISGVLSLQDTVKLVVGRAQLVRDSWGSDPGAMLAVEADEIAVQTLLSQANRVY TGSHPASIACYNGPRSFTLAGSEEAIGIVTDILSETSPPIRSKTLRVTNAFHSSLVDT IASGLKAVGGSITFKKPKIPLERTTEARSSAELLGPQYVAEQMRQPVFFHHAVQRIAK GHPNCVWLEAGSSSTITVMAQRALNSTQRTSCHFQPVHIDDSDAFSNLAEATASLWKE GLSLSFWPHNAIQTAEYATIVLPPYQFEREKHWVELRSPVELIEQIKDRVRAESTIPA SESTADPNQKWLFTGYKDSHVKGKRQPCFNIITASKEYQSLISSHIVAQKAGICSGML QADLAIGALFSLHPDWVTKGITATVHDAINHAPICLDSSRVLSIEFEDQENGFWDWKI VGSSMVAPRVVHTTGRLQMRDPKDVSYQAEFRRLSRMVDHERCLAVLNARPRDNDVEI LQGRSIYRAFSDVVDFGEQYRSLRWLVGRGNESAGRFSKTPQNDAWFDQLRGEHISQV GGIWVNCMSNHSRDDIFLGAEIETYMVSPDWVNRPLPDQCDVLARHLKHRNTGDYTSD SFVFDPDSGDLVQVLLGVRFTRTPRASFVRALQHMTPGLETGHPESMLGTTLQPIPMT AATPVAVAEAPKTVSPKANIHETPTRNLLTEMTELIANIVGVDIAEIGPDANLVDFGV DSLLALELQGEIKTAFSCAPDVVAILEATTIRDLVACLPIPRDMLQHEAINNAESTDD LFHEVSSLSQASDPYLSTPCESSTSGSFIPLDLLWRASDILESFSAIKGRGDQMILEH GLGNFESNIVPDTNRLCTALVVECFEELGCPLRSANPGDVLSRISFKPQHTIFVNYIY HFLEKTAQLVSLDQTGRLIRTETPTPTMSSKDMLPELLKKYPDHADFTQLTYHAGIQL ASALSGLTDGNRVLMSNPEGRKLCESVYHNYPLNRIGFETIRDVIIQALKHIHIDKTP LKILELGAGTGSLTSVLLPLLGSLDIPVEYTFTDLSSSLVAQARRTLGRQYKFMKFAV QDIEKPPSKEIAGQQHIIVASNAVHATRSLEDSTRNIRQALRPDGFLMVLEMREAWPA LDLTFGLYEGWWLFEDGRTHVYTSPEVWKRSLTAAGYEAVDWTDGKLPEHKYYMVVIA LASAL AFLA_118970 MPIAVTTGTGADGIAAPGSSGIKVLVIGCGFGGIAAAIECYHKG HEVVVFEKNSEIGGLGDTLGISQNGTLPIYKWDNGMVQQKAAEICCNYATHKIHTSKG ELLLSHPMKGYSAGSGYTGRRGDLLLCMFEYFQKLEIELHLNANVKEFFETDDSAGVI VNSQRWEADAVICADGVHSAGRHFVLGKEDLPRPSGYAIYRAWFVPEPSLRENPATSW IPLPGGEDTVMNFIGPNVHTLIATVKRNTGITWVLTHPDEYDIEESWTHPAKLEDALK VVEGWDPRIAEIYKITPPDQLFDHKIIYRDPLPTWVSSKGRIALIGDAAHSYVPTSAQ GATQAVEDAATIAVMLELAGKSQVPLALRTMEKMRYERCKIGQLMGLETRDIWVKTDW EAVRKDPQLLAQPRPNWLLNHDPQAYAYEEFETAANSVLTGCAYTPRNIPYEGSNHRA TDFEDEKWGDRHKESPDIGVKV AFLA_118980 MGGTSPSGSLVEDSSNVTRNSHRDNHGLESDNSSRNRGFDWRNG VAGGVAGCAAKTIVAPMERIKILFQTSNPHFLPYSTRWNGFIEAISHIRTSHGVPALF KGHAASLIRVFPYAGINFLAYEQLQRVIIISPKRDTPFHRFFCGSTAGAISTAFTYPL ELIRIRLAFETEQHRHSSWFRISRRIYFESGGKGSLLNLYQGIGPTMLGILPYAGTSF VTHDLMRDQLRSPLFAPYALEKGSSTRLTAVAQLCCGAIAGIVAQTVAYPIDILRRRM QVGSVVGSRLGILETARRVLMERGVKGFYVGLTIGYVKMAPMVATSFYVYDRMKRLLG LTE AFLA_118990 MIVDASADHAPEQHSLHEKKSPGLQDTNLDQQSSTSPSDEGEYP TGLRLTFVVVALILAIFLTSLDFTIIATAIPRITDDFHSLGDVAWYGSAFFLVTAGFQ TAWGKAYLFFSLKITFLLAIFLFEVGSLICGVAPSSVALIVGRAIAGLGAAGVNTGSF TLAAFCAPPQKRPIFTGLIGLSYGIASVVGPLLGGVFTEKATWRWCFYINLPVGAVSA IFIIIFFQSPQASKSTDTSSLWSKVMQMDPIGTFLMMASVTCYILAMQYGGLTYPWNS SVVIGLIVGFVAILAVLCGWEVYMGEMAMSCPRLVKKHAIPSAVGFFFFGSYIVVIYY LPIYFQSIDGTSAIGSGVHNLPFILAVSIFTVLSGILISMTGYPAPFVISGAAVGTIG CGLLYTFNIGTSTGKWIGYQILASVGNGFGVQVPMIMAQGNTEPKDMAATTAILMCFQ TVGGAFMQSGAQAAFANRLLIELPRTAPEVDPSAVVAAGATELKSFGSSLQGIRLAYM DGIKVTFAFACASMGVAFILAFFARRARIGGEAVKNAMAAFGVSAQILCLGAYSTPAI LACG AFLA_119000 MASPNRLTVLSNVIAEKTKVISDFLASKGVEPPSFDVDGQADYA ISADDKEAYEARLELIAASKELYALSHGPKDHIRNICWDAMDPLSLHAIWTFRVPQAV PLNSKISYEDLAEKCHQLSGIFVPLFTFRRIIRHAITNRFFCEPELGFVAHNRASRVL LEEETLDAWVGLFCNDMWPGFVYTVEAMRRWPGSGEPNETGINVAYGHNLNWFDHTSR NDVVADRYSKSMKAHGGGVGFDVSHTVTGYPWADIGEGTVVDCGGSGGFASMAIAEAH PKLHFVVQDQEHTITEETKAAVPQHLKPRVRLEVHDLLKPQTVVADVYFFRWVFHGFA EKYAIQVLRALIPVLKKGAKVVINDGVIPEPGTVPWMEYRSIRCMDLLGMAVNNTGER ALDDWIGLFEAADPRFKFLNAWKPPKSTIWFIEAEWQP AFLA_119010 MSAHLFNPISQLPKGSDVFHPEQSGRDVTRRFGCQSGIEVPHTS LGQPYQHSILITGGKVTGVERLLRHIRTTMASASFRATMASSCFHTQYC AFLA_119020 MDIIHRKKEDIGTAANIPSPVGEEDLKPAVTEDAVFGVIEGDGP NYRNLGWVGTSVLMMKTQIGLGVLSIPSAFDTLGLVPGVLCLVAIAIINCWCSYMVGS FKLNHREVYGIDDAAGLMFGPVGREVLGAGFILYLTFVAASGILGISIALNAISTHGA CTTIFVAVAAIGVFCLAIIRTLSRVTVIAWGGLACILIAILIVTIGVGVEDRPYAAPP GPWVSDYQIVNNPSFADGISAICTLIFAYGGVPFFFPIIAEMRDPRHYTKSLVACQTV VTVIYVTIGIVVYYYCGSYVASPALGSAGRLIKQIAYGISLPGLLASATICTHLASKH FFVRMLRGSKHLVANTLVHWGTWIGCVLGVAVVAYIIASAIPNFDSLISFIGALLGTL QSFQPSGCMWLYDNWNRGREQRSHKWTLGVCWNVFVVVCGTFLMVAGTYGSVVGIIDS FRTNGGSGVWSCADNSNSV AFLA_119030 MPQLLHLPGELLARVISHIDQSALKQLRQTCRTLAQFVSRELFH TVHLFPDEESYERVRNISNNTILRSLVRKIYINTCYNDSEWGDPDCTLTEPFKDAILQ LKRFPNVQSTVLRFDKNCCVDDDGVEMWRSEWPQPPTYREEVLHVFFSWLTSLDVPIK ELGICNLQDLTIKDTDTRAMMAKVLCGLQSLRLNIATEHHEASPEEDLEFPEPHEFFA EMPFAWLKPTMGSLENLTIYCDNYWGFFPKLDLKGIHFPRLKTLALGNFGFAQDAHVE WIVSHEATLAELYLDDCTILYDVGITKENIGRCSFEKTEMEVRIREDCPSLSKHYRSY EKRWHDLFDTFRTRLPLLRHFRMGTTCWSDGMPFEKEANINIGLMNDRYMVCYDGYGP SPYMTGRGNARDNEKVAPECDEEDRNALRLLLQSIGQSAPESWSVDYREVEDLLDTEY R AFLA_119040 MVYRTTLVLGLLLQLLSVSAGTTLATTTTSSSKHEPTQPGIPSN CDKFHLVAAGDQCGTIEAKYGITDAQFKAWNPTINSGCTNLWLDYYVCVHVPDDTTTT TTTSKPPGPTDTPEPRMPGIVSNCKKYYLVKKGDDCYSIDTAAGITLAQFRSWNTMID AACTNLWVDYYVCIGV AFLA_119050 MVDEKTVAIDDVPCSTKETTHCCNKNDICMSNGLCWVQSTGDMV LSRGSCTDINWGGDCVAARPCARANPTSGYPVVNADIANHQFCCGSVVSSSSSDGIKC SGDGPFPVPTGTVIPGVAALASVASTSSPSSSATHPAGNSNDTSSGNDTSSEKPEKSD DQSTKLAIGLGLGLPLGIIAGSALMWGAWERKKSVSARREMDQLKATMAYQYAPVPQM QAAPPVEMGHNEYRVAELPPGAYNK AFLA_119060 MHVQAFILHSCGLTDGVYFQAARIPEGWTCDPGYLQRFFRPGTG RRDMAQYYGLTDPTLVLMETPDTGSMGYIIFSGGRYYGGDLMSDYMFEITRPTTFPEI LRAIDEQDFRGLRKKKIKPAMEE AFLA_119070 MSEQLLPWLNGQFDFRLAYTYSHNQVVASLQAIVDNPGVHGVPS ENVPDLVLIHQDICTLRTPDGPDYLTPPPPQNIYRAGSSNWPHSISSIELRTSVYDGL PYWALPDLLGLFLSKLGRAPVGATKRNFYLPLAAVFGQWCAKLIVVRLRYTPRVYQCS WTDGGEFCLGASRGGFAVGPELGSWLAVVDRARYGLVRSELLSLQNFSQVWTPTIRQR GRYGWPFGRCGETYPFRRLLMNRDSNEAQRVSGLALSNDYIQTAPVYDDTLSGDIWQS LWDPCANCQVLIRIHGGQLTNFHRWTGSAGAPP AFLA_119080 MHQPSQSGARTKSNVYYKNAMAAVPELLLRKPDLMAIQALMGIV FLSCNGFETPMYQNLLTIAIRSCSQFGIHGTSAVPRPTCREQDQPMFILSLGYMMEEL YRPGQGLPPSDASADLGIDSPHPDWVYESMAQSSGLSDMDFLTWFRRLSVIRHKIYTS LYTTKAMKTFLHEQSSTIQALQLELTSFQRTLPVEPSHAMEFVTQLPGRLKSPFVSLL CAYHNTVILLHQTQIFFRAPKTLTRSRATEFSQTACVGAARQTALMLKALPPGWWPYG IRYLKILYSLCPLHDTKN AFLA_119090 MQCHSVGLNPNLQTYRSNSYTIATMTITHSKTILVTGASGFLAT HIVKAFLQQGYYVRGTVRSDKAAANVRKGFSQYRDRLSFAVVEDISQPGAFNEAVEGV DGIIHTASPFQTSVQDNKRDLLWPAINGTTSILQAAQEYAPRVSRVVITSSMAAVIDV GKGPQPGYPYTEEDWNPMTFEQAENAHGIVAYAASKALAEKAAWRYMEKNTPDFSLTT ICPPMIYGPVEHHVSTMESLNTSAGDIYRLFNGSSIEIPSAILPVFADVRDVAQAHLS AFESEKGAGERFIVSSGGYTYQEVCDILRSVVPEVRDKVPIGQPGKYESAVIKVSNNK VKTLLGITVHTLQETVKDTAYSLLALEKAFKA AFLA_119100 MLDMFLDYHPDTRILRSPSLETLQNGPRNKVYHPRYTESLYAIL PTQDHYASTIKSEGINRALTAWTIF AFLA_119110 MGSTNFDQRLMCRVVDELAESKPEQLFCVQPISSDLSQGWRNVS MRDLAGAVDYTARWIEMAMGKGFDFQPLAYIGANDIRYVVFYLACMKTGYAALLPSTR NSIIATSHVLSATECSKVVYSAERHKIAEEIGDSVQNVRLYEIPSLWEVFGAPAEPYA FNANFYDLQNKPCIIIHSSGTTGLPKPVYLTNGFFSVIDNFPRTPTPPGRLNKSITSV AQGRRLFTMAPMFHLMGLVILSGAIFHNTPVVLSPERPMTPGLLSQILETARPEVAML TPSVIQELSAFQEGLEGLQKFKAIVFGGAPLSPEVGSKLNEKVALHSIIGSSEAGFMG ALICTHSEDWEYFEWNPYSGIHMESVDDGVFEAVIRKDEHHEFLCIFHTYPDITEYRT KDLFTPHPTRPGLWKYHGRLDDVIVLSNGEKFNPTDMENIVESHPLVSKAIIIGQGRF QASLLIQPNWGQWSEDQDKADFIDRIWPTVERANGIAPGHARVLRTKVALAKQDTPFA LTPKGSVQRRQIYEDYETEINALYAGEDEECTDDLPQSTDLEDIKQYIHTVVSQLLSV ERISDTSDIFSLGMDSLQTLQFSKILQTAVRHLSADKNSEPITSQKLYAYPTLDQLSQ YVYRLLKGETMEEKAEDEEATRPGRIEGLINKYTNDLSQRAITSFNRSTKNAVILTGS TGSLGNYILNELLSDREIPMIYCLNRAEDARQKQIASFKEKGFTIPHDFDERVEFIHA QFGALNLGLSIDKYNELTKSVDLIIHNAWKVNFNHKVEAFEDPHIRGIRSLVDFSLRS AHSAHIHFISSISTVSAWGPQDGPSVPEIPLDDPDVALRQGYGESKFVGERICAIASS IAGVPSSIHRVGQIAGPTTEKGLWNRQEWLPSLVATSKTLGKLPNTLGTMPVDWIPID IMAKIVVDITNSRRATEDLTRTAAFHLVNPRSSSWESLIPAIKKHYKAEVVDMHDWMA TLEAFRNPTDGDLQDKPALKIIDFYKGLASNHGTFTSVMETVKTQAASQAMCDLGPID GAIMENWIKQWQF AFLA_119120 MSELNPTNIEALKRRMQSVCSDPDKGLPGVSVAVVGRDGKQLFS HAAGKRGHGSSELMSVDSVFWIASCTKMITGIACMQLVEQGRLSLDDAGQIHRICPEF DDLRVLQEDGTLVEKNKGITLRMLLTHTSGFGYTFYNEKLRDYARPAGLDEFSGYAEE FNQPLVHQPGETWEYGIGIDWAGVVLERVTGQSLNDYFHQHIFEPIGLKHISMLPTEE MKANLAYMHQRAADGQISVRDHLLRRALTVKSESDIKSYFNSGGAGCFSTAQDYSQIL AVLLNNGTSPTTGKQLLKKETVDEMFRNQIENLPPLAEKYFPDAKAEFVQSGLGLHPT VEGDRQGWGLTFLLSGGSTGRSIGTAQWSGVANLRWWCDREKGVAGFICSQVLPYGDE QLFQLSQDVETEVYKGLAL AFLA_119130 MNPSAALAEIDPSKINIISEIARSDASSIFKVDLDGQKYALKIF HDNGDPGYTEKGRDLNRFRCETNAYEKLLASGVCERSIVPKFHGCINEVDPAAFHPAL RHFAQDTFKPRGILLEYLPNAESLNCVNYSDTLYPQAIEGMKEIHKAGVHHQDIYPRN ILLVRGNPDRLVWSDFDVATTFTDLGPEEQALCDHEIALVKGLGDLLREDQAEGLPPN TKFY AFLA_119140 MALNEIRGRLALITGASGGIGAACSHQLAQRGAHLALTYSTNST SINELVKELQSKYAENKLRISTHKVDVGSADQIETLFHEIDTQHGRRPDILISNAGYG KRITNIWDIPLEEFDYMLNVNLRASFVLVKGVVEHMKAQRWGRIVFMSSIAAYGGGIN GCHYAASKGGLTGMMKNLSTRLAEYNISVNDVAPAMIGDTGMVPNASAIQDTVATIPL GRLGIPEETANVVTMLVTTGYMTGQSLLLSGGLK AFLA_119150 MRLQLIAATLLLSTTAQATQSFTNTGTTSGWSWKNIEHNGTVEQ VTDIVYKGSTALKMTQIYDQKYTDRYRNNDDADDDLVKPGRYHSEVIKNDVYKLGDEG YYGFMFRLQDTWQFSPVQSYNIAQFMGEFPDRGCEQFHWIPSNMVYLYGDQLYLHQRT GKVCAPKETIYSNLATVSAGEWHKVVIHSEWRADGTGSYGLWFDGVKVLEEYDIDTTI DDGDTAFQFRVGIYANGWHDNGGMKGTQGTRQVWYDQIAIGTTFADADPDQW AFLA_119160 MGPHVLDPSVFRDELPRLGLRYPYLLHQLFALSAFHCAYLRTES REKYLFHGSKHQAHAIAGMRLALTGKMTEERSFALFMTSALLMTSSFASHLKYPHNEA KPPLAGMLEIMALVRGLSAIKTTTHAELQFNVLDKLKHHSGSPPCWKALDLFKTQLTI LQSRISNLTNIDNAILALLNKGAQSMLDCAAMPATTMAGELHVVFTWLSILLGDLFNL MQEQHPGAMVVLLYYVVALQEVETQCWVLEGWSAQLTSNIVDILFPPWTGLAQWAMSE LGYPSG AFLA_119170 MLRTEERDWDKTGTKMSSAHVPLKRRLKQFSTCNKSQQHVSNAF PTWSFRGVFTNQWAQFYMHAKVAFLLAAVGLRTPQGQLFCCASFGPKYIHLKRTAPRF RKGFCLAEEIRQTQLLLNEVLTIAHAIMGRLGYRKSKNGCLRCKKRRVKVRDRLSYDV EKGDRLGLMA AFLA_119180 MAPPLCDPENYKDATFAFYRFAPSVEANIIFVILFGISTLLHTF QMCHTKIWYLWPLVVGGACEAVGYIGRVLNALEDEGCWNIGPYVIQNTLILLGPAFMA ASIYMILGRIILLTAGEHHALVKRKWLTKTFVWGDVVSLCTQSTDGSIMAAPDLWQIG EKVIIAGLFVQLFIFSCFLVIAINYHYRLARSPTPESNNPSIRWKWYLFTLYLTGGLI LVRSVFRVVEFIEGNHGPIMRSEACVFIFDGFLMVLVLLWMNWFHPGEIGLLLRNEVP ITNGLQLMKIRQGRKRCNTMESGNSKLPLIGRSNGVGHKAV AFLA_119190 MRHSTIYILWGLYVNTLTAPTNDPSALGGCIRSSVNCLLAGQCE LGPCYRCCCCYCEDLDGGEVCGVGWWWDGDCCVALTADNQSIFSDKSQYCSCN AFLA_119200 MPAHDSKIKRGRNGWEYKVTLNANFDANSMYASIQPYRVQKFIA GGDKAHGSDITTGNVIFSWMGANGGVASHTDKVDAVRSTYQLPYPIPRDHRGHTFKVH SIRFHDIHVEADDCVWKGREDDVEWTCYFG AFLA_119210 MPAAAMDALGAFSHLTDNLPTWINRMSDLATHTAAKHAEYAEAY KKLAVTPGKPRRRKNSSVCSIRTDELRNAVTQSPPPAEAPTQRDPETPQPASQDLSTP NPNPRKRGTDEAPSLASEENPFVSTRYNLVIHYDGETQKSLEEMVRIIGTARNNIRRG KMSQMGAMRSSALNKPTRMNSPPLPPPGVAADAQLLSQIRSTRNRGPPPQARVMAKNS PFEMADRQLELAHSMCETAAYQFLRTGDCSEELQGVLDKFKALLELATGEVRRLTEEQ ERERAAKEKEAPQVESVQLTVSPASNKGPSSDVGAIEVDDGTESEESIDLSAFRARRM MMRA AFLA_119220 MIASTSAAAVQNLGISSNADMMVFRVEGVDAINRWHRFVHWKLH PKGIMSAA AFLA_119230 MDAIFGRVRPAELFSSRNGLMLNSIIEKHFDSGVMVIVPNLPER PTDTMLSKWVGQEVREYKLRIIDNTWQHLDRVINKDGLTWRKLDNRVLQFRGNYRPAA RYLYFHYCVQVLRRAWKLGPGQKTVFHLTDEFGKPFWGTPGRYIAKNMLRALIEELGH EYDNLLEGATLLSRGDDDLLLNTAVAQIAPIEEADDEDTDEEDFDDYD AFLA_119240 MKLTRYLSLLTLVLKANAADCTAPNQQWFSDAAVQMMWSIRAWL CPNAWSQSITAGPDGGWCDAGGGVISAYWGSWTISGMQSEQQCWDITEQIINQCMWYD YASTSYNGGSWTYGSIYAGGWFWADTSKSCSHAVKRDVMIENEPHLNFTLADGSTQTV DRQVWLDFTPEGTTVVREETYA AFLA_119250 MSTLFDAVLQSELDSNAGSRDHRLHSDQLPSSRPHPPSESNGPM SDMHAFPDDQVVESNSTVTRRRNPYVKAPPPLVDVAGEKVQQAFEELLETYREEPTWS APPPSSEILSDKYYIAQIHGMNKHSLSTLYVDFTHLTSLDSPILADAIVNQFYRFHPF LTKALHNLIAKYEPDFYTHHRKVDKVNSDAGTSMMGGNSSVSISDKHEMGEHVQERTR HQQTDKLFSLAFYNLPLVSRLRQLRTSQIGKLLSISGTVTRTSEIRLSYPWTFKYTEP SECPNQSCGNRSGWRLDIGKSTFVDWQKVKLQESSHEIPTGSMPRTMDVILRGEMVDR AKAGERCIFTGTLIVVPDVSQLGLPGVRPEAVRDDSAFRSGDVGGGGLTGLKALGARD LTYRLAFLACMVTPDTTTPGQQTNQQLNGQSNNILASLNQLTEPEDNEDKAQEAFLHT LTPYEVEDLKGLVHSDYIYSRLVDSIAPMIYGHRQIKKGLLLQLIGGVSKNTAQENMQ LRGDINVCIVGDPSTSKSQFLKYICSLHPRAVYTSGKASSAAGLTASVVKDAETGEFT IEAGALMLANGGGICAIDEFDKMDISDQVAIHEAMEQQTISIAKAGIHTTLNARASIL AAANPIGGRYNPKATLRANLNFSAPIMSRFDLFFVIRDEPNETVDRNLADHIVNVHMN RDEAVQPELSTEQLQRYIRFARTFRPVFTDEAKALLVEKYKELRSNDSQGGNGRSSYR ITVRQLESLVRLSEAVAKANCVEEIVPKFVQEAYDLLRQSIVTVEKDDVEVDDDEELA NAAGHDEDHEMGDGERDREGDSPMREDVEEQPAPSRTRTKITFDKYMKILNLVVRRVN EDESTSGEGVEEEDLIVWYLEQIESELDNEEDLQRERSLAVKVLKRMVKDNILMPIRG EGLVDAADGDQETSHRTVYVLHPNCAVDDIVIPDPNSRR AFLA_119260 MSVGTPPLVNLAHSDPNSVPQDISPGSEQQGWRSHSKFLFPFYR SSADQGFATATPQNAGQNPVRVKRRRHLPASEDPSKNQVFYFVDSNSSSREKRAHVMR HHVQEKRKQLKHARPRSDSDKRVHRPLRYLSWQQKKLLLNGDDSEVIEYKRSEDEITP KDVSIMPVEPNSSAPASYSVSPVTLLDASGKDPFDSLPVTCTREDFILMDCWTNRLTY WSGEPRLIKDQVFRAVLNHPLPFQSIVLAYCSRWRAHAYGLKWNPEVEQHVGRATKGL EQVMKGGMKIDTDSLAMALTGMAIQEERFGSKQHARGYVDQAVQILRSRSGSNRVAEA LLHYVQFMLMPLHPAVPEDGQQWLVTFLRGAEELMLEHSSDAYLSSVPQRRSTFQMDS PLFPLLSSGPRPSHVPLQSRIYIITKTAPTQELTRTAALIYITAALWDFQGSPSKTGR FLDHLRAIVKNHELDRFPACESFVWLLLLEGYEADLQEPERSWSTSELLKLYKQLQPE LQFLFSEILFSLLMLTPPIRGIDVFERELYSSMPEVQEEP AFLA_119270 MAFSDVGLRGLHHLVRRDSDNPADTPPTASALVTTLVPALVSAG AMVLIFLILRRSQRRTYMPRTYLGVLKPWERTPPVSTTPWGWVIDMYKLPDEYVLQHH SMDAYLLIRFLKLVSMICFVGACMTFPILFPINATGGNGNIQLNILSMSNVEESKYER YFAHAFIAWLFIGFVMYTVTRESIFYINLRHAYALSPAYASRLSSRTVLFTAVTQDYL NRDKLRKMFGTDKVKNVWITTDTSELDDKVKERDDAAMKLEAAETKLITLANKARLKA MKKQGYVEEGPPTPSEEPSDESGSVAARWVKPSERPTHRLKLLIGKKVDTINWARSEI ERLNPEIEELQAKHRAGDAKLVSSVFVEFYHQADAQSAYQSVAHNLPLHMAPRYIGLE PTQVIWSNLRIRWWERVIRYFATIGFVVALIVFWAIPTAVVGSISNITFLTEKVPFLR FINDVPSWIRAKKIPQASNFYISYIILQGLSFSAGALLQISGLILGKVLGALLDNTPR KMFTRWSSLSGLGWGTVYPAFTFLVVVAITYSCIAPLVLGFATIGLYLFYFAYRYNML YVSNADIDTQGKAYTRALQHITVGCYLLNVCLIGLFAIASGARRIALGPLILMIISLV VMVIYHVSLNSALDPLINYIPKNLESEEEALLIQEKGELAPSGGEHSDDAGASGPGKE GIDNGVTNVDSAEKGLTGPAPEPKVNSLTKWLRPDKYDGYTQLRRFVPNASAITTYAP EVERDAYFHPSITSQPPLLWIPRDEIGVSKQEIKHTSRVIAITDEDAWLDEKNKIHWD MDKGVPPIYEEKIYY AFLA_119280 MSSNMRYNSRLKVRCSGEKTGCQRCANLGSECIFSISRIGKVPG KRSKANRAAAAAAAAAAVTAPPSSISLTSPSHLESANGTITAFQPFSNAIKDEGGSGV TPSARHVPSNFAVDPMITPLMVHDYSSSIPFYSGAGYAPDGLSLADTAPTSTHNISHP LGPNIDLSLQEPGNFCWASDLEPLSANSLPTPGLEMSASRDSLSLDRRLSHDWEMETN VANGSCDPSRSCTTSIRQPATPPEYLADEGIYPVSALENMGPEASYTVYLQLLHNIEQ TLLMGRHRTKENHTLDAVLAANQQYLTTLLQLSESLSFEQMYDGHLLFTVALSKIITL FSFGYRDFTLRSEAHQSMGCAERLIRFGVFEIDFVEQKAICEGIFLRELKRAGVCLAR LMDALRREKFPYAHGRHERLCEEMKQHIDQLTRALEASEA AFLA_119300 MSFTKNLTRALNTTPLRQQRSTTTFPYATHEPLEYQLSRLSLSK IKQESARPEPDLRHVVGYASVNRAAGDKMYQNLVRGVELLRTERRAKGTSERRGSGGD EVFWGGRVGGKGTAEEEELEEVEGGAGLVALRMG AFLA_119290 MTNTILDTYSSRRKPRRIGILTSGGDAPGMNGAIRAVVRTAIQN GCEAWAIHEGYEGLIQGGAMMHPLYWEDVRGFLSRGGTLIGSVRCDRFREREGRLQAA RNMVLFGIDALVVCGGDGSLTGADLFRSEWPELLNELVSTGVLTVAQVAPHQNLNIVG LLGSIDNDFSGTDATIGCYSALTRICEAVDAVFDTASSHRRGFVVEVMGRHCGWLALM AAIATGADWLFIPERPPRDGWEDDMCSIITKNRNRGKRRTIVILAEGAQDSNLDRISS SAVKDVLSKRLGLDTRVTVLGHIQRGGSPCAYDRWLSTLQGIHAVKAVLSMTPESPSP VVIIQENRIRASSLAETVALTKEANASMHAKEFEKAATLRDPEFMEYHSAYRHLNTSD HPKMVLPEDKRMRVAIIHVGAPAAGMNPATRAVVAYCLTRGHTPIAIHNGFPGLCRHH DDTPGSVREMHWLESGDWINDGGSDIGTNAGLPLDDIETTAQCFERYKFDALFVIGGF EAFTAVSQLRKARKQYLAFRIPLVLLPASMSNNVPGTEYSLGSDTSLNTLVYFCDVVR QSASSSGHSVFVVEAQGAEYQATAAALAAGAMTVYTPERGITLQSLSNDIEHPPSTVQ PRLQISSNMRPRIALMRRA AFLA_119310 MCIAFFSTAHPQYRLIICNNRDEFLHRPTSRADWWPSPHSHVLS ARDLVRPIHPTWLGITKQGRIAVLTNYHEDTCAKAVGKYSRGEVVNSWLALSPDSAQT TQEFVNQWTTNGELANIGGFNLVCGNVMEPLAILASRASETEPILWIADKPGQTVGLS NTDYDDRSWPKVEKGEDAIVDAIAGHVQNQESEDNLIERLLGVLSVDTLPRLSNDAGV QEYIPHLPQSVFIPPIGRDKQARDEAESANQDKREQSPVLPSTPEGTPNRAYLEGLYA TQKQTVILVGFDGRVRYFERTLYDDDAQPVSTEARDRSFEFRIEK AFLA_119320 MLSYRTDHAIGQVGWQGLKCSATNDFRQQKRTAQRINKYFLNTL QYNHSLAPE AFLA_119330 MNVFPEKRGTISLFASKGQREGAIVAVILEELKLPYHLYLDKKL EDIGAQSFPVLRNTQSDGESVNLSGFHDVVSYLITRYDTAHDVSYKKGSKEDEEVNRL VASLTEPNAAHPEERRFDLDAKDDIPFARKAISLYLHLEEHLMKSHSNYLVGKKCTLA DLVHLPYVAAAGSAGLDLERFPELTIWYDRVYQRPAVQKGFDAVHLKIRG AFLA_119340 MPATSAHPWKLQSQDLPYAWDNLIGVDADVAPMSAFDTIIEPPP ANAHRRPNSQDILDSPGYLPQWSPSSADLLPWEAYENWTSPRHSFAAPNQFEDAPVLP PLKQDLVQNTSKLSSRNRTSPPQFHCSASSSTIASLSPPPSSPSGSPSSTPHEGPAHP SSRRSAHNRIEKRYRENLSKNFAALESSLQGYYTRGVGSGRPRFYCQRKHASKKMAVL TDAVNYIGELEAETVMLKKKLDTLRQALLPNGIWRYTLNDD AFLA_119350 MPRDILVVGAGIAGLASAIALSNELAPVVPELKITVYDGASELT TSGGAISLTPVAQRYLDELGVLSELNQMDDQAGIEVDAIDLFSVRAGRRLGPLRFTDE NGHGYGGYKGRRVLRSALSEAMLAVARRLPNVSVHYDKKLIGGSTTAENVTLHFEDGS TATGDLVLGCDGVHSATRTKIVDPGNRSEYTGVSFIQSMADARNFTATMPFTQTAVHL ARHSSLLTSYCDPKHEKLFVAAIVRVSEHLIEKYQAMSGTDLAAQKNMRMSMRYLVRA QFGMSSLPYIREIIDRTEDWMLYPVYQVRQRGKWHTDRILLLGDAAHAMPPRDESAAY AVEDAIIFSKLLAQNPDCELLRLFEEYEELRRGLVNKAFDASRRLWQSDLDKGLFPGQ TRDLMSPVHLPPDSCVGKRATQPINKRNLPAPTHESFSDLSVYSLTSDLGRTVDATNA D AFLA_119360 MDPPQELDISASLSETVETRREQAGAKGALARGLLALQNRSSQL PSSSEPSHMSETTMAPESRGAEAALGATDTSAKPQNNPQAGHTFTVVVPDLSFYELDD DLNPPMDIAQALSGFIQNETSDHQLPVNDLAVASSLSIQIEDAEPGPSTRPADPESLE WPDEMLDSVNDNDTSEFDDDEYEEFVGDEENPGLFVTPEPAQESSSHVQLPEQNESKL AAEPTEEPKKTTRKKRQNKISAEEKRRSMQFGLDVVLGKVDKKKSGKKRKASGPTGPT KRSRKGKEPEFDLESLLASNIIEDAHVNSALPAAPGFTERNKEKALLQLIAEIPTKEQ EQAKDDKRKILEATKKFNNSARSDCKGGWKVKGMKTSLYNYQVLGAGFMRDRENSSQP PYGGLLCDTMGFGKTIQTLANIVDGRPPDPTDPVRTTLIVVPSQLVSHW AFLA_119370 MQQLEKHCEIDAIGEVLVYRANARYLTLDVPKSIQKHNIVYVQI PSSGIATYSSVHRITTYDEVRRSYPQCNVPSQIADKDKLIEWWKETYENDVGPLHQIK FRRIILDEAHVIKNHLSQISTAVRALTGHYKWVLSGTPVHNCVEEFYPLFDFLGVPRT GTYENFWKLYCKDGEANKCLVNLLRSFMFRRTHSSRLFSLPIIKLPDIDERVQYRCFL TMILKLRMFSSHMLTAQDIIKKLLSKELIRELIDILKAFMTTLHENEQWLERFERGKC ASCGFMPMNPLVTSCMHLYCEECYYLLMKEGETRICSSCNTCIEAAAMCPIADDGEIR EPPSALIVEKTKKQKKPSKKNKKKTQRVASHGRDESEDEEVDEDEEVDWISVSGGEMT SAKLVKIQEIVKGWIDENPDVKIVIFTQFLDFLRLVGLMCNKEKWVATSVSSNLRHNL PLIHGGSAANRTQLHGKLSLQAREQSMDKFRDEKEVRILIASLKAGGIGLDMSMANKC ILVDLWWNEAIQQQAFCRLYRIGQSKDVEFVKIIIKDSIDEYLLKMQTRKTANISGTM GDEVLKDRDSIIDLLKMFGGAVIEEPAGGIFIQPRR AFLA_119380 MMDEVDFELCLDIDQYVAASRRESKRLSTQSTTGSIKHDNVRTV TIPNPKVRKHGELDSTSDIDEKHTLEPVHHARPCKKLKVANQAESDLEDDHDRTYLDI AIKRITTHHDQEILSDADDTDPETRNSTNASTTAVSEDTMDLDSDTDAEVHANSTSGS ITTSPSHSYIARPSHLFQIFEDPDDSHLENHPGPVSSFTTYSSPDEDKENIRDDDAYE QREHTLGMGVNLQMWTRQSNNRFSHAASSRYQGVDGGVDTDEADTDVEEFLTEGGEGA REVEMEDVRVSFHSLGAGEASLLPLAFPLPLRIPSPGVGQGGRASGRRRCFGPRPVVH FE AFLA_119390 MDGVGDSCGCTYDPETDLADVELARYPTQRHREKPPALAIPIIQ TRRHLDDLAFLRLAMFPAIRIQTAFASASRIPGKGSIDATERPSRQPDSDDIKNDRLN LRGKFFKDREAGDSNFDRRDGKSDPFTPRRGDRDDWNAGRPRRTFGQDDQERKPRRNG EFDRWESRDFNRDRDQQTPNHERGGKDKDSRFFPRRDGQPARARHEGSWFREDGNQDG PDAEEEKTPIRSRDWRRDRHGADRDWTRGAKFEQDPEWLDSNDKEEPRRVHTQEDFER WKERMKAGSSQAPVDEKKETPVDSTPANAQKPEPRPTDGEIFSSSGTPFQSDTAMERF FGLLGDSKSPQEIATSSPLEAPVVSQATPKKENIPGKPFKSSRFAGLFSPPPGSPAKE TDSQLGNKSPTVQPSTTDADQEGFQRILQMLGGSKSRNATPHNDTAQANRPPSLPQAD SVQSAISSPSREQIKRPDPMLMQESSMRSAPPAMDPQAREREHLLRLMQQVRVTPVTN QAPGNHGQPQSAGAVSGMMNMPEMLPPPPGLASAPKAPNFIDDPAIANMRRAEPDQLR RRPANGPPMGYFEDMPFPQGGQVPITPGGSRAPQGQGLPGMGVQRPPGFEHLPPPGWA GHQLPPQQGGGPGPLAPPPGIPTPTRGVNPNFMSNMMPMHGNVPPLGERQPFPRGAGG NGSAGFPPPPGMMPPPGYMNGPPPSGFPPMPPNAEALMGLGHGGQGPFDGNPGPQGPP HSSRHLLDMFGQVGAGDARGGMVGPGQFR AFLA_119400 MSPHDDQLHRNKRRKVDSAQGNDAFTDITSHGQLRNLLTFQQNI NEVKQGIKKFKDFLLATGQTENEGEKAKKFRILKAYCDSQISHEEEPSCFPDLIQTWN FADSNNNESLLTVVPSVLALFIKTVSTNLDFRDFGLALCKFLLKKEQLRFFNRGLTAT KSKEHLISPCLRLLTEIVSFDGGAVARHVYAVRYITFKRIEVFLTPNKSQLEEASDDI QKSTLRRNAQRYVLANLRFQHASAKSDIIEQHKVIRAFLEYVRKDPRDTVLDIIKAIE RDIVQDTSLSRNAKTKFFNRGNLEKLVTLYGYDRDSEEPNPTGVSIASEIHKILMNVC TNSSNGVLLSETSWYPNGSDPESLPGEEDTSIDLGLDSAVYVDKYRESVPVRNGILSY LAQTLRPDADSLQIELLVAIFKEAPELVADFFTKKTMFVSDPKPTSSWMAESALLFST VSLPVPTNFGWKDKLPSMPPPVSVVIENILPRPLTQKTLTRCLNLNAEIITLFAVRIL TISFNKLRKVLRIFNSDHGVSQSFWTQAKGKLIAEFCRRCPAMKDVVSLYKKTAKEDL QQQEAVAELLSCFYEIVPDVAFEEIFDVSLVLVDILKRLDESSPSEEDSESLLAQLGS ILKIAQQSASMRWWQQPGKYSTVLTENSILHGSSSFQSLLSSFGTSDSGNLRHQLSFF DNCVCRVAKKPVHYQDLLGSLCEDVSKPVSPIVAAITEQWPFVVKSGGDAESAVGAWI AKTLGKLKGSGEDPKALKAARDTLVAATENKRTKSTLKKALKDTEEETSQDSNRRESS TTQPTTLRSSNEKSTVDLEEIFGTLPTEGTTHNALHRWEKEDIEISVEQGRVADLMLC LCSEHEEVRRQAFANITRFMSKIRESKYVEWRSVFLLTGELLETVKQIGLESPVPWIV GECASNCLAVLVNPMHKLYGKVNKFLQKAPSWEPEKIPSYWIDKILLHEPELDDGYFE ETNWLLDLLIKGLRTETDMGIYRRANVFERALSFYESPGPSLPSKRKILHLLYRSTQV QGSTTLITRAGIVSWIQSQIPALGGKEVPTFTAMAFSLYESSEQDRVMKWSGGSVAQA VENIAV AFLA_119410 MDDLQSLELFSLVSRITSELQNHLGINDKTLAEFVIDQHLKCGS FSKFKETLDEMGAEFPQSLMESIDRLVLTMHPKYKTKKTDDADKAEGGDDMDVLDALE KKARVFKGLAVPDKAPQWDEDDYTDRKNSDEGDAKADAMDDTFAMLEGLAGKAREEKG HTTGEDRSSRKRSRSPGYDDYDRGRRRKDKYRSRSRSHSPRYRKRDDGVDEFGRTRSK YSSRDEHRDGRSERRQRRHDRSQDDHFRKPPPVELDDAPILYKVYDGRVTGVKDFGAF VNLSGVKGKVDGLVHVSAMQEGARVNHPSDLVSRGQPVKVKVISIQGSRIGLSMKEVD QVTGLDLIPQRRLASGANMERLDGTSANDRYGNLSSDVPVIEDSNGRPMKNRKRLTSP ERWEIRQLIASGVASAADYPDLDEEYHATLTGEGTFEEEEDIDIEVRDEEPPFLAGQT KMSLELSPIRVVKAPDGSMNRAAMAGTNLAKERRDLRQQEAQDKAAEQAAGVDLNAQW QDPMAAPEDRKFAADLRTAQQPKPDDAVPEWKRVTMGKNQSLGKRTSMSIKQQRESLP VFKFRKQLLDAVRDNQLLIVVGDTGSGKTTQLTQYLAEAGYGNNGIIGCTQPRRVAAM SVAKRVAEEVGCKLGAEVGYTIRFEDCTSPETRIKYMTDGMLQREVLLDPDLKRYSVI MLDEAHERTIATDVLFGLLKKTIKRRPDLRLIVTSATLDAEKFSEYFNGCPIFSIPGR TFPVEIMYSKEPESDYLDAALITVMQIHLTEPSGDILVFLTGQEEIDTSCEILYERMK ALGSSVPELVILPVYSALPSEMQSRIFEPAPPGGRKVIIATNIAETSITIDNIYYVID PGFVKQNAYDPKLGMDSLVVTPISQAQAKQRAGRAGRTGPGKCFRLYTEAAYQSEMLP TTIPEIQRQNLSHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDEGL LTRLGRKMADFPMEPALAKVLIASVDMGCSEEVLTIVAMLSIQSVFYRPKEKQQQADQ KKAKFHDPQGDHLTLLNVYNGWKNSKFNNAWCFENFIQARQIRRAQDVRQQLLGIMDR YHHRIVSCGRNTTKVRQALCTGFFRNAARKDPQEGYKTLVEGTPVYMHPSSALFGKPA EHVIYHTLVLTTKEYMHCTTAIEPKWLVEAAPTFFKVAPTDRLSKRKKAERIQPLHNR FAGEDDWRLSAQRRQGRGGGGGTWG AFLA_119420 MNACKLEDRATSGGFGTLRQVKTTLQKTYIQSGVRGLKNELEYL LYAEDVTSKYSTPNIEQQKKVADMRFPAEWYPQARSIQRTIHLHVGPTNSGKTYQALK KLEASKSGFYAGPLRLLAQEVYHRFQANGTTCSLVTGDDVKIPEGQAPTIVSNTVEMV NLGQPYDVGVIDEIQMIGDPKRGWAWTRAVLGARAKELHLCGEPRVVPLIRELAALTG DKLEIHRYERLNPLKVMDRSLRGDLKNLQKGDCLVAFSRVGIHALKADIEKVTGKRAA IVYGSLPAEIRTQQASLFNDPNNEYDYLVASDAIGMGLNLSCKRIIFETLVKRVPGGL VRLSVPEIKQIAGRAGRYRSAAQQQKKGKAAENDGTNVGYVTSLEEVDLPYIHQAMGI EPPPITAAGVFPPEPIFQRFAAYFPQSVPLEYIIKRLIDVSQVHPLFFMCDPRGQLDN AEVIDTVSGLRFEDQMTFMAAPMHTRDISSRDVACAFAQCVAEHTGGRLLDIPDLNLE ILEEPVSGNKEYLHDLEILHKSVILYSWLSFRFGGVFTDRTLAAHVKELVEERMVRAL TEFSANKKLRKDASLRRQIALQKQIQDHKRLLAEADLDAFEEEGEETVPIDLSAENDS LERVSPEAQAA AFLA_119430 MNSSILNIQREIILNTIRYTAGNEWKVLVVDETSRKLIDNAVSE DDILNLNVTNVEQIEEKRKTNPMDALYILSPQSHIVDCLMADFERKRYKKAWLVWTSY LDPQQRTRLERSQMAQEQIAGFRVMSVDYFPRESRLVTFRDPWSFPVLFHPGCNHLIR GHLEGLAQKIVSLCVSLGEYPVIRYYKPRAPTHEASVMCSHLARFIQNELDQFAHFQK DFPPPSQRPRGVLMVVDRSMDVVAPLIHEFTYQSMVHDLLPIKDGDKVTYKTIINEGS HNEELKEMEISENDNVWVDYRHLHMKDVLGKLGEDFAKFRAANPQFAEENDKANVNTI KDMLAGLTEFQQGRDAYTLHLNMAQECMKHFQEHKLLEVSSVEQCLSTGLDENYKKAK NLASQLVQLLDDDAVDHQDRLRLLILYIMYRGGILGGDIRKLMAHAQLPPQDGDVISN LDLLGSRVEKQLKDEKPPVQPLFHRKPPSPAESDETSLSRYELNLKLMLEELVRGTLD PTAFPPTRPNTEAEGMGGPQDTLSQASLRSAKPTWARTRSATEQPRQRIIVFMAGGAT YGEARSCYEVSQAFNKDVFLATSHMLSPGLFLKQLGDLSADRRRLDIPAERPKPTAPA HLFEKDPPPQPQPVPKKAPAPKLNPPAPPSAAMGAMSLGSNGAAPAPSSAKPHKEKKE KKHHHFFRRS AFLA_119440 MTSNEATDEDYFLPVESQRPFGAGIRRKRVQFVRSSEHELNTTS APAAQPASSGTSIANKYLSIVMPKEKQVSEPGTPAERVSGDVGSRDAEVQSAPASPSA IPRCDVCSLPIDGDELGHGAMMTGDRPHEASLAHQVCLSHSHPPSHLDRTRHGLRYLA AYGWDPDSRLGLGVSGREGIREPLKGRLKVDTVGLGAQGDDEAKEGGKGKIRVVERPA KVQKLNAKEVRKSYLDARKRGEKLREQFFQSDDVLKYLGQ AFLA_119450 MPIPLLAQGFQEGISSIPYAWTVLKIVPFVLLVAALKYYFGGAR NGSERLMHSKVVMVTGGTSGIGASVVHELASRGAQVILLTKHAPSDVFLVDYIEDIRK STKNQLIYAEQVDLSSLHSIRTFATKWIDNVPPRRLDMVILCGNTAAPSSASRKLTAD GLDEEWQVNYLANFHLLSILSPALRAQPPHRDVRVIFTTCSSYIGAKIDPKQIEAACT PDAPAPRGTKNGKNVKKVGAGSKKSKPSLFGASKLALMSFSQSFQKHLNAFERPDKMP PCTRVIVVDPGFSRTPGTRRWLTGGSLWGLLLYLITWPLWWLVLKSPQQGAQSILYAA MEARFGRGTGGWMIKECQEVDFARKDIKDEEAGKLLWQFSEAQIEQKEKESAVKRALA KKEQQEKEKQKNNGASSSKPAAKEQTPGSRRSRKAK AFLA_119460 MPSGSVLVTGGTGYIGSFTALALLEAGYKVVVADNLYNSSAEAL RRIELISGKKAEFAQVDVTDEAAFDKVFEAHPDIDSVIHFAALKVATGKREKLLVFGD DYKSHDGTAIRDYIHILDLADGHLKALNYLRANNPGVRAWNLGTGRGSTVYEMVRAFS ASVGRELPYEVAPRRAGDVLNLTANPTRANTELGWKAERTLEQACDDLWRWTKNNPQG YRQEPPAELLEQLKK AFLA_119470 MSFFGFDTTLPRDRAPGGGQKGIFDTPDPFAEVARARLAGHFRD NDDDDDVIDFEDTYDGLGDQLDDDQDAFNDDTFGGNMGTGAVGKDFDFFGKTAQVADV IGEEQVRYSLQKPQAPAAAPATEVPVATSTVAQQPKRTGYEKYSDPDYIPDLQAKSSV WNLQKQPEPAPAPAVQPVAQPAVQARKMLSLEEVEAQLRHQGPGPAPGLPPVSLPHSM AEALHHLQRPQQIPGFPDGFPQLPPEILQAQFARGVPPAQMLHHPQMAPEPYPPPNLP LHLLQNANLPQHLVHSQHQAPPRGQRTQQQQQQQQQAPPPMPQNVPQGPKGTNGTLPL ITNPQQLMQLTEEQRVAYLMEDAKRAKRNHKIFLLSKGNGLMTPQDKNFITRIQLQQL VAAAGNVADADLEAVLAEDFYYQVYSQIRGAPRQHPHQPLGHFAQTYLLQTGNRLGGH GSRRQAQSADNHMQRMQQQVQRAVEAAKAKPKNKQLIIEGSLGKISFSNAKTPKTMLN IKRPETSEGIKAAKKPHTDLSLSDRKSILTNIENVYGTLMELEDMERTMPPPPNEGDA EAIQEHMEWRQKVRSLNQKLWQALKVMEPIVPNTNTPHPFIAFLSYPKGKKAIPRIFR HIDQEQRVTILTMIVVHLDSLDVVRNGQPAPGEPQPSLPVREAIDLFSQAVMPSLLGY VNEAPFNIIIGLLGLVIAQTHVHMVAKTRIGLGILTMLLSRAEIVKEAGQAAERDWQQ WVEKFNILFDTLEPTFAEIFPGSINAGDDMYVWQFLAAVGIGASPEQQQRLVIAVKDR VMETVAYSKTLPADMASQRLGNVNLFMRAIGLDVELLG AFLA_119480 MSSGPVKYVRYLLFAAAGLAVFFFLSRSAIPIPDSIGSKLNPAS YKDNSASSPSSHDNAATPKEHTNDASGSVGTPQGGRVNATFVTLARNSDVWDIAKSIR SVEDRFNRNYHYDWVFLNDKEFDDEFKKITTALVSGTTHYGVIPKEHWSYPEWIDQEK AKKVREDMGQRKIIYGDSESYRHMCRYESGFFFRHPLMLNYEYYWRVEPSIELFCDIS FDPFRFMKENNKKYSFVLSLYEYFDTIPTLWDSVTKFMNNHPEHIAEANSMDFLSDDG GKTYNKCHFWSNFEIGHLEWLRSKPYMDYFESLDRDGGFFYERWGDAPVHSIAAGLLL EKEQIHFFNEIAYYHIPFTHCPTGEQLRLDLKCHCNPSDNFDWKGYSCTSRYFHVNNM KKPAGYENES AFLA_119490 MPPFVSSLTLRTLRTIIQRPAFSAIAAPKPSYSIPRFQPVLRTP TAVAAATTPSLAIRQFSTSPFRQATYNQVRRGCRVAQRARRARSPALKDRPEMKGVCL KTGITKPKKPNSGERKTARVRLSSGKVVTAYIPGEGHNVQQHSVVLVRGGRAQDCPGV KYHLVRGAMDLGGVANRLTSRSKYGTKKPKRD AFLA_119500 MSLRIAPATNYRTQTTNTTTRQNIPISLPHPSKGAPSAPGLPDT LRDNITLPASRGPPSSQSEIPASAHPLEARLIAWRQTQDAMKMESLRRAYGIAEPIRR GMELKLVRDGSFRPAVLGGAKAGNVHEDILVLGGRDTEVGWEDVFKGDEFREPPTFHD EMEKRLRMDF AFLA_119510 MASNDDNLKTDSLRLGDNNEHSVPTTAVHSQESNVQSASTPASA PELAANPLTPGHHESTPQQHIATEMSDAQPLAGGESAPPPQSPSDASTLPTLNAPAAE SGASAPEAPPVEQPSQESEAKEEDQGPSLMITLLLTSGSRHPFKIDGKYLQKRSVNVE NNDPFAMSVYTLKELIWREWRQDWEPRPSSPSYIRLISFGKLLDDKAPLTDSKFSRDA PNVVHMTVKPQELVDEEDAKGSKPQYPREREASERSPGCRCIIQ AFLA_119520 MVKRLTVNFRGVSHVHWPHGLHDSNTITDRTLTVFGPDISGTGP QSHADQDTLGMLETGSMECHTSRQKCGLWGAITNKLCSKCKSTAAPDYQLLSPGTYTY NFEMILPPELPESVNVRRSHVRYNVRACLEFPGHFRHNIVQNMPIAAIHCPAEDFVED AEPVYIARAWKRLLRCDILMSRRGAPLCHNLPVTVSFTELANARFHGLQIYISENVQF LRKDGVVSYLGPFKRRLLYEAAEDFVPTLPPYRLGEYDDHLSEKSGFGVQESVVLSEC EDKPVTSEGMTLNIDLALPTCQDHSEDNWMHFSTEYKSARVSHWLDFVFSMSRHGAPP VVQKIARVPLSLRSCYAQHANASLPAYSQTCEIKPFNAVPAEGCFDQESFDQGQHWWS EDDQRYSVS AFLA_119530 MAPPTYAGMSGRKLSLTVSTIATMGFLLFGYDQGVMSGIISDPA FNDMFTATKDDNTMQATVTAVYEVGCLFGAIIALLIGDRTGRRWMVIAGAAIMIIGVV IQVSAMPGSLPLLQFIFGRVITGIGNGMNTSTIPTYQAECSKTSNRGLLICIEGGIIA IGTAIAYWIDYGAHYGPQDLVWRFPIAFQVFFGVIIIVGMFYLPESPRFLIAHDKVAE GERVLAALAGTEIEDRHTQTEKNLILDSVRALPRDSSGATKAKFSDLLTGGPSQHLRR MLVGSSSQMFQQISGCNAVIYYLPVLLEQSIGQSHNFALLIGGINMICYAIFATFSWF FIEKIGRRKLFLGGSYGQCAAMVIVFACLIPGDKQSAKGAVFGFFLYMCFFGATWLPL PWLYPAELSPIRTRAKANAISTCNNWLFNFTVVMITPVMVEHIGWGTYLFFAAWNAVF IPVIWFFYPETAGRSLEEIDLIFAKGYVEKMSYVRAAKELPKLSDDEIEAKAAEYGIL DNNEKVEERIAEHAPQDSQEYSSYLPSQL AFLA_119540 MSYPPSGSTALSAPGKVLLTGGYLVLDRNYTGTVIALDARIHVI VQQLKRGHRRGASFSSVKGGPDTETVEDGSAVDDKEKEDVVVVRSPQFVNAIWEYGIQ RCENGGGIKVIQRNDGRSNPFVETSLNYALTYISYVADSKDFGSLSVTILADTDYYSE TAFSRVSESPGRFVNFGVPLHEAHKTGLGSSAALVTALVSSLVIHRTLQPDDLGASRD KLHNLAQAAHCAAQGKVGSGFDVAAAIYGSCLYRRFSPSILESVGDAGSPGFEERLFA VVEDADPKHPWDTECLDFGMRLPRGMQMVLCDVECGSNSPSMVKKVLEWRKQNQQEAD LLWAALQSNNERLCLQLKQLAQSPDQESPEDFNDVRNLIQRSRNHLRSMTRKAGVPIE PRVQTELLDAVSAVDGVIGGVVPGAGGYDAIAVLIRDDQEVLKKLTELFKNWESKVED DFGGKIGTVRLLGVRHGSDGVKNEVLDQYAGWL AFLA_119550 MVFKPFTHLARQSFTKAFTHGYAQSVVAASQSSYASSATFNQLA NQPAKVSRSALQNVFQPSSSSGAGAKASQGGSGSGDLGLAAYYAAWQHAQQTGDDSDW KQFQVKRKLGWKPSTPEEVAKSKEDSVNSTNPHNFDSPHITKASANADVSAQVEEAVA REIQIQEEQAQAEEASEGKDDSATEAFPDLPADVAAIADVSTEQARIASDQIVQLALT KKYAEIPSAFEALLRDGLTPTVGAYNALLESAVRLHGDTAQAIQKALDVYSDMLRRRV IPDEQTYRTLVQLFVERAHDALKSQKSLEQDRIRYGGMEEPGKFMLHSSELERAILDE DHSLGIAIKLFNTATTRHPDLVFPLDTYSRLIIACAREGQVENMIRIYAHMETHKVTP HASIFPSMIDAFASTGDLKSAVECYNEYKALAISDDNGTFSIVQRLDGQVYAALVKAY LSCGKEKEALRFLERIRASFDEVTENKETRKDAVETVIVRDGLVSHSLKSGEHDKALS QAKTRLRDGALDHAMAEICIAAADAGNLKTASEAYDCLPTDTAKRHGPAISMLALYVR QGNVSAARPLWVMLSTVGQTTPDMVQPTAMYSVALLKSGQIEEALVEARNMFGRVRNA SIDNPYLLNAVREQINESLHLIGRVLIQTAAVLSPQTVMTLLWSMVENGGLVSPVADH AVASLGPIAIQQLNPRDLTLALQVQAGMLVNSSASLDVAHPIRFSHMLDIALATGLQM DPYTTRVVDQAVGKLFNSRPDMVRRWHDHLGLTSSPSSFLSDRHTPVSEMSTMTSAPS EDSFDPYAYATDFKGSAMIAEQLESTSGRPDSHLNDALTRLRNMRRSGRHPRYITYAK LITAAAKCHRNDLVHEILSMARRDVPLLHQYHAVKYGWVSILDAMVASCLTLNDRSLA SKYHQELSELGSAPSANTFGLYITTLKESTKTFDEATEALKIFHRAVAEGLSLPRSCT TP AFLA_119560 MRPLRPSSSTRFSLRRIQFIQFAQCYHHTPRHLSAMSSENPTAD HLASPGDDHAKHPRKLIFAPGDIQVPTPNDQTTPPHKPDAATPANISRLVAEALRSET STPNSVTENQIVSHPARAHQFVTNPPLTVSQMHPTNPLHQFHTWFRDPRLSASSAPET CTLATASLPSGRVSARVVYLKELDERGWVVYSNWGSRQGKGAQVFGTQADGDSVLGAM PEPVVDDDGMPQGNKWAALTFSWGTTERQVRIEGLIEPLSREESELYWRTRERGSQIG GWASWQSKVLWSAEPDSLIERRRKSLAQDVFPQAIPGDVDETDIDDGRALLEKRVREM EERFANTEQIPLPPFWGGVRLVPESVEFWQGRRSRLHDRFRYVRVHDPQSDSYKWRIE RLSP AFLA_119570 MPDSSTSPRSPSVLSERRNWRRLSGLSTSSTAQQPANGHSTAIT EEISEIKRYEDFTTIDWVQDAVHEQARRRAKRRDGFGFWDQEGAFGWRRKVRESYDAG QAWLVITIVGAVIGFISAFLNIITEWLSDIKLGHCTTAFYLNESFCCWGAEGGCPEWK TWTSWWLLNYVIYICYAMLFALIAASLVKSFAPYAAGSGISEIKCIIAGFVMKGFLGA WTLLIKSIALPLAIASGLSVGKEGPSVHFAVCAGNVISRLFSKYKQSASKTREVLTAT AAAGVAVAFGSPIGGVLFSLEEMASYFPLKTLWRSYFCALVATGVLAAMNPFRTGQLV MFQVQYDRTWHFFELIFFIFLGVFGGLYGAFVIKWNLRVQAFRKKYLSQHAVMESVVL AAITAVLCYPNMFLKINMTEMMEILFRECEGGHDYHGLCERLTSIAYHARSKNRWPLV GSLAIATILRIFLVIISYGCKVPAGIFVPSMAIGASFGRLVGVLVQALHERFPDSAFF AACEPDVPCITPGTYAFLGAGAALSGIMHLTISVTVIMFELTGALTYILPTMIVVGVT KAVSGRFGNGGIADRMIWSNGFPFLDNKEDHVFNAPVSHAMTADPVTLPASDFPVREA EHLLNDNKFQGFPIVDNRTKKTLVGYIGRTELRYAIDRARAEGILSPNARCVFTKEAA EASVARRASSSSPHHLAPDTFDAIQQTVGSSFVDFSRYADHTPLTVHPRLPLETVIEI FKKIGPRVILVEHRGRLTGLVTVKDCLKYQFKVEAQEHALAATQASEGPLGDQTSARS SDTLEERVWNFIQRVGSKLPRWRPAGRVALSDRHEGRGISTGTDDENDRLMELEERQ AFLA_119580 MNLIATAVSRIDNLEFLSDVIPKTTTYKQFKEKKAKEAIREAEI EKGQRTLNGTVPHANGESEHQEELQAIEEKPSKSPRTPVVMHATGAVSTLVVDRTVDN QSRGDDGDVEMVDQ AFLA_119590 MSLSSASSTLLRACARQQLPTSRAAIASCQQRRGVADASKSSFE SPFTSAKESSTYKIPDFSKYASKKPPRSNQVFSYFMAGSLGLASAVGAKATVQDFLVN MSASADVLAQAKVEIGLASIPEGKNVIIKWRGKPVFIRHRTQDEINEANSIEWQTLRD PQADEDRVQKPEWLVMLGVCTHLGCVPIGESGDFGGWFCPCHGSHYDISGRIRKGPAP LNLEVPQYSFPDESTLVIG AFLA_119600 MQSMPLLLRQSLRSTATFTRTSRPLRSPLLSAVGPKPLPAGSDP RRQFSVCVQCQFRSQFALYSSNETEKLKDGKTAEKPADKDQPATGSSEGSSTIYLGAG SLEPPPSAKEGNVAEQVQQQEAKDDVKTQETESKGLPSYLENRRSQVSKQFTTMMDNL QSNIFVAGQRLNDLTGYSSIEALKKDIHTQEERLRAARLRVREAKDAYAAAINNRSTS QREVNELLQRKHAWSASDLERFTHLYRNDHTNEVAENEAQEALSAAERESEEAAAQLN KSILSRYHEEQVWSDKIRRMSTWGTWGLMGVNVLLFLIFQIAVEPWRRKRLVKGFEEK VIEAIEKEKAMHHVEILAPVNAAQEIPAAPSADSSAPVVAAAPSPAAEETASNAISTE VLDVPDSATPAPDTTTTPNSQNSLNDLLESAKLQLSRFPPPTSVESWRQYISDLFSDR NLVITQRDLSSLALQSAAAGAAIMGLVIALIRPR AFLA_119610 MCGSDIFLAILAIFFPPVSVWIKVGICTADSIINLALCCLGYVP GLLHAWYIILKYPEQDPDDPYYEPVPGNAHRRDVENGHVTYYYVSHQQIQHPSQRGYG TVAPTTATPPLQQQSQSTPKPQNEPAAGSSGDQTQGDSRPPPTYAEAVKGDHKVQD AFLA_119620 MQCPCPYMAHRLSPNGLERVPRQNTLLFLQSPFHGSVGFSIRQV NFSTQQDFLTLLKDRVAPPKNISN AFLA_119630 MSQVRSDFTVCALPADSLTVTCISGADNESDECGYGSNLVGLCG FCGASSPNSTDSCCINSNAATRCKDVTIPTSTTIPPIFTSTSSPTAGSSSGHLSGGQI AGAVIGAIAGVALLAALAALALICWRRKRRARNDNVLNQPNPQRKGFSPMQPTPGQQG FAPIPGGRVARMSALREAPSYSPGRSRNSAALFGVGKHSESSDSDYYGASPGAMSKKI PPTAGKRTASLSSNSALAGAGSDGSPRSGIGGQYSSPDGMASGQSEQLSSFHDYYSQD DIRPGDKVAVLWAYQPRAGDEFALDRGEMLKIVGIWDDGWATGIRVPESAEDYDARHR EQRDSGVSNGSHRPIASPSPNGEIKAFPLVSSSPSSMSQKVRGFELLMPVYALTIAPL LFSVYNIRRGCLLDVVPSWGLAPGGTVHATITSYISRS AFLA_119640 MSLASGVSITDECINTFNDLRMKKGDKLKFIIFKIADNKKEVVV DEASTDQDYDNFRKKLEDAKDSNGKPAPRYAVYDVEYELGGNEGKRSKIVFISWVPDG APTLWSMIYASTRENLKNALNISNSIHADDKSEIEWKTILNEASGGKAGK AFLA_119650 MFLQSVSRAAARSSAMPTTAIRSYRTVSGPMACLNARPQPAKKS IAPQQTRASSEHAISNPTLAGIEKRWEAMPPQEQADLWMQLRDRMKVDWHQMTLQEKK AAYWIAFGPHGPRAQAPKGEGLRIAVKVAQLTAVSVALFYVIHLFAKPQPKTMSKEWQ EASNEYAKAEKINPIYGISAEGYEGKGFVQSPPAEKS AFLA_119660 MFKSGLARTFGRAAFARPTPVARRVPSKINAFPSLARLASTEAG ATGKVHQVIGAVVDVKFEGEKLPAILNAIETENNGQKLVLEVSQHLGENVVRTIAMEG TEGLTRGAAARDTGAPITIPVGPGTLGRILNVTGDPVDERGPVKATKYAPIHAEAPEF VEQSTEGEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYS VFTGVGERTREGNDLYHEMQETGVIQLEGESKVALVFGQMNEPPGARARVALTGLTIA EYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERITT TTKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSR MLDPRIVGQDHYDTATRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLQRFL SQPFTVAQVFTGIEGKLVDLKDTIRSFKAIINGEADDLPEAAFYMVGDLESARAKGEK LLAELENKA AFLA_119670 MAVPDMYIKLLKEKKDDEWDIGNLSFTLTNRRHGEKTIAYAESH DQALVGDKTLMMWLCDKEMYTHMSVLTEFTPIIERGMALHKLIRLVTHGLGGEGYLNF EGNEFGHPEWLDFPRDGNNNSFWYARRQLNLTEDHLLRYKFLNDFDRAMQLTEEKYGW LHSPQAYVSLKNETDKVLVFERAGLLWIFNFHPTNSFTDYRVGVEQSGTYRIVLDTDD PAFGGLNRNLKETRFFTTDLSWNGRSNFLQVYIPTRTALVLALEETL AFLA_119680 MEFLDKMLTCTLLLPGHGNAYSFDIDSYWASMSSQGGNGVELSN ITLRNWKGTEENGASRGPIKIVCPDGAPCYDITIEDFAMWTEDSSRQRQWYSCRNAYG TGFCLKSGSNHVAYEANTTTVSSAPSGYSAATMAADLKTDFGITASIPIPTIPTSFYP GATPYSALMANKGSTAKVRAVVAPSKPTTVAAATSTPAEQQTSTSTPAPAVEQPSQQS PGQSAGEVGGCPFGNGRSVPTGPPRAGHRLHQRHGHH AFLA_119690 MKASLITVIAALASSAMAAPAGGLSDVSILKEATSTTNAIPATS PVPSSGASGHIVQDAGHGVNQVLTVTGPNAKKLLIELSPSVAGLLSGLHLPSVGVPVG EVVKTAASVGDLVEDLGPRVDGLLTVVSEDGDVLLIKLSTEVAGLLSGVSLPGVGIPV GSIVATLGENLKRSADGQLVQDVAPKVQDVLEVTGADSKRLLIQLSPSVASLLADLGL PGVGTPVGQIIKTSGNLGDLLEDLGEPVKDLLVVTAQDGSFLLIKLSPDVAGLLTGLS LPALGTSVGSIVATLGANL AFLA_119700 MVLLGAFLVLALLQPMALSADLTSHVNLFLGTENGGNNFPGAAR PFGMVKLGPDLFISGTDAYSGYLPNGNFSGFSMMHEQGTGGAPKYGTVSQLPLIGNIS NPLSNKTVARTGTDQASVGYYKAQTSEGTIVELSASAHAGMYQYTFPKGSTGNVLVDV SHVLPSFRGQGLGQGYKGGNITIFPDGHYEGQGVYDNGWNRSPDWSIYFCGFFYLDPN GKQRLIFLVGGYFDAAPVRNSTYTGSDAEGSVEQISGFASSSSSTTRIGGLFTFQQTV VTSRVGISWLSTEKACQNVDEEIPEGTELTSVVHDAQTEWSSKVLSKVTTTSTNETSL TLLYTSLYFMHLIPTNQTGENPGWASSEPYYQDIFTFWDLFRCSTALMQVLQPAAYEE QIRSLIDIWRFEGYLPDARSSNYNGRTQGGSNADNILADAYVKGVRGAVNWEDGYRAM VKDAEVAPPNDPVDPMAPDSSTKEGRGALPDWLSLGFITPKYSRAVTRAVEYSCNDFG LYQVASGLGKDEDADKYLNRSRNWRNHWNSNQTSLGFAGFVVPRDTTGFIETDPLKDS GYWGDPYYEASSWAYSWASVHDMEGMIEMMGGAQTVLKRLDTMFTEGASGSSGIIFDP TNEPMFNIPYLYHYINRQDLSVSRSRNIAKTYYHTGAKGLPGNSDAGAMQTWLLWNMI GLYPITGQTTFLVHSPWFESMAIELGDGKKLSITATGGDGNGDSQIYVQKLRLNGKDW KKNWLTWDDLFAQGGTLEFELGEAASDWFTGELPPSPAS AFLA_119710 MPLTPKDIGIKAIEVYFPSRYVPQSELETFLGASAGKFTIGLGQ QNMSFCDDREDIYSLALTTVSSLLRKYSIDPKTIGRLEVGTETLLDKSKSCKSVLMQL FGDNADIEGVDTCNACYGGTNALFNAVNWVESSAWDGRNAIVVAGDIALYDTPAARPT GGVGCVAMLIGPNAPLVLEPLRASYMKHVYDFYKADLKSEYPLVDGHFSNTCYLQALD NCYQRYRSKKLAKTSGELNGVSTSNKSSFLDTFDYMVFHAPNCKLVAKGYGRMLFNDF RLEPDRFDPVPSEIRDVEYTASLTDKNIEKLCMGLTKDKFSERVQPSLTAPAHCGNMY TASIYSGLVSLLSNVPSEKLQNKRVGMFSYGGGLASTMFSLQVKGDITEMAQKIRLRD RLDARTAVSPEFYDQMCQLREKAYQQKNYTPKGNVDTLAPGTYYLVHVDDIFRRKYEL KPYA AFLA_119720 MGIFKNHRVYILTAVAYSGSLLFGFDTGVMGSVLALDSFKNDFG LSGSDGFADAANAQVSSNVVSLLTAGCFFGAIGASFLNDFLGRRYSLMILTVVFLIGA AIQVGASHQIGMIYGGRVVAGLGIGGMSSITPVFVGESAPPEIRGRIAGMFQEFLVIG STFAYWLDYGVSLHIAPSTKQWRTPVAIQIIPGGLMLIGLFFLKESPRWLTSKGRHEE ALQSLAYIRNEPASSEVVQTEMAEIRASIEEEQAATEGLTYKEFLQPSNRNRFMFAAV LMLCQQFSGTNSIGYYAPQIFQTIGLSATSSSLFATGVYGTVKVVATAIFLFIGIDRW GRKKSLIGGSIWMASMMFIIGAVLATHPPDTSASGVSQASIAMVAMIYLYVIGYSASW GPTPWVYLSEIFPTRLRAYGVGLGASSQWLFNFCVTEFTPHAVHNLGWRTFLMFGIFC VAMGVFIVFFAKETKGRSLEEMDILFGAVDENERRAAVEHTLNKGVVSHIEQAEDNKV AFLA_119730 MQDPEGSSSGSAVAVDLGLAVAALGTETMGSILFPSEVNNIVGI KPTVGLTSRYGVIPISEHQDTIGPMARTVRDAAWVLGAIAGRDGRDNYTLASPHPSVP FYVGACQLDRLQGKRIGIPRNVLPFLAMEPHGLAVLSAFEAAISVLTEAGATIVQDAN FTAWEEFPESKSVTQVLHADFISNIESYLSKLETNPNNIHTLQDLRKYTQSDPREDYP GRDTVQWDAALAVGINNTSPEFWPMYQNNLRLGGEGGVLGTLARHKLDAIILPTSLAA YVPSVVGTPVITVPLGAYPKGTKTVYNKFGNLVQVAENIPFGISFMGAHWSEEKLIGM AYAFEQRTLFRQKLKRYIEPHSEIAGLLQDRANGVCT AFLA_119740 MGDIEDTCASLRAQIAATEAQLAGLKRELESAEQAAIKVRAQDA PNSTATTSTQGNEKRKWPLLDEEYRRYGRQMIVPQVGLQGQLKLRSAKVLIVGAGGLG CPAAQYLAGAGVGTLGLVDGDTVESSNLHRQVLHRSKNVGKLKVDSAIESLRDLNPHP TYIAHRAHLAPQDAADIFKNYDLILDCTDNPATRYLISDTAVLLGKPLVSASALRTEG QLMVLNNPPRSVGDKTGGPCYRCVFPRPPPANSIMSCADGGILGPVVGTMGVLQALEA IKVITATDEEVKPPSLHIFSAYSSPLFRTIKLRSRRPNCAVCSGEASVTLETVKSGST DYVFFCGSVGPEKLLLPEERISPREYRTKYPVTASSEAIGTVTKEPTIIDVREKVQFD ICSLENSINIPISSILSSATKTAQNNEVANGSNPLPPWLPADIASSDSIDPIYVVCRL GNDSQIAVKRLKELGLDRGGERVVADIRGGLRAWREQVDPEWPEY AFLA_119750 MSTPDPQTHPPTDIPKRKPVPPPPPPPAPAAFPAIDEYKLEPHP QTAKWPPAKWTQLPRKKKRLILTSIAIAICLLALIIGLAVGLTRHSNRNLPLPTTSGG PYTGDLTYYDPALGSCGITSSNSDLVCAVSHILFDAASTGSNPNANPLCGMKVRVKRG EASVDVTVVDRCMFSLLFLFYFTSLALCLVSLLGLVLIGRS AFLA_119760 MSSHRSSEDSQWPLPDEPEVKPDSKQKKGLMAKLKSGWDSLGLD TPTILLMMNFQADAVASKFTTVGYLMAIISVLGFSIQPRAKFIQMMVLDVLAVCVASA VTLLMMYSCIKARQHTEVVSSVGTSIVNTPYNPSASAVSGVWLFFQIYVVHSFRAKFQ QFQFPVIIYSIVANVTFSYAPRMTTMAAAISMVTKLLEACLVGLALATGVCLFIYPVT SRTVVFKQMAGYVGCLRGALQAHTVYFESLEESDMFGRAETYDSKVEKITKDGKVYSP EAQAIRTAVQKITEIHGKLHGDLTFAKREVAFGNLGPDDLQAIFRNLRQVMIPVVGLS FTVDLFQRLSEYNKWNTPIDPTATDIPDLVRHRVVQEWNDIMRAVHDPFKSMIQAIDE GLQHISFVLKLAKPPKKTATAANGETSSSGVEDVEASAVPSPGDKNFAAHLEQRLRDF KVAKRIALRTWSEEKGIKLPKDFFDRPTDDMEDISYGDPFIGRERSQRQLYLFLYMEQ LLSSTGQMVLEFVKFADDKRESGKLSRRHIIIPGWKRLRKWATSLLKAEDTHEDDNIG DINAQNNILQLGEAYKHRKDPEHLRPETTFQKIGDKVRLIPAMFRTQESAYGFRVACA TMTIAIVGLLHDTQSFFIKQRFIWAMIMVNLSMTPTSGQSIFGFVLRILGTVLAMVLS FLSWYIPGKQTPGIIVFFFIFLTCVFYVPIKLFRFRAIGIITIITTSMIIGYELQVRK VGEQVATSNGQSYYPIYLLAPYRLATVTGGIAVAFFWTFFPYPISEHSVLRQSLGASL YLLANYYSLIHETVSARVRGEAGDMALKTSAGRRLLKSRNQVFSKQMLMLNNLRTYSE FLKWEVPIGGRFPKKQYDSIIACIEKDVKKLVGELDFSFHAVSTVQSAKSSAEVSRYS RATERNKLE AFLA_119770 MMGAAVALQVLLAPQLVSIDQASSQQTAVSVKASIQNPSNEPVT MLKWGTPFDPKAGILGVFQVQDETDGQAVPLDTIKFSRKLPPAADDLLEIAPESTVDT VVTLPPMPLQSGHDFSIRAQGRWHAVWETPVSDVQNDKLEQLSDANRGDFESNTVQFK IE AFLA_119780 MAFINSAAEDEVQFEGIKRRLRSSGITKEAVTSLGAGETLEDEF DIASTSDLASGGPVSIRSHGFVPIVVDGKITGYIPYKSNDLTVNVDGGKAAKVTKALS QLTRRTEVTDCKGDAESSLTTALSNAAKLANQAAEAAESGDESKFEEYFKTTDQQTRT TVAERLRAVAKEAGSTSGGSTTYHCSDPYGYCEPNVLAYTLPSKNEIANCDIYYSELP PLAQKCHAQDQATTTLHEFTHAPGVYQPGTEDLGYGYDAATQLSAQDALNNADSYALY ANAIELKC AFLA_119790 MTPLPKVSTLLALILPITAIKNPILPGWNPDPSILHLNNEYYLT TSSFEYFPGLPIYKSTDLTNWTLHSHALTRPSQLALYGTPTGGGKTTPHPSPTHNTNL DPKNKGTWAPTLSYINNTFYIATMTRWTYDPVAKVWPRITWISSPDLETWSDPIWAEP WGIDPSLFQDPVSGDVYLNLMAPDSKETGIWGIYQCQVDLGTGKCIDEYRSLWNGTML HDVSARPEGPKMFVRGEWYYLLIAEGGTDDLHRASIARSHSPRGPWTPNPNNPILYNG AYGFDNLTVQSTGHTTIFDTANGDSYAVYIARRKINGSSPLGRETFLSPVTWKDGWPV INNGRPILLSESFGDTPDQSTPDTWIDNFEGSTLDNRWYQLRTPYTENFILREKGGII FRPNVYSLSERDVPAAILRKQTSLNMTFTAELLPIRSELRQSETVGISVYLSEFQHQD IGVRGCKDGPGTGMCVYTQLVRNGTVEV AFLA_119800 MSNPPTIIILPASPEDAPTLTRIHGDAFQNSTLLDLMFGPPTEE NLKGFTAQLAELIANDKTARFTKAVDNDTNKIVGWSWWNIFPDHKSRLEGSEAFAKDH TDPPESAISPEAYREYFRGVEERREKWVGGRAVVFLRVLVVLPEYQRKGVGAKLMTKG LEEATALNLPVWLESSEEGYALYKKLGFKDLNDSIVMDLTKYGESGIATTACMLLENG NP AFLA_119810 MAAVETAFRTAAAGLESAISTRIHDTHPFQPLGSPAEEVYSLLF RLPGEPSDAKAAFEKQLQAFIGHIQFLQNGALEGDISPPHSDNGYLPHSTLCGQHTEM ILSPKPRKRKFACHQVDHTPEYGFANDDHYSLVKRALDEQKDEIKLPREVVSSLFREL LRHRAANKHPDHEVDLRKHQQMNKAFQTALQEIAKVITKVANGDLSTKVPIHPLEMDS DIITFKVTMNAMLDQLRVFASEVSRVAWEVGTEGILGGQAQVSGMHGVWREVTDNVNT MALNLTNQVREIAVVTTAVAHGDLSQKIERPAKGEIFLLQNTFNTMVDQLRTFATEVI RVARDVGIEGVLGGQARVYGTQGMWNELTTNVNAMANNLTMQVRDIATVTTAVANGDL TQKVRADCKGEILALKNIINSMVDQLRQFAQEVTKIAREVGTDGVLGGQATVHGVEGV WKDLTESVNRMAMNLTNQVREIADVTTAVARGDLSKRITANVNGEILNLKDTINGMVG QLNQFASEVCKVAREVGVDGTLGGQAVVANVEGEWKALTDNVNMMADNLTSQVRAFGQ ITNAATDGDFSKLITVSASGEMDELKQKINKMISNLRDSIQRNTAAREAAELANQSKS EFLANMSHEIRTPMNGIIDTGIGIDKSKLDLIFDKFQQADGSMTRKFGGTGLGLSISK KLVNLMGGDIWVTSAVGVGSTFHFTCAFTSLDPPVKDITKYVIPYKGCRVLFVEKISG HCNEDGKGIQQTLQQMGLHVDVVRLEDGAAPTETAAQHGKDLRRQYDVLIAPTMDSVS QLRNFDRFKTLPAVLVCPVVSVAMKSALDLGITSYVTTPCRPIELVNGILPALEGRPS RRSAEETRPLAILLAEDNEVNQKVALKVMQRYHHNVTVVSNGQEALDEFRHKQYDVIL MDVQMPVMGGFESTLRIRDYERLHSLPHTPIIALTAHAMFGDREKCISAGMDDYLPKP LNQTQMMEMIHKHATLRDVLC AFLA_119820 MDGYEVIVVNDNLDVLPHGEVGELVIGGKGVAGGYLSESKKPGN AFIQLGHFCPNQQVYRTGDLGRWNSAGLLEFVGRRDNQVKIRGHRIELEAVEAILMAT GLVSAAAAVKIDVDSNSDAESILLACVVPISEDTNPLTIRQAYTKNAPYLMLPRLEVV GHLPLTNTHKIDRRKLVEQYSERIGQKRTSPLHEPLSPIQQIVADVFGSQMGPEDNFF VMGGSSLHAARLVSRVKEDLGVQLRIAMVYEHPTQLELINLIESLRKGEVWTDKNETL WQPDLNLGKDMRPIPGDIVDWTTQSEGRVFLTGVTGFIGIFLLKELLLRSNVQRVACL VRATDELEAAKRILKALDLYELRPSHMEKIWAIPGDFSKENLGLGGEVYDYFAEWASI IFHLGARVNWIEPYEAHRQANVLGLLNMIQFANTKRPKAIHYVSSTSVYGPTGLLTGA TYIPEDENPESHLAALKYDTGYTQSKSVAEVIAWNAIANGLAVTIHRPGFVLGHSETG IGNSEDFFSRVVPSCVDMGCYPALSEHRDAFVSVDFVVLALLHIASSEKFFGRAYNLV EPHGDAMISLSEIFEGISAALPAGSLIEVPYGDWVGKCQSRTYAKTQHPLKPLMPMLE ETVLDNKTRWELQARMPVVGTESLRNALRASPNLLCFPTIRTLLPKYIRHWLEMAVCP FSRHLWGP AFLA_119830 MGVESKILYHTDNESGSSTYRESSQQCLITTHATDFATATQYTY REEDTRQPEWTPGVREWLVTTCISILVMMDAFNTTVVIPLMPGLSHIFQQPLENALWI NTAYLIGNASGQALFAMLAEVLGHGPILLSSSVLATTGTGICGGSLSLSVLVAGRFIQ GIGGGGVVGVSLLIVADLIPKSHQVQFSTYIFRAQTIGMVIGSVAGGVYHDYTTYIWA FYSSFVFCAMGLLVIPFALDLRGHGPENKLSATSRFRTMDWIGAMLTLLGMGTILTGI GWGGTQNAWDNWQTLVPICVGGIFLIILVLYETMWAMQPMFSSRVFRDLSSTMLQAGG FLHGFILSSHLHYLPLYLIFVKSLNTTLIGLSLVALTGLAVPALMVSGTGQVFRRPHI STWITRIGWLFTITATGCSLLLNTAIPTYGWVIIILVAGLGSALLTLGYNLCIHVNTL RFYPGQETRESAKVSTTSILIYSILRTWGMCIAIPISGTIIFNYLSSKDLLDMSTGYT SRHFGAYAPQEDKDAFADALQVLWKGYTAIAALGGISSFFIRSAS AFLA_119840 MAHGHTTQNFLSTLKRLGRKTKKVRFEDSANEMKSTTESDSESF GCPLKRVPTPYYHEMKPIMESEFESEPEPYGLRRVPTPYPVFMTTGRVNYTM AFLA_119850 MPLNTHIIILLVSVISHCLAFPSIWPRADIPLPDGMPNPSEEQL KKIQLRAHGTLPNTPLPSKISKDGITNLQLIAFNELFEVAFYNELLLNITKNVPGYKI PNPKKRDFIVESLVAILTQEELHALTANQGLEHFKIEPVQPCRYYFPVSDFDSAIALA ATFTDLVIATLQDVIVRFADNSDVDLTRVIAATIGNEGEQQGWFRVNQGRIPSELPTL TTGDLNFAFTAVQAFIIPGSCPNLDDIALTTFKSLDILTPPEPKTQFILVSWESEYEV KGDKLWLTYVNQLNVPIVEELKIVSHKDGKKIIAKALFPYHENLMNGLTIAAVTKSAG PFAGVNDVAQETLYGPGLIIVN AFLA_119860 MKYASFIAAAAAALASAVSAAGVSGSAEGFAKGVTGGGSATPVY PSTTDELVSYLGDSEARVIILTKTFDFTNTEGTETSSGCAPWGTASGCQLAINKDNWC TNYEPNAPTVSSITYNKAGVLGITVNSNKSIVGQGSAGVIKGRGLRIVSGAKNVIIQN IAITDINPKYVWGGDAITLNEADLVWIDHVTTARIARQHIVLGTQADNRVTISNSLID GRTDYSATCNGHHYWGVYLDGSNDMVTMMGNYFYYTSGRMPKVQGNTLLHAVNNYFHN IEGHAFEIGSGGYVLAEGNAFQNVDAPVESPISGQLFSAPDATTNEQCKSVFGRACQI NAFGSSGSFSQADTAVISKFSGKNIATAHLAQNIPKWVMANAGQGKL AFLA_119870 MAALRSTSRLVASSKPLFRPAVFARSYATVDAAAQDPNPSETPR TKTFHIYRWNPDQPTEKPKMQSYSLDLNKTGPMMLDALIRIKNEMDPTLTFRRSCREG ICGSCAMNIDGVNTLACLCRIPTDTAKESRIYPLPHTYVVKDLVPDLTQFYKQYKSIK PYLQRETKTEDGLEYRQSPEERKKLDGLYECILCACCSTSCPSYWWNSEEYLGPAILL QSYRWLADSRDEKTAERKHALDNSMSVYRCHTILNCSRTCPKGLNPARAIAEIKKLMA AH AFLA_119880 MALSTSACYQISESPIPNGAPCTFIYHSTGKSGLRKQYSVTLTY ALLVNFACFKTNTKLATMLHAQVAS AFLA_119890 MQFYITQASTHHEAALKLATPEMANISPDNSAPLFLLSALSSFI SCAKPLKLGNFFLLEDNNIADWLLLIRGTGTILDFADESLKSGPLASMFNVRAQHRNF STSRRHHALEELHQLILTQVQDQHMLHMYIGTLDEMNRSFAMCLEHNLRLETADVFVW LMRVPYDFLVLLRNYEPLALVILGYFCVLLHQLEWMWCMKGWSTHLLSQIYDQLGPTH RVWIRWPIEQIGFLPPT AFLA_119900 MRILPPATIITMSKGTPLSSGCICLSDGQSSGKAWESDRTLTNR GCVFGRRKAWMKADGAKSGSTVHKEASEEAIEQAGGEYAGGGLEVSSRTWSFSCSCPS FSSAIFLSRQLSGKDSNLEVAQALLLKDKVCQVNRKQEKQRVMKSTAWVRHLSLNIRA TAIDYSLIASWPETEMLYSWNSTTIFKM AFLA_119910 MENITENIEPFVAVGKRLLGDSHRVRIAAHVSCESIVKDQGLDF FAISHDSNIGSREGTRGSNAMNEGIGRIKRSLYQSYHESWRACIASYKSESRPFLADA IIANPLAHAHIHCAERLSIPLHIMSAMIWSPTKEFPHPLAHIDGSEDMDQVMANVLSY ALVEETIWKTIIEPINRYRQHVLGCQSISSATGGRLMIDNDIPHTYFCPEVLVSRPGD WDDMISMSSYYSNTSGYVFVEEEAQYSPAKDLSSFIESGSPPIYFLLQENSMESPGML ARAIQDIVVKHGLRAILSQGCRDTCRILNDDNVLLVDSIPYAWLLPRVAVVVHSGSAD QSALALQYGKPSVVIPHTADQLSRGIKLSSIGATAAPLMSNMFSPDALYQALEFCLRP DVQESTRVVQKQVHDESGLESAIKAFYRWLPPQVQKCDITNQNLAMYQIWNRPSMRIS PEVAAVLLEEQLIKQTDIVL AFLA_119920 MTGMDLILSSCDFHKSRKTHKKKEDGIEREVEWPCDPRRDETFG QMVNMIRAGPQRRSSFCAAQDNLSCMSQEEMRRAPQPIA AFLA_119930 MSVPRTIEMKKPPLTRDKENQYLKSQARELYRRHLVDSEKIRRL HDLVSQGREDASKADRLHHEELTKLYNKINSLQMRRESLEDIQVLDKMRSLNQNLELW IQTNFKDVKRLAGLGQPDVQFPRSSLQCRAWIQGCVTEMIYDSIFSPFYFGLPDDPWG QIIEFIKAGVGKTRA AFLA_119940 MVNGNKNKLSELLGRLRGLSMNLKSSQPGQVEATVTITGTSVKV PSVISYDGQRTYWGYQVRPFTEAFRGVKLLLDESQETKYTPSLASKVLLGKRKTNAVQ VVADYLKYLVEYAKSVLQRRFGIPAKDMDLRFTLTVPAVWSDTAKDRTLNAAIQADIR PQDITLVSEPEAAALYTLRSIQSNSMAVRRICGSMLLDQRFEKLLRDRMSVNYATLSS KSKEAALSYWQDRVKPNYTGKYDNDYADVDYFIPLPGVADNPKIPIEDGFFQLSSDDV EDIFEPIVHDVEELIAEQVAGITKLGFVTKAIVLVGGFGSSIYLLHRLQKKNPTVTVL QPPNAWSAVVRYVSADRKILESCGAVYRGLEGNQVECRVARRHYGIEYSAGYIPYTHN AEDAYWDPLEERYKANRMTWYIQKVITVSLYEENTVLPNLRGKGTMRLCTLHSHLGKI PRKLFERKRNSRGVEYFKIPFKLVMIPTSASLLFELEFEGVQYGCVRSKY AFLA_119950 MSLSNNRALDILNHAASNHYGVPAMCCYNLEGILATVRAAEAKR SPAMILLFPWAVHYADGLLVHAAAEAARKASVPITVHMDHAQTPEIIRYAADLGGFDS IMVDMSHYEKEKNLALTRELVAYCNERGIATEAEPGRIEGGEDGVADTADLEGLLTTP EESIEFVNTGINWLAPAFGNVHGEYGPRGIQLEYDRLQRIHDTVGDNVRLVLHGADPF TEEIFSKCIECGVSKININKVLNNEYVRVQREKAGRVPLTTLLEEATNEMQKAVERCM DMLKSTGRYP AFLA_119960 MSKPTIGFVGLGAMGFGMATHLVHEGYTVHGFDVFPASVQRFQA AGGRPASSLRESAEGKSFYVCMVASAPQVQSVLFGDEGILQYLPQNATLLLCSTVPAS YAQSVAAELQSRGRGDILFIDSPVSGGAKRAADGTLSIMAGGTDKALESGRDLLQTMS APSKLYLVPGGIGAGSNMKMVHQVLAAIHILGASEAMGLAAQLGLDARQTADKIIGSD AWTWMHENRFPRMVEEDWNPGASALTIILKDAGIITSSARQHRFPTPLCTTAEQIYLS ALLQGYGPKDDSAMVRQYFTTPIASVTASAEDQSAALQLVLDLMQGVNLVAAAEAVAF ARYLKVDLAQFYDLVSAAAGASKVFITKGLEMIKGQIGEEAPAGSQTVDEAIAKLEAV VQQARDLHCPLHLGNAALNVLFTARRHGLGAEGATSVIKVFGKD AFLA_119970 MHYWQDRHLLRKSQQEETEKPTQDDIEAIKQDKNLFRQAVQMLS EHLAHTARNIIRTDRVEDLDTVCKYDAETKDGTITSRGIVLIADEDSQYLETEAQVPV IVRKRGLTSKE AFLA_119980 MLSAKDSSPLLHRRGKHERRNELIREREVHQYGHSHHSFEPQYL SNIVNCYRYLPPHNPSSEKASSSDILTNLAQLTALQLGADCAVISLCDRKTQYVLAET PSVARSKRERHRDHTAPCDHAMVAFIEENSDWFLVADLAQDSRFDAYWSTLPRNCSYL AVPVRTSAGVVIGIIESYGSAQRDELDDEQSRLLQDRAGTVLDHLATLRTMREEHRGE RMIKALGAFMEGKSDIDKWVVSSLPGRQPPILESSRSLDPATSIHFANSQKTLDIPPS HIPQTELGIPLRGRRNSYRSRSPPRRARGGSLTSEETYSVLHRASVLIRQALDVDGVA FLDISTFNQERHGLSSKASSNSRSRSPGMAEHESSTGHVLGCSTVQGDSRDITVPASL LGNMVHRYGRGGIFHLDEDKLSPLSDGYSSDIDGSCSSLEDMASSYYGIDRRQISQAF SDATSIAFFPLWDFQQNRWFAGCFVWTQDPGRLFAESTDLTYLAAFNNSVMAEVSRLD LRAADREKADFISSVSHEWRSPLHGILTMLDILQETKVTNVQRSLIDITMNCGKTLLD TVNHVLDYAKINSLLGPTTHDRTLENAETPQGSQLNAPALIEHVNMATLVEEVAEALL ASQDYMGRNAEALFSATKQTADRPFRESNIPNSVPRAIFAIVDIECREGWECRVSAGA WRRIVLNLLGNALKFTPSGFVQVKLRHEMVKVGHDTLPAILLQISDSGRGISPGFTFS NLYTPFQQEDSLSPGIGVGLNVVYRIVDSMCGLIDLKSEPNRGTVVSVLLPITPASRP SSPSIPYGNLREKLQGKTISLFPNSSEYGDLKIEPDIFNTMLLSMETMITQWFGVRVL TPNERDHEHPDIFIITEHEYRYGEAKESAATYSRRSKARKNPKTSFPKIVLCTHAHSW FNQPRDPSEPVVFLQQPIAPKTLASALMSCIEHRSQLRDAGDNRVHESTTATLDEMCQ RQLQITTSKSPEDKKQDDKESDGRNSVVHGPEPTKKQNSVSSNPEDPIAPCKVLLVED NDLNLKSLPSEALASRIMDSLHVKLNFLGQLPALRLYTQICLCFPFDASSDHEIVRTL ENGLERLSTNFPWVAGQIVSEGSSHNNPGTFMIKALGKTPPLVVKDFRHDPDVPTMDD LRRADFPFRMLDENIIAPRKTLPSPDEDIISPAFLVQANFIHGGLVLTLVGHHSTMDM TGQGQVIHLLSKACRGDTYTGLELESGNLVEGHLVPLLDGSYGPGPELDRQIIRPGAQ QSSVLLPKSTWSYFSFNKSSLAKLKLLAEQSKASEFISTDDALSAFVWQSVLRARLKR LSPAHNTTFGRAVDVRKYFGIPPTYTGLMQNMVYHTDTVQDLLDKPLGIIASQLRMAI DPQTSSLRSRTRTLATYIESLVDKSSISFGASFNPSTDIMISSWASVNCYELDFGFGL GKPEAVRRPQFTPVEGLIYFMPKRSDGEIAVAVSLQEEDMARLAADEEFTKFGKYIP AFLA_119990 MRSTVTRSLSNATRARKGCISLRRFSLTTGKHKLVEAEKQRDGE QNHTSTNLESRDKSAILHSKLDTKPPTIVSSNGNYLIAEGGREILDASGGAAVACIGH NNSRVNNAIMRQLQSFSYIYAPFFTSKASEKLATLLSESTQNQLSKAFIVSSGTEAIE AALKMARQYFLELPNPEPSRTRFIARRQSYHGNTLGSLSLGGHVGRRAPYAPILTTNV SHVSPCYSYRGKRAEESDEDYVARLAQELEDEFQAVGPSNVCAFVAETVSGTTLGCVP PVAGYLQAMKEVCDRHGALFILDEVMSGMGRCGTLHAWEQEGVVPDLQTVAKGLGGGY APIGALLVNKRVVQALDKGTGAFVHSQTYQGHPVACAAAHEVQKIIKEENLLQNVRSL GEYLGNRLKEQLGDHKHVGDIRGRGFFWGIEFVKNKETKEPFLAEQKIAPVIHKTGLS EYSISFIPGTGVADGKNGDIIQIAPPYNATKADIDLIVERTALVVKRVLG AFLA_120000 MDLLTELENLALEAENENESNILETGLVHSHRPTTQLKAQRCDY TTTYLVKLEGPLNSPSIIQQIAGLLERPLLKRGEGELGDASFCLIKGTTRLAILSAFS NYTPTFIRVNMADKHLSNYSISPSLGSDIDTTLPHNRAPSTNQIFLPTQNQYPVWYFF YGTLTDAETLARKICLPVLPVLQQATVKGGKMKMWGGKYKALIDGPSSSVVNGWAYEV NSEEEEEQLRYYETDQYEVVRCEIHIVDSGDIVKGLTFRFIDDRSRVRETISANPIAD QIE AFLA_120010 MRNQDEITDAVTGHEADRNSTRKEKIEWPDSPASEVSFSHGKIT KTVDDVEQRIIEAGEIKYHRVGWVQLTVLLIVEAIALGALSIPSAFATLGMVAGVICT VGIGMLAIYTSYIIGELKLAHPSIRHYGDVGTLMAGRFGYEAFTVMLILSCVFVTGSH CLTGTIAFRHITGSDICSLLFGGVSAIILLLLSIPSSFADVAWLGYVDFASIIAAIGI TIIATGIKSSNTPGGLSAVNWSPVPQGNPNFAEGFIAISNIIFAYSFATTQFSFMDEM HTPKDYKKSIWALGLLQIAIYTITGATIYAFVGPDVESPALLSAGPLVSKVAFGIALP VIFISGSINTIVAGRLIHQRIYSNSITRYINTTGGWITWLTLITVITVIAWVIAEAIP FFSDLLSIISSLFTSGFSFYLPPVMWFMFLRKGKWYSKENLLRSVVNALVFLFGVAVL VCGLYASIQDIRNNYRTGKVHGAFTCGPV AFLA_120020 MDAGDKTDQEIEMGGYLSDHYTTADGFYELQKNFSLLSAIGMGF SLANSWFGISTALATGIESGGSVQVVYGLIIITIVYGCIAVSLAELSS AFLA_120030 MPFAQRKEIVTKALGLIQERKQSLGEELTVQMGRPIAFGAKEVE TMQKRADYLLIAEDALQPIPGQSEAGFRRWIERNLSGGS AFLA_120040 MAQSNAGQCCCAVERVYVHTDIHDAFVAEVQKALAQYKLGDPSG KTVNVGPVISRAAQKSVNSQIQDALAKGAVNSTSPNPTFTSAPREGNYVVPTVLTQVN HDMVVMKEETFGPVLPIMRVSSDEEAVQLMNESDYGLTASVWTQDLAAGERLLKLLDA GTVFINRCDYPNPVSIPALHTNLSEVANHRIQHLAWTGWKNSGMGHTLVPKAFDPFVK LKSYHIREKQG AFLA_120050 MSPLSFAVSFFYLYITGSVFFDTAHYLLHQWSKSQWRFLRWLSW CHQFHHLYYNRSLKFNNRYLRQNAWISLPLEMLSKILGSVLGWFLARSLITDNNGDPD TTPLILVSAFEFIRTMVVIAMSGRDSNHIAFDTVPKDRSWLFVGPEFHALHHVYPDRY MGSMVKLFDWVAGTAYFVRNKRVVLTGGSGAFGRAIEKQLLAEGVKDVRKIGFGKDWT HQDFSRVGPILEDADILILSHGTKGLDAMDSNCNSTIRFIELFLQQQAAGEGRASKTV PEIWYVGSEIEIHPAWGIPEMQRYSASKRAFMPYARALYDDPRVIYRHIVPAAFESQM GKAIVSPDWAARVAMWWIRRGACYVPVTYTGLAYLNFFKFQYLVRPDMSRGSKAKST AFLA_120060 MSEKKQDDIFPPEPYHVEYIDKDQGVIDQDAVFGEITEEGPNYR NVGWLGTTALMMKTQIGLGVLSIPLAFDTLGLIPGVIVLCAIAVITTWSDYIVGVFKL RHREVYGIDDTGALMFGYPGRVLLGGAFCLYWTFVAGSGMLGVSISLNAVSTHGACTA VFVAVAAIVGFMLASIQTLARISWIAWVGLFGILTAIFIVTIAVGLQERPYAAPQEGV WVSDYKLIASPSFTQAITAVSSIVFAYAGTPAFFSIVSEMREPRHYTRSLVICQSVVT ATYVAIGCVVYYFCGSYVASPALGSAGPTVKKISYGFALPGLLMTTLLVIHLPAKYLF IRILQGSRHLTANTTIHWATWLGCTSGIAIIAYIIASAIPVFNDLVSLVGALLGTLMS FQPMGCMWLYDNWSKGKISKSPKWIFMVFWSGFVILSGTFLMVGGTYGSVVSIIDSYK KSGGSAAWSCADNSNSV AFLA_120070 MSRTEPTSLFGSGPGNHALGISIVVFMSIALYNAVELVVLIPLS FHRYSSLYFWALMTSTVLGVIPATIGPSLQFFDLIPLWLSMVLSNLGFIMMVPNQSVV LYSRLHLVSQNKLVLAFVRWLIIWSLLTIVVPTIVLNVGSSYMPQSLPWVQGFEAIER IQVTWFAVQETCISLIYIWYTICMIRLSPDEDKRRHKILYELVIINIMAIVMDISLVI LEYLGFYFTQVIFKATVYSIKLKLEFAVLSMLVSIVHPPRSSVATWGTNCTASTFS AFLA_120080 MTLKGALVRMVRYWPHLPDTRGIECPGEFTDAELKGFAEKGQML FDLNKLVNYWRDEISINEDGWVSNDLYEDAVRKAAQRKESLVEAAEGDEQDIRLLKEG GMFRDREEID AFLA_120090 MEKVPPTPVPVFDQQPEFYHPQPAAPPNAHVQSPVHDPNMMAAP QPYVYAAGGHPSGYNAATPLHALQRGPTPVDCPICGVREMTRTEAESGNTTHGWAAVI CCCFCLGCIPYLMSSLKDYNHYCGQCGTMLATWHNSGRVEVHQNVRQ AFLA_120100 MPPDRGRASRACVSCRKQKTRCYESGTGGRPCLRCERLQQRCSF EHQPASAREDTVSHTTSVDARIEQLERTVGALLERLGEDSTSIMPPSVERPDNPWGSS HKDAASAAPKTDYPSAPPVMVIRDLASDVGVKSPDTSSNESVLDGLISADLAINLMTI FLEHYGRWVLFDPESDPKALLGKVNRSPLLFSACCLIAVRHTTECLATTLAPRLYQSA RSLISTALLVSPQPMEFFQAAIVLSLWSTTVGQVPLSIDSWLLSGFALQHCQSSPLFD AVNTAKPHVQLTKTTLDNWCIWNHLCLAHLQYCVGTSRKSMIHASQIPKCRVIVGSDH ATNYELRMVASLQDWKKEWQFILGMAYTKSTFLIH AFLA_120110 MDTADDRTRHLTDHIYHMITFAAIIICRLLNAYEQQLSQIYNLD ELDSLILSLVNWMQTIGLPCHAAHTLGHVIGKVHQKLRPAVVTQPLATEQSEVFFGHD LASYFPEFLGVETTEDGNWDLMPSWGFSSPP AFLA_120120 MDQISDTHGKSSSSIHADEKADPTWIQALSIEKGGIERVTPDQR QENVTHFWNACTFWLSANMAVATLTTGALGGPMGLKFWDSFVVILVVNLVSDLLPAWT AAFGLTGLRMTTFSRYSFGYWGNLLVVVFSMVATTGWNAINSISGAAVLNALSDGRCP TWAGVIIICTVVWIFCVLGISWIHKIDTFIWIPPLIVWCVTAGTGASHFSSTEPKEFK TSQDRAAAILSFMAIIFSFSVSWVNCAADYNVRMPINTSRTRIFGATYIGIFIPSVLI QTLGAALYSGTIQHPEWKAAYTSAGVGGLLKMALEPAGGFGKFLMVLAALSSIPNNIP NNYSFALHAQNFGPWALRIPRIALVTFGFIVSLVVGCCAAQYFKDTLQTFLSVIGYWT VIHIVLVAEEHLVFRRGWQGYDLDAWDDPKKIHFGWSAIGAFGAGFVGAALGMKVAWY VGPIAGLIGEGANVGHELTGAFSGIAFLVLRWAERRICGI AFLA_120130 MEQHTLRRRGLGLRGTDPKVSPGYILYTPLTSRTAHLISTTGKE VHKWTLPYRAGRHARLLQDGNLAYNGAHPDAPNLFPMWAKYRGGAMIQVSPPGEILRE YRDPKAHHDQHHLPDGKILYTTLEALTPEEAAKVQGGITGSEAPGGIVYGDCIKLVEP WSTSNRSSSEDFEGDGKGGAKLLWTWRAIDHLDTELFRMHPDYPREHWPLINSVSFDS DGNIIASMRNTSSVVIISRKTGEVLWHLTQPVVNQQHCAHQLPSGDLLIFDNGVFRPG ISVPFTRAIVVARETKDIIWEYKDRSTGGIGFFTPFMGSAQKLPNGNVVLCEAATGRI LEVTESGDVVWEFVVPQLSDYTAVLGEGELEEMWKMGFAYESNAIFRAYKYLPEKVPW LKED AFLA_120140 MARLSIFCLAYFALTFLSYANAWTLTWRNETGAQIVDGDSEQNC TRIYHTKGEEFSFNPEGKWCLKFWDEATCEAQIGKTCEGRRWQQIASRNISAFNVYAM PPADISANGMASTSTTSTSSTSSTITTTSSASTSAVTTESTQSGNDDSSKHSLSGGAI AGIVVGAVAGVAILAALFFFWGRRKRNAAAPAPASTTPAPGPDDRNLPEAVDPSKPAM SETQTQVSSVSPYGYPATGGKTVELPGEKVGAELSDSRQLVEMGNTPLAEMDGTSTIK RP AFLA_120150 MPSLLYVSSVLLATSSATVTYLYFLHRSLGHRIHHEFHQSELPD SVSTILSLPVEVSRGEYRTFYDHASRRVARKLLPAQKLEDLFTLLLRRNMTAFSRFPQ AWILRLTVPPADRITFHASHIQSLQFKEGDLVCGLYRVQERTPSKAVLELLFKGEVSG RMVIRFWEDGEDVVFCTETIMWTRKVNAGQGKRVIVPLENPMLKFLHEMAAWWLIDSG VTYLLDLKGNSPLEASS AFLA_120160 MRRSGYCSGWDADISGSDARPASLKSRVGKTCRLGRRRKHSGGN AHNHSSAVPHNVSGLDSRITTRYKDIAAATEEDPGYEAEDDERGRTTLKSRRYSPLSL GRIYELPGSFPEPDESAYDTAPEDTASPSEEGSSDLSHERLLTAMEAGIINASYEPFR RMSLQAHASHHSRKECKSFCFEKQNRCRFALANLRLTEATRDDTASHSCL AFLA_120170 MTIALLITGATGKQGGAVIDGLLAQDADVEILAVTRNTQSPAAQ KLAQKSDKVKLVQGDLNNPAGIFENAKKASSLPVWGVFSLQSPFAKGESLESEERQGK ALIDESIKQRVQHFVQTSVDRGGDASTDNPTNVPHFITKYNIEQHLFEKTKDGVMDWT VLRPVFFFDNLTPDFIGKVTSTSWDAYLQGKPLQCIATSDIGIIAAKVFLQHDRFKNQ CLSLAGDELTFEQMAKKFKTQTGQNVPTTFRFVAYFVMLMAKDLRLMFKWFYNQGYGA DIKQLKQIHPGLKDFDTWLKEESQFLKH AFLA_120180 MLFKSIVSTAILAAALCTDNVSAAKHGRFGQKARDSLNLAKRAA EQQKSSFKTPLDDFRFLTNKTKSYRVDHLPDVPFDVGEMYSGLVPIDKDDKSRALFFV FQPTLGDPVDEITIWLNGGPGCSSLEGFFQENGRFTWQPGTFAPVENPYSWVNLTNVL WYTAEDFVRFFKNFQELFGIKNFKIYVTGESYAGRYVPYISAAMLDRNDTEHYDLKGA LVYDPCIGQHDYIQEEVPAVPFVQQNANLFNFNSSFMSELEKLHDSCGYKDYLDEYLV FPPAGVQPQKSFNYTSDADCDVFDLISNEALVANSCFDIYEINLMCPLAWDVLAMPTA FNYQPAGATVYFDRPDVKRAMHAPLDVTWSGCSSENVYVGGDAGPEQEGDLSANPIEH VLPQVIEGTNRVLVSNGDYDMIILTNGTLLAIQNMTWNGQLGFQSAPATPITIDLPDL AWGEVFEENGQEMLQSQGVMGVQHYERGLMWAETYQSGHMQPQYQPRVSYRHLQWLLG RVDKL AFLA_120190 MPSRKSSRSSLTQNTGRGRRPPDKLDVWILGSGLASLTAAVHLI QEANVPPEKIHILEKLAVAGGGTISEGDPVNGYNYRAGGMPPFNGSAMEELLSVVPSR TRKGKTARDDIVEFGSHALNWTSHTRLLTRRSHGLGRIDPHKINLGLRDRIELFVMVS KAEKALGRTRIKDHFNESFFRSSASSPGTALRNYADMSPASCMMFMILTSRASDCGRY NRHEAIIAPVAHFLTSQGVDFQFNTIVSDIVMDPKNENRVSAICAHREDEPDHKIVLG QDDIVIVSVGSVMSGTTMGSNTEPPSLELMEIDKDLDENWLLWLELSTKSPKFGNAYN FCTRMPESRLESFTVTLKDPEFFNRFCKLTDNQPGTASFVTLKDSSWIISLNIPQQPL FPDQPGDVQVLWGYALCPEAEGDYIKKPMLACSGEEIMTEILHHLEFPVETILKNSIT IPCVVPRMTATLLPRGCGDRPQVVPEGMENMALIGQFVDIPDEVVVSMDYGVRGAQMA VRRLMGLDIEGKKSKRSSAMSLLM AFLA_120200 MKDPISRIIGLSERVPFRRVLEILWSNPRRRVATSAGAVATYLI LVACLRFQRLRRLHRQYRQYSTRQGMAYMTDHDAWAIQKQVLQLEFPTISLKALQFAL FRTYGIPTISKLLLKTSQFSDPATSFKRYADTGALIGQFMSFEPTSDRALTAIARTKF LHTGYRTSGSILNSDMLYTLSLFATEPIRFTEMFEWRSMSELEKCAIGTYWKNLGEAL EIDFAELPSAKTGFRDGLHFLEEMTEWSHQYEEQYMKPSPENKAVADKTMDVLVYVLP AWLKGVGVNFASCMMDERLRVAMMYDAPARLYYAIFFSLVAIRRFYLRYLSLPRPNFL RLDVFSEDPNEHGRHYVRTWSGAPYYVKPTLSNRWGLSAWFSRLVGLPLPGDEGEKYY PKGFETADLGPKYFEGKGRKTVQDYYDKLVKGRKVRPFVD AFLA_120210 MLLRRLLGSVKRMLPLTLFLAVFILAWGLAALVANTFQCWPPQY FWNQETGGHCISGERALFMATGAVSFVQDVVLLAIPFAIVWRLQMEPRMKALLTILFG IGGIACILSLMRLIEFRYYPTDNLTASGTRERIWTLLEIDIAIVCASVVLLPPLLKRC TDTCWRIYRHAISRLKTTECTQVESWPFQKHCTNFSQDGRSEVRSQAYPASAAEVRDR RAEMAGGCIRVETTISRDIDDREVLWPQVGSSSLTSGIQATLADEKKFAEDMSNVDFS GVGWDATYP AFLA_120220 MASLNLFSRIESPSEQEKQIFAILDEYAQPSSSTTASTAAQSIH EFAAPLLSDSQADGLENLLWQFWNIVINVARQIPCDSPSQERLVELVKALTEIPPTTI QIWGNDTKLWVDLPLLGPEMREAWNLNPTGNEAEEKIKEWINLNSFVARLLSISLAPW TVLGVWALRDALEEESSGRKVECDIAVAKEWLQHGGPVLRQQTLAAENKEERIMAGGT LYQGPAKLCPERWNFWKERLSQISDQGGDVGKVASTTKTAMDQLEDN AFLA_120230 MSTTSSTFKSSSGANCHPTALEIIQNNGLEGKLQDKVILITGCS SGIGIDTARALSVTGATLYLTARDLKKAEQALGDLIEKPNVHLLTLNLNSLASVRACA EEFLSKSSQLNVLINNAGVMATPEGRTQDGFETQFGTNHLGHFTLFYLLKPILLASST PEFNSRVVNVSSLAHRYGEPVFDNINLEGIYEPWRAYGQSKTANIWTANEIERRYGSQ GLHAFSVHPGGIRTGLQQYVPKEQKDAWDQDDAISSVWKTSEHGAATTVWAAVAKELE GKGGKYLEDCQIAEKYDPSTGTAGKGYAPWVYDEAKQAKLWEMSLNLAGLHV AFLA_120240 MADPQVPSSRAINACLTCRKQKRKCTKERPRCSTCRKTGRACDY TPIAGDVEVQQTALSSINEVSRGVLQFAKGIRSAMDLGGALRMSPLVLDCLYQAAANF MWQSRETGNSDLVSMANEIQSVLEVLGTRWKAPSKLDYWNVRLLSYANALALGAYLSI LRKVGGHC AFLA_120250 MTTSTVVSLLSSLMQTQSTSEHEQELAHFLDDHLTNLGYTVERL PIAEGSTRENVYAYLGTQRKTRVCLTSHLDTVPPYIPLRIEGSTIYGRGACDDKGPMA AQICALEELRAEGAVKEGDVGLLFVVGEEKGGPGMIAANHQDLSFEGVIFGEPTEGKL VVGHKGHLVFELIGEGKACHSGYPQHGVNANFALIETLSDFVQTEFPSSSLLGPSTFN VGKIEGGVSYNIVPETSKALCAVRVATDMAGIKKIVSDTVARHSNVRLEFKFEYPETL LDHDVEGFETAPVSYGTDVPRFKGNHKKYLYGPGSILVAHGDNEQIEIDELMEGVRAY KKLTMHALNSAR AFLA_120260 MGSAQVEFKNASTNTLLELVKARRTYYGLKAESPISDDAIQSIV ETSVLHVPSSFNTQTSRVVLLLKEEHQKVWDIAIKAMEGLVAAGAVPKEAFENHTKPK LEAFRAAYGTVLFFVDYESLAPIKEKFAIYADKFDPFALESNAMSQYLVWTALESEGF GANLQHYSPLIDADIQKTWDLPASWKLDAQLVFGAPTSEPGEKEFAPLENRFKVFGKS A AFLA_120270 MDFTFHEGVNGSSPWVEFYPYNPSQTAGYAFMAIFGITTVVHII LMFPYKAAYFIPLILGGICETFGYYGRAWSHNNRTLISSWALQQMLILCAPPFVAATI YMVLGRIIRAFDAEHLSPMRPKRITVLFVLNDVFCFFTQLGGAGVQITGDAKVMDIGR KVVIVGLVFALVVFLLFVWIAWVFHRRLAAEPTGVAAAHPGLNWRRYMWAIYGSCLVL MVRNLVRLVEFGAVKSALNQQEAYIYVFDAAMMFLSMGVLVFWHPGVLIKKARRAGEA GRLCAGMEDGGDGDGVPLAGYKGYQRC AFLA_120280 MAAFGSQVFCLFPLPTAPVAALQIDFLAAATSSVALLFLGIVSF FEHHRAIRTSWSPLLYLLCSLLGDVLFLTSPEPSFQEQVTTWVVARLALLKAVLIFLE SGNKESILMLHCRELPPEQLAGNFGKTFFAWIYPILRKGYTDILTPQDIPGLEGKLSS GRLRRNILQAWDQRRKPETTLTLPVTLLRLSKGAFLSAIIPRMFLIIFRYCQPALINA AVRFVHNGNENSNDRNYGYWLIVMAGIIYFGLAISTAVYHHQLNRLQIINRSALIALL HHRTLHVQSERHDNGGPITLMSVDVETLSTLGDMLHETWAYILEVIIGTTLLASQIKW LCLVPLVGVCCSSWMSAYVARHLQSRQRDWNAATQKRMAVTTALLQSIKSMKMLGISD SVKTWLSGLRGEEIQASIRLRYVLLAYNASANALGMFTPVITLVLYVLFARSKSNGAL PAETAFTSLALLAMVTHPANMVMTIVPRAIASLANSERITNYLIQGTIEDCRLDIRQA QVGSGITETHKRAAVLLADVSIQSSHTSKPILKQLNCKVNKGSIVMCAGPVGSGKTTL ARALLGEISPSSGVIYTSSKRIGVCAQEPWLPSGSIKEVICGGLQVDETWYEQVLLAS ELVKDLDTLSDGDGTEIRFPGLNLSGGQRQRVALARVLYARCEIVILDDTFRALDGKT EKVIVHNLLGPDGIFRNHGTTAIVITNSAQYFPLADHILVLSDSEIQRQGSWDKLQHD RQQIDKFMPDEREYRHISKGSEAQGSLQKDPRVDAAQDLTRQSGDLRLYGYYLNAMGV RNGLFMLMCTTSYSFFITFSQYWVKWWAEASDEQTAFYIGGYIILALVAWISTNGTMW STCMRISPRGGAVLHSRLLDSIFGAPLSYFSNNNIGVILNRSKVWRGHRARRSSATKC FSSSRHSVDPSSNQTLEVFKLLVQATILFSVVPVMAITLPFCMVIVYFVQRVYLRTSR QLRFLEIESKSALYSSFVEMVDGLSTIRALQWQRKYTSDIVGTIDTSQKPAYLLFCLQ RWLNLVLDLLIAVVAVGLVALAVTSRGTERATAIGLSLNMIILANTTLLRLVESWTSL EVSLGAIARLRSVVTETPQEENTGEQKLTAPTNWPVAGSIVVHGLEASYSPPNLALQN IHLDVKAGQKLLICGRTGSGKSTLLLSFLGLLDLQPGSIMVDNVDISNMSQTYLRRHC FITVPQDPFTLTAATLRFNLDPEGFLPDSVLIEVLENTGLWEHFCQSSKLNHSKSSEI EALLDRPMSSLPPLSAGQQQLLSLSRALAHKRAATAHGYSDLQTQLSVADRRPILLLD EATSALDPETEAVMQDVIEKEFTQKGYTVIIVAHRIGGMLKYFRDDIDAVVWMTEGRI ERVVHTQAAVRLALKDDRGGGSNLCSTDLP AFLA_120290 MDSATLNKIRSRRSHHKSRLGCKNCKRRRVKCDEKKPSCGNCVN HSIECDFSVSTPTSPSPSPPAASSAHQRYRFRQSKYQTLASPAPNEAQRPGGNSIAVQ CDFSANISAMVGISFADLQLFHHYLISTYRTLTDEASDPYDVWSIHIPQWGIAFPSIL HLMLALSALHLGHEKPELRHQYVMQANDHFTFGIRSVTTVLSQLNSENCQLIYMSAVM ICLVYFGHGPRPGEYLVFSSQGQAEWLVLMRGVRSILATNHDKIFSGVLTPQTDDSIQ SVSPELQGELRQHHDRIVELKTFIEIQTAGPEKDLYLSAVGSLPDTFDETYTMRSAGK DGVCLLPMVIGWIYRLPEPFVVLLEKKDPFALVILAQWSILLTYMTSSWLFVGWDQHV IKGIRASLEEEFYNWISWPETVISPRA AFLA_120300 MLTFDYKADATTFFGSSSSSRISHHAQTLLEGLGTHRYLESCTE RPIIFICHGLGGIVVKKALVTSAASTTMKLSHLHSVTTSTFGLLFLGTPHEGIEKAKW YLLSKGVKGILRQHSQLVASMEKNTETLQSITEQFTPLLKQFYIHNFWELRETVHGFR MSCLALGQVDESERLISHVLDARKRILGEEHPYTLWSMNDLSKVYCAQSYPKDALELL IPTLDVAVRTLGRSHIGTLMTMSNLVHTHRMIGTPSNIRTAEAMLGDLISAQIKSLGP SHPDVYGAKLQLAQMYERKGQLSQAEIVYRDILSAEGESPGPRIHTSLKVKESLSEIY HMLGRLEAMPQVEAKL AFLA_120310 MDLFTFPFKDVGYTLEQKFLGTIAFGTIDPENLEAIMTNINVFS FGLRRHILFPLLGDGIFTQEGKSWRHSRELLRPQFTRQHYRDLNIFRPHVDRLLHYLT GNGAATDLQPLFFRLTLDTTTEYLFGKSVNSLMPGEATKGQSFANHFDMAQNYVVQRF RLLDLYWLIGGPKFWRSCSAVHRFIDEIIDARMDSTEKDKDCNSKYIFFDAVARDSRT RRDLRDQLTNVLLAGRDTTACLLSWTFHCLARHPDVLGRLNVEIASVVGTNTDLTRDD FKRMPYLDQVLREVLRLYPPVPVNTRTAHKTTILPTGGAKDGTKPFMVREGENVAFCV YAMHRREDLYGPDAGQFRPERWDEDLPLFQNERTATWGYLPFNGGPRACLGRDIQGRK WLGWSSHQPEGIEMVTEEGQKMTIVLSLGDGCRVTLGR AFLA_120320 MDLSKIPVAPPPPGVTPKFDNPEGSKFKIYSVSLAMCSSATLVL LLRLYTRFYLLRTYGLDDLFCVMGQICAWIFAILSVISKYTQLCQYSLDTHLTDEDIK NGYGVHVWDLHLDKITPFKKYDLAEEDVYALGVWFVKTAILLFYLRLNPEKRFRQMTF AIMGFVAFYSLLSILIFTLGCNPVQAMWDVTIKDAKCVDQFAFVYANAAFNVFSDLVT LILPIKICWSLQTSVRQRMLLMVVFGTGSFCCVVAILRIVTMMPYIHSSDFTHFKVTL ANWCMIEINVGIICACLPTMRPLLARCFPRIFSSIDRSNNKNYKGSDGSYSLKQRKKI HNWDHLTTLQGTQLTTQDPENLKHSESVQELVKPDGHNDAQGIMTSTEYSVTYNRDHS HLT AFLA_120330 MLDQTCTAIGYSNISSMYPVDDRVTFWLDAFQVSGVAMHDASLP LMIRLLISATNMNPWDRRLRKVIGTLPDSTGEAGGAKIIVRAFAMTTLTG AFLA_120340 MGSIDPNFDPIQEELDQVIAAATRYKERLSEADSYDARYDLMAK AGRLYQTIRGPADMVFSKFEDAANMGAIRALLEAGVFHVIPAGGESISAKEISAKTGV DKEVIVRLMRAVTPMGPFRETGEEEYAHTPFSEIYMAPQMMAVYKLMVDEYFTPMLRN HEFLRQQNWQNNFRLRNNPYTFVQNCEGETMFEHISKFPDRFTTFNEAMVAQDSGLIA IGLYPFADELSKLAEDNTATIVDVGGGRGHILRQIKKSAPELKGKFILQDQATVIADN GTETQPYGIEAMAHDFFQPQPIKGALVYYIRRCLHDWPDEPESRQILENLAAAMDPEK SRVLITEYILPEVGSNMFHAWMDHTMMTFAGRERTEKDWERLLDISGLKLVKVWRAPG IPVGVVEARLK AFLA_120350 MPVTFKVAKHEAEKWWAQKATTPGEFLERTSPRDYRRSKRIVQS SFEKLPFYDTHDLQDRHITPSENGLVRAIFSAYSSHYNLVLRPEDVWFSILSQLGFYV NAHAEELRSYFVSHEGQKELTVKSAIRDFGALAMAMTEQIQENVKDPELREWIMPAFS TTTTSDKIVSAILMMGVLQKYFSYKMCLMCGIPSVTLLGERDDWVLLLSKIDKIPELG EEPTQFTTLLRPILQHFISSFDNPSSPDTVDFWQRSVHSQGGGSGPSYLSGWVTAFCF WDAEGRPLYRQDTVANGLGGNLDGIWYHRVDTDEIPSGYNTVPVKVDDFGNVYMTKML AGLVGIEATPITSASGQEAGSLNTIQPVAGWWMYEIDSGEEEAREKEKRQLKAEMNSI EAASADWANDPQLSERYWKLFSKHRDLELV AFLA_120360 MTLNMGQGDQKPTLSTATLPKNTPQIIGDTARNLRRCTQREIRH GNVGGYILGTHVPPELVDPELDDHRGTFGIKCRVTYDTKTQLMIAQIPCNPHIIAVGH FGQKIMMQSFRKGDHSELMGIGSVTLIDGNLQKEPAACYSPRTLPPERTRKWWPTLVV ESGWYENLAHLQMDANIWIGRSEANVKVVILISMTKDRDALVLEKWVPGNPPQTGSCA RFFAYREQSVRIFRYGNGVVRTTGDPLIIRFEEVFLRPPNSPLEQDYELGQAALISIA EGAWNMPI AFLA_120370 MRLINVQTFALEEFFNEQVPPYAILSHTWGNDEDEVSFRDITER NTGDASWPVKFKGCCERAEKDGFTHAWIDTCCIDKTNSVELGEAINSMFRWYSNASVC YVYFSDVTTDDRKQLPSQISSSRWFQRGWTLQELLAPRRLLFFNSQWVDIGSKAQWTG LIETITGISRAFLLGRRPLSEASIAQRMSWASKRTTKRKEDIAYCLLGIFNIMMPMIY GEGDHAFIRLQREIIRETRDDSIFAWGLKSAGPVSTEPQEMISAGIFAPSPASFIGSG HILSLERESKCRTAFGFDRGYIRGNFPLYTRQDGQLFAKLSCGPETKCVEGQIVGIPL YHEAPGEEYYIRPEGRYAQLLPDIEVVSFTPIIYIKTERQRASTTTATNQSCFFIEDP INAGLKLIEVEPQDCWWKEDSQIVMENDTAGKTIQRAWARFRHEREGSSDFLVLLESR VQGSQIKIRSHIMTSSQTTALRDLAEQRNRMRKAAFGKKVATDGVTTIHIKTEQDTTE QGMFVVKLTKATALPSVTVNGTFELELRAVASGLERVAKRRDEILLEIEHFNQQRGTT LTWDSIRSHLDAIDEEKCVLEKIGKSQMEWTKEGYTFARQANSLAEAALANGYVPVLE KKLIKDKTMGLVTQYSLLLAAAAGLPFSSADGQYRSRPDLTVPKLNITVPAPDANGSE YVFVAPYANTIPQPGAYIYRKDGDLVWSGVGYYSGFVANFHPTTYNGKTVLQGFQGTM DQNHGEGVGQHVLLDQNYEHLISTRTGNHHIPSIHEFTVVNDKTALVEIYLPTIANLT LWGGNSSQQWLGNGLFQEFDIATGELVFEWNSLDYLDPADSLNALGSSASNSGLSSAQ TWDYVHINSIDKDNDGNYLVSSRHFSTIFKINGTDGSIIWQLGGNHSTFTQDFTFGFQ HDARWRSQSGNIDVISFFDNSGNGEITFNNVSRALFVQLNHTDNTATVIRKATAPYGL EANSQGNTQLLANDNLFVSWGSAGAFTQFNADNEILYHAFIEDAVSYRGFLANWTGTP SEAPALAAYVDSANTTRLYVSWNGDTETKVWRFYQVQEGETQYLGEQSRTSFETSFLW ESEYSPANDVKFYAEAIGDNGDVLVKTLPSLATSFTEITE AFLA_120380 MKYSVFSAALTLAVSVSAAAFPKMSSNVDKRETVSQFIPEYFTG SCSKEKMTIRKEWRHLTAKQQTSFLDAVQCLMDKPAKSGLTATTSRFSDLQALHRGMT NTAHADIIHHVGQFLPWHRYYMHIYETLLREECSYTGPIPWWDEQKDADSGNMWQSSM WGPDAFGGNGTGSDLCVIDGRFSNYTLHIGPGDEDTDYCLRRAWDNENAIANANSIAL NNCNAYNTFSPWWDCISNIPHKGVHTYIGGVMADIKSSPGDPIFFMHHMYIDRVWWKW QKEDPINRLYDISGPTLNHTANVEPAGGWQNATLHYELSSFNIKPNVTIGEVMNTQGG YLCYGYD AFLA_120390 MALAYPEGECFFKRSALNSSNKSFYQADRADHFYGSWSQLPFVY GSHYSKGKQRRVQHNLCTNADRLQAQMKGAAMESWFMIMPMPKRQEGWVFIDRLTNIR GIYIPVAHQASEDHPELCTFVHVNFATADGTVNMFHHTGNAKNPKTFWKPVTLKKTVG LQDWSNLTVGFNINGLVNLLSLPRQLRAQNAFTSKNIIILESDMVASPRVERSYDDGT DFDWAKVAGANFGLSIQWKPAPQKQDWLENFVKNSLTIAVGFIPGVGPIAAIAFPLIW TAIADPDSFVDTWRNLCPGVDLQLKLLEAIKDSAKETREYLPDGWEKTALGPKFLSGS HATGMRVAALVSDTGSKQDDLGSEALIINELKALVGESADGLGQALDPGRPEDQIVIL EADTEAVGDGDVKDNDHRLEDQVDVEVVPDKVTLDEVGPDMSFKLAEQALKDTARSED ESEWKKLVDNAVETAKDIASKLPTIPGIGHKDESSSNDSQNDPAEDPTASDGPVNDFN WMDDYFKALFSGTLPLEGQA AFLA_120400 MAVSISQISFEHHREALGIAESKPRISWRFEGIAPNWTQAGYDI EILRNGVPQVYSVNSSDSILVPWPDTALGTAEEARVRARAHGNQDQSSTPWSDWASVE PGLLNDKDWGSAVPIAADRETEVNGPKRPIYFRKSFGVDQNVKSARLYITALGVYEAE INGQRVGDRVMAPGWQSYDNRHVYDTYDVTDLIKQGHNGIGATVGEGWFSGNLGFSGG HRNNYGDTIGLLSLLVVTLEDGTKLEVPTDASWQANIGPTVSSEIYNGETYDSRLEAK IAGWSSGSFNGTNWLAVKQLPSLKGKLTPPDGPPVRRVEEVKPQNIFKSPSNKTIIDF GQNVAGWLRVSVSGPRGTNITFHHAEVLENGELALRPLRFAKAIDTFILNGNGVQTWE PRFTTHGFRYAQVDGWPEASTPLNGDSIRAIVVHSDMERTGWFECSHALLNKFHQNVI WSMRGNFISIPTDCPQRDERLGWTGDAHAFGPTADYLYNTAGFWRGWHRDVWSEMQIN GSMSVPPYVPIIPPNTDSKQGAAAVWGDVTVGGPFNHYQAYGDLDMLEEQYSQAQGWI DTGIPREDTGLWDRDSFQYGDWLDPKAPADNPGDATTATHLVADAYLVRMTELLTNIS TALGHSDLANKYSEQHTSLVDEFRKAWLKDGAMANRTQTAYALGLHFGLFTTEEERSA AGDTLRSLIADNDYLVGTGFAGTPPLGFALAEIGATDDFYRMLLQTKVPSWLYQVVQN GTTTWERWDSLLQNGSVNPGEMTSFNHYAFGSVADWMHQVIGGLAPAEPGWKKIKIAP VPGGNITSANSRFISPYGEVSAKWELNGDSFDLEVTVPPNTNAVITLPYGNVTKEVGS GQYKFNASRE AFLA_120410 MGRDIVKTMILGLIASLGQAAVAAAALQIVPGATWTAAGTNQHV QAHGGGIIEVDSTYYWIGENKLDGSSFQSVNCYSSKNLVEWTFVGELLSRQSSGDLGP DRIVERPKVIYNDATSKYVLWMHIDSSDYGEAKTGVATSSSVCGAYEYLWAANNDNKY VTATSLSGPWTNWTDFAPSGANTFESQTTFVLRVGGPDLDQLVISG AFLA_120420 MAASSRTFLAYQHDWNLLSLDTPVTTVYRFNELEDPNRQLFPAG NDDDHVVATEKTIFHPQGGGQPSDVGTMTGPAGTTFTVTAVRMDATGQGQVLHLGRFG DGSCSAFSKGETVRQEVDAEKRLLHSRLHTAGHVLGAAVRHLLEKEVQDFDELKASHA PGSASCEFQGLIEGKWKEPIQKRVDQYIADKREVRVEWWDETMFREKGLERLIPDRSL MPGEKFRVVNIVGAEVYPCGGTHVDTTDLCGPTSVTKISRKSGKSRVSYAVN AFLA_120430 MASFDQEAKEGNDAKNPTNTPDALAGDVEEANGNTLKRALEGRH IQMIAMGGAIGAGLFVGSGEALANGGPASVLIGYLIVGVLLLCTIMSLGELAIMYPIN GAFYQYSTRFIDPCWGFAIGWAYSLGWLVTLPFEITAASLTIEYWNSDLNPAIFVSIF LIVLVIIQVFGVRGYGEVEFVLSIIKVIACIGLIILGIIINTGGVPGSPQGYIGGKYW RDPGAFANGFKGFCAVFVNAAVAFSGTELVGLAAAETKHPQKTLPTATKQVLWRVTIF YIVNLLIVGLNVPHNSPQLLGSGDAASSAGVSANASPFVLAIQDAGIHVLPSIINAVV LISSLSVANSSTFASTRTLQALAADGGAPSFFAYIDKAGRPLAPIALQVLFGFLAYLQ FASSGLTIFNWLLSIAGVSTVMMNLSINMAHIRFRLALKAQNRSTDEIPWKSTLGTVG SSIGAFLSAIALVAMFYSALYAPGGDPPSAFNFFQQYLAGFMGLVLMIFWKVWNRQWW LGVPLRQIDLDTGRRFMEMEQVTPDETGESLPWWKRAKQTIC AFLA_120440 MSIRPLVYDKHVLSIHDLQYEASRKLPKVYREYYIYGATDMIRA LWMQSQIPTGILTNRIA AFLA_120450 MANLLRRYVAPAETARHVATILGFPSKISIAANYYEDACKEIAS LASAISAFEPVRLYTRPEDVSRARDLVSKSIPIFNGTDSNINIIPFSTNHLWVRDTGP VYVYGTGAHAKDRFAVNFRFSEWGKKDDIGDHYRATDGLDWPVMGPEQVRENATFAQQ VIGNDTSPAPVVQVESKICLEGGALVLDGDGTLLATESSILNENRNPGLSRAEIEEEL QRLLGVEKIIWFPGRRDLDVTDVHADAELNFVRPGVVVLSKPHPSVPQPWQDVHNEIR DILDREVDAKGRRFEVHIVEEPDPTIFGDLSYPDPATNYVNFYFVNGGLILPQFGDPV KDAEAVSTLQKLCPEHKVTQVPVRGLPLAGGVIHCSTQPVVAVGEQ AFLA_120460 MSKSIVNTNPYPFHFDASTPVQTNTNGKTQDLSVIIPNSRGKIP SLQASRLRSMVLEAHNDPSKIVAHVCSYDALSSKLCEEAGFPIVFLAGYAMASAFALP DTGYIAFQEVAAKVQEVVRATSVPVLVDGDTGYGGPMNVRRTVEGFARAGAAGIMIED QTWPKRCGHTKGKAVVSRSEAYARWRAAVDARNEGLDIWIMARTDSLIHGYDEALERA REAIKIGVDCVFVEALPDRETMLRLRKDLDFPVFANIIEGGKTENLSAKDLAELGYSA VAYPWTLVAAKLKSIRETLEAIKGSFLVGKPPTVLSYEEVCEGVGFNRYYEMEEKYQY DGSTTGSNGYQWA AFLA_120470 MAADGMLRYAPLRTLASLHTLDQCIVALRSSGTDDMDFSLRYAR LIEKHVDRFRANFVSDRVATMHPDHQRYHLQTPEPLTSTQPDGRPHMHSASSSYPGQQ SSDAFATPPMNSWWAQPFDPNIAPFNFNGEGVSIGFELDSLDFLLNLPQVGGE AFLA_120480 MSTILARPSDALDVLFDAARPGVGESPSSPARDRDKTQTVVSAS GLVSVSGLSHPSEDVLDLWDRCRFVRQGWFTAQEAVTYIDLFYKYLAPLSPVPTVAYS DHRNHEQLIVEEPMLCCTLLMIASRYFVLPGAGGISRSHFIHHRLWQYCELLIRRIMF GQEKYSTAKTRIVGSIESLILISDWNPRSVHFPPETEGWDGELISPAYDRRNRLQTDE DVPLIRWREDVFEPAKRSERMSWMLLGAAVTLGYELGVFSDGYINTPLLANAQAVRVY RARKLLYTVGHVLPVNRACKTATIVRPLQYSTTTLCIVFD AFLA_120490 MYKIMTVTDILHKDSKARIQIQQSINLYTSLSILGMTYCLSRIV DMIPTRTGQLLVAARRINAGTWPVLVAALARSDVFSVISHRVLNASGNTANVCLRRAE RRGNADRLQDGHWHKAIAQRARKDRGSKGNHRKLGISIEIGHQG AFLA_120500 MSSSYNEHWEPQYHWRPWREEDSHIKICQYHGIIGSDGQLLREE LLVIVGTICTQMNKERFKKHLVIPVMMFSFMGERHGRIILAQFDGDSQKLVVHMSKLY RFLAEDEDSLALFTRYAASVVEPSGNMEALHG AFLA_120510 MSVGFSHHANADKDNAEKPISCDFFRLEKGSPLVHTYMCDEMKI ITKGEFQISDETGQTVSAKPGDVFYFPRGTKATYTTESYGVGFYVWLFHSRRSDEC AFLA_120520 MGASLRHTRVVSSDTKLLRSQHFLAKVQMSRSLGYTRLGGESAI YKCENCAFDPNNVIGRRADWVSIIYFHVYLFDNPGFGESPLGQPLPGKEDTITKEDAL DANLAQQSEVFAALYHSWAQNWRHEKAHVISHDHGGLMTLRAHILHNCEYASLCLINV VALGPFGQPLFKLVAENEEVFNTLTGPVFEGVVEAYIRDAAYSELGKETMEMLKRPWI STEEGRKAFVRQMVQANSRHTDEVEGKYPEVGKRMPVRIIWGKEDKWIPVETADRLKE SLNAQDVVLIEDAGHLVMYDQGGRLGVELGWWLASSTQQ AFLA_120530 MKSPIPDYLRQVLEKARPDDSGQLANYIDVLAKADPSKMAIALS TVDGNLYSAGDDEVEFSIQSISKAFVYAIAIEDAGLPRVLEKIGVEPSGDAFNKLSLQ PDERGNSFRGVKMFEQLSSEMGLHMMDISQVGRATVRTWTLTIAGGAKQPDEREQEVP VFGLRGAVRFAGSERLTRAIVRELTPPNPDDPGSGRHADASAVIFSLKDTYSLNYVAL RIISEDMRRLLAADKNVVVIDPVKVLKIDGNPAFKEKSPCVVNDEAEARRYIGGQGCR AVSHSDEFY AFLA_120540 MAPIVWLLLMDSPSTAKFFTNEERTFAVERMETRDTTRKSSLSR AQLFAGLTDYKNYCHACLHFCCNYSFAGLSNFLPTIVHSMGYDSVQAQGLTAPPYFGA FLSSILVAWLSDRYGSRGWILAISASVATVGYALLATQTGTAVRYVAIWLTSCGIFPA LAINMTWMLNNNAGDTKKGIGMSLLAIIGQCSSFLASFMYPNSAAPYFVKGTAIGCGL TGIIVPVGLVLHFSYAAENRRRDREFGPVPQGDGPIDVSAVGDKHNHFRLLT AFLA_120550 MAAATAKETKASNNHVKNVDEMKVEAEENYVAEQRQQLQLLNAR IVRKYDIWVLPLVWVLYVISYLDRGNIGNAKTAGAQEGLGLTSYEWSWVLNSFYITYT CLEWLVLCWKVFPAHIYVTVLCLLWGVCAMCSGIVHNMAGLVACRVGLGVLEAGFGAG VPYYLSLCYKRHELGTRVSILLGSSPIANCIAGAIAYGISQIHSHMEPWRLIFLIGK AFLA_120560 MRASLLLAALAPAVSIASTCEPSFFAPYLTSNVSIIYARIFTST ETFEGPMGNYTGLPEYCALYVNVSSSTTSAYEFGLWLPTHTWNKRYMAYGNGGFTGQV AFADMAPGLNYGFAVVSTNTGHNSSVQEAGDAGWALNNPETRTDWGWRALHGSVALGR VLTEAFYDNNIEYSYYAGCSTGGRQGLKEVQMFPDDFDGVLAGACAWWTSHQQNWDLK VALDNLPNNASHHVTAELMDVLATEVLRQCDVQDGVKDNIIMDGYACQFRLEALLCSS PRTNTSTCFSADQLDTIHRVYGDWIDTNQTFIFPSFAWGSEAQVSLMIITDDDSVDEP SGIAYARDFVYNDASWPAEHVDYATIQLSEYLDPGNATADTYDLRPFYQRGGKLIHYH GFADGEIPTGSSIYYYKQVEKTMIPLGYDLDDFYRFFLIPGMQHCSGSVHGAPWYIGS ANQPSALTGSNIWGVPGFRDTKHDAIMALMAWVENGTAPAELIATKYIDDTPALGVQT QRRTCPYPQRAAYVGGNWNQTSSFKCE AFLA_120570 MALKTVLITGCSDDGIGNGLALTFQKQGYHVFATARNVGKMTKL NGLSNVTLLQLDVTEPSQIEAAVAAVEAQTGGILDVLINNAGRNHFMPYLDEDVEQVK ALYDINVWGPLRVTKAFAPLLIKAQGSIAFITSISGYVNVPFMGTYAGSKRALELMAD TLRLELIPFHVKVLCIPTGAVRTQGQTYFGDFKLPENSLYKPIEETIAARAQGQDGTE RMPLMDYSSQVAAQIEKGATGRFWCGANSDRTKASLSGDSNEMMVSTLFFSLLFGFVG RCGPTTANLCTYAGRYLCQNHSIGYIVNMRAACD AFLA_120580 MEVTNGSTPPRSLGHAVPTESWDYVSQAAGEGSVLISFDSRIKK MNGPHDKARAHTRVKE AFLA_120590 MILYRRAALAAVFCLGAFTQPAITSSYEQQVPILKDFDETGVLG GLYRPNSTSARSRIAVYVMHAEQDYTSFVACTELPKRGYTTFCANNEASKYGYMSDLN FEDMMTEIKIGMVWLRNLTDIDKVVLLGHSGGGAMMAAYQNIAENGVSACNGPEKIYP CSDAMAGLEPADGLLLLDANYGLSTMALLSLNPAIGDETDASKLNQSLSVYNPANGFK NNTQSNYTAEFKQRFQQGVVARNNRILQYAQGRLKAVEAGKGMFGDDEPLTIPASLYV GFNNLFFAQDTRYLHHTTYAWPLLRKNGTTTQIVPSVRVPGNFKDYSNNWESGALKTT IRRFLSTFAIRVTDEFNIKTDNIEGIDYASSQTAPHASVQGIHVPLLTIGMTGHYEYL NAEKLHLNAVSNDTAIAFVEGAEHTINTCTYCESYPGEFGDTVATCFDYVADWLAKPG RFI AFLA_120600 MAVMYTTDISVPSSGNFFVYYMPRPVPKKLPEVVGICTILARWL PGLYGIYMSMTGKIG AFLA_120610 MKRSSRFRRLPCKHIFHKPCIDLWVRSCGTTCPLCRQTFELNHA VVKPQTKETLGVDRLLLEEVACQVLSFLGTLFAWSRHSDWLRRRFIPSAFDNPKYLIA KLTDHHPIIRFWLHVNKLGRYSSPVQILKHQGVPSTFLRL AFLA_120620 MGSIIPFLTEGQLRFFLLSNRVIQWISSVIVLGITSYFIKTGPR GLTIVYLEVVAVVSVVVFLTAFVSPFLSTPVPFSYAQDHEVLTKYLRWLAGFIFAAVD YNQNNCHANAPPGVVCSVKWANEAFIFLTLYV AFLA_120630 MTFARSITRAALKASPLSRASRTFSSSSSAQSKVLMVLYEGKEH AKQQPRLLGTVENELGIRKWLEDQGHTLVTTSDKEGPNSTFEKELVDAEVIITTPFHP GYLTAERLAKAKNLKLAVTAGIGSDHVDLNAANKTNGGITVAEVTGSNVVSVAEHVLM TILTLVRNFVPAHDQIRNGEWDVAAVAKNEFDLENKVVGTVGVGRIGERVLRRLKPFD CKELLYYDYQGLSAETEKEIGCRRVEDLADMVSQCDIVTINCPLHESTKGLFNKELIA KMKPGSWLVNTARGAIVVKEDVAEALKSGHLRGYGGDVWFPQPAPKDHPLRYAEHPWG GGNAMVPHMSGTSIDAQVRYAEGTKSILDSFFSGREDYRPQDLIVHKGQYATKAYGQR K AFLA_120640 MGPLPHCCPPDPATIRCGAAAVGIVLERVFNNGSLPMVTSARLP LAVIHGNRLSKPGTNAH AFLA_120650 MWLPCFQPIIFFRCSSVMKFATTLLPLLAGASAFCIHSPVMRRA AGGLDDANKFNYTGLGGPLNWYGLDEANEACAKGKHQSPIVIDSAAIDYAASGSLKLD LPLADGSKLENLGFGLQVTLTNGSLTANSKTYTLAQFHFHTPSEHHVNEEHFPMEVHF VFQTAAKETAVVGFFFQLSEVGDSVPLFDSVFAPIDNIPDAGTSTTTGQLDFGGLLDH FNRHGVYQYTGSLTTPPCTEEVMWNLSTEPLPLTVQGYNKVKKIIKYNARYTQNALGQ DNLLEVAAQKLNSIR AFLA_120660 MPSSTSPHPDQRGVEPHPEPPKNVNGEVDYVPHPERSISISPTR AAIQKSIIALYGGSASEDDMKVYAEQAIYDDPFSYCDTRYKIAGQWYGIPKLFAKSET LATEVTASTEHELVWKQRQKYTFSGVHASKIADSLISLRLEGKEPNEKVVYHKDMWNS KDYSHEGLGMLIKKLNG AFLA_120670 MTSSECQSLDSAQGYQTQYRLREYFGSYCTVPIKVLLVARILAI TDTTCPTIARRKSILIFNTRLHNGVSET AFLA_120680 MIFHLFKSWLGRVYGSAFNSNPTNKYPSDFQTIGGGGGDSRSRN RRKPSSGYPTAGTLTLTESEERMVGDVKMQNLKTYPASPTGTVASSILVSNRIEVTHE DRSRRNSELDPDRLHETW AFLA_120690 MSSRTAFDVVTRAAEEEAAQAAFHKSAIESWTLYSIGVAATILR TYARGNAVGLRNLRADDYLVWVGILFYTAQTALAYSVGNVAHGLANNGMTDAQRAGLS LDDPEYRYRVIGSKIQVAGWTTYSALIWSLKLSMLAFYVRLTDGLGRRYRVPVYVGFA LVIGTFIASIVTIFAACRPLHKYWQINPDPGRKCFVFSRSSGLH AFLA_120700 MLRLKMEVVAALAAWAIASACENLPIANHWIRGLCPRSNDVKDL GIKLSPAAKVYFPGSEEFEVASTRWSVLEAPKVNIVVVPGTENDVVETVKFANEKDLP FLAYNGAHGAITTLGKMDHGIEIFLDQLNTIDIAKDGKTVKIGGGTKSKAVIDELWAA GKQTGPALGGGHGWLQGHHGLVADQFISMNVVLADGSLKVLDKKSDLWWAMNGAGHNF GIVTSVTTKLYDIVHYDWAIETLTFSGDKVEAGVKAIDSKSSAFAFRGENILSAPLIT YAPAGPELDEKAAQLGNELRQVLHKASGRPEVRAYVNYAYGDESPQQWYGSEKWRQDR LQALKKKYDPTGKFSFFAPVA AFLA_120710 MLGDLFESVLTNNSVTLLITVAVAAIALHLSSPKSNLPLVNDKK PWEFRYTKARKRFLANAHNLIKAGLAKAPAFRIVTGNGKRVVLDAKYANELRSHDDLS FGLHTANYFHAHISGFQPFKQGSNDDEIYQNAVRMKLTQSLGNLTQPLVDETLVALQT YWTDDTNWHAIPLKSNIQKVVAQLSSRIFLGDQICRNPNWLRITVDYTVDAFKAAEEL RLWPKAFRAIVALFLPSCRKIRAELQEAQDIIRPVLDARRKDKQAALSAGKEPERYND AMQWLEECAKGRSYEPAFGQLTFSVAAIHTTSDMLTQVLYDLCGRDALIQALREEVIT VVQEEGWTKPTLYKLKLMDSVLKEIQRLKPISVVSMQRVATADLRLSDGTFIPKGTFL AVSSDRMWDSEIYPNPLEFDGYRFLKLRELPGHETSAQVVSPSPEHMGFGFGRHACSG RFFAINEVKIALCHSLLKYEFKLADGSVPRATKFGFSFKSDPTTKLMIRRRQEETVL AFLA_120720 MESPRTNDTLSASERKRMRDRKARKVAREKRDARIKALEDRVTY CERHHGAVWVQHIMAAMENLQRENQMLRERQERLHAIFSSWEQDETTLQATRSHNQAA SQ AFLA_120730 MAYSVPTQAAQLLRKGILQNPMLKANIPNDASSLADHATFTGNA SPNIPINWRFAESISALKGLESVWINALLKAKYNHGPVKVDIDTDHATLFVMSTLLID MVDENGKPANPDMSPMQRIVSIFPSPVGTFGNETPHRSAITNIYKTKDGRCYHVHGSM DATPSSTALGLNPDREAASPADAISVIQERVQQLTAEDLDELMNEKYRQAGTICYSTD EYKASEHGKANANVGLYELNHVPNNAQKPGWWKSVSDTGVARPLAGLKVVDLCRVIAG PSISKGLAELGASVMRVTGPGVVDAYALHADLNWGKWNCSIDLKTEEGKETLRKLILE ADVVLDGYRPGVMEKLGFGRDAVLELVKNRPFGLVYARENCYGWHGPWQHRSGWQQIS DANCGVSLEYGRAMGHDEAVTPVFPNSDYCTGVMGVCGVLNALIERADKGGSFFMDTA LNYYSQWLVNSVGTYPQSVWEDVWQRHNRLAFRHNDNMPATIPLMMNSLMVNSGAQLF QPRFFDIRYSGAVDRYFKVLRPVLSFAEKQVDLRFNVGTRSNGHDAARWPEDLRTEIV TSA AFLA_120740 MDLRTLAPKPYIRYFPARYQQSSLKVRAYVEGQPPLEVDPVPKT ALFAGQTSYEPTNPADLQSFGPTRRAPLRSIVLARSGDKGGHANVGLWVRSEDEWDWL RTFLSTPSFKTLLGDDYRPKYRVERFELPHRHAVHFVTSGILQEGVEVCPLSVALPRA LGSLCVHTG AFLA_120750 MPTPTMPEEYAELMKHNGKEHYLYIPQRYSEFHPGSVGYFDNFG LWNKITDLSQPGYPDNVGFKSIGQVLGLQEPTEYRWENTSSGIEAETSFGLKAGLSGL LAAAAPVEAEVNVSNERGSSGTAALLTPEPVKNQRLEGGSSRLISDWVKKNGKLLMKS RYGDEIRDYGLWVIHTTWSTPECAIKLKSAFHRNTSAGLDVGATDVGTIGGNGSSLKK LESKGWKSFQAKESDQGLVVAYGGARFRLHSIRPFWINPLKQTERVSDRPQERPVYNE KQEKIGTAACVLVYDKDGGSEWVPISTVEFVFDENRKQIGNVYWNRTSDEFGRPIGWA PYEKEEYNFDENGEKTGVDYFRPTRDSVGQQIEWDWYDKVGEAAEAKKKEIEMERQAR REYEDEDEFDIECETVGMDEDEYDTETVERTETPPSLLSKCCVM AFLA_120760 MKGVPSSAEASNSSPGSSLPSQRAHEPLTHKQPTLDHPRKQSVQ SISSEHGGMAENFSKITKVVQAARRPLPTETGDGTYIEPENGGSLWRDLRALGIKDAN TLKDLIENKAGGLVKGSGQVVDDKTMLMERIIQLVAKLPTESRNRVKLTNMFLGELWD SLPHPPLSYVGDKYAYRSADGSYNNPTLPWLGAANTEYARTIEPLKVRPASLPDPGLV FDSLFARDTFNPHPNNVSSVFFTWASLIIHDIFQTGHPDENFNKTSSYLDLSILYGDN QEEQNMMRTFKDGKIKPDSFSEPRLHALPAACGVILVMLNRFHNHVVEQLAAINENGR FTKPPERILDPVEARAAWAKYDNDLFQTGRLITCGLYINITLYDYLRTIVNLNRDNTT WTLDPRAHMEHDTVPTALGNQCSVEFNLAYRWHSTISRKDEAWTEQAYQAIVGKPGSE ATVEDLMSGMRRLGANMPKDPSKREFAGLKRQSSGKFKDEELVDILTMAIDEVAGSFG ARNVPKVLRSVEILGMEQARRWNVGSLNEFRKFFDLKPYQSFEEINSDPEVADQLRHL YEHPDNVELYPGIVAEEAKKPMIPGVGIAPGYTVSRAVLSDAVALVRGDRFYTEYNSR NLTNWGYEEANYDLEINQGCVFYKLALRAFPQYFKQNSIFAHYPMTTPSANRDIMKML GREEDFSWDRPSYTPPRTTLFDYANVRRILEDSSNFRVIWDEATGYVFGKGGYDFMLS GDSPFHANQRRIMKESLYRSQWHEAVKEFYLEITEQLLSEKSCRVGNVNQIDISRDVG NLAHVHFASNIFSLPLKTKEHPHGVLTEHEMFDVMSIIFTAIFFDVDPSKSFRLRHMA RKAAETLGPLVEANVKAVSSASFLSTLIDGIRTNKNALSGYGVHMIRRLLDHGLDASE VTWSQILPTAVAMVPNQAQVFTQIIDYYLSDEGKEHLLNIQQLAKEDTPASDEMLLRY VMEAIRLNGIFGSYRKSHTNLTLDDKNKMVQIKPGDTVFVSFVDANRDPNVFPNPKKV DLNRPMESYIHYGVGPHTCLGGEASKVALTAMLRVVGRLKNLRRAPGPQGELKKIPRD HGFYTYMRADETSLYAFPMTWKLHYDGTIPGRERSVPEKLTCNVPGHWHD AFLA_120770 MAWPNKNKDMLYRRVGNSGLHVSAIGLGGWLTFGGHVDDDITFK CMKQAYECGVNFFDTAESYANGQSEIVMGDAIQKGGWQRSDLVISTKLNWGMANGEIL INNHGLSRKHIIEGTRASLRRLKLDYVDIIYAHRPDRLTPMEETVRAFNFVIDQGWAF YWGTSEWSADEITEACGIAKSLGLVGPIVEQPLYNMLDRKKVEGEFQRLYTRCGIGLT TFSPLKMGLLSGKYNDATTQPPPGSRFAESQDKFANQVRNDWENKEWAGTIEKIVKLK DLADKLGFKLSQLALAWCLKNENVSAVITGASRPEQIVDNVESLKLLPKLTPEILAQI DELLLNRPAQDPARQD AFLA_120780 MSSIKPSVTKRACDGCKIRKIRCGGGQPCRSCTKAKIKCTYVRV QQCRGPRRLRSTTQFLIEQAQVGGDSNGCVASHSSTEAILERLHSNSTPARQTTFTER SVACVCATYTTVPLLTHCSSRIPLDALTSPLSIYHVRMYPVWPIVNVEDMVSVLQLDA DQSDNETYALSTAVAAATIAQLRLEQGPGAGETITAEILAAECLRARNLCDYRSQANL NSIRTSFFLHVYYENQKPGGSESLLHLREAISIAQIMGLHRESSYNGLSFEEQQLRRR VLWLLFVTERGVCILHRYPVTLKTNISAPVIDENDETHVLPAFLKLLSLFQIFEKSGM FDIIQDEETAMQPGANNVGRVDWPFLESLQRNLQDGSTLFDHISDVQKADLCVTRHWM RMILWKLSPKSYLGSSPSADWSMSLYFPLNVAKELVSIVSQLPRSAVEAHGLGMELKI YEVANSLADAITDLAALPLSPEWEGESRPNYILSRLHSILSTFRGGGNKKLVDVLYQK MAEVQVIIGPALTTPLRNPGSRKRRTFTMECETQVHGQQAKRIAELTNYDPRVSTCSD TLAYPADAHDLTYASVCDMSFGSSDDGDQNQAQQYSDANTVFQPMYDEAASNFLFDPS SVAIATEEMPPLSPIWSSFAPMNAITEDIASQILRASTQDLLYPGAEFPNFTTNTDFA GLY AFLA_120790 MADIERADKGPKEQTTAPFDDDSSKASIAVGQENLSYVTAPHES FEGYHRFDPTASWTEKEERAVVWKTDLLFLTWVCVMFFGLQLDRGNLSNALTDSLLVD LNLSSNDYNNGTTIQLICFLTTEFPAQLLIKRYGFRRVLPIMMMLWSIVSWTQAWMTG RASFYVTRALIGAFEGGFIPGTILFATYFYKTKELSVRLAFFWSTLNVARIISSLLAA GILEMRGVHGKTGWFWLFLIEGLLTFLIGLVSILYLPSSPTNTKSVLVPRPWYTERQE VIMINRLLRDDPSKGLTNIHESATPSDVLRAWSDKSMWGLYLIGLVAYIPQSPVQSYL SLTLKRIGFSTFDSNMLSIPSAVLQIILMLALSKSSEYFGERSFHCLVGEFWTLPLLA AMLALPNGGREWGRFTITTLISGYPYFHPIVSAWISENTFDVKKRAITAATYNVIVQV GSVISSQIYRDSDKPYYFTGNKVLISICAFSLVVFVVQREFLRYLNRQKEKKWNEMTP EEQVAYQSDQAAREGEGNKRLDFRFKY AFLA_120800 MGSVARINNLKEQWKAAQRTVADNYAPYSNNGSVVRYGSAISRH PAVPLSRQLAVLTRRTIWTTLRGPMSLFGSFSITIILGIVTGAAFYQVDSSAQGIWSR QGALFALLNIYGYPMLIYEIYRLSYEIQMFDREREEAVDIPGPAISSIVFYFLVGLRR SVAEPFLFLLVMILATYISLAAAILAIALSRDFAIAGLIGNLIYTLQSLSGGYLIHVD QISTWLRWSKWTAHNFYLYSAVCAIEFVGPKQTPRGHLYGCTSVANPPTGECTGSYGK DIISSLGIPREWIWQPVVASLGFLVSYTLVAALILHRPRMGAVMTSDPQSSHSSVVIQ NMELLPLSSTPHTPGVDIQLTRYALILTRRNIKAFLGPSYERPILKPVNTTFRHGELS IIMGPSGSGKTTLLHAITARLPQRYRRQGQVFYNSHRLRPSTIRAMCSYVSQSDNLQP FLTVRETLLFAAGLRLPRWITKEQRYSKVDSIIRTMGLTSCAETIVGDGKQKGISGGE MRRVSIGIQLLTDTRILILDEPTSGVS AFLA_120810 MMRFLPVNLTTILVELPGLEETLALLTSLQSEPVVGIKEMIPAA RTLLVHFDPESISAEALATEVSKRDLSTINPRSDRQIEIPVRYDGVDLGNIAKLTGFD VKEVIRRHKESQFTVAFCGFAPGFSYLNGGDPALYVPRHQTPRTRIPAGSVALAGPFS GIYPQSSPGGWQLIGTTSVKMWDTNRSPSALLQPGDRVKFVEVDDVQTFGADATPSTE GDNTPASGSTDREEEKPALRETIGTTSPHFKVLTAPIPALFQDLGRPGQANQGVSASG VLDRSAFRAANRIVGNPAGTGCLELTLGGFSFESTSQAVIACTGAPCPITVEDAKGHN TEITQTHRPIALEPGDVVTFGQPRTGMRTYLAVRGGFEIDPILGSVSTDTLAAVGPSA VTAGSALIWKNATQGLRSVSLHEAAAFTLPSANDVVILDVVLSPRTDWFTKEGLETFL QQRWKVTAESSRAGIRLSGNVSIERKDDKAELPSEGTVTGAIQVPHNGQPVLFLADHP LTGGYPVIGTVAEYHLDLTGQLPVNIMVQFRPIAPWSEIQPQHDLAQTV AFLA_120820 MRKELKKVLIANRGEIAIRIIRACCDYDIKSVAVYSDLDVDSPF VRLADEAYGLKGFQTVDTYLNIDKLIAVAKRSGADAIHPGYGFLSERAEFAQAVLDAG LTWIGPDPSVIEALGDKVEARRIALRVGAPLVAGSNGPVSTAKEVMAFAREHGLPIVI KAAHGGGGRGLKVAWTMDEVTECYGSAVREATAAFGRGECFVERFLHRPRHIEAQILA DKHGNVVVVGTRDCSVQRRHQKLIEEAPAPLLTTEQQEKIQNAAQSICSAAGYSGAGT VEFLLGVDGSISFLEVNTRLQVEHPVTEETTGLDLVVEQFRIAEGLPLSISECEVHGR ENVRMLYFLFRTRCRQ AFLA_120830 MAPNGLRASEIVKNGLPDLFRGINHTTNDATHDLLFNGALMPWP NFHQDVETAYLNFAWIPRIIDHQQASGRVSNWNLQFEQTAVGDETGVQGRWGQHVNQV MSAVFLSQNINIQIGDFRATTSSYSKVPDMAGASRATGALRFVGELKTPWVEQHVLSE AMGDDHTFRHILGGSGWVLPMTTCLDNFNVGL AFLA_120840 MRTQQASSDLPDVALEEFKCYQTLKDIHCEHTPTLIGSSKEAQG PDGWVPGGYLFYFAFTKVPGVRLKSGVIGKGLFYTLPLPQRDQIREAFKVAYTSFSRT GVRPQWVAQDSLFWDDTTGKVYIAGPFQLTTSPGEWEPRLWKHWFLDEGRITGGKTMN Q AFLA_120850 MAADNPTGGPGIVTESTPLLRDQPTPFSRTPKDGRSVTASTYDG DLLPYNSYSTIDWLQGLIKDSARRSQVESPPRHSIRMRVATWWDLTQGWVAAFAVGIL TAGVAFAVDVSVETVADWKEGYCARSIWLNRRACCSVAEFDGSCSQWTPWAEGFSSRY AIYVGFALLFGLISVSLTMTTKASMPAANSNNSIGQGQPQKGDKVATGNILYLASGSG IPEIKTILSGFEIPHLLDLKVLVVKAVGAVFAVGTGMCLGKEGPFVHISTCVGYLVGS LVPKYAANERKMREMLAVACSAGLSVAFGAPIGGVLFSYEEISTYFPRRVLWRSYLCS LVAAAALKELDPAGTGQLVLFETNYGVDYDVTHYVIFIFLGICGGVFGGVFCQANFAW SKSFRQLRLIKRSPVLEVFLVVLLTALLQYPNQMIRDTGDIVMQRLLVDCTVVSEDWI CQQEALDEKGGYYAWLISGTFIKLILTTITFGCKVPSGIIIPALDGGALFGRMVGQLI PDISPGIFAMVGSAAFLAGVSRMTVSLAVIMFELTGEVKFIPPFMIAILTAKWVADRI CADGVYDLAQHLQGHPFLDSERALAKVRELRTTGETALVEALIPPPETMEAITVFTGP NYRVAPSILRAKLEGLRARGLIDAGLVFVNDQGLYHGYITETELADALDAVARLDDDG DINLLDGIFSGLINRSPVSVSAKAPLEYAVEMFDKLGLRYLIVVEEETARVAGVVVKK RLLKLLDE AFLA_120860 MTSSTTLRKVPEGWTTEPFYMSYFVEGPWAKIVKRCGLENPEAV MCTTPESGEHYGLISAGGRYYFTDDLAWSISEIIKPTTLDGIMKKIVDGKEYSIKTKA LREVETPEDRPEREERIREDIALMEQKRAAPDYLEWKRMDPD AFLA_120870 MPVVLRSRPPTVLQCTSAVVWEGGYILGAATSRYNAERMTTVSW LAVLNRARFLECLSQTETQGLCQSSRKDYFVNVVYSGFILQQYIRSLTASRYVPYKPS RFSCDKHERCLHKLGADIAVLEHIHNPIALGIDPVLLLDAQRVRAANKEGHTGTEHNR YTSWVGHFGQGGTFTSPMDDISSFADRRKLFNSTDQ AFLA_120880 MTILYCSTKDRATRWPADFETGTSKRPPGEEFASNANVSNASLV AMIEDFGSSLTKLQQTGDELSPAVAAIQDNIDSLLEMLGSTLELFFLNEAGVVPCATR K AFLA_120890 MMNLLLPLIFVVLAASIQHTTPPFESPLSSGTGLLSRGVLHNQQ FNVNTNYYSRTPDTGVVREYWFDITNATAAPDGVDRPMMLVNGQYPGPTVEADWGDTV KVHVKNNLENNGTSIHFHGIRQFLNNQMDGTVSVTQCPIAPGTSYTYAWRAEQYGTGF YHSHFSLQAWEGVFGGIVIHGPATAEYDEDLGVLFLNDWPHRTFNEMYMNQIRNPATP VIDTGLINMTNVCVNGDGDTAGQRFKTEFVRGKKYRIRLVNVAMHAHFRFSIDDHNLT VIASDFVPIVPFTTNNIAIGMGQRYDIIIQADQSVDNYWIRSVPQSACSNIPTGDNIK GIVHYMGADDDGDPMTVKWDYGDDTQCLDRPMSDLVPWVSLDAMISNAINISDAVAPI AAENSGIFLWTIGGQAFNVSWRDPTLQHSPTTGINNTISDPKAIELPEANQWVIFVIT TTQGVPHPIHLHGHDFYILAQGVGPFSKSIPLQTRNPPRRDVALLPAQSDGGYLVIAF PTDNPGAWLLHCHMGFHSSAGFVQQIVEQKTEFWRFLNPELLRDTCDAWDDYAAVNPY GVQYRGTNGPYESGM AFLA_120900 MNATDRSPLFVVEEVLCTYPISTSYDSCPRYLFYALLLAVCVTR WTGWLADVFLGAAATYAGTAAIQAFILVSSSANHRAPEKVSIPWIPDNTSLWDDFPAL VTDTNEIDISPAAIELDADAVLSIVVTAYLVFLPLQCWSRIFAKERISSIIFTLWNIL MFAGSICSLVYWPRLKKTPIQYGFCLPQLPPITPISSDGWESWQFTSSWNNSVWDIFR NDTLLLQLNDVCFYPCFNTTQVLRQQTSLQASVAAGDNRFTRRHKFWGKVIYSQRYIY SLVALSMVLNVLLMLVRVLPYHSRVPSSRVWEIWKERKNIIRGLKDDFYAAIHTSRDS RESMAEKTRTKFPFWKRVSRFFTLRVIGLWAKVAFDVIIICAVLFSIVISPLTVIAFV CWIEYYIYHDGPSQETPQQVGQWSPLVSIALLSISAGIYKLKYWVAPRHEIEHDIEEL KKEIERLETLRDEKSNTREFHSLHSFRDRSSTEGQHYQIE AFLA_120910 MNDARESPHNTGLSSVWPIRGTGSSGSVAQDTIYSLTCSSGMTE ATEMTTILVGHA AFLA_120920 MRLQILFVAHLWLIGCVATSPPRPGDARPESAHDESRSPFPIAS GHEIHLPCHTSSICGSNPDRTSKSDDYFSLAFATENDSLLVNKNIILPARLPMRLNAT KHSRSQKTDPEILTLRYGMNILPVQRFQSGPITDQFRLDIILFDQSGNPTDINMISIG LSRDAHDALRITMITINPTPETSKCHGNHTPRHRHPAHSEPEPPMKHAGQSDTSESES QLHKWLDAGRRFGGKSYGSFTSAFKSRPCQEHCSERHSYPPSSYEHSRVHIVDILRVF YPAILPFLLGVVAGGAICLIGIMVRRSAAYWSCGKRRETETEGSAVQNEGESTEEKS AFLA_120930 MICTRARLIVVGTLALVLLWLSSSSLLRLYYLLRLPFVWKASSA DAIISQQHDDFDVTFADYDANYSTYATGIRPYIPRRIHHIHLGASSPPKNWLDARAEC LKHHEFWEAHLWTDDNADSFVRDNYPHLYDMWTSYPFNVQRVDALRYMILQKYGGAIL DFDLACKRSLEPLRRFEFVAPAAHPAGFSIGMMLAAPNNPFVHALVENLPVYNQVWPL LPYATVMFSTGCHYASTIFTLQSNRTNLRILAGPPEQPKMHMLNGVVNTPLFRHFGSS SWHGHDARLIQFFKDLDQRILFAGLVLVLGSTALIILLCISRRRAPKADDEERRLPSF AIKWMTKIA AFLA_120940 MRVLWFFKRLSRMVRGQSLRRLAFPLTLLIVAASLGLYLLLAYS LANDPRLVPIAFQEAKSILLVTAHPDDETLFFSPTITYRRDDANVKRSLLVISSGNYD GLGDKRQSELHSSCEKLGISEDRCVVLDIAELQDNPKQWWDGDMVKDLVSSYKEKWHV DLIVTFDHGGISGHINHRSVSAGVRKYIQSTPDAPAAYMLQSTPLLRKYSSLLDLIPT SIPFAWRILKALLTTPLGKKVEHNTVHDVVPLVAYNNKALIVSSWKAYRVSRAAFSQH DSQYSWDRSLYLVVSRYMWFNTLAKIA AFLA_120950 MRQSATRWLQAVEESEMAYFLWDDEGVAQFVKSFEPELEVQFYS LPSNVERSDVFRIIVAKWIGGIYGDMDTEPLRNPSSWITTDDLRNWEDSETNQTYKAA DPIRAIVGLEADCPPESDAYWRMGYAEPVQLTQWSLAAAPGHPIFQTFLDRLSANLRN TTFRRSSRIHGTEDQAALNRIDPLLLTGPAAFTEAVKGWLETTTGLRWNALSGLTDGG QSKVVGDVLVLPITGFRDWPKVSYVRE AFLA_120960 MKHLTISVKLRVALTRASIGNSGSEDPSWSLVDILPPNLEYLCI LGYTVGASSQHDALTFLMENRDRLPSLKEIAGVDVHIPNAKSVEDPDEVEDYLQLRSV AFLA_120970 MLSGALNILPSIKINSSMRQDNEQTCNTETENKNSTTRTEQKSK MSTMKAFQFDDVQSGLQLRTVSRPQPAHGQVIIQIKAAGLCHSDCFILQDDQYNMIMK RPIVLGHEVAGTIIELGPGVSDYEVGDKVVVGIPTHPVAQDSFIKAIGLGYDGGYAEQ AMAWVENLVRIPPGVSFAQAAVANDSIATAYHAVITEGHVSPNSRVAIVGLGGLGLSA IQIAAIHGARIYAVDIDETKFPLALELGAIGCASGLDKFEDIVFDTVVDFAGAGITTA AAVNAVKPCGHVVVVGLAAKQMNLDTHSVITRNITLQGSIGSSLEELKEVLRHIADGR LSPILEEISFDSIPQGLERLAQGKVTGRLFTDPTA AFLA_120980 MYKCETCGASFEDQATYEKHMDDKVHRPECETCRRTFGTWSACD QHMDDTDHWAPRYDCQTCSREFFSQSAADQHMTAVGHWSPRVPCETCNMTFPNKLIAN LHMTAMSHFKNYCRPCGRRFQSEHSLQMHLVNSKIHQADVVCPFCKLDYTSASGLIHH LEQGSCPDADILSRETILRIVHERDSQGVITNKQIDWHKEDSSQNSKTTNAFNGSFWE CYLCHLEFGSATALGSHLSSPFHKQTVYHCPNSNDKCVKEFTTLAALFNHLESGACSC MGLEKVQKMVESIFQSWKPIDF AFLA_120990 MSSPSPLEGVAPHIRNLLTTLHKQSTTQESAITDADFESQTFDD VMRDKFIALDEDKSQYLYQLCRIINAKTVVEAGTSFGVSTIYLALAVSANVAATGGTG RVIATENEPTKASKAREHWAQCGDAVTNVIDLREGDLRETLKRDIEAVDLLLLDIWTP MALPTLKLILPHMRYGAVVVADNTIAAADKYKELLDFMRDPSSGFVNMTLPFNNGLEV STYLPRQ AFLA_121000 MIADSPFGCLSRRRIQLFLGISVFLVLLSYPFRSSIVPSVVPAS SPPIARALPARTLLSRPLSRDGTTIPKIIHQTWFPAGSNMSERAQDWVHGMRTQNSDW EYVLWDDETNRMLVEQYFPWFLQTYDSLPKEILRADVVRNLYMYLFGGMYADVDTEAL RPVAPLFAGHETALAAHEDILSSAPSFQKTTVQRAFLGRMARTVDILSSAAVPNGWMA SPPGHPFWLLPVLNVIEHPEGTGDGSVEGLTGPGALSLMIKKYWDNFKDNSLREHACK TIQRRQASWQLFCDESELAMGAHMQDALVLLPEKQIYPFSWVDDANNASVCQAAWENP TFDPEECKRLMEVEAWPSYFITYCTHSW AFLA_121010 MGNERGEMAYQELNGGKRPTWNITLDILSWVFWSNLTVLFNKWI LDSTEFRYPILLTTWHLIFATVVTQVLARTTTFLDGRKNIEMNSRLYARTMVPIGLLY SGSLVFGNIVYLYLNISFIQMLKAAGPVVTLLVSWSWGVATPSMEVLINILIITCSVG LAVSGEIQFSLLGIFYQMASLVCDANRLVMMQILLSEDGQKMDPLVSLYYTAPVCAVM NSIIAWNTELRDFHWSVVPNTGYLTLLANAVVGFMLNVSIFVLIGKTSGLTTTLVSIP KNILLIVASVVLWHTHVSTIQIVGYSIALLGLVYYSLGWRTIKSSIENIKAWRKDPAR GIYSDKV AFLA_121020 MVFTIAIYSIVLLLATISQSLAKNILPLGSAKQDEPLPPSQDPF YTPDEDWEASEPGTILKTRSVTIGSIIKLPTPLQSAHQLLYRTTNAQNQPSYAVTTVL IPINAQFDRLLSYQVAYDSPNLDCSPSYTIQFGAPTAVDDPVLELSILAEPFMLAGIP LSIPDYEGIESSYTVGTQAAYGVLDSLRAVLNSTDITGIQSNATTTLFGYSGGASASE WAGELQASYAPDVNIAGAAIGGLPVNATQAFPTIDGTSNSGLLVGGLNGIANAFPPIA EYIEEHLKPEFKSEFDLAMTMCAASVFNSSDGYVPQLADKNISAFFDNGWAVVSEFAE LLDTVWVMGQHGVPEFPMFVFQGTADTTVGSLGNATDLVQQYCNDGAIIHYAQVAGAD HIPALFRGYPRAQSFLMDIYNGVVPDKCTDTEVLII AFLA_121030 MSKTPTLPPGYTLRQGYPSVRDYIHLRSASGLSPHSAAQAEAAM RGSWYGCYITYNQISEPDNKNIDLSRSNNTTSDELIVGMGRVIGDGGWYFHIADMAVL PDHQRKGLGDAILKNLMSRIRSLAPPPERAADGRLMGTYVSLFADVAGRKLYARNGFV DSMPHSMGMVQLLDRESALDGGVSGS AFLA_121040 MLINRLFGILTLSVILTYAIDLKGYKYIVVGSGAGGGPLAARLA LAGHKTLLLEAGNDQGHNLNYSVPGYAPKAYEDPALS AFLA_121050 MNGLGVGGSQSPNLVNAANALLYAFMTVTCFAGPWLTNIIGFRY TLAIGSIGYPLYAAGLYLNNRTGATWLVYLGSITCGLSAGFFWSVEGAIATGYPEQHK RGRYIATWFTFRNFGNIIGGAISLGINHNVDKRGQVGYQTYLGFIAIQCLGLLFGLLL SNPEKVQRDDGTRIEAPRNINWRTELRAMWRLARSKSILLLTPLFWYFGWIQAYPGTY LATYFTVRSRALGSFLSAVVGTLATWLGGTLVDLPWLPNRKARAISTYVLIAAMNTTT WIWAVIIQNEYRHTKPVLDWADQSAFGRGFGVYMFERISLGMVENYIYWCIGNLSDSP GDQIRYSSLLRGIETAGVAVGFGVQAVPTALIVTAAINLGLWCFALPFSYYATLVVVR KFAKMGLLGQEERTVSASPQRN AFLA_121060 MTTSIEQLNIPNLHLTDNPSPTITFEPFTLPRTNQRILGPPHPS NTVIPLALSPTGDSKKSLTLDSIISTIKTLQSRDQTFTKYLARHGTLLFRDLPIHNAD DFSKFAHAFGYKPHEIIGIVVDRPLLAPNVAPANEAPKDVQIYNHNESPQVPHAPEYI FFYNQRAPAKGGETPISSSLELFRRAQAEIPEFIDELAEKGILSKVAYNIEKQYEGGS TLRQAFGKEIQDGDSEETKRSKIEAQIARYGRGKHTTWEWTETGIVLTHRLPVIRTQP GTNLPTLFTGLASYYKRLQANDERKNVTHQLYGDGTPIPEKYLAHLAKITDEIRVLHR WQEGDVLVFDNVIAQHGREPWEGEQTDRVVLASLFDGPFVPGAYGFGDWTQVAQALDG AFLA_121070 MMDFYAQNQTEGIPGKLTDTWYIAGAMFMTLIQYWATSGVEQYN KVVSHDLMFQSGENYDYFSSNYSQWLGNDDQMFWGLASITASETGFPEVSGKPTWTSL ARTVFNMQVERWDETACNGGLRWQIWPYQAGYTMKNSISNGGLFELSARLARFTKNET YAEWAEKIWDWSASSPLILTDKWYVADSTSNENNCKDSGNNQWTYNYGTFLSGAAFMY NYTNGDEKWLKRVNGLLESTFATFFPSTYGGNVLSEVACEPIMSCDRNQLGFKGYTAM WLAHTAILVPSTAERITPKLQGSAEAIAKQCSGESENLCGETWGKDTWDGMKGLEVQM AALGGITSNLMLLESKSPQTIDTNPDAAEHHIDNNENSSKDPTKAKPIETADRAGAWI LTVMIAAGAIGAVGWLIKTQ AFLA_121080 MVGQSPKEMILCIEDIKSAAGQKLPASSRDFYNAGSTDQVTVAE NSTAYGKYRLRPRVLVDVSETDTSTTVFGQKITFPLCVAPAGIQAMAHPDGELATSRA CAKRQVHMGVSSFANYSVEEIRAAGLDIGPIQHTMQVYTMQDRAHQERIIRRAEAAGC VAIFLTADSPILGVRYSEHRNDFRAPEGLDFPMLEKTSEMIRAERHEDGFTGVNSSSH SWAREIPWLRSVTKMQIWIKGVLTAEDVELAIQHGCEGVVVSNHGGRQLDGTPATIDV LQECVKAAKGKIRVHIDGGVRNGTDIFKALALGAECCWIGRPIIWGLAYDGEAGAGKV LDILHTEFKRCMQLTGCKSIADISPASLGVVRSDGPLARL AFLA_121090 MVYTIVVHMRAKPDEESISKLHAKLLEASAVYSKDKETLSWFVM QSVHDKQDFCIVERYLNEGSQKYHLENPYWKTFDPYVIPLLEKDMDLRRFEELVPEGE EKK AFLA_121100 MSASVADTHDKGPRILAVVWTLSTLTTIFVAARVYIRQWLIRNA GIDDYIIVVSLVMPLDYLRTSEPHSLPRTVPNINIRGNDHSKCAYGIRKACLVPGAEH RGDDKFGQHNQLCNRHLLLYHSKSRSNRSFDSDPQSQPFTAYMAMDDDWSYGFGVISL SASADLYLAIYPSTVLMKLRMPLQKRLALCAALGMGSIAAATAIAKSTQFPDFALQDD YTYETADLVMWTNIESNVLILASCIPTLQPILELTLRGHVHTRSPRGKDANYPRDSVF QRTGHRTNRRSDRSITHVESQESILGAEERKNSHPLGAIVRTDDVSVEFNTRSGHSMP ERHMTWQIA AFLA_121110 MPNKDDFIFNELVGGKGGDNFGDALWSDKPVTEVEAWYGHAWGA DFTVLKGLRVHWGDRSSPMVGHPSGDALHTSYSFAPNERVRWMTLNGADPGSEGRCDA IRFEANNPFAAGGTGGFERHENPGNHVFHGFVGRAAGDIDSLGAVFHR AFLA_121120 MTGNPEIWSATTGVLGLLLIALNTAPATLNVVHRLSKRSQPIRL ETLLIAKPGYQDEDGEATESSLLSFADSWQRVTIAILSVVGLELSLALAILCLETESS TVERYRLSIYSFWTSALTVAVPCIELCLFWSAGYDANPGHAQTGLLASQIVAAFLRGL CSILIPRRPNVYHDGQIVDQELTYSVYSRFTFSWVNDLMKYAAENNSLEIDSLPKLPF AVRAENLYTRLEQTRQSRKLWKALVLGNMRPLIWQAVLSLITCVLSFGPQIAMYGILK ALEERSVGLGNNLHAWLWVGALGVVIVVSYSIESWLWWIISSQLWVPIYEGLSSIIFA KSIRCKDVKSMKPTKDNSTPEDEGAQEEKGRQSIMNLAAVDSKRVADFATFNYLIPSC IMRLLLAAGFLAHLLGWKSLLAGLSVSLVITPLNTFVTKRYSAAQQEFMKANDKRTST VTEVLQGIRQIKFAALEQQWQDRINEKRRVELDLLWKTSLYTTALVTVWILGPLMLSA VSLTVYALTYGDLPASVAFTALSVFGNLESAMAGLPDLISKGMEAKVSSDRIDEYLSS AEKVPHTSDVDEISFESATIAWPSQEEGTPKLPEMDDSFVLRNLNLKFPPKGLSIVAG RTGSGKSLLLAAILGECDIIQGSIAVPHAPSLEERQDDRATRDNWIIDTALAYVAQNP WMENATIRENILFGLPYNWRRYRKVLKASGLEKDLTILPDADMTDIGANGINLSGGQR WRVSFARALYSRAGILVMDDIFSALDAETGRHVYEHGLTGELAQNRTRILVTHHVGLC LPRTDYCVLLENGYMTHAGTVEQVRAAHGLTEFLHGLNAEMRDLKDREIARDASFRKR SSVGPPPPTESHTPKQFTQDEDRATGSIPLKVYTAYMTKGNSWLAWTFTILMYAGFMA LLVGRSWWVSLWTSPKPVSSTEESTTIWEDTIDRFKAVQVDNDLMFYLGVYLALSAAA CVIGALRQFAITYASLQSSRQLFQDLLAVVLRAPMRWLDTVPLGRILNRFTSDIYTVD WRLAFDLGHFVYKALELASIMVAGLLVSPLLLVFAFLLLLFCLYLSNSYLAGARELKR LESNSKSPVMELFDSSLAGLTTIRAFNKADVYIRKMYSKLDRHAQTVWNSWLFNRWLS FRMSIVGAVFSTGAAALVVYVPTIPAALAGFAISFALQYNYAVSMGLRFYAEMEMDMN ATERVLEYSTIETEDQGGYEPPAAWPLRGRVEVEDLVVSYAPDLPPVLNGLSFNMQPN QRVGVVGRTGAGKSSLTLALFRFLEAREGRIIIDGLDVSRMTLQALRSRLAIIPQDPV LFSGTVRSNLDPFHEYTDTELYNALERVHLLSFEDAITLASQSYHGSSSGSDTVASIP MSPTGGPSKPSSFFTSLASPISEGGLNLSQGQRQLLCLARAIVAQPKIMILDEATSAV DMETDALIQRSIRSEFGRNESSLLVIAHRLSTIADFDRILVMDAGKAVEFGPPRELLG IEGGVFRNLVENSGERAVLEQMILGKRN AFLA_121130 MAASQFFHRVFHRDNRVTESPRSSPLTIPNPAPAPAPASASINN IPNWSRAIFESVGIDKDTCRVNGSPSDPNHLPSLPPDYHSLLSILSDNRPHSRAPIET HPQSQSPFFSRLPPEIRNSIYLFAFGDRRVHLDFDFHPQRGQWTWWHRVCDDAENCLD KTFQCPEYAGAEEAMLKLASRSWVKEGFEYKLNAVNWLRSCRRVYQETLPVLYTSTTF VFTHGIDQLFRLSRVMPQDHLALITSLSVEIDIYRIMKWPPHIDIRFKSFYEEVFRIL LCELKNVKDLRFSIAGLSQHADSPVQWISHDEWDWIAPWEGLASSRSWRRLEIAVPRA WVPEFEGVVQRNSVVEEQKRYRLVVGSDGWPRGW AFLA_121140 MVRSIHIAVLDVDIPPRKLYESHGLCSAHFRNILRETASRLNET SLAHDDPIDISVTPYDIRGGHYPDLRKLRGHPDHVVYPDTSQIDAILITGGAPGVYEM DMSPWMQRLQTFLKTVFEQYPEVRILGTCFGHQLIGHALMRNPADTERDVFVEKCPLG REVGIYTVQLEKNFVKAFPLALGHLPQQQLRIQMFHGDRVMAVKKGSTSTLDDKACLP APWINVGSTPICPIQGLYYPGRVLSVQGHYELDAFGMQNMCLEFAPSFGWKDSKLALF LEQVGPHETERRDDARSFATAVVCFLAGMEGLHTGE AFLA_121150 MVATEGMGDEYIRNHSLSIRYNFLPKLIIGMKSSLLYAVSLASL GKCLSLPPLIPLIPGVTEPLTENAPPLPILQVPTPPLESPPFTPSDIKPKKIGYFWTG SGDKFHKDFLATYSLDDDTFGTLLWVTDVPSSGNDPHHLGPSLDGKTLVGGGLLSLLK TQDTAFYFDTTNPYRPTFKKSNRALLSSIADEIRAKPDGGFYITYMGSAVGTSPGRLV ETDANFDIIHEWPEDVEGTLNILGEQFSPHGLSIDWERNFILTSDFVEPISILKPSTG IRRANTLRLWDLKTKKILNTITIPDGGGIQDVKFIPGNPDGAALATAVHLGQVWIIYP GQKDANGKPGRAELLYDLGPKAHGRFIYLTLTTANHIAALDISDLNNVKRLDDPDEDQ PTVGPHYVKVTPDQKHLLVTDYFVQTGEIGLINTPADFKALYIDLNEDGSLSFNRTID FSKEFPERGGAKPHSSVVFDLTDPENPLYY AFLA_121160 MKAASLAFISFLPSVLGAVVHDKRSGFKDGQPISDNGKGAPLLV LTRSITGGTNKALDLQNPDNLGQPSTDNGFVPNLKWSFSDSKTRLFPGGWVREQVIQD LPQSHDISGAQQHLKKGAIRELHWHRVAEWGFLYSGSLLLSGVDENGQFTTEKLEEGD IWYFPKGVAHNVQGLDDENEYLLVFDDGDFEKVGTTFMVDDWITHTPRDILAKNFGVD ASVFDKVPEKFPYILNGTVSDEANNTPQGTLTGNSSYVYHTYKHPSEPVPGSGGTFRK IDSKNFPVSQTIAAALVELEPKGLRELHWHPNAEEWLYFHKGNARATVFLGDSKARTF DFTAGDTAVFPDNSGHYIENTSETEKLVWIEIYKSDRVADISLAQWLALTPADVVATT LKVDIEVVKQIKKEKQVLVKGK AFLA_121170 MNHKTTKTNFMTNMTSTESASPITELALFHLKPSTDRATIRREL SSAAKAQASYSKYPTYLFAQIEDPSYIYLLGGWSSVSAHMDDWIPSSTNQSLLASLKE KLDLVYLIHIELDPAELGVFGSPVGGSGEVPIVDAPVMAIGRYFLKTGQKEAFLNRLG EAKKHLEAYIAPRVLKGGIRVEPKDKTDDGVEKEEFVLFSGWGEVQDHFQFAEPDGIK GFSQIEDILEGEEIMHVSVWD AFLA_121180 MDDLDSLYGSEDERESTVSHSTSSVKSQDPTYRIQRNQTSRKTF QEQVTYMVGQFMVRGTHTPMETLQDWRTYGLKIHYNTIEPGHVTWIHPDQLLYQHIQF TMSNFRAFVHGLTAATHAILCDDLMFGQTPVISWQTLYDDPTQTAVGWSFLRDSRTKW PVDGATWLIERVREPGVQKQFIHYRQPTQFQPRLVIKYLQRVTEFKEKLAVLIHIVSG QLSRIPELLSLQHVNTETNRRRNIFIEDGIVSFVSAYHKGFHASNDVKTEELSTPAST SAYLWGPDPGTQRTRSSNRFREVLQRGTKVHLRYAVNIQAYRDIAIGISRRWMRPAIT FRSNVQEDHDAVQAALDDDAENLDEEPSLGYIAGLQAARSPDIAGMVYGREIMEQGGS TSHRQRMCRLASTDWHRLLGFSSVNDSEAGKRKRAPWEDEAEASPELLVLEH AFLA_121190 MRALLQPDIQETRLIQTTLDIPTPDPNTNEHLIRVHCVSPCANE LNWLKYFPPPKPRTQIPCYDIAGTVVKSPRNSPFSVGDEVYARTNYLRPGCASDYTIA VTDELAHRPNRLSWAESTAVPLSAQTAWQALFVQSGIGNFESEEWKGKRVLVTAASGG VGIWVTQIARLVGATVIGTCGSRNVDFVKGLGASEVIDYRQTDLRGWAQEPGNQVDVV VDCIGGKSLADAWWCVKDGGVLVSIYQPPKQVRPEELREKSVKSLFFVMEPKREHLEA ITKLVEVGKCRPVVDSIWPLELFEEAFARLDGGHARGKIILDLSLNQ AFLA_121200 MSTDPFPSILVSPEDFHNAKKSATRRVIPVAAGRGTLRSSYEKQ HIPGSVFFDMDVIADNTSPYPQMLPTASHFAHCMGEMGIQPDDILVVYDAVEIGMYSA PRVAWACRLFGHDSVHVLNNFRLYTEQGYPIQEGNMSPLPQTVYPVHEPGANQVIAFE ELRNIILHERKNYDIIDARIPGRFSGTQEEADSTLRSGHMPSAINIPLAAVLDTESKA FLPLPELKRLFETAGIDGTRPVILTCNSGVTAAALDLSLQETGYDMERRVYDGSWMEW TRRAESDLVVRD AFLA_121210 MDFIYTLDTETGTFKISQGKRFTRPLFPVPTDTYLKPATLREGH DIGIRFTDLGYSHLVPHKYTRVDELDLQRLNLKRLQLEFGIPTAMNELQERIFTDFLF RWNRYLDPSDWGYTNRDFKSLSMALLRLAAWDFEVTSGSRTDMGKAVLEQWQYPKTEV FWFHRFLVVIQEDILPVSMKSIAILKARIYLDHNGANGHVPRLILLSPIHVAFVELRK DGDWCSKSLLLVTSSSAAWCSPGFRALSRVLSSNYQTPIGDTAAQFEKWRVALPFEIL HMILKLCEPRDAVSFAQASVAVEKCSYTSIPQFKGLAVREFVLSIPCCGEPAGLDVRG LCCAECYAWQHLACVGLLHWPPDVQYIYSKCQKEEPNYRLVLLNSRQYRWRDRPVHIG KSAMSLRYEGYASAKPASYCISFKGMFSEKRSTMTAFKPLLSPFHVSKEPKNPRGILR LPRNIPSTSVVIRDNFTLSTWLFLGGLLQGLAVIIFGTYALIPTMLILLYRTTDHLLM AANITRNRYMDGVMQTKASAQAPDANGTFGKEIASESIVVFHLGTRSNHPLGLLAPGF SELNRQVIAMNREMNSDPVKYGLLGTSAWGKQDDPAGNELMAIYYLRDYDALHRFAHG PLHVEGMTWWTKIVKDHPHIAIYHETYLVPKGKWENIYINSKLTGMGDTWFPVVEKEE GEEAGVSRFVRPIVDAKHPALRSAARRLVMRQLEGAEKGEDDLYDRTW AFLA_121220 MFKKKREENVFHISGLSLTREIVMLFTICSAQGMVQASLAQSFL PGLVIGEGFGAESTDIAWYPAAYALTSGTFMLAFGRVGDIVGHKSLFVAAWGWFSLWA LLARISVYSGSHVFFDICRAFQGIAAAALVPSALAILGMIYKPGPRKNLAFSLYAAGA PIGFTLGAVFSALLAQLASWPWVFYINAIACLIYGGLACLFVPHIGRKPSPTREPFDY LGTLTIISAKSLSPGLVLFNFAWNRAPETGWASAQCITTLIIGLFLIAVFFPLEKRTA HPIVPVAQIDRDAAWILFIEGLGWSSFGILCYYSINFMILLRGNSMLSVAAQLSPVPP AGIAASILTSNLLTRGVTPPVILAFSLIWFCVGNVILATMPVHQTYWLNVFWAYLLSP FGMDMSFPAGTILLSNLVPVEHQGIAASLIATVVYYSQSLGLGIAGVVEVNVANDLVL EGYRGALYLGIGLSGLGFIVGVGYAIQCMRQNKTNLGSNERLETAEDPLPIPLAASNE QQ AFLA_121230 MRPHVLLPFLLPLTTATPKLQDFDALGAWFDGINAINTNNETHN FKNKNTTIAIIGAGISGLTAALLLDSVGIHNWEILEASNRVGGRFRTKLVGNTGEWAE MGPMRLPVRVRYNDGETVEYSDHAMVFQLAELLNNMNGNESQWKIDFIPWIQHHENEL LARGTGRLADGSIPTRGEVYGNETGLGTKDPMTTAEYERTKSKMEGILSAKDMLKFIQ RDVWRAHRAVMDRGLDEWSEQAMMKHVFNASVNVTDAIWTDSDYDVFWDEMHHNSNLG LDGSPGSLGETEWVCIDRGFNRLSDAFLPHVSDRLVLDRKIRKLETVDGPDGRMQTRL SWYPSVENRTYESKTYDYTIMAVPFTMTRFMDLPKFSSVLGRAISEAGLRFKSACKVA LLFSERFWENGDKPIYGGYSTPPSNSVGALYYPVYGLNESRPGLIMHYRGGDWSDRFV SFSDEEHVQTVLDAVVSLHGEQARELYTGDYERLCWLQDEHTATSWCRPDVEQHRLYI PAYHQTEHNTIFIGEHTAPTHAWVSSSLHSSVRGVVQLLLELGLVDEAKRINKEWMGR WIR AFLA_121240 MIPAITAKYDWILAITSIAFVFSAFGNGANDVANSYATSVAART LEMWQAGILATITEFVGAVALGSRVTSTIKSGIISPDRFMGKPGTFMLAMGCAEVGSA AWLMWATHFGWPVSTTQTVVGALVGVGFATQAEISWGWKSGSVSQIAASWGIAPAVAC GFSAIIFGTLKYSVLERKDSFKWGMRLIPFYLSLTGAILALFIVVEAPTAPSLEEFGA GKAVGIILGVFFGCLLISYVFFVPYFHRRLVKQDPRIRVWHIPLGPWLLKDDCPIYWP GKGDSFVTNYYEDAHGEVRAGKKDTEKATDQKDTNISDVERTAESAMATPQIQPKKAI IGPHERFLQPVEHLTWFHPAKYWGWTKFILLQGVTRDVITHDSEHLRAVHARAHRYDD RVEHLWTYCQVVSAMMMSIAHGSNDVANAVGPWAGSYATYLSGAVNTKSETPVWFLVI AGLLLGAGFWFYGYNVLRAMGNKITQMSPTRGFATELGAAVTVLLASRLGLPVSTTQC LVGAATGVALMNFDAGAVNWRQLAFIFMGWVLTLPCAGLVAGLICLMALNAPHF AFLA_121250 MEDLHPAKAEQHDLLLVVDATASMSSFLASLRASLRQIVSMSVL TRCFSRIGLLAYRDYSAPNLLQWSGWYDTSQNATGTQPDLLEIAASLRTEGNYDTPEA AKTGLAKAYEVMRPDAKTVILFYTDAPPHMAGNAQLPDLWRIEDNGISEREALSDPTS YGGYGPVFKDWVSACNLLREGEKKAQVFCLLQPGMSHSFVSYYNYLCTMTQGSCIVLH GDLPSVITKVTIEVLLTWMGLGKQAVEDVGEARYPQLVSYPDLTGIDSLHSEHDEEAS LFFPTPGCFGPAGGLKLQHLSPYIMEKYIHKKGSPVDDFAKSWVTDPSYQELAVKHLK HIITEDVSVIALNAVFATLWRNICNDRAHPARSELLDAFSLHVSRIRNDEDRSRMTEW LEASYDYSGEVAELINSVPEAERFPCVCLDPTLTFERSSPIDDGRGQQETVGITKFTR AELLEIGRSCHPDILRRLSRVLTQLTYIESPADLPDHLATMTNREVPRIPMALAKDDY RRRFWQILLHVVVPGTMLSSRPASILAAFALRLGITPLISAAEIEVLAMRDRWNNIEA PEIWTVSCMSLLIDADRKYQQLHALEQAMDDAGEFTAAVVKPPTLLKPSDRELFEKLI AYRFLELNLHTTVTAQIGWKPEKTTLPIGPLVTCRTCQYPRSVTIMGSNEQCGMCLNS EFPEAYGATKEDTEQTPMTWVECCTPTCRAQYVVYGVDKLRVRPKCYYCRARNASKST EDVQHPLHDAPCVECNRCLSRIIWPEPYRPANFSEADFTCPACTAGRQTIVSEETSAA QLAGENTLSWLIKDSLQPEGHVFSDRSLYHTVSTIGPDNFNSRITLFPVSDPRLTVRG KLVRNSESIISKLQGFVSRHRSGKVACSLCFSNFHPTALNSACGRRGCQERICKGCLS HWYGLNTAGRMINTAALACPFCRRFPSAKTLAKHGMGIHAVRNLQAAIQDRGTWIYAW CRACATAKPYLERVCVQGMPTEVTNWRCEDCCVPQNTRVRPCPGCGVMTEKISGCGHI KCEVEDCLTHWCYFCGDKFEEDAIYTHMNEAHGTIYDQEDELYSDVDD AFLA_121260 MKLIQLSSVLHFTALSSALTLPLNRRSPCEEEMSHNAPLLATLG GSVFDVDVTIGADNQTFKLLVDTGSSDTYIMQDGFTCINATDNQIIAPEDCKYGPETY HVSSSYEQVPDQNFGIEYGAGLASGVMAYETITIADVTVRTKLAFADRSHPMGDGVNN GLLGLGYPSLTSAHPGTFTPNDTYFYNRAVYNPVVNEMYEQGLIEEPYFSIALAHTAR DSTGAFGGYISLGELPPVELTSEFTTVPVEIMENIPINITSDKRQISYWAFTTPAVKY GPAEEEEDALVVDHTPFQLFIDTGNEFSILPTAVVDPVNTRFEPPAVYNDELKAYIVD CGAKPPVFGVVVGNQTFYHAPEDLIYNTGNGYCVSTLVPSEKNGRPGLVINILGVPFF KNLVAVFDFGKDEMRFARVSNGYFL AFLA_121270 MSFKVQVPGQQEGLLRGLSGSIGQASFTKKELDINQTVEKYSSP DQNILKFKKGLKYVRLVIGRTRGELELPEAAALVYPHLNRAAVEAVRARAEWGTSSSM PRVISGSLILLLTRGHVPVPGIDKMLYMTLEQSGIKRLVRGHPSGHPLNVGLPQGSIE RVIDKKIHEDVLYLLVVNLSCKKEVQESVNQLERLMKKKGVNADSHYIVAGGQFH AFLA_121280 MRISIISVAVTACCLFLVGCGILLYNNTRVPPEAMDRHAYCADC INYASRVDDMIRRTNNVRGNKQFFKYASDVSCRGHLLISKRCLRYRRAFLDDPDKFMF DIEVPSQACIAIKAC AFLA_121290 MPPRRSHKKSRNGCDQCKKRRVKVSTKISRWPSLTKCLQCDEAD PCLNCTRRSLSCSYQPRVVTPQPEPKKKHAEQLIACTPAPGTSLAEISARTDAALRVF QRNLTDTAYFVREWNGQDPELMHHYCISTCTTLSDEEDVRHVWRTEIPKIAYSYEFLM HGILSLSALHLSYVKPEKHSHYLTSSTFHMALGLQTFRTILRKPTAENCFALFAFSSV IMVWICAVPTDSKDAQPLSSVIEMFNLCRGITTLLEFFPLINKSCLGPLMARDWSEKR GTPLRLFDGLDGQISRLRYRLTVEVLAEEERSVLEHAITELEKTCQRIEHARTLSASG MIYIWPIVVRVGFISLIEQRQPFALVLLACYCTQLHVFRRFWVLERRAESLLSEVLEV MPPEYADLLDWPRQFCLHGPDLERWCVLNPSSEGIVQ AFLA_121300 MSGNDDGGIDFKLYRYTPSLVAAVIFIVLFTLTTAYHLWQTVRP RCWYFLVFVTGGIFQIIGYICRALAHSNKENVPIYAVGTIMILLAPPLYAASIYMTLG RLIGYLDAERLSPVSTKWLTAIFVTGDVIAFLAQGAGIEQSSGGIMASGTISAMNTGE NVTIAGLCVQLVFFSVFIIVATIFHYRIRKNPTSRSAGTSIFPRHWREATWETVMLGL YGASVLILIRSIFRLIEYAQGNDGYLISHEVFMYVFDATLMFITMVGMSLFHPSKVLS PLAKAEASLDRSYTMTTELRDEPV AFLA_121310 MGDKPLFCEDVETTLFSAKMKWTGGGYRWTDARGMEVAFEGGKG EGSKLVVTASMDQDMRDALIALWVLRIWYDTADSSKAKADELAAWLPPTAYGRTDLGL AKTSGALGALGALGGGGA AFLA_121320 MSKGSASLLAALANRRSYYALRRESPIPDSKIQSILGSIMHKTP SAFNSQTTRTILLLNQEHEKLWNITKDVLLARIGPERFKPTGAKLDGFKAAYGTVLFY EDQPVIEDLKAKFPLYAENFEPWSEHTSGMHQLMAWVALEEEGFGANLQHYNPIIDEK VAANWNVPASWKLRAQLVFGVPEGDRPAEKEKKELGEVLKVYGAKI AFLA_121330 MASRSEPPQKRPREETPPESPPPEVEPEPQPEPQPQAEPEPEQE QQPEIPQGHIQGIIEPDVGSSYLFSIGSGRCQLYHQYYVECYELSNGRRYHSYHEGEY ILPNDEQEQDRLDLSHHIYRMILKGELHAAPIKNPARVLDIGTGTGIWAIDFADEHPE SEVIGNDLSPIQPSWIPPNLRFEVDDFEAPWSYSQPFDYIHGRELEGFIRDHDRLFRQ ALANLKPGGWFEIASIEVNCFSDDDTHLKATSMMEGVKNMHISAKKFGKDFDTVKNWR SQMENAGFVNVREDVYKLPQSPWPKDPKMKELGRYHQVNMIEAMPPYCYALFTRMLGW HRIEIEALVAGMRKELRDTSLHLYSRLHIIYGQRAL AFLA_121340 MVGVPRSTGCRACLQRRVKCDQTRPECLRCQKRKITCPGYQKRF QFYHKTAPAVVKSTRTSKSDDARPSKPTPNGYHHQQALVLARPTIDQSVAPNLTATAL DTQLKEVFSDVVYAVFPNLYAAFSARVDLTWVDFVRHHSAAQSSAVNWGIRCLITWFL ARRHHDHDQLQASRHMYNRALRSLAQSLRDPARVKSDGTLAAAIALGVYEVLDGVGSN SWLVHSRGIGALFRLRGPDAHRSGFGRTMYTTYRAFLVAEAFTCQEPCFLESEEWRTM NREALLAEERDGKGSRLGEITENAFEEVLLCPGYLVRTRDMIAGNASESSRESLTAEI RCSRDLLRGLQRRLAALLELQMNTQPVQKRSPLDGSIPKQFVHTIAHRSLRGMDSALA LLDQLLVLLAASKVRMLGSDENNPAQSPWNRVTYQPAPEGTISVTDEGTGTNEVKSLD WLDQLALSMGTLALKT AFLA_121350 MAAADGPVSNDREEQPSFELSTQEPPQIFHQAGRWNHPRSNILK TLATYWSFLVMGMNDAAYGPLIPYLESHYNLSYTVVSLVFFSPLGGYTLAACLNNRIH AKLGRRGVAWLSPGCHVIAYIVNCLHPPYPVLVVSFIFAGFGNGLSDAAWNAWLGNMA NANQLLGLLHGFYGAGGVLSPLIATSLITKAHLEWYYFYYIMIGCAALEFAFLLTAFW DSPGTPVEDACADESKGGLRQVLFKKTYARVTWLCAFFLLGYVGIEVALGGWIVTFMM QIRNGEAFASGMTATGFWLGLTVGRVVLGFVTPRIGEKVSIVVYSLLAIGLGLILWLV PNFYASAVAVSLQGFFLGPFFPAVVVVATKLLPKSQHVSAIGFAAAFGGGGAAVLPFV VGALAQARGVEVLQPFIIGLSGGILLLWLGLPRMPKKGEGNRLSA AFLA_121360 MASSAASQRTESVSSHSYKKSLSNKADPNAALREEQPIANAIGG TSLFSLRSMQHRDAKGEIITDPDRSNPTRPRLERPLDTIRGFEAAIEARRRENAM AFLA_121370 MKLSWAVGAALLGTAVADVDPIVIKGSKFFYSSNNTQFYIRGVA YQQDYSSNGTTNGTSSYKDPLADATACKRDVPIMQELNTNTIRVYAIDPTSDHTECMQ LLADAGIYVVSDLSDPTQSIDRSDPTWETTLYSRYTAVIDELAQYNNTLGFFAGNEVS NTVATTDASAFVKAAVRDMKSYIKQKGYRTMGVGYATNDDSTIRVNMADYFNCESEED SIDFWGYNIYSWCGDSSYSASGYKDRTEEFANYSVPVFFAEYGCNEVQPRQFTEVDAL FGDNMNGVWSGGIVYMYFQETNDYGLVSIVDSTSVSKLADFTSYSKHIASATPSGVNK ASYTPTNTALQSCPAVDSDWRATQTPLPPTPNENLCECMSDAAACVVKDSVSSDDYAD LFSLICGYTTCSGVSSNATTGSYGAYGMCSPKQQLNFLLNKYYTEQNSASSACSFGGS ATVTSTTKATGTCASLMSQAGTAGTGTVTSQPTGTSGSSASSSTSSGLAPTTGSTMVV VGSVQLGAYIVTAVCAALFMIML AFLA_121380 MGFVGCRMCKNYGSWLLTRGTNGFVTFQVVVGKGGLRSNATSST GTGAVIVQPYMVVFL AFLA_121390 MGKGHNSPIRCRIRRKKLPPIGAISLIDVFQRITHVFVTNITLI DPTESRQQEEYSRLQARLQRSTGSSDTSHPRQRLLTALHAFHRYKERNLAETKRWRDW YKKIPKKQRSIVESTVKYTRKLNTVEHLFETNERLAHEIVKHGMQFYNISQTELDQFV KENEKQGINTDKTSVSQAMKHFVRDWADEGHDERQDAFPCILGSLANMSRTFEHPLRV LLPGAGLGRLAHEVNALGFEVTMNEWSMYMNLAYRYLSSLSSVNSKTFHPHIDWWSHH ATTADLQRSVSFPDTLASPSVLLVEGDFTTAFAEDTGKYDVIVTLFFIDTARNLVSYF ENIHRLLRPGGQWINLGPLLYGSAPFLQLSLDEIVALTEHIGFKFQETDPSCGGITIP GLTVRGKEVAYARNGKGLSKNAYQAQFWVARKN AFLA_121400 MCNYNVEMIEYLFSNGHITEENVFTVGWHFGSVVTLCDAVVLGG YSDKAMRFVDIVGSNMVASLSKVSMFEAFRRLNAGALKRLIEAGLPLTIGEDINNTVL HVALDNRDASAVLELILPLTPELYNETNTDNETVLGRAIPLPSMDALRPLIKVDGISP SSNGRRLSPLYIAASTPRDVNMVLSLRIYDAGVIIDESRVLEHWLCYLSCSIDIRTC AFLA_121410 MYYVSAITSLCLHDAGESSYDQANASNNEEYSPIYQTLQARIIT TDQRYVLTLKPDLFTWEC AFLA_121420 MTFEPKIQTPLTKILGIPHPVMLAGMGVSAGPPLAAAVTNAGGI GVLGGFSATPDRLRELIHELKELLVDKNAPFGVDLLIPKIGGGARKTKTGAMTRPS AFLA_121430 MNGQLDALITVCIEEKAKVFVSAIGIPPKHVIDRLHANGILYMN MIGHPKHVAKCVEAGVDILGAQGSEGGGHTGNIPTGILVTSVAKLVRGVKSKFTDVDV QVVAAGGIVHGENLAAAIMMGASGVWMGTRFLLAKEATVASAFQEAVRTAGYDDTIRT VIYSVGSLIFLLCLGRPLRIKKTAYVLNWEENRQAEIKELTGRGILPVAHDAEQHPED DEILDNIVPYLMGVAAAQIDSVMPARDIVNEVVNEAAARLIAGTQALVTKPKL AFLA_121440 MSWTHLIRFIAVEDSQEHLGQLVDTTRDIGKDSVNGVEIAAYLI DGTIFDGRVSTTVMHVKQLLSPVTKEQCSYIRCLGLNYLDHAKEANMALPTVPVMFTK PRTALANPYPATINIPKCAQDETSDYEAELCVVIGKTGRDIPEEEALDYVLGYTASND VSARALQFATSQWSFSKGLDGSCPVGPVLVSSSVIKDPQTLSIKAIHNGSVLQDGHTK EMLFSIKKQISYLSQGTTLEAGTIFLTGTPAGIGFTREPRIVLKDGDEINVEIENIGT LINKVRYE AFLA_121450 MIVRELPAPAQGASIADITAIGPDQESVASWRKRCNIDTGKQIR LVKLSHMRYQHPDLDEITVFLQDFGMEVVKRTADQIWYRGYGTDQYVYYAQKGEKKFL GGTFEVESYQELEKAAQLPGAGEIQDLSESPGGGHLLTLSDPEGFPVNLIYGQEPREA GEYPTSIIVNTDSEKPRIRKFQRFNPGPAAVHKLGHFGLCVQKFDELVDFYTTNFNIV PSDFLYVEKDGQKKNVALFAHIDRGTDYVDHHSFFLSTNPTSHVHHCSFEVHDIDTQH LGHQYLNGKGYESVWGVGRHILGSQIFDYWWDTTGNMVEHYADGDLINEETPIGYGPA GDESLAVWGPEVPSWFLN AFLA_121460 MAAEFRGKGSQVLLGPVAGPLGRSGLGGRNWEGFSPDPYLTGEL FTETILGTQDSGVQACAKHYCANGSVLKDRLDDMCRRVMMLCFHLN AFLA_121470 MAPGVKQITIFGATGLQGSSVVHSLLRDQASNFRVRAITRNPLS DKSQALQSLGVEVVRADGWRAHEIQEACSGSWAVFVNTNSDDPIFQNPDGPTEFDLGK SIVDGIVAANVRILVLSSMRPAAEATGGKMNIKTMDMKARIEEYARGTGCFDAVCSIH AGWYYELFLSDIMAQVHQGFPYYPDAEGFLSLHLPRWGDNDAAPFIAIADDFGDLVHG ILLDPHKWKDQNIQAVSEARSLEEFVEVFSKATGKKARYVPLPSWKSLGEGVAELEDA RLLFAYGELTGGRYFGVEPSSTATARKLKKLAATAQGKDGTSAELTSLASFFAANFGP SSK AFLA_121480 MHQFHLHSVEARTAPVSQVIDLLTVNGGVIVRNLVDQNTLAQVS RDVTPHFDKFWEGGIFTSKSRVVTGLAVKSTAFIEHIACNPLFLEVCDRLLASTYTCW YGDEQVAFTSAPQINAAIAISNSPGNEAQKLHRDDMGFHHTLPGIAPEAYTPGRDVGV GLFVAATRTTKENGATRFIPGSHLWDTSHRPDEGLTVPVEMQPGDAFIMLASCFHAGS ANVSQEDRTIYSTFLTKGFLRQEENQYLTVTKEQLQKYPSTVAKRLGFTPSDPFHGWV DLKSPLEALGLEESSK AFLA_121490 MTVTATERIVTVFGTGNQAGAVARALLADKTSQFKVRAISRHPD SASSRTLSALGVQVVKADGWNLEELTRAFADTWAAFVNTNSDDPLFLQKGDGPTEFDL GKNIIDSLVAAKVQHLVYSCFASSVEQTKGKLFIKPMEMKYQALKYARETGHFATTCG IYAAWYYEQFLDKATADVFGGFPTTPDEEGYITFRAPLWGDDEHPSFVSITHDFGDMV HGILLEPEQWDGKSVPAASDVMTFEQLAQTLQNATGRKSRYIPLPSWEDFGRGIPELD DHKLLFAFTQATGGRYFGDVPTETKTALRLKRRAAEAQGKSGNEANLLSMEEWFKTNF A AFLA_121500 MAARLLSSVSLTDVVLLLSSVWIAVHLVLAAYNVYLHPLRRYPG PKLAAASQLLNVYHVLKGDNCKWTAQLHEKYGTVVRIGPNELSYISPSANQTIFGGRP KEDKVFEKNPVAYLQGNGDISNIFFARFHDHNRLRKLMAPAFSETAVREQEATIQGYT NQLIAALRNRSGQAAYPDAKGVVNIIPWLHFILFDVLTRLSFGDPIGCLDRADYHPWV SVIFKAIIHSTYTQAAHRLAPYQWILKHFIPNDMTANYEAHLEFTRKQLDQRQQVKEE PVARADFSSFMLKGMSPDELFDNVNIVITAGGETTASTISSSLYYLVHNPSSYERLTK EIRDTFSAEGEITLAAVAALPYLKAVIQEAMRIHPPVPIGLFRVAPAAGAFIDGQWVP GNTWVSVANLAASRSPTYWRDPERFTPERWLGDAKYESDVREASAPFSIGTRNCIGLN LANANMRIILARLLWNFDFEAQPDNIDPHELDEYGIWETKPLNLKIKERVQTT AFLA_121510 MSLEQFSMLDVVLGPGRKTLPQDNQPARFTPNCRFRSIDVESDW GYVEEFDYIYIRGLAACIIDWPGLFRQCWKALRPGGYMEISDFGYSSKSETDSLDRTS GLLRWEQLINEAGDRSPRKVAIASAFLGLMREAGFSDITELIKQVPYSGWSTNPLARK IGQLSNPLHKLGLKNLGLAVLCSVSGMSPADVDREFDAACADMDNPDRQCWMPL AFLA_121520 MMLSNLLPSPEPLGADEYGLCVASNLGLGQLFYRQVRQSPSSIA VVDDESSITYGQLHAWAVRLAAKLSQEGFVKEEAVGIVVQHGVADVVAQMAVIYAGGS CAPMDPTMRDQQIGQRLQRLNSRFILVDQSNRGRDLQFQQIVLEKHLPLTITDLCEEN EFPVTTDLSHRTHLIHTSGTTSEPKAVQIAARSILQVVFHAPFEPLAVEDVVAHANNS SFDVSLFDIWAPLLRGARIAILKKMVLLDLVVLAEHIDRLGITVMATTTALLNLAAST LPTAFSKLRICFIGGEAANVSAIETVLRKGPPKMLINAYGPTECCIFCLAHRVTPKDI QMGSVSIGMPIGHTIAYIADESGCAANEGELWIGGAGVSPGYINEPEKNAKSFPTIMM PSGEAARFYRTGDIVRRRDDGQIDYVGRVDHQIKVRGFRIELEAIETSLLQTGLFAEV AAMGIQSPHQGAGSVLVAYATPKDPAHPPEISKALKILQDILPEYMIPQLQLIEKMPL NSHAKVDRKGLKQLFCQRSTSLLKPVPKLPNTLCQDTQTVLASLWATILATPKSEYKE SDDFFVLGGTSLQASLLISQIRQVFRCEVSLLALYDNSTVGALASIIDHAKGGHLKTV RDEREVWLADTKLADDLPAPMTTPVNWQRDIEGRIFITGATGFVGAFLLSDLLHMPSV HQIGCLVRAPNSAAGMQRLRLALEKYNLWKDEFTNKLLAFPGLLEDKYLGLEQARFNE LANWASVVFHLGARVNYTQPYSLHRPANTLGTINVVRFACTGRSKAVHYVSSISCFGP TGFFTGTASVGEDDSLLPHLEALPYDHGYAQSQWVADQLLRRLMDRGFPISIYRPGFI TGHSQTGVCNPDDFFSRLMIACEQMKSYPMLPNQRKEFVPVDYVNSVILHIAASEHAV GRAYHIVPPNRDMSIDMDATMDLLGDAVNSRIHALPYMEWIDRLAANPPVALQPLQPM LAEKVHDANYPGGLKFPTLDCSLLKKYIAHLRSAC AFLA_121530 MMSKLFTPLQVGFCQLKHRVIMAPLTRFRADDNNVPLPIAKEYY SQRASVPGTLIIAEATYISLAAGGYPNVPGIWSPEQIARWKEITDAVHAQGSYIFLQL WALGRVGDADTLKQDGFDLISSSAVPVDAGEPVPRAMTEEEIKQYIALYAQAARNAVM AGFDGVELHGGNGYLVDQFTQDTCNRRTDSWGGSIPNRSRFAVEVTRAMVQAIGSERV AVKLTPWNDQQGMKMKDMEQQFLHLITSLKELKLAYLHLTNPRVSVDEDVPLQGPPDG HPLEDNAGFVKAWGETSPVFLGGGYTPQSAKHTLDVDYPLNEIGAVFGRLFISNPDLP LRLRDGLPFTPYDRDSFYTPLSPIGYSDYPFSDQAVDLIPVRV AFLA_121540 MATAIPKITDQFHSAQDIGWYGSSYLLTNSCLTISFGKLYTLYP VKWIYLVALALFEIGSLVCGFTPNSVGLIIGRAITGLGSAGLFSGAITVISQSMPLQR RLLCISVIMCLFGVADVAGPLIGGVFTDYLTWRWCFYINLPFGGLTALAIVFLLEAQQ PVKQAGGIKCLLSHLDLVGLLFLFPAVICLLLVLSWGGADYPWDDRRIIGLIVGFTAL ILVFIVVQWWKQDKATVPPRLIKKRDIWGTSIFSFCITGAMMAFTYHLPIWFQSVKGV SATKSGLMSIPTILGMTICSLLSAVLVGKIGFYTPFMYAAPVLSVIGAGLLSTLKVDS GPAQWIGYQIPFGIGLGIGLSQPMVVVQAVLEPDDIPLAIAITAFMESLGGSVAISVA QSVFRSQLVKNMALEAPQANAHGNITTAMTTLRDTVPPEMLSGVLRAYNLAITQALYV GVALSSLAIVGALPIRWTSVNEKKTEGCP AFLA_121550 MAELICSVGGQGIASGFRDAISLAWRLALLCHHHPTTPRFHEQV LAAWYQERKQQLEKSLASTIENGKFVCEAHFGKILVRDWYLWLVQLVPSWKRHLQLGQ RKDGLIRYVHSEGMPFLPELNGGLNLPQVFCKDMNGKVFFTDDVIFRCKQTSIFRLFV YMRNDEELAFTRTVLRAVEELSRGEFAADHVPFVIEKITKHGAENDRNLFQIASAEEF ARSPLCRGRPEPTYYEPFLIRREVRAKYIIVRPDRIVFAACEDEQSLKTAIGRIKDIL RC AFLA_121560 MEMEDWETTDVVICGCGPTGAMLSAYLGQMSVPNVVLEREAEIT TDPRGIALDEDGIRFLQGAGIYDSIFSEIGSCMGRFNFISGTEPVLERRPFLALDYST TAGGTGHIGFLCHKQPILEKNLRKAMSDNTFSTLKSESTVYELCEDEQWTYCKYRDAQ GTERRIRARFFVGADGKTGFTRKQYLEPKGVHMEKVTEYVAYAIPADSITDTMNREFY EETWVALNWQITLPTPESHPEFSLWTLGYTPDEVYDLFFPYEFRFLCNPNRPAVCGRF GLQTDRLWRFEFVVRPGEDGYEMAKPESIKNIVFPYVTHQGSRYG AFLA_121570 MRFSILALFALASAALAQESTSQDPGPSPTASVGCEPHGDHWHC DGPASTSETATPAVPSPTESVGCEPHGDHWHCDGPASTTASATTTGTQSHTDEADATA TPTKPSPTESVGCEPHGDHWHCDGPAETGASTSGGSSSTGSSTSTAAAASGTGSTEDN AAGNVGVRLGVAVGLALGVAALQL AFLA_121580 MESFNSTTALPQMASYTDLIKLAHQNPNLSSMENLWEAYYSYWN NDILATGIITFIAHELIYFGRCLPWIIADAMPGTFNRWRIQDHKAPPSVATQWDCTKY ILAIHFIVELPLIVLFHPMMDLCGLKYASPFPDLKTLAAQLAIFFIVEDTYHYWLHRA FHWGPLYRSIHRIHHQYATPFGLTAEYASPWETLLLGLGTIAPPLVLGYFTENVHLIT VLVWMGLRQVQAIDSHSGYDFPWSLRRIMPFWGGADWHDDHHRYFVGNYSSSFRYWDS KSFFALFPGYGLQGLTCRSLDGYRCWP AFLA_121590 MGSTDYKFEGWMGLDPKAAEGNMVWQEFQPKAWEETDVDIRITH SGICGSDLHTLRSGWGPTMYPCCVGHEILGVAVRVGSQVEGDIKVGDRVGVGAQNDSC QGRKGDCEECASGLEQYCPNLMCGSYNSKHWNGDKSYGGYALYHRSPSRFVIKIPDAI PSAMAAPMLCGGITTYSPLRHNGCGPGKKVGIIGVGGLGHFGIMFAKALGADKVVAIS RKANKKEDALKLGADAYIATDEDADWAKNNSRSLDLIISTVSSSKMPIMDYITMLKPS GNFVQLGAPEDGALTIPAFALIVKRINEIREMLELAAEKNVRSWVQEVPMKDANKAIV DMDAGKARYRYVLVNEQ AFLA_121600 MASHTGIPPTESRLSTRISLRWLPEPASETTDTIVMSVKGWYVD LRVDKKSGDIDWAIAGQRVVDSSDPRRVQFTHEIDSHNSFDDVDCGTFTTLPNGDDLE TGSMSRPDLPGAPVTEYEEVWRELSFREGPEGPGKGVSWVLESKHDLELGEGQEVEVS RTFLARIWGTYLVVRQRQVYVRLAGSKDAVVKTGKGVSARREEWDSTRWSAKYVLGLE GDSLPSAQDVEANEQLRTPGGTILVKGEPYTIRSYEEVV AFLA_121610 MWSLWLSSILLVGLYLFKRLSSPLAKVPGPWYTNLTSFCLKYHE FTATRRLFVHRLHKKYGPVARLAPNELIAAENLLYYYLPRLAPYFPSCLHPRPAPRAN AYVLQMAGQQHPEEHSLVARLTRKGSPLSHMQVAAECKDHMAAGIDTTGDALCFLMWE LSQPQNLQFQDRLHKELLSTSDDTPLDKMPYLDAVIKEALRCAPPIPMSFPRYVPSGG RTIDGYFIPENTIVSCQPYTVHRFNEEVFPEPDRFNPERWLEEKGFNDRNRLFFAFGT GGRGCTGKNLAMVEMKILLRELYSRFRSSVAPDMTASMDLDDQIISARPKDQICKLNF AVRGEDVDTA AFLA_121620 MVSDAPKRVTLRRSCQACVRGKRRCDQLWPRCSRCQARGIECEY INIPLPTGPDSSNITSRFVHRVASVSTLPIHRPLPLVIMKGYDREIISFLIAGMRSFP IEFANNMKTEFIHPDLYQSSEASAVFQHVQTLCRLHTQASQGETSTSLTPLLQQNCVE LLRKSGRPASFQELLACTQSLLILQCLLIFDAKVAVDGPYSETISSMLSNVGRRLWQQ APIQLSHTLSPREAWLFAESVRRTIIVAFMLRSVYSLLKRNYSVRTPFVDSLPFDVRT SLWDADHEAWDDATPASLENMISLQQYSTLLEAGAVHGISPFSALILAACKGKSVSDV PYPPITGYEAY AFLA_121630 MFFPYSKVLVIGATSGIGKALAARLVQNGTQVVIAGRRKENLEE FVKEHGSEKVKSKVFDVMDLEAIPQFASEVISENPDLDCVFLNSGIQRPFDFANPESI DLNVFDQELITNYTSAVRLTKAFLPHLQKQPTQTAIAFTTSQMALVPMMRCPNYGASK AALHHFILALRTQLQGGPGNVKVLEIYPPAVQTELHDAKHQPDLKDGHLIGMPLQEFI DEVWAKLTQGEEQIPVGSAKDIFEAFEVKRQQIYQQMTETLTGLLKQFLR AFLA_121640 MTLQAKLIPTPGTPPNVHKDALKVFQTLQEGGIAIVPTEVGYGL IASSSEAITRAFHAKTRRPGHTQGIIGNYDVHKALHVLPEEKFNITKVLTHDLDMSLG IIAPYISDHPLLQGMSAETLEQTTKDGNMAIHVGGGSLLLEVVRLHYEVGKVVVGSSA NVTGKGQKFRVQDIEEKILEAADIVVDYGLQRYHVYWKASILFDFGEMKVVRMGACYE LFRDRMRRFWGVESEEDLIYPDEDEKGE AFLA_121650 MRELVLLLSWLFGFRSMGSTKDLETNVSSAPLSSAGSSDRDDNY EVYQQTRALEYTPEEAKKVLRKIDLRLMPLLFLIYLLQYLDKNSLNFSSVYGLKEGTH LEGQDYSWLGSIFYFGYLVAQWPAGLALQKLPIGKFLSTTTIIWGGLLMTTPACYNFA GIAVNRFLLGVTEAVVNPGFVIMMSIWYTSSEQPLRLETYYCTNGIATMFGGLLGYAI GHITGGLPRWMYVFLIFGAVSLAIGIASLIFLPDLPSTAKFLTEREKAIAIDRVAINR QGVRNHHFKWYQVWQAARDPKTWLLFIMAVGAQVPNSALTSFTSIIVGSFGFDTLGTQ YLQIPGGAVQFLTLLFGGMIATKYSGKFHSRSACMIFASLVCIIGSGLLVGLPDSNKW GRLVALWLCYFQGLGFSMSLTIVSSNIAGSTKKQVTGALLFTGYCVGNIIGPQTFKDS EAPGYHSAYVAMLVGYAVKLVSIIALYLYMYLENKRRDREALGSDGDESDGVEKGMLD QTEIDNKTFRYVL AFLA_121660 MTVKLLMGLAMAGLVSASSSVTSMFLLGFDPQSLQASIVGNVST PQIDDRTIPWPRGSDATATTYSITCAPSPTASASDDDDDYECGLGPGFTLTDASPTTI MEMNDKPNFSMTINCSVAGTTSAVCTGIGDGPEANFPGTIISTIQQSEMSYLPVTITA GSVTSVAATASATTTTESGSKATTSAAQTASKSSASSSGSSSSSSAVSTGGMPQITGD AGMLFGGAVVALAAAVL AFLA_121670 MHFKTLLLVSLASLAVSHPSANPDEAAVANNCGWPNGNCYDNNC HGELSSNRITCTSGPYLGCPCGYGCGRNTGKCNENGCDGRNGRCTNNYLGCSCL AFLA_121680 MGFTQDLVSVIRPSIESPLAFCATTVVAVVLYVLVLGVYRITLH PLAKFPGPKLAAFTQWYETYYEFFKSPGGQYLFHYRKLHEKYGPIIRLSPFEIHIQDS SFFEEMYSQSLPWDKPKELEHRFGNANGLFPTHKHEVHRHRRAALNPYFSKRAINNAV PMMQEQITKLCDRLRREYQGTGKVFRLDWMMGCIASDIIVRYCVDRGYDFFEAPDFKS PFIQALFDLLDGVHMITQFPWVATLFNSLPQGLVETLQPGMKSVHHFHKEMADQVAHI LSNKEKRNGSEQTNVFNALLDSDLPPEELTLTRLQQEAFTVIGAGFDTTRYALSVAGF HIINTPSIYQRLREELKTAIPDPNNMPSLTDLEQLPWLTACIQECVRMSYGVSQRAFR ISDHITLTYKNYVIPPGTVVSMDNYSVAHDEEIFPESFTFKPERWLGDPLAPDGKKLT RYLVSFGKGTRSCLGINLAYAEMYLTIANVFRNFDFELFETDRSSVDCYRDMFLPHVK PGSQGVRVKIN AFLA_121690 MGLTIHTVGTSTLHRQAERAVIYLDVSSDGSDQSTVSQDVTRTS NRLQSLLKEIAPKQDSGDPTPEAPVTFWSMSSISTGSYLPWDHDKQEHRARVYTARTN FEVKFRDFSKLGEFVSDVAKDPLVSVRDVDWQLTDDTKQQLGQECRKLAVWDALAKAK DYAGALNMSNLRPVEIDDSEGHVSAGIYASARRAPAFAESGGEQALNFVPQSCEIECS VKMRLEVE AFLA_121700 MSDSAGRRSKSHDSAYLAEYYDLRAKADVTVLNAQDDAKIYISA LKKQVESYYPLDHHGQHLIVLDVGTGTGRVLANLATDAVRDNIPLSNVEFIGVDEKPS MIHHALAVQQETPSMSHVGRVDWLVGEALHLISAELLETHINQVDLLLSASGSVSLLT RSGELLKFLAQAAALLRPRSGRFYLSIKRDLLSTQSTSEPTGENAKSSGLCERHEFPS ELYENIVYRQLPVESPTVDGSIKRALYQLQVIKRTNAGHEEVIEENHIESVQMIWKEP ELLECFKEAGLEPDEWY AFLA_121710 MARALPNPGNRSNGTGHLPTYLSLAIMDPRLLIRFLRYQMTIAR VNRTAHPSPTPTPSPTFACRDIAPPLLGYEGVMGDVVEINEVADVVSASVDARVGVVV SVVLAGVKLTLVDANVSLVGLGVELTDTVVDDDIDVDNVVVVVVESFALLVILK AFLA_121720 MMLVAPRRYVYLGTGFSLVLLFWYTFGSPLAQATGIVSPLEPAS VPPPTHSASNATLGFQSILALSSGPSWRTRGLMAAANLTGLSIHIPPQPPIHPDLVET FETLGSEYIRHPSHGASIAWLAHLDLIKYTIQADLDTALILEDDADWDVTIRSQMVRI AESVRNLTGTTESETAPYGRDWDVLWIGHCAEYWEDLFETVFYEDPTACPHKYYFGFA KENIERMPDHQRAVYWSANPVCSFAYALSRDGSRKVIELLGAGQDEAFDVSMMHACSA GKLKCISVVPEVVHQYFPNQTFGVKSMVDIGNGEQAGPDDSEFEHIMGSTENILESAR CRALWNKRCLRE AFLA_121730 MFKPDEASEWTMEIQKSHGEGGNGVRGFGAEALGGWVGLAGRFR REFPDHGRGDFRIPAVRIRQEAGYTVTDLQYQSYSVIPGKPALPGLPSTFGSEEDVTT LVVHLYDNYSSIAVDLSYSIFPKYDAIVRSANVTNKGTQNITVEALSSFSFDFPYEDL EMISLRGDWAREAHRQRRKVEYGLQGFGSSTGFSSHLHNPFLAIVHPSTTESQGEAWG FNLVYTGSFSVDVEKGSQGLTRALLGFNPSQLSWQLGAGETLTSPECVSVYSSDGIGG MSRSFHRLYRNHLIKSKFATSDRPPLLNSWEGLYFDYNESTIYRLAEESAALGVKLFV MDDGWFGDKYPRVSDNAGLGDWVPNPDRFPDGLTPLVEDVTKLKAGNSSTDLRFGLWV EPEMANPNSTLYHEHPDWVLHAGQYPRTLQRNQLVLNLALPEVQDYIIDEITNILNSS AISYVKWDFNRAMHETPSPSNDHEYILGMYRVFDTLTTRFPDVLWEGCASGGGRFDPG VLEYFPQIWTSDNTDALMRITIQLGTSLAYPPSAMGAHLSAVPNAQTGRTIPVKFRGH VAMMGGSFGLELDPAELQEDEKAEVPGLIALAEKVNPIILTGDMWRLRLPEESNWPAV LFISEDGNQAVLFYFQLGPNVNHATPWLRLQGLDPKATYSVDGNGSYSGATLMNMGLQ YKFESDYDSKVVFLQKQ AFLA_121740 MQFKSLVLSIFVATASAGYVQICGNGGTCTDTGSANSNSCIGPV TGNGFTFTAHDMEPRNSMSIFADEGCWNYNVASCDDCTSVTYNGKGPVWAIFH AFLA_121750 MPAKVFVTGITGYIGGDAFHLIQQNHPDFEFSALIRTEDKAQKV RQKYPKVRIVLGDLDDADKIAKEAAWADIVIHTADASDHVGAANAIAKGMVDGHSPER PGYWLHTGGTGILTYFDSEVRKVSGEPDDKVFNDWDGVDELVNLPAAAFHRNVDEIVL DVGSKHSDRVKTVIVCPPTIYGRGRGPVSGRGRQVYELASFILTQKYSPQLGRGLARW NNVHVYDLSRLYDGLVRAALDPTRQNDKEIWGAKGYFLSENGEHVWGDLSRQIGQQAF KHGYLTQEPEHKQWSLDEALKSPAGFEAASWGYNSRGSALRAREVLGWKPQEQSLEAE IPEIIRAEAARLGQ AFLA_121760 MTVIEIEVVFDFVCAWCYIGKRKLDRAIALYQRVYPGGISDVFS IKWRPYYLNYNPHPYSIPKSELIDDRLSDMTLEQRTSLFSRMNQIGRSVGIHFKGGGM IGNTRDAHRLVHLCGTQSPEVQSALVEKILEAYHELEKDISSKEVLTELAVDAGLDGK QVREWLDSELTADVVDEEARKNKEEEDNTGVPRYVIQNVHRLAGAEDPSEFIGIFAKV KEDESQP AFLA_121770 MSIPDLLEIPHVHLDSTSQIIYYNVLLQGIMLDSEYLPGRGKII QYLYQSSMTLLDDWLCHIENTLPDMFAAFLMISMTLEGCNSEMAWKIFGYACNIARAM GFFSVDEPSDGQNSQSEPHSNSESEVDKNRKRFEFWHLLRMDCLFRLSFGKPALIPGG SWTVNFPDPTITGIDDASTRFIQIHFLASMRLTLTLLKYLDLVGVEMQQDTDVYDQTL DGLIAEVQTIMSDWNALQDAHRLHAIQEMQSEQSIPTKTHCRCCKKIVENVSVSDEFG TVRVLGY AFLA_121780 MGRLSDSSSPHNLPEKPHHETGELYAPPQPVKDESESIVVNSDR TGYEAESNDGRKNAAEAEQAASLANYFRVLSYTSAKDRVILGIAFICSIGSGVPLPLM NIVFGKMVGEFNGYFIPGTSVTEAQFKSSVSKLSLYIVYLFIGKFTLTYVSMLCFRVI GLRVSAALRLEYMQSLFTQPITKLDQVSVGTVTNTISTLSNSIQQSISDKLAILFQSL ALLVTAYIIAFKYSWALTLVTSASLLFILIACSVTIPIITKVQQKVDKADEKHSSIAA EVFGSIRTVVSLGAEEPLRKKYAVWVEEARKRGQGMSIAMGVQFALMFFAMYSSFSLA FWFGLKLYREGHIENINTVITVFFSVMIAVTVLGNIATPLINVSKASSAATSFFEMID SERIELGGLRDPDVSAHVDIVFQDVHFTYPTRPDTAVLKGLNARFEKGKTTALSTIVA LVERWYQLESSEEDHSRGTISVGEHNINSLDIKWWRSQIGLVQQEPFLFNDTIFNNVS FGLIGTKWENETEAVKREMVEKACREAFAEEFIKRLPKGYRTIVGENGTKLSGGQRQR LAIARSIVKEPTILILDEATSAIDVRGEKIVQAALDQVSKNRTTIVIAHRLSTIRQAD HIVVMKGGVNVEQGTHDGLLADEKGVYHDLVHAQKLELLAEDETNESEIPHELKEEVQ LAHFALDDKQQVEEENTKDKSRGFFSSIGLLLYEQRANWPFYLTTIFGAVGAGAAFPL QSWLFAKLIHVFQLTGRQLADAANFWALMFFILALGVALSYSIVGYSSNSLSVRIGSS CRKEYFQSILEKPIPFYDINENASGSLISRLATDPKQVQDLLGMNGIFPVISVFSMIG CIAIAFSFGWKLSLVAVFAALPCTFLAAFMRIRYELQFEAMNAAVYSGSSQFAAEAIE AFRTVSALTMEDAILDRYANLLRQQQTKAFRKAWYATLIFAFSDSVELCAMALTFWYG GQLLASREYQPTSFFVIYMAIIQGGQSAGQFLSFGPNMAQATASANRVLSLRSNSSTK DAANMGQKQLAHFASQSGASIEFCDVAFKYASQDVPLFTGLNVSIKSGQFVAFVGPSG CGKTTVISLLERFYDPFQGTISLNGQDIRSLEKSSYRRALSLVAQEPRLFEGTIRENI TLGLDKSDFTENEIIQACKDAEIHDFITSLPEGYSTELGIKAQTALSGGQRQRLCIAR ALLRKPSLLLLDEATSSLDSQSEKVVQGALERLAGKRSMTIVAVAHRLATIQKADMIF VFGESEAGHGSRIVEQGTHQELLRTKGTYWQMVSIRTLFPPLYGLLSLLTLL AFLA_121790 MVAVVTLRVFVVVDFFINELWFFHTLDGMYESFGFYNIYGFSAM MPVLWSLQTQYLAKRPEELSTIALTSVILLFLAGWLIRFSADYQKMKFRQTGGECRIW GNKANKIRASYQTADGKLCHSLLLCSGWWGLARHANYTGSAMYTLALCAACGRGGIFP YTEAIIVGGIVIHRCYRDEGKCAAKYGKDWDEYCRQVQWRMIPGIY AFLA_121800 MDLIFNYLDPLIIDSIYDSFRSSLQLRLCSTSHSLSFCSVITDS KLWCRESIYRQSLSIFIVTWLSSSVFFLLLGTVCHYLYFDKALTRHPKYHKNQIRHEI YDSLLSLFGLNVLTVPIFVAQVRGYAKLYDFGSGKVPLWYEFGQFLFFVLFSDTCMYW LHRIFHINFLFNLMHKKHHRYIIPTPFSAYAFDPLEAYIMSLPIYAYSFLWPMSREAQ LIVFVTTNIWTILLRMILHNVRVCIPPAQLTVILGGSGSGKSSFLNALSGRTQNGRLN VTGSITYNGSVDIGAFRSAYLVQQDILPAMLTVREILSYASELSLGSAGASEIDRAVD NIISRLGLENCAETRIGDSKNKGCSGGERRRVSIGIQLLKATSVLFCDEPTTGLDATT AFQVIRTLKRLADSGMTVVISLHSPRSDAWSLFDNVIILSGGHLMYSGLPSTVGDYFK DCGYEMPPFVNPADFLLDVTSVDVRSEASKSNSCARVEHLKQCWMNRLATNAANCLSN YRDICDSMDFDSKAPSYSRVCKVMTQRNLKICWRDWKSLLGIWCAVAALAAINGWAFW QLDGSLSGIRSRQGSLWDATGLYGYLILVHEICRLVEEIGLFDHERRDGILSASAFLL SRRASRFFLEDLSLPLLFTAIYYPMVGYRGSASQVCIFLLVMLFTHYLAIGFASLCVA TTRSFHGAGLMGNLFFTLQMVASAYFIQTDQTPPYARWLKWVTHTFYTFGTLCANEFI GVHGPYEGNLYDCPFSADRTDPRCKQYTGRFVMDSLGMPKEWVWRPIVILWSMTCAFH LSGAFVLHINHPKPPLTPTERVGSPSSTEVRIQPRYNRSVTPVSLCLEDYALTAKRQQ STRRNVTSTISRICGPVSTIFQPGKLNVIMGASGSGKTSLLSSLAERLPLSSSSKWCR TGSALYNGTQLPKVQVRSMVSFVAQDDDNLMPALTVAETLLFAARLKLPSSMPDDEKR GRVSEIITKFGLESCARRLVGSAIVRGISGGEKRRLSIACEVLTMPQVLILDEPTSGL DSFMALTVLEVLQSLAAEGCTIILTAHQPTSSMWSLFSSCLLLSPDGLPLYSGEASEM RSYFHSAGFECPMAVNPADFFMDLATSGETQGNSEDSKKRLDNLVNAWKSHESYARKQ SFDSRAGTERGRTELIPDFATHSHHSSATAFPVLVHRAVLNTLRQPVSILARSIQAPG VGLLLALFTAPMKTDYLSIQTRMGVIQQYSALAFIVTAAKAVYSEGPFQFFATRLPHS SADAILGYAGWLTLQAILYIYLPGSEALGPVTPAGRRLKYKLNGLAAWGATVVLWAVG SVSGIIDPACIAKNWEGLVWTINVFSVVAVVLFHIKARIMPDNEAETFITGKTL AFLA_121810 MENVSDKQTQRFEVIIVGCSVAGLTLANALSKRKINYVVLESRK HLPSPLTGNALTLLPNGMRILSQLGVLDDIKATSQSISSHSTWLANGYLLKTINMMQL PSTRHGYDSVVIARWDLLQILYNRLVGDRSRIAFDKRAVQFDQSSSEVKVKCADGSSF AGDVVVGADGIHSVTRREALWHQDLAKTLGRIQNRPLELTSEYSGIYGISNPIPELHP GQAHRTYGNGFSFIVNVGKHGRIYWLLSIKSRETRQYPRLPRYAQDQASIDEHVRPFL DAHISSTILFKDLYNNSKTCLHVGLEELLCENWVSGNIVCIGDSVHKMTPNLAQGANC AIESAASLANRLVCILDKRQGRVCSDHCGREAILQSWEASRKHRMRFFYTCSWILARC ESFCGAFFKGLGLYIGSYHGEQVISYISDIDGQTEYLDFLPEPLRASKTVLEMEHGTL FHLNYLFVKMAFALLDSSLRLWQFCFS AFLA_121820 MEVHNAIVQRISTCVKQFYNDKTPFGIYHGSTNSTRASTKLRSN TVDTGSLNRVLMIDQEKKVALVEPNVPMDMLVQATLPWRLIPPVVMEFPGITAGGGFA GTGGESSSYRHSFFDRTVNWIEIVVGNGDIITASATENSDLFFGAACSFGTLGITTLL EIQLLELPIEPAVELTYFPISMGVDEAVRKIEHLTPDPTYQYLDGIMFTKERGVICAG SITSATDHCSRRMQTFTRPSDPWFYMHAEERASTSAAEEAGPAKDLIPIADYLFRYDR GGFWVGKYAFEYFLFPQTKFMRWALDHISHTRVMYHAVHKSGLFREYTIQDVAVPYKG AKELVDFVDDSFGKYPLWICPVLSSILNTTCKPSCRRHLCSTCNSRVLNTKNRCSKLE RFPFFHYSGGCLLEWSSA AFLA_121830 MSTAQDQYIALINASEPVKPAVLNSIFDKLPPIEPNQLIGEWNG GFFDTGHQVASTLKEIRWVGKSFKSTDDVDPVIIERMGSESVGASGGLHLSLRLAPMC QYSDDGHMAPWHLTHYGGIAQRGPGLMIIEATAVVPAQPCR AFLA_121840 MNEATALGLYCAVSKVLPGSELPFPGCKANYFAFNCWTSANLHA KFCLWAATAKNAGNNIFNVMNGDTESFQNLWPRLAARFGCKIPNPMFPNGGVPDTKGF KDFESTTVRMPNKHPLTVHGVDIGVSLHPEKQETPTLFLQVDPEKWAKRRDVNEAWAK LRDTYKLDQVAWDKATWDFLTFVLGRDWSCVGSMSKARKLGWTGYADTWDELEETFEI LEKEGILPPVEQLKRDF AFLA_121850 MFSTAASPSTIIQQLEKVVENPELYRSHRHEIISLANRVEVELQ SPFALFQGIVHAAMPIVAVHVCQQHRILHMMQENAEKGHPATSTAALAEDTGINEHKL EAVLEFMAARHLVDHISYKEFAPNKLTRLLLTPLFMDGVLLYLPFLLLSAH AFLA_121860 MILRLVAFEAVRLSEFQSFTSSRNPTFDAISHYTWTQIALNYSL IACTAFCLRPFMNAVTTSYGTAGESNLSTSYPYASDRGRSNQGSYALQSLQNRSVAAP EPDLFRPKVGAGETTVTSAQPGSSTGAHSDRDERNSIGSEGSTKMIIKKDVEYTVHHS PNPDH AFLA_121870 MAIKVPPGQSPPFETVDGDHHAGIIIIVSAICLVLSLVCLLIRL YVRFLLSPPFGIDDVILLGATVSAVVESIIVFHAASIGFGTAIHLLDDHVVRSIQNSV VASDVFYLITLYLSRCCVVAIYSRLTPRRRHKNTLWGILAFTTAGIVISVLVITVDCS LNKPWVTPREHCTSLFARWQFITAIDISTEIALFIFSVVLIYGLQMAIKPKLVIMVAF ASRIPYVSHAVEPVH AFLA_121880 MVSLNSLKGGPQVSTTYGDEAAQGGHFVPAKYRGTVKDQADMSA LGREQVLRRNFRFISIVGFGCTLIATWEVILTLLEQGLTDGGTAGLIWGFLIVACGFL LVFLSLAEMASMAPTSGGQYHWVSEFAPRSCQKFLSYITGWLCAMGWQCAIVSIAFLA GTIIQGLIVLNHEDYVFERWHGTLLVIAITFFGILFNTFLAKKLPFVEVLILILHVVG LFAIIIPLWVLAPRRSAQQVFTEFNNGGGWNSAGTATLVGFSTTITALIGYDCAVHMS EEIKDASETLPKAMITSVLINDCAGFLMLVTICFTLGDIDEVLATPHRLPIYSSVL AFLA_121890 MTSATAIAIGILLAAFVYKYLVHPYCLSPISSVPNAHFTSPISN RWIEKQRNAGKEVLTIYNLHQKLGPVVRLGPDELSVNSLSGLKTIYTGAFEKHSFYSD VFINFQTPNLVGMIHNNPHARQKRMLSRIYSKSYLQESRDLRDISKIILSQRLFPILR RVAKSGEAINVLPLFQAVGMDFTSSYLFGTKNSTTYIFHLPEWQQWLEEYEKFKYMSV QDRYMGFIESWCLSLCRKVENNDQPNDVPIATNAVVYNQLRQSLEKDPDSRPLELAIA SEVLDHLVAGHETSGITFTYMMWELSQHPELQAELRRELLTLTPNLRSLPISDNAVDL PSLPSPSAIDALPLLDAVLRETLRLHSPAPAPLPRVTPASPTGVSIEGYHNLPAGVRV SSSSYSLHRIEEVYPQSSDWLPERWLKPEPGKIHDMRRLFWPFGSGGRMCLGSNFALQ EIKLVMAAVYTNFTTSIVDDEGIEQDHAFISLPTGRKLMLRFTPIKEA AFLA_121900 MLDLDDMRRRYRELSSVEDSKEKIIEPNGMQELFSQVEELRKDL TKAHDEVDNHKELVAMFKDKSNKDKEALEMKNRDHARLSFISVLVDGDCMNFQDSLIQ SGYDGGQKAVQLLRKAVEDYLFQLDPEANPRIQCKIRVYANVSGLSKTYRDTNIAPVD GTLEAFIQGFNMENGLCDFVDAGNGKECSDMKIRGGLCIP AFLA_121910 MWESIICQLGGQILAGILMALNGRAGALYRIPFPVLCRSSWGSL GALWPTFNRAVMAIVWNGVNAVQGAQCLNVLLHSIFPSMANIPNTMGAKSALTSAGMI CFFVFWFLNCAFLFIPIPKMRILVYIKVVAYYSATVAMLAWTLSLSGSSKHTLRSHST IHGTEKSWMVAKFFWLGLASVATFVSNAADLQRYARRRNDVILGQVFSFHVANFIIAI MGCVIAATSEPIFGELIWNPISILERLMEGDRYTAGNRSGCFFYLSWVCLLNYLHQYI RELHTIFLSVIAGILLCDYYLIRRGRLCIPELYTMNPEGRYHYLRGINFRAFAVYLVS IATSFYGFLSQLGVKAPLSIQRFYYGSYPTGLLIAFLGYHLSCLCFPIVGMVRTRGWY EPREYIDVHDSARDDTTMDFDGVDVSRRESDAFDSVAAEGIKRDEDMKRAIA AFLA_121920 MATQTYTQIELLSANGTVLRRVSTAPPRLPTNDEIPIIDLAPID GTLDERKALTSRIKAASENTGFFYIRNHRIPEELIQTSLSQAKTFFDQPLSEKMKIDS SASNVSSGYHGVGSTQVNRTETRGKSFAQRSLFLANIRSQISAFLLSRSANEKTTLTM SPVIRVQMHSISIIREYQMLRPTQISTLALDHTLTSNVSLFSGRTTPAACRYCLLRMS GLTPAQLKEHS AFLA_121930 MPFFFGFNPEAVCKVVPSCVDDEHPPLYEPISCGECDWHVLGFI MQRNKGLETVSHFLWTDQQHCDCIGSVCFFSVMITRWFYVNEECKIMCAGALL AFLA_121940 MLPHVFALLLPLMAGGAAAANGNNNTNIAGPNGVMDSTLSSRAM RHMRLRTARNSSAAWPSGMILSMTIWPDSEDTPPWNSRFNFPAKGHTSGAPPSDPYFA IAGTHLTNGTEDGFTVIWSVLLQETCREDDSNTESFSSGASTTCALPRNSTLRCPILR KR AFLA_121950 MYLSKIISISFLAALAGAAATPSNLEARQESSCSQAQSALKQTS QHYKSLADQWNGSAKRAIQQLLSQLNAETANIADICAKLAEAEKSAHESYSGAESDLS DKFS AFLA_121960 MFLNMSTLPRGVLKPYAAEFLGTALLIVLGDGVVAQCLLSDYQY GTWLSINMSWAAAVCISGYLADPSPTINPAVTICTALIRPTPGQWKKLPGKLFAQFLG GFVGAALVYINYRSAIESWDPEYTIPGGSILSPQGHHSAGIFSTYPASTLGSNWEAAF NEVLGSAVLMFGGLTISDPANASRFYSPQLSSFLLLLAIGASLGWQTGYAINPARDFG PRLFSAIIYGREVFTAANYYFVVPLFAPIIGCIVGAATYDSLLYEGEGSHITDALDKV GDRDGSLRLD AFLA_121970 MYQKLLLVPLLLTSALASPHDASSHQKFHQLNERAAFPIPASKG SQTFKEPYYVKGTYDGGMKTFGRGVKCTGQKEGGDKDAVFIVADGGILRNAIIGADQI EGVHCEGSCTIENVWWQEVCEDALTFKGTGTGVHKVIGGGAQGADDKVIQHNSGGSAI IQDFTVQNFGKLYRSCGNCKKQFKRTVQISGVKASNGKTLVGINPNLGDSATIDGCAS SVKEICVEYEGTDNNGKEPKKAHSGPSNTCKFKEPLASC AFLA_121980 MASYSGRNAVVIGGSHGIGLSTAQLLVDKGAKVLVTGRSLGPIE AAKQQLKDTAEIVPCDITSTAAISDLVQTVQSFFGAGQSIDLLFVNAGYASLEPFATV SEESFRRTFDTNVFGAFFVAQKLTPLLKDGGSIVFTTSVANQVGIPGMATYSASKAAV RSFVQTLAAELVNRRIRVNAVSPGFVKTPTMGVASASSDDLREFENQGVQTTPLGRVG EPIEVARAVLFLAFEATFTTGSEVTLDGGLALLKAH AFLA_121990 MSKHIGIFGLGAMGTALAAKYLEHGYKTSVWNRTTAKAIPLVEQ GAKLASTISEGVNANDLIIICLLNNQVVEDALRDALQTLPSKTIVNLTNGTPNQARKL ADFVTSHGARYIHGGIMAVPTMIGSPHAVLLYSGESLELFQSIESHLSLLGMSKYLGT DAGSASLHDLALLSGMYGLFSGFLHAVALIKSGQDTSTTATGLLPLLTPWLSAMTGYL SSIAKQIDDGDYATQGSNLGMQLAGVENIIRAGEEQRVSSQMILPIKALIEQAVGEGH GGEDLSALIEYFKVGKNVD AFLA_122000 MVAEMRELQPPADIVVASVDGGPLFDCRVPGRSLHFGPFNTVQD FHRHLRMGMEFDPGLDPQIQDLINQQSKTWPSVFTHGDLSSLNILIRGDDIVGIIDWE TAGWYPSYWEYTCAQQVNPQNSFWVNEINKFLQPMPQELRMERIRQEYFGDT AFLA_122010 MHPSDVQFVVGLSGYFVKDLQAVRQSPTYDPLIDNIRPQTPGFK SVVQAGQAISILIHLPNGTIALGDCADVIFSGAASRDPLFIAEEHLPILESVVKPRLL TCDVTQFRQNAIVMDGPWPELQHAKLHSAVRYGITQALLAATALVHRCTMAEIISREW GTALSRHPIDILASCHRNDHLQLDRMIMKRVPMLPHASFVHVHDIGPKGQALIDYIEI VSQRVQERGSPGYRPRLHFDVYGTIGDLFPDNETLVSFLGQLQRSAQPYDLLIESPII EPTQSEQIRRLTNLRQLLRQRSIQVQIVADEWCNTLEDIRKFADAGAVDYVQIKMPDL GGVQNSIDAVLYCQDKGVGCCLGGSANETEVSARVTAHVALATKPGFLLSKPGIGADE GVMILTNEMLRASALAGRTRVSRL AFLA_122020 MATQTESVVEVPITKSTKPLEKYIHPPESKQDLTIDLSEFDRPG GKEKLAAQLKDAVHEVGFFYVTNFGLTQEQIDRQFAIAKEFFSLPEKERLSFRAPLEE GIYNGYRPLGSIEILPGLRDNIEFYNIMKFLPQYDRTHPDVVRRYWEEIEKFHRHCHE HIAYKLFRLLAIILELPEDQLVDGHRYEAECDSGLRYMCYRARTPEENEKYKHLYSRG HTDNGTITFVFQQPVAALQVKKYDDSEWEYLPIRPGTLSVNVADIMTMLSNGWLKSGV HRVIVPPEDQQHYDRLGLLYFVRPSDRLKLKSVDSPLLRREGYHKDTTDIDIPAPEWT RTRIKKNWTRSPTDLGENVTMGGFKAKVFYE AFLA_122030 MQNIAHKPGDVARESLASPLPTDALQTKPNVSSLGSWSHLIAGA AGGMVTAVLTSPLDVLRTRYDTIAEILLAQIYIRGLQTDYYQSQAAKSRPVPTQPHLR PSFYRTSLLHFRDTFEILFSIHRVEGWRGLFKGLGPSLTGVVPASAVKFYTYGNCKRL LPEIIGCEKDSSLVHALSAACAGIATGSATNPIWVVKTRLQLDKAGARRYKNSLDCAR QVMQQEGPKGFYRGLSASFLGTIETTLHLAMYERFKSMISKKIDLNEKSETNGFVQGL AMSGASGLSKLIACLIAYPHEVIRTRLRQAPMADGRQKYTGILQCARLILKEEGAAAL YGGLTAHLLRTVPSAAITIGTYELVLKVLERR AFLA_122040 MAELHNRKAEKAIEKDTTPTLKELKDAIPKECFESSAVTSLLYL ARDILYCAILTVAAFQIHRIPSLPLRIIAWATYGFFQGCVGTGIWILSHECGHGAFSP NQRLNDFVGWAGHSFLMVPYFSWKITHARHHRYTGHMEKDTVYVPWTDEDLAQKKNVR IEQLKHLTEETPIVSFLQLIGHQLFGWQIYLFLNATAGTKSLPEGAGKMGPANHFNFM GPLFTGSQRVSIALSDLGLLIMGSILYYASTQIGAWNVVLLYFIPYFWVHHWLIAITY LQHTHPEVPHYTAEAWTYTKGALATVDRTIGFIGRHFFHEIIDYHVVHHLFSRIPFYK AEEATKAIQPLLGEKYHESKDESFLYSLMTTFRKCIYVSAKGSSQPGVLHFVRADDSK AFLA_122050 MNATTSAVKRRACVACTAAKAKCTPQAVNLCQRCARLGKSCTYL DLPQTRRKHKAAPSRVEVLEKKVDQLMSQLAALTRQIGQTSPDTSNTLTTDSGPSRDA ALDSTDIAAMLDAAKDPYHGLDPPTSSVLENQPSIVDRGLLSELEAERLVTTFQRDFV PKFPFVLIAHGETAARLRDREPFLFLCIVAATMGSAHPLRKTITEEIMKHVTLRFVEG SERNLELLRGLLIHSAWYSYPAEKYHPRLLLLIQFCVSTLYDLELHKKPSLNSDEQRA LLGTYWLSVGFCGTLGRPIIMKHDGRIDECIESMAFTERLSDRWIAPFIHLQSFLATM DEVYASMQASGGRALVQVTRGSLQRQFDTVRASVEKDLASCPSSTGNAVRTEIKYMEI RLEELALREELWLAEPASAVRTTMLMGIIHRSKELIQTITNLSVSEIAQMAITTSARI CATVGYMSTAVLTLLNLSTGLTNSAREAQVQAVVYAADYPNLVTELASALETKFEGMS AADKEMDVVGSLCSKMRLLARCYPYQIRAIVGSAPSQDARQDTSMMVVHANEAAMTPQ VWPSIYGDMSDIFPVDDMQWDSLLSNFTGFS AFLA_122060 MSSYAITGASKGIGLEFVRQLAADTTNTVLAIVRDPESPGISKL ASNHLNLHVIKGDVTDPKSILEAASAAAAVTGGKLDVLIHNSNAVDMATASFNPTQIP FDAQAVRAMFDLPLSTGVYGGLWTTNAFLPLIEKGSQKKIVHISSAMADLDFINKTGV SYGVAYSIAKAGMNVQVAKYAAELAPRGIKVLALSPGWVDTWEDTDIIAGEKPPQVIQ ALQLMLKQFQLAEPEFKGQIQPEESVRKSLQVIDRLDTESSGLLLSHNGDRERWL AFLA_122070 MSFFSDSRLNALSACLGNDALKSLITRISHMVKSRVEVLHRNLG PSSIWNQSGEVPRLSPDRRRHLIDMFFENIHPLHPFLDRHEFESRAFAPTLQADLANG VSWTALYYTVLALGCEFDGGGSFAPGDGEAWSLFKVALDLYPRVLLLNTDLLEVQAVF CLNLSGTQIQGKIISEAARVAQRAFLNKASTSSDAIIRSRAFWVVYYIEKTVTFHHGT TSFIVDCDVGSPIPIVQEAVFGDFDWFLTSVRFARLYSRTFTDLFSISATNNSKTAYL AKINQLERLLEQQCQTIPPQFRPGTKLRPQTFSHPCEIAAALRVHYHYHELKIALHRL KLHVTRDQSSSQSPADIIDMMKSARAVIDLTRVIYQEPSTPFL AFLA_122080 MGLRGSNIVASVLLLVGNWIRYAGTVSAVKNYGVVMFGQIVIGL AQPFCLSTPSKFSDSWFTDQGRTSATAIATLANPLGAALGQFANPYLAKIPDDLPRMV LIISVISSVASLPSLFIPSKPPTPPSAAAAVNRTPLLVSFKDIAEKRDFWLLSLPFSI YVALFNSTITLINQAVIPYGATEEEAGIAGGILIGAGLLGAAIISPLNDRFKWYTGTI RILFPITCAMYISLVFAPASSWGIWPTYLVCAILGFSSFSLVPVLLELLAELTFPHSP EIGSTISWLGGQIFGAVFIIAQSAMIAGPTGNPPYNMHKSLVFSAILSGVFLPLPLLL NLFGDPTVRQREQIHDEVITTALGTV AFLA_122090 MPFSHHSHSGEFCPSHAQNTLEQVIQTAILQGMEVFCLSEHMPR SSDELYEEEIEAGVTSSSMISNEAKYFMTAIQLRKKYASQIKILVGFESEWIRSDTSL RLIHDSLSRHPFEFFVGSVHHLHGIPIDWSRKLYSKAREVSGGTDERIFEDYFDVQFE MLQNLKPMIVGHFDLIRLHSDNPNASDGGFRSWTGVWQRVARNLRYISSYGGLLELNS AALRKGLEMPYPAAEVCQEFLALDGRFCLSDDSHGIAHVGAMYQEMLKYVEEQGIQKL YFLELAPDGTNQGLDPRFPRTLVKCRSLAEVKKMAYWKQ AFLA_122100 MYALNQTAKSVWLPLTQLSGTSKYDIVSRLVCTILIIRSVLTVY QNVTCYGVAGTLTNALEYCRRWISLETRAAPRKFKRVDKDIEAISNSMVQKLARHGAD VRRNLSLPKEGWDIEKVEAELNELHNLDHTRWEDGRVSGAVYHGGNELLEMQTRAIGK FSVSNPIHPDVFPGVRKMEAEIVAMILAAFHGPEDGAGVTTSGGTESIIMACLAAREK AAAERGVTNPEMIIPSTAHAAFFKAAQYFKIKLHLLSCPAPEYKASVTEIRRLINRNT VLLVASAPNYPHGIVDDIPEISRLATENDIPLHVDCCLGSLVIAFLQKSGFPSPYDNS GGFDFRQPGVTSISVDTHKYGFAPKGSSVLLYRNRSYRNYQYFLFPDWSGGAYASPSM AGSRPGSLIAGTWATLVRMGESGYISSCRQIVGAAKKFEAAILTNPILKPHIEIIGYP MVSVIAFTSKNDEIETYDIADAMDARGWHLNALQSPPAIHCAFTIPTAEAVDGLISDL IDVISEILLQIQEQKQKGEHIVRQRGKSAALYGVGGSIADRTMVNQFAEGFLDTLYKA AFLA_122110 MDASQDQTDVESFNQMEPVADGFRNYGTSTARVPAEHYLVDKAQ LLTLSAPEMTVLVGGLRALNANYDGSAHGVFTTRPGQLTNDFFVNLLDMNTSWKASGS GNDIYEGTDRRTGSKKWTATRADLVFGSHAELRAIAEVYGSSDGKGKFVKDFVAAWAK VMNLDRFDVN AFLA_122120 MISLPDQHSSLDHDLPPEKKIITEISTDQESSDGEIIKDWDDKE ENALRRKIDFILIPILGLAFFALQVDRGNISAALTSTITEDLGITTNQINIGTQLLSA GIVITEIPSNIILQRIGPQIWLSIQLFAWGLVATFQAFVQSYPAYLVTRLLLGLLEGG FIPGALYYLSTWYKRGETSFRTTLFFFGQMFAAATSSLISAGLLKLDGKCGFAGWQWI FLVEGLITIFAGIIFTLLVPPKAGNGYALVGLKRWSYFTPRESHIIRNRVLLDDPHKA HGHIKITAKDIWNTVRQPTTLQHFFITLVAMSGFQGLTQYTPSMIKSFGFNAIQANAL ASVPIYCSMVWCLVLSYFADRTGHRGPFVLLSITWNVISYACLRTMPYSSSSWHKYGV IAVANVIYASMQYVHFPFPVPHVLNAHKVNSILNIGWLSVYCKTPQERSVSMALIVMA ANCAGISGSQIFRTSDQPRYLHGLTAICALAGASWVLTAALGVQYYLKRRKAGGIGGG V AFLA_122130 MHYLTSQQLCATLLLLFLHAQTVWSDLGIVANNEDSLKKGAQEI IPPMMEFYKENQTEGIPGKLTDTWYIAGSMFMTLIQYWQASGDDSQNAVVSHDLMFQA GENYDFFSSNYSQWLGNDDQMFWGLAAITASEAGFPERDGKPSWTSLARAVFNEQVER WDGQTCGGGMRWQVWPYQAGYQLKNAISNGGLFELAARLARFTKNETYTEWAEKIWDW SAKSGLMDVNKWIIFDSVNNDDQCKSPDNLQWTYNYGTYLSGAAFLYNYTNGDEKWLN RVNGLLGTTLKTFFPEKYGGNTMSEVACEPIMSCDRNQIGFKGYLSMWLAFTAILVPS TKDQIVPKLQGSVESISKMCNGQSDGQSNLCGLTWGANKFDGVKGLEAQMSALGGVTG NLMLMAAESPNTIDTNPDAKEHNVPSSGEGKDPSKPKPITTADRAGAWILMVMMIAAV TGSVGWLVKP AFLA_122140 MIIQEGMEAPTPIIELSNYFIRPFYPGDVEAISKEGNNPEIARW LRNRFPDPYTIEDAKAWISIASSSSPILDFVISRREDNVAIGAIGLKARDDVYYRTME IGYWLGQDHWGKGIATEALSAMTAWAFENFTHVLRLEAEVYEGNDGSQRVLVKAGYEL EGRRKKAVEKNGIVMDTLNFCTFRPGE AFLA_122150 MSARYHSDPVDVTPLGEPLHFAFSQRTVPNRFYKGAMTERLSSW SPTDLKARGIPSNELINLYKRWGESGYGMISTGNIMLAYDQLEAPGNPIIDLENPFHG ERFEAFSRMAAESKKHGSLIVAQVSHPGRQVEERVQADPVSASDVQLQTEALKMKFAK PHAATKDEIRDLIKRWTHAAVYLHKAGFDGIQLHGAHGYLLAQFLSQTTNKRTDEYGG SLENRARLIVEVARSIRQELPSSSGFILGIKINSVEFQAEGFTPAEAQQLCQILEQNE FDFVELSGGTYEAPAFSRERDSTRNREAFFLEFASMITPVLSKTKSYVTGGLRTASGM VAALETVDGVGLARPACQEFNLPRDILEGRVTGVLEQKVDQQNFGLTSAAAGTQMKQV GKDEQPIDLSDEKNLALFMKHLAEWAQQVQEDAPKMNMYGFMDLPKGEAFRG AFLA_122160 MQGLATSATPSLTPRRLRFINVLHCSNTPPIHALSLPLSLTVQA TKLMMVRTTEYKAEYHHFIPRFLLRQCAALEQPPPTRRQRGRRPRSQRPQGQPPKDPF VNAIDLRKNALVQVSISREFGLVDMYRDQGYQNPRHIEDNLGKLEGHAGRIIKKASDT FKAGQTLELTRRERDTIRKFLFLMKYRNSTFYARYNHDSITTYDSNDKHRLESYMREK RFKSPRDIWYANLKTFLDLEMDPDMQWIKKVHERAFPDDAMMFIHHMQSKFMAFCQPS SEEDEFILTHNAHGVFEGPSDVQIDPTTGGAIEGAYTEYHNFAPISAKLIIILRSSLL VDPSKEGAEDLQEEWENLREEVRKQHLFPDKAAVSLLESLPIKKRGNSYSTTINGKLV LKPNRGPRGEDRFYFTCFRISSYYVNLINSIFLEQATKGDTIVYRSKSALGRTLKSYL EIGREGFKLVTDEANDPHLAFLKKLEKIAGKLVGNVCLRYKAIAPPKPQTHMSQWVAH LVGLKVMALSGNSDAPEVYKLMKPDNGGLDTYFYDLMQSQLMVFLKIKIDVILSRSKL TQDDRLEVKYQLQQLYMTFPAQRVWLYVKIMRNLPNFDERDFKKPIRELEINGPEDDV AKYQLTMLMFYKAQMTASFLI AFLA_122170 MMSFLYRWFGPLYDAILCPGLPWSIRWRLLALQPVVLLTNAIQY WRGIRSKHPKTTIWIPLRRAPGHSVRAIVYHPLEKASKDGPRALHLNIHGGGFLGGLP EGNTPFCDRIAAETGAVVISTSHRYSPRYTFPVAHRDVQDVAEWLIENAGRLWGADPR LMSVSGFSTGGNLALGVAQWLARSEFNVKAAVMFYAPVDLRLSPWEKPKPAKYPEKDP LAFVLPLMDAYAGSEREKYRDSPILHPILADIESLPRNMLFLCAKVDILLHEQTVFVN RLKDEAAALNRKIECPQEPSQDHSSSKEDRVSLVSEFESAARSPYNIEATFFDDQIHG WIESMWL AFLA_122180 MRLFGITLVSAALAAAQSSPSTSSSASPSNTSEPCALASQLADE NQVIPAQTAYECLKSVPVAVEQDKTLIDQLKVLWEWHSETGYLKNTPESWELGSVDLL GELDKIKENLSSYDSEYDVQLAINKLTLKTGNFHFNYVPDILQVFFFGRLIPVTTVSE DGTSVPETYAVADLVQKDSDDSIEISPIAKINGEDTQTYLNKIAAQEQYIDPDARYNS LMWRGNAAASVGSFVNIGLGIYEGATTNITFKNETTRVIKNYAEVRQNLTAVTSGKSF FEELCTGSFAGIEAMTTSMKKTPYKGAPHHWKRQSIPSDGYPKPVVEHSGGMVAGYFM NGSDFEDVAVLKIVTFDTSSDERGDAAVEFQDVVKQFLGNCTDAGKKKLVIDLRENGG GDTDLLLDTFMQLFPGEVPFSAQRYRAQEQYKLIGEAINSVYEDQSVQSLIKKATGES FADVGLVRYWAYWNFVDVNGDNFKSWDEFYGPHKYNGDEFTTIMRYNEAIEQRALPAY MTQMSNSNPVSIRDQTGFKFLNPPAGDPPFAAENIVMLSDGLCGSSCASFHEELKNIA GVKAIAVGGRPQEGAMQTIGGSKGGEVIPLYTIPISLQTMMNLTAPLGIDTLDDNSLT NLANPDVLLTRAGDSSSRIQVQDQIRKGDESGTPLQYIYEDADCRIFYTTKMLLEPES AWEAAWSAYTDDSKCVKDSAKKSSSISGGYKPFGPGDLNGKQSENSTSSSSDKDKENA APAWRPSLAICAAATVISFLL AFLA_122190 MYSLLLIVTLHFLLIYYIIIPIINYFRDPKGLRKYPNLTFVSGI SDLPLIYYSHKGIRSRVLFEAHKKHPVLRIGPNKLSYADPVAIKDIYGHGTKCTKDVF YSALSGSHYHLADVVDKEDHARKRKVLSNAYAIKNLEGWEYKVADMTQRIIKAFDERC TSPLPKGRNPDPQDLTIDYRMWTNLYTIAAIANIGLSEDIRFLDQGNDIISSEAKDGT VKKVHFRDCLYANASATSTLVWAYDWYEALVRVSKLVSSTYRQKWKLAEDWDGIVNNR ATTRWKRYEKGEKLDDFFSALMEDKAGAPNNLEWGEIVAEVSIMMNAGSDTTGISLNN VMLLLLKNPHCLEKLREEIDGVLEDDEVIAPYDKVKHLPYLRACLDENMRMYPPVSFH VPRRTPQEGTMIRGEFVAGNTSVGISAYVVHRNEDIFPDPDTYKPERWLGDKGRDLQP YFVAFSAGARGCIGRNISYLEQTVLLASLVHRFEFALPSPSWEPVRHETTNFNSGPMP LKVWRRASRVYEDN AFLA_122200 MASQYAEWFTRISHDKDLFFLDPTELATLQSYLEGNLPLQDTIS SLTAPTAPQWHSTQSSRVWAMLLSIAEEYGEAHDRIIALIEALFSLPKPSQPNEQDWP GEKEFGFPRCWRDIHDSLWARESEIEYLSDSVATKWINYQAFTARLLASSLLSAHDRA LLHTVDAFEKTLEPKEFAMRQDVDNRCCCTVLGL AFLA_122210 MEAYSDQRLSVVVVGMGQMGHSHALAYHRNPGFQIIGLYNRSPI KNLPDALRDYPFLSSFEEALALKPTVVSINTHTATHADYAVAAMESGAHVFVEKPVAT TVEDAERVVRTAQQTKRKLVIGYILRHHPSWIEFIHRARQLGPPFVIRMNLNQRSSDD AWAIHKRLLQDVKNPIVDCGVHYVDVMLQIAGSKPVQVRGMGLRLCDDIPSDGQVNYG HLQVLFANGSVGWYEAGWGPMISETAYFVKDVMGPRGSVSIVMDEKDNSIDSAGVSAD INSHTKTSNLRMSTVVDGRARDQILSMEGEPDHYELCAREQQFLLEAIREDRDLTQHM NDAIQSLSIVLAADRSMRENRAIDIV AFLA_122220 MEKTGPMETSECDGRMDDKVAPTTVQAPRDNEARPEAFSSTIQE ILFVAVATMAIAMSSLLTGSITVLTSQVQRDLNMTTAELTWLTSSSSLASGSFLLFFG RLADLFGRRALFIGGMAFFAVFALAAGFSKTPMQVDILNGVMGLMSASSVPPAQGMLA NIYEKPSKRKNRVFACFSAGNPLGFVFGSIFSGIATQLFNWRASFFLLAIIYVVIVAI ALFTVPVDNTPTEKISMEAVKRFDVVGTILTIAGIGLFSAALSLGSDAPQGWKTPYVL VCLILGVLLIAAFILWECWYSYPLIPMSIWRDRDFSLVIAVLLLGFLAFPITTFWISL YMQEIKHYSALPVAMHMLPMAIMGIIVNIIAGLIMHRVSNTLLMLLGATSYVGSFLLM GLQHSDSSYWAFIFPGLILAVVGADFEFCVANMYVMSSLPPEQQSIAGGIFQTVTKLC VAIGMGISTAIFDAVQARGTATSGYFKDDPIEPYSATFLYSAGIAALGIPLCAFLRIG AQGHGGDGKKGRDHEKV AFLA_122230 MGMTHLPETDHARRADEEKPANRLGPDPNLVGWEENDPENPHNF STLYKCWITFQLGMLALSASLGSSITSPAQTKLEAYLDISTEVSILPVSLYILGFAFG PLCWAPISEIWGRRWSMLPAVFMLGIFSIGTATSKNTAAVLITRYFAGVFGSAPVSNV SAALGDFFHMKARAVAGTSYAICVVGGPTMGPIIGAALTNNPRLGWRWTEYIEAIIVF FVFTVTFFCLPEVYGPVLLHKKAKRLRKETGNQELYHPHEHLKVDAYSIVTKHFSRPL VMLVTEPMVTVIALYASFTYALLYLTLEVFPIVFNEIRQWKPVVATLPFIGLFVGVLL SAAFVILVGQPYYIRKWEEGGGNPVPEARLMPMAVGGFLFTGGLFWFGWTAEPSYSWG LPVVAAVMFGCGFCIIFGQCINFLVDTYGPYAASATASNTFLRSVLAAAFPLFAKQMF HNLGVGPAMSILGGIAAALIPVPFLFRIYGVRLRKMSRFAPFKG AFLA_122240 MKPFTSIVLLAATVTASPVPKIFNLVSSNASDESQNGLYLSTQH VDPLNSKAVFRDAADAADFYFLDGTVRYNAPNGAPYALALVSGDEVQSEVEVSVSPSS GGTGFTFTNDNILANDNENWGGWLLCDGAGDVPGLYYHNNSNGDDVPDSCDPIELGAV YKVSS AFLA_122250 MTLLAEVFSRASPEYALYILPFISLIYIGHLYFHNGLNRYPGPF LAKFTDLWRFLDVWGRQPHETHIALHRKHGDVVRIGPNTLSFSSPAATKVIYGLNKGF TKSEFYPVQMTVSKGEPLPSLFSTLDDKFHAELRRSVNHAFSMSSLVQYEPMVDETTL LFLDQTDRLFATGGKVCDFARWLQFFAFDVIGSITYSKRHGFIEKNEDIDGIVKSLAR IFNYAGPVGQMPWLDKVFWKNPIFDAMQKWGLLDNSHPVAIFARQRMMERMSSKAAID PSSRSDLLTKFMKAGELRPNFMTEKRVLTMAVSMAFAGSETTAISLAAVFYYLLKTPD YMRRVREELDEAIQNGTIENRPSGLVSWTESQKLPFLDACIKEAFRIFPAAGLPLERV TPPSGADIAGQFIPGGTIVGCSPWVIHRREDIFGSDVDTYNPDRWLNASEDKLKIMNG MMLQFGAGSRTCIGKNISLMEIYKLIPSFLRRFDVRLAYPEQEWRLWNAWFVRQYNFN TVFTPRKIEVQ AFLA_122260 MSRSAQPTVQLDPAAESRLRLKIDLYIVPVASLMYLFCFIDRAN IGNAKLAGLEDDLGLTGYDYNTLLSVFYISYIIFEIPSNIACKWLGPGWVIPALTLGF GICSLCTAFVHDLSSAAGVRFLLGAFEAGLLPGISYYLSRWYRRRELAFRISMYMVMA PLAGAFGGLLASGILKLPSFGSLKDWRMIFAIEGIITIGVAIFAFLTLTDRPETARWL TQEEKDLAIARIRSESEYAGVTEVLDKLDTKKTMRGIFNPVTLATSWIMLLVNVTVQG LAFFAPTIVRTIYPKHSIISQQLYTVPPYIIGAFSTLLIPYLSSRFNRRLLFFVLTPP LMMAGYVMFLASSDPHVRYGATFLIAFGSFPVGVLCNAHSAANVISDTARASAIGTVV MLGNIGGLVATWSFLPFDAPNYYIGNGLNLATGATILVTSGCLWLWSIWDNQRRDKQD VGRMLDGMTDRQIQDLEWRHPRFRWRL AFLA_122270 MAIFADDAPHVAGSVIMLTAVAFITYALRVYCRLSRKSWGAEDW IMTSAVIPFCVLTAGCLGGAFNGIGVHSTLLNEPENQRYQAEGQKYFLIFEVGYVAAI IPIKLSISWMLIRVAEGRKLYINIQYGVIAMFATMNIIALIFILINCTPPEAAWNTSL LKKGASCRPAHVLTDIYYATTAVNIVTDWVTALMPIPLLWRVQLDRNTKISIIGLMGL GILASLSACIRLKYTVNLQNQADFLFAVANVVIWGFAENAIGMIVGNVATLRPLFRSL FERTIRSKGYGSHSHRTGPSRFAASYELSGHGKSANNTFTTSVVERGVHGKNRDSYSQ LSDGDSQKQIIQNDCHGHADIMVSRQVNITYE AFLA_122290 MTTPSAVSNINHLSYSRSNLVYQVDLSEPTREVCHGSRKPETVA MPEHTSHLIIRFFKPFDTFNEDLRVENKVAAMTVARSALADSALHTIVPQVYAWNSGE NEPSGQA AFLA_122300 MDPVLIVGAGIVGLTLGQALKKKGIPFEIYERDSTPDARGQGWA ITLHWALEYLQQMLPADVLARIQDVQVDPDVALNDNGNFLFINLATGEPKFKIPPSVR WRVNREKMRKALLHGIEDRVHWDRRVVGVDLETLPEKVNLKFDDGSSATGRMVVGVEG SRSAIRQMLRPDAYSNVLLPIRFTGVAVDLSPEDIKPLRSMDPLLFQGCHPETGAFFW FSMLETPHVNGTVGTDHERYRAQICMSWPVHTSADEVAHTDEGRLANMKRRAEGFVPV LRNAVQKIPEGTPVLEIKLADWECLDWDNNNGRVTLAGDAAHAMTMYRGEAANHGLLD AFHLADAIAQIYSGQTGQKAALDMYETEMRMRTKRAVRLSRQACRDAHSWEQLNEHSA ILTKRSVVGESARLA AFLA_122310 MTAHEALNPIHPSVLPHLDPVFIKLYNENVANTPNKPIDLAILR SKYSVLYSYGTGPAPDPARIYDATVPGYNGDLIPVRVYEPSSPGPWPVHIDFHGGGWG LGDLDTEAHICKHLSVKADVCVIDIGYRLVPEQPFPIGIQDSFAALEYIHAQGASKFN IDTTRISLGGVSAGGNIALIVAHLARDASIPLKLVAVGTPVIDDISKYASASESPYPS VQQMEHAPTLNWARLKWFDNLKWESLSSDVGLRKEQLDKISWYANAMNAPSFTNLPKT VIYTAGCDPLRDEGEAYAMKLVEGGNEVTLKRFEGVPHPFMHMDNGMTISTFLPAHFL PGVLETHADQTWRRLMAGEGVYRQDGCAYSGCVTLDILYYFPKSRATESDIERILKFD ALLEPSTLKFLITHGGHDLVMYFG AFLA_122320 MSKILVTGANGFIAAHCISLLLSTNHHVRGTVRSEQKATATQAA LTAAGVDITNLELVVISDPTDVTQFAPAVAGCKGILHLASAFSYDATPEEFEEKLLIP ALKGTVTVCEAASQYPEVKKVVIMSSFAAVYDASLGPQPGRVYTEKDWCPLTYEEGKN ASLVPIAYRASKVIAEKAAWDYVRDHEVSYQLVTLCPGMVFGKMIHPIESLSQLNASN QIVWDVLKGNGIPPTKAPVWIDVEDLARTSLQALTVDLPSHQRFLVTEGSYDTQEIAD IVRKELPESQDRIAEGEPGKRIKDTHYSCDSGKVQLMLDVRFKPLKESLVALARQLYA LEQAS AFLA_122330 MANTVKMTPTNEKDIGMNGKLSGDDYDVGDLHDDRALLRRVDWR ILPVMFLTYFLQFVDKISLNYANVMGLQDDLHMSGNDFSWLATAFFLAYAVAEIPQGI LLQRFPITKVLGVNVFLWGVILCCSAAAQNFAGMIALRVLLGMLEAVIAPALTMYTSM WYTRAESTPRYGFWYCGLGMGQIVGGLISFAAQHAPPNMSFHGWRIMFVVIGVVNVVA SILVLFVLPENVEKAKFLSQTERDRIAQRLRDDQAGVGQKVFRWGSVMEAFGDLQSWL LVLLTILITIPSGVITTFSSILIKDFGYTSKQSALLNMPSGVVSIAATTLSTWAIARG FSRWLAIDVLLIPTLLGSCLMSFLPRSNQAGCLVGIYMVNTTVAPLALIFAWTGANFK GYTMKVTGSSLVSAAFSIANVIGPQTFQAKDAPAYIPAKITIVAVNAGAIVVSSALRI VYGRRNARADRLGTPARSRMEGKLANGRMAEEDVQDDVNFRYVY AFLA_122340 MPSRTDSPLNIAIIGAGLGGLSAAVALRRQGHSVTVYERYDFAG EVGASLSAASNGSRFLEQWGVDIKAAKPVILKRLIMHDWSTGEVKSEYGLGDYKSKFG TDYNNFHRIDIHKELLKSAFEEPGEGPKCTLKVNHKATALDAEAGIIQFENGASATAD LIVAADGIRVRLLVPVAPFTIARVLNKKVFLS AFLA_122350 MSTSCCYRCIIGADKLRSLGLDDYISNEAIEYWGGFGIDKIVMS PCSNGEVVSCYCFYPAEYNELREDGWNISATPQQLVDTFPGLDPRMKKLMLNAEDIKM WRLYRHEPYPYWVKGKVCLLGDAAHPMMPDQSQGSCMAFEDAGALGLVFHRTFREQYS VTEGLSLYEKLRKPRATRVQEASFRAREDLSERIGWSSSTDRPGKLTIEEVCGYDMRK HLDELVAAIAQ AFLA_122360 MNSTGRVCARCAKIKQRCDGGAPCSRCARLGHVCEPQRPGGKLD PKTIAYRRPRASRSRGGCLSCKARKKKCDEIQPRCSDCRRLNLPCQWKASPSFPSSTD PSLPPSSESSLSLATPDPISPSDQAVDDPIGSLSPWLAVEEIIMPVASPCGSANPYLH NDEERSLFNHYLHIVARSLSRSGDPDGLSGCHWKRVYPGIWNRALARQGKALAQVKQL LSTAGGQSTLEACTTVLLLCLTELCDGSSHAWEWHLKAASALLASVGDQSLEGTPEGK FCLQLFRYLDSMSTISRCKPPLLREGAKLTDLTADKSICSFSSAPVDAVSGMVPALLE LLGMVNLLAAHRSRRVDELSELGFRTAASHVQSQLDAWRADYDSTAVTDHETDQVTTA FEWAVRLRLHQVVDGYDPHHEMVETAVSPILNAVMTIPYGSPVEGCLLFPLVIAGASS IDVERQMLVKERLMVMENTLGFGHISHARQLLETVWADEAERNWARVRYSLFPGMVFV AFLA_122370 MNPSDPSETVEVAKATANLHDAHLYEKKAPSIDKDLDITPSIEE VPATKVVGATTDDTDLQKTYPTDEELRTLRRVCGQIPWTSYTVAFVELCERFSYYGTT AVFVNFIQRPMPAGSSTGATYDSSRVPGALNMGQQASTGLTLFNSFWSYIMPTAGAFV ADQYLGRFRTIMYSIAAALLGHLILVISAIPSVISHPQGAIACFSIGLIIMGVGTGGF KSNISPLIAEQYREEYPYIKTLASGERVIVDPAITVQRIYLYYYLTVNIGSITGQVSM VYAERYVGFWLSYFLPTCLFLWCPTVLFLCRNKYYRVKPQGSVYTQAFRLWKLAMKGR WSLNPVRLFKRNDKPFWEPVKPSALGPNRPQWMTFDDEWVDEVARGLKACKVFLWYPL FWLAYNQMLNNLTSQAATMHLGGVPNDIINNLNPLALIICIPIFDRVIYPGLRHLGFN FTPIKRITCGFVVAGCSMIAATVIQHYIYVKGPCGKEANYCLDEYGKYSPISVWTQAI IYILGGISEIFASITSLEYAFTKAPKNMRSIVQAVALFMNAFSSAIGQAFVGLSKDPL LVYNYMVVTILAFVGAIGFWLSNYKLDRREDELNNLPQSKYTEKNGVSLDEENARK AFLA_122380 MKLSSIVALSGLLAVSEALPQQQILQRNVAKKPNFLFIMTDDQD LQLNSTAYTPHILSLIKEKGTDFANHFVTTALCCPSRVSLWTGRQAHNTNVTDVRPPW GGYPKFISQGFNDNWFPVWFQDAGYNTYYTGKLMNAHSLSTYNKPFPKGFNGSDFLLD PHTYSYYNSTYQRNREPPKNYAGHYTTDVITEKALGLLDEALESDRPFFVAVSPVAPH SNIDPNTMGTAASIMSEPIPAPRHRHLFQGVKVPRTPSFNPLNRTGVSWVSNLALQNQ TVIDYEDHYYRQRLRALQGVDELVEKLVSRLEESGEIDNTYIIYTSDNGFHIGQHRMP PGKTTGFEEDIRVPFFIRGPGIPEGKTEDSVSTHIDLVPTFFELAGLPLRDDFDGTPL PIKRQSASISHEHVTVEFWGTAVLEGDFSKIGKSPTGPDGDASMPNNTYKSVRILGEG YNLYYSVWCHGEHELYDLTADPYQINNIYTPRNTTSQLLGRPLQAVITRLDALLLVLK TCQGPTCIEPWKVIHPDGSVQSLKDALHPQFDNFYQEQPKVSYDHCLDGYLLSNEGPL VGLQYRDGLSWSAWA AFLA_122390 MLIKATNMILKPTLLFLLSLPTALAAPAKRDSPTVTISSPSATI VGSSGNVESFNGIPFAQQPTGSLRLKPPQALESPLGTFKATGAAKACPQFFFTTDTSQ FPSSVLGQLINIPLFQKITNAGEDCLTLNVRRPAGTTPESKLPVLVWIFGGGFELGSS AMYDGAPLVSSSIDLDMPIVFVAINYRVGGFGFLPGKEILEDGSANLGLRDQRLALEW IADNIEAFGGDPEKVTIWGESAGSISVFDQMMLYDGDHTYKGKPLFHGAIMNSGSAVP ADPVDGVKGQAVYDAVVASAGCSDASNTLQCLRALDYTDYLNAANSVPGILSYHSVAL SYLPRPDGKVLTDSPEVLGKAGKYASVPFIIGDQEDEGTIFALFQANITTTDDLVDYL SKYFFYGASQDQLQELVGTYQTITEDGSPFRTGILNNWYPQFKRLAAILGDLTFTLTR RVVLQMANDIKPDVPNWSYLSSYDYLTPVLGTFHGSDLLQVFYGILPNYASRSTHTYY FSFVYDQDPNSRRGDYIEWPQWKEDKQLMNFLANSGKLLKDDFRSDTYEFISKNVASF HI AFLA_122400 MVSFSSCLRALALGSSVLAVQPVLRQATGLDTWLSTEANFSRQA ILNNIGADGQSAQGASPGVVIASPSKSDPDYFYTWTRDSGLVMKTLVDLFRGGDADLL PIIEEFISSQARIQGISNPSGALSSGGLGEPKFNVDETAFTGAWGRPQRDGPALRATA MISFGEWLVENGHTSIATDLVWPVVRNDLSYVAQYWSQSGFDLWEEVQGTSFFTVAVS HRALVEGSTFAKTVGSSCPYCDSQAPQVRCYLQSFWTGSYIQANFGGGRSGKDINTVL GSIHTFDPQATCDDATFQPCSARALANHKVVTDSFRSIYAINSGRAENQAVAVGRYPE DSYYNGNPWFLTTLAAAEQLYDALYQWDKIGSLAITDVSLPFFKALYSSAATGTYASS TTVYKDIVSAVKAYADGYVQIVQTYAASTGSMAEQYTKTDGSQTSARDLTWSYAALLT ANNRRNAVVPAPWGETAATSIPSACSTTSASGTYSSVVITSWPTISGYPGAPDSPCQV PTTVSVTFAVKATTVYGESIKIVGSISQLGSWNPSSATALNADSYTTDNPLWTGTINL PAGQSFEYKFIRVQNGAVTWESDPNRKYTVPSTCGVKSAVQSDVWR AFLA_122410 MASITVVQPQFLWDLAPTFPQLSSCFKHPTNERQQLSWENIDPY EAWPKYGDATPYAMCNTGQSNLARSQPVLNAMSLTEATLPIQKTTQPINGELKGSAQN TAVSKPDAKEASNTFTKHGRINKDWKARRDKILQRNRQAAKRCRQRKKLVVEEIESLA DAHAWRNNELRMQIEQLRYEILDLHSEILKHAQCDDEPIKRYLARRVRKISGEPIVDS ASSQPIDPRLSLPSFASRPKQHSATKTTVYPDDDASQYDSQGFGGEILPCHHSASTSA SSDGQTVDHTLFEMISY AFLA_122420 MGLPQEPSGLVLWVEAKRSTIGFVDSASFFSLSLKLPVREEFNN DPWVVLLQPSSVPAKLHYRQQEEGKFGEIPQSSRPDLAVLRGDFVVMSPSQF AFLA_122430 MDIPATLKHLVAMEQSYDVIIIGAGISGINTAYRLQSQSPKLRY TILEARNNLGGTWDLFKYPGIRSDSDLFTFGFSWHPWDHGNPIADGPSIVKYIDNAAE THGIKKHIRFEHRLLGADWSSAENTWSLSVEHEGQSKSFSARFIVFGTGYYDYHTPLQ AEIPGLDQFQGQIIHPQFWPEDLDYSDKKVVIIGSGATAVTLLPNLAQKAKHRLNWLL SSRFFFLFCQTFPTLARFILRLSVSRQLPSHVPHDPHFHPRYNPWDQRLCICPDGDFF KSLHTGRAEVKTDTIREVTASGVVLNSGEKLDADIIITATGLKLQIAGGASVTVDGIP QYVSEKYIWHGIMLQDVPNAAFVIGYTNASWTLGADATAQFLCRFLQELEKRGVKAAV PRLSPATAKEMQPRRLLNLNSTYVTKAERDLPKAADRGPWLPRDNYLDDIKFAKKGRI DEDMEFLGEKKLQ AFLA_122440 MLNTAIPLSLAEKLDFIPALVSLCATVVWAMFTGLWRSSSYPKS WLLHVGYAAFRKATSRLSVAQMQYVMPPTNKIYDQYVRKSRKVADTVDLGHGALGHWI GDRNADNVLIWYHGGGFALPANLGYFSFFTRLIADSGRANKSLAVFSLTYTLAPHATY PTQIRQAVEALRYVVEQTKFSPGHILLGGDSAGGNLVGGVLSHLAHPHPAIAPVNLSE HLRGAVMIAPWTSMETDFSGQEIDSRGDLITPAVAGPWASAYLGTAKRDYYTDLSTAP ADWYSTFPVQKILVCAGGSEILFPIIQDFVKKLKVSFFLACDNSGTSLSNLSRRASRV WSCVLASGRAMSPRSTICISGTRLRRSRGRESRAG AFLA_122450 MSLDQSLQLLRHWGQTALEHLPPQAQDVLLHPLVPKALTAFLAL GIISKANRSLGYWAANNWQRAKPWQNERELVLVTGGCSGIGKQIMEDLAKAGVRVVIL DIQEPSFQLPSNVAFYKADITSSENIRNVAEKIRATHGDPTVLVNNAGVGHDGCILDE PEAKIRQTFEVNTVSHFLMVREFLPSMIKQNHGHVITIASMASFVALGEIVDYCCTKA SALAFHEGLGQELRLWYKAPKVRTSVIHPHWVKTPMIKILTDVGHQFRGPILTTEMIS AAVVKQILTQSSGQVIIPSHFSVISLIRAFPSWLQEAARGKGSKDLRVLRDVQEAKGL AFLA_122460 MDLMLALITLSLVEGFLLQRTVFVDLTFRTVTLSSVGVNLVLLT IWNTLIYPYFVTPLRHLPTISGNLNNARIVFDDPRGRLPLEWMKTVPNEGLIHFRDIV NRSYLLATNHQALLDIMSTNTYDFEKPWRARDFLARIIGFGLILSEGSAHKKQRRALT PSFNVKNIRALYSLMWEKTGLLMDELEKQIKQNPMDGTNAQSGEGKIEMSVWASRLTL DIIGPAAMGRDFRSLHNPENKVADSFLAILEPTKEKMAFLAVNFALPQWFARRIPWRL NKVINDETGFLRDLCRDIVHEKRASITATKATAKELEADILGTMMLGGDFTDDELIDQ MLTFLAAGHETTASAFTWACYLLTLYPDVQERLRTEIRERIPSGNHPITWSDLETLPL LNGVCQEVLRLYPTVPMTIREAVRDSVVAGKHIPKGTRILLCPYAINRSPEFWGNDGE EFRPERWIDTDKNGQLVTNNTGGASTNFAQITFLHGQRSCIGKDFARAELRCAVAGVV GRFRFEMQNPKQKIHIAGAVTTKPVEGMHLRMSRVDDW AFLA_122470 MTINSGPETLAFPVPNNINGAKGCRQLPVTLLSGFLGSGKTTLL EHILQSDHGFKIAVVVNDMSSLNIDATLIKNHHVSQTKENLIQLQNGCICCTLRGDLL EELARLTKQDDVQYVVIESTGISEPMQVAETFTAEFSAAMLEADVADEEGKKILNQIV ELGGLHKLAKLDTTVTVIDAFNLLSNFDTAEFLSDRYGGEVIPEDERTISDLMVDQIE FADVIIINKVETVDEKTRERIRSILKLLNPDAKVLETSYSKVNVNEILDTGRFNFLKA ASGAGWLRSLHEMTKRETGNGERLAPVPETIEYGINNFVYKARRPFHPRRLFSLIHDK FIVLQNVETQGDDEDEEEDNGNEAEDEEENEEDDDVEMEDFSQLDPQEILSNRRSHPV LRPILRSKGFFWLATRPYQFGEWSQAGGMLTIGCGGPWFAEVPDEAWPEDADVRKSIE DDFQGPWGDRRQEIVFIGEGIETGAITDLLNECLLNDREMKVWEGIMKKNRLSKEERQ EKMMQTWEDGWEEWPSLEEEDEPEQEKGKHRISEHLGHGHSHNHNHSHRMGIKA AFLA_122480 MKFFLATLFASAVSSIAVDRLIPGAQIIPESDTRALLRVGGHHD KYHDRRTITIRPSRNDTDDVSRDFLWGIKHANHGGRLLLKKGEKYVIGRKLDLTFLDD IEVQLDGELKFTDDVSYWQENNFYYDFQKSITFWRWGGKDIKIFGTGLLNGNGQRWYN EFAGQEILDPDNEYYRPILFLTENATRISVEGITQLNSPCWTNFFIQSKDVSFDDVYI HAFSTNKSALPKNSDGFDSLNVDGLTVTNTRVDVGDDCFSPKPNTTNIFVQNLLCNNT HGVSMGSIGQYPGVMDIIEHAYIENVTLLNGQNGARLKAWAGQDVGYGRINNITYKNI RIENTDAPVVLDQCYFDIEAAECAQYPSQVNVTNILFENISGTSSGKNGKVVADLVCS PNAVCSDIQLKNINLTSPAGSPPEIVCEGVQGDIGVECQASAD AFLA_122490 MLSMSAILTTLGLQAPPGGQASNHAVAYLLANWLISFGVFSTRR EKLKLGIDHNQAPREDLAKFGEAAVQSGKITRQTLNRLKRQEAIMANSAEHYPLFVAA ILVALHAGVSNDIINRIGLWYAVSRLAFGFCYKYIESLKLSFVRSVFWWSGNICCFTA FWFASKKL AFLA_122500 MYKDRELENHNEQTSTSPDSILVPRRRRPALSCTVCRRRKLKCD RALPCSQCVKSKTPDLCVYSGPAPGQPLETRPIRTASNRANVSSNHTSPAHSGLYVFD SRHQSTNRITKPKGRPEEVQELRHRVQVLESALSKAGSIQTPDSSACESVSDYGPRIT SDSLLLSEDVKYLPGRACFRGKNGKTRYCGRCHSALSFSFFKDVASYFQDRRMQKKSK SPEYLKLKKFRGEMLSREKQDHQKAYREKAFTLEEMLPHRRVADELVSLYLSTFETTY RILHVPTFLKQYETYWAGTETADMAFIAKLLAVMAASSCFFSPTTRLNENDTLHSAAG GWIMAVQSWISSINVSSTIDFNMLQIQCILILARQADATDGDVVWISSGSLIRSAMMI GLHRNPARFPKMTRFWAEMRRRLWATILELDLQSALDGGMPPSIDLEEYDCDPPSNYD DEDLTEDLTEDVIPKDAAMITRSSFQVLLARSLSLRVRVAKLVNSLKFALSYDEALRL SEQLVQYRDNALALFSDNASTSISPESLQFTRSFLMFIMVRFLLVLHRPFSLSVQLSP KFSYSRKICLESSLEMLSQLDAPAVSLPEAQACPHLGQLSGGMFRDEFFHAAITVCVE VSLQASEFSSSKQPPGQLSSLSSLNDLVRSQQDVLVRAVEHTLDTFGSRISPRGKGCK AFIFLAMAVASVKARLNGEDALRKVEQVAAKSIKDCERLIRGKAWADIRREDGPVVPD TLAANLNSAAPEIPFDPALVPYESASVSPLDFGNLFDSADYGFPELWNIDFITGF AFLA_122510 MPAHLHAHPPGSTSLAANHEAESPSDSVSSPRVFLSHDDEDAIA EIRRTLTEISHHNSKQGYPEPHSSFDKFLEAELQAGRKKSNLGVCFQSLSTWGDGEEH TDVKTLGTALWRTLTFQDVYEWTIQPWLSRKEPQSGRPLIRDFSGAVRSGEIMLLEEV KKHYRGQVAYVPEDDVHFPTLTVQQTLEFALQSKTPQRYQDRIPRYLEIYGRVFGMSH TMNTLVGNEYIRGVSGGERKLPERRRFRPGWEHRAPKGPIELEEAFRKSSAYQKVQYD VQHYEDQRLGGKSVRCSQIDSDDGSLEDFKKAIQTDKSRFVSPKSPYTISVFRQVVLC AKRQLWQIRGHMSPLYIKIISSVVYGLLVGSMFYNQPQTTAGMYSRGGVIFYSSILLA WLQMSELEEAMQGRDILSRQKKFAFVRPSAAGAFWIDFLFIYLCTICLTAQFRLFAAA SSNFEVALRYCGVSVLFCIVFGGYVLSVDRMIKDVPWVGWIAYTTPALYTYEAMMAAE FHNANFTCSPESIVPSGANYTNIAYQTCGYAGSQIGTTVVNGDDYLAAQYGFSFGHVW RNFGILCLFTVVYIVCTCWLSEIMEWEPDSAGPIEYKKSRRNSRRSHRQGLDEESNPV HRDVTMPDSANNFERSGQAITGSMSTFTWDNLELFVQVGKETRKLLNGVSGYCKPGTL TALVGASGAGKSTLLTALTQRPNSGKLTGTMYVDGHAVDESFNRQIGYCQQMDIHDES STVREALEFSALLRQNPGVPDKEKLAYVNTVIETLDLIELQNALIGSLDIEKKKRVTI GVELCARPELLLFLDEPTSGLDSQGASSIVALLRRLADQGLAILCTIHQANQQQFEDF DRVLALSPGGSTYYFGEVGESGCSIFEYFSKNGHKPENVTNAADYLIEVVVGGMKKDT THQVNWADVWNRSAEADMVKKDICDIRSKGAEAGVSQRAKGISQPPLYRQVGLLTQRT LRQYWRSPEYPYSRLYASFLHALINGLTYLQIGNSSTDLQSKAFSCFLVLMLVPEFIN AISMRFIMNRDIWKAREGPSGVYGWVAFCTAQIVSEIPYAIISAVVFYVLYYFIVGLP LGFAAGYSFLMFFLFFLFATSWGQWIAALSADSMVAATLMPFFIIMCELFNGILQPHE NMPVFWKYTMYYATPFTYWIGGVLTAVLRGMPVICDSSELTMFESPPNMTCAEYAGPW LAEHGVGYLSNPDDTSRCGYCKYSYGDDYLSGIGLDSSKIWPYFGIFLAFVISNYSMV YVLVYVRSVMKPFWGRK AFLA_122520 MARANMFILYSASSSNVTLSPRSGKGHFQPVPNLDSRVSLLDGS GIQDGMMTANVLCENCNDWQGGSMDPKSSSTQWYYTYREGSPINSDNVTEFIQKHDGH GGASADLSHAETVSTNPFLTYSPATDSSYTTTSTDGTRVPDMPIAHGLMIAISFILLF PSLALVVLLPYAISIPKVHAPLQILTLALAISGMDVGLQLAVEKNLMMNSHPIIGIIV VVLLTLFQPAVGFFQHRHLRRDGGKSVFAYAHRWLGRSMIILGTINGGLGFHLAGIDN PGAPQSAMIAYSIIAGVVGLAYLGVHLLVGMQGRSHRQERKRESTTSR AFLA_122530 MLYNALIRTHHITSRKKVAALKQAASAHNCFALLRSGGIPGIMY VESKEKEAVEAWVSVVRNLRYKDFQLVARPGLLEKDHQIGNANEKQWDGKKSNGRQEQ GGRDSVAGLEEVETVKEFGSIMEDRGVWRWWRKGMGYVN AFLA_122540 MAYPAVGDYNQGVCPETHPVAVYSIFVEFFFNTKPFPDYENWVY AMGDPTGYGLHGDFLNGWVDQNALQNAMATCTGVEGLNDPDCSITNNQARALTPIAHS LDVPPPLEQLGQHGPLSKLPGNNPITGSRELQ AFLA_122550 MAIKKVPRLPYRRGSQSSEDDFFDIPDEPCLAIAKRQLRHIRTW VVFALLVLFLVWLRRERPQPQALPHINYDLVDWSRYAYSQYATSSAYLCNAVMVFEAL QRLGSRAQRVLFFPEDWDVSVESERDRDSQLLAMARDKYNVMLIPITLETIKPGAAFG ESEYDRIVHLDSDANVLQNMDELFFLPPTKVAMPRAYWGLPDTKQLSSLLIVIEPSYK EYNALMEAALPAMYGQKAVNTSSTQRYDMELLNERYADSATVLPHRQYGLVSGEFRAE DHRNFLGNNYEVWDPDKVLAEAKLVHFSDWPLPKPWVLWPQNLLAEMLPKCKHNPGTP QESGCRDREVWKSIYHDFRRRRKVCATSSRRHMALLTEQLGHLQTSELSGPCLASNGA F AFLA_122560 MSQSLGRECHRNLNPDASLVLVGIRGCGKRSLGFVAATALKRRF ITEDHYFKQVTGLTRHEYLKRFGGQEFQKRDIEVLKMMLDKHRSRCIIECGLGSLTRP VQEYLRLYSVTNPVVYVVRDMVRIQTLLGLEDQAAKLLCEGDPLHRMCSNFEFYNIED RSSMTPQLDEVTPDRRSAGYSFKLKEAKEDFTRFVRFITGADVDHSSYDSPFVLLETP PENRSFTHAIFVRSSAMLQEDVDLCELQSGGDAIELCVDRWDTEMASTVSKQVSLLRR SARTPIIFSIDASTSGIGSGTLTPTQLRSLYFEIVEHGLRLAVEYLAIDLDQDKSLLV EANRNRGMTKIIGQHIFKPSSSVTWDDESCLSVYLEAEKLGCQLVRILRVAAEREDNA AVAKFTNKIHSLPGEHPPIIAYNVGSLGRTSQVFNSTLTSVTHPAIKRPTGNRTDPQI TSRDAVQALFQSYVLDPLQFYILGASVAYSLSPAMYNAAFHHCGMSHTYSIPESPSLT ALDKLGRDPHFGGASVVQPWRVEIFQKLSSKSRHAEAIGAINTIMPLRARADGTMFPL QEQASRRNQAGPVLGWYGENTDWVGIMTCMNRNLSPRNAISPLKTTGLVIGAGGMGRA AIYAMLRLGCRKIFIYNRTLSRAENVARHFNSWAAAQVGSTKVVHVLRSLQDEWPSET CHPCLIASCVPADPDQDEPPANFEMPMQWLESPTGGVVLEFAYKPLETPLIRQMRRFR SETGRPWVLVDGLDNVIEQAIAQFELLTGRKAPRRLMTLEALRNYVGEHGQFDEETLQ TRLDGVQ AFLA_122570 MDEGPDSRAPRRKRVSRACDRCRSKKDKCDGLRPACSACQASGQ TCSYDPHAKKRGLPEGYVRGLEKLWALSICNIEGFEDTMLSLLGTTAESAGRRDRLMR LWGDDGSSETLHESWKTSRLYGALEKMLSNSDTLTLQGSGKRPREEQETSGNEWGFRI DRGPNPLPSDGPRVVEPSASSPRAKRTRLALPTASQSPSLTTTNGCPDLQLPPQASQL LDVYFAVTHSWFPIVAKHNILRASYLYATAPVSITQSVPGSGDHAALWALISYTTSQS RANPQNGSSKLLAKTKEYYAVAWRLIPSEVEHYELGHVQALLLLVLVNIGLEKWTAAW LLTGQAVRMAISMGIGTTTDVRRSDELRQGKAVFLGCFVIDSLISFRLSRRPYMQPRD LATVGLLEEDGLEEWNSWADVLPATGAGQAKNPPRRGPLLALSCFNRLVELASVLNKI AQDTAVRHNTHAFAQQLVLELKQWDDRLPLGCRLIGPESIYPERHSALLPHQTYLGLT YIATLLWLYLRIAPHELGLHRAQRPAIEGAKKLLYRVLPMISQHLDNFRICGLPPIFE VSLHTISKQAFTLRNNVESDAFPFERWAEALLQRTKELSPSWPVYSSLTANIEQWCRS KEFSAYSPAAFQRSRDNLPTVVPDGPTNSYASDITECYRGTNGEASMQHVRVKPPHMM NYDYPSSILGISIPVDGQYMTPKDTEMENADPSRDAPLQPQYQQMNQATALPDKSNPS NSLDALLQPAHHNPPTPDSSVSNTVMSGMNPITDHSISSTNMMDLNAGTSGSPERQIS TSDLDSIFKDLAYLDTTEWATSREAGLKDFGFLDDSTFQAFCHDPDRLAGSQPLVHPP SIADIWPPPGFFPETFQESSEDPMES AFLA_122580 MAAVLPASQERHSRLSPDPLRCPIAQRYFLDDSDVITVKSPAND YSPRDCPSPIVSSALSPFPHSPDFAGTAPVHLLPPSTTLSSLSFCVRDGQDDDEDGVD EIILPSYDAGPCAPKLPEAPSEASTESSTDIHRVNLPRPADDSSIEEEPSRHVDYLAH EWKEEDIWASWRYVVARREIYDHGVRLENASWRTWAKLKLNRGTVSPETLNWLKDYDV TWLYGPLKSCRKRKTVSNVSPPPSRLETPTDRKPILKKRTASETILQRSLSQHTLLQH AGAILKAQEAEVSRNRPSFYRYPSKLGQTFSQSSEGYSRTSCTATPINGSSGTGSPCE KRHIHFNNEVVQCIAVEAKDDDDDDWPAMNDGSSSEDGVVMMRRGSWQTSTSERSTTP RSSFSSDNRTIAPLPSTTLKYRGDTPEPPVDSILNRWSGYFTSYSSPSIETSQASELA ANFFLDEADNDLDFNWEPTQRLHDSTNQNRPWFVNPEDDAELDRHCLLSSGVSYDDAE PANATIFDRVVDTVNTAKDIAHVIWNVGWRR AFLA_122590 MAEVVQSGPVEAQARHVVYCGVCTLPPEYCEFGGTAKKCEEWLK EKQPELYQRLHSEEAISANLSTLSISAQERAAKDAAKKEAKAALAEARDAERKAASKV QIKRVERNKRKHVTVIAGLEVYGLENKKVAKELGKKFATGSSVTRSAAGNEEITVQGD VSDDVQDWLLEVYGKEIPEANIEIIEDKKKKGSS AFLA_122600 MESSLRNPLPALLSPSRQLSASHPFTTHGSSTAGNHKPTPTPTQ IPPNADGPFTDPRNGDYVAWNSSREPPVLQTHHDRNDRENASGAPREKHHRHLAFDPA SLDPTKFRPRHKHKHSKSRDGRFPRIMNPIASSASTRGLLPPWSGGREKESDLDDGLA LLRPVTRETTRSRWGSESTTGLGTGSRKGSIFDDIDRNNHLGLIRRQEVRSLDDLEQV RYRRKQGERYLRSALSMIGTLATDITRRLDYTYYNLLEKLAALHVTIACFQELSDSTS TLLTDFERETTNLDQDTRKQIGDLKDFQPQIQKIEALEERMKAGRMRAEALSCRLEGM RNEIDRWERKEAECQIRISRRLRIFWGLITAGILALAVALIVQNWIISESPESDMTSQ AATVTNSSTEALVHEQESGIHWLATDIPEDRYALSQYSSKLISRHDTRYATDPVTWTS ANPEDARATDQDRLRIFDEL AFLA_122610 MSSTVSATPADPTKGMRKNGKNWHDNKKPFRPNAGLTSYEKRLE ARKHQEAVKEHERELKEEKEAERKAHIQRIKERRAAKEEKERYEKMAEKMHRKRVERL KRKEKRNKLLNS AFLA_122620 MSMELPTLPPNQGVEAFKDIMFGSVSNPAHRAQENAACVNYPLQ TAGMAGKVIEYPFDTVKVRLQSQPDHLPLRYKGPIDCFRQSFQADGFRGLYRGLSAPM AGAAIENSCLFWSYRMIQDVLKSTCYSSTDPLPFSALLVSGAASGSITSLALTPIELI KCKMQVPLEGVNTRAASPLALVASIFRQDGILGFWRGQLGTLIRETGGGAAWFGGYEG VSALFRAYPTSASKNSSEHQSASLPLYQQMIAGAAAGISYNFLFYPADTIKSRMQTED ITHGSINGQRQTFWGAGKALWKQQGLKALYRGCGITCARSAPSSAFIFTVYEGLRNYF A AFLA_122630 MGRTSCKPNDIILIAELLSTAELIPLPSPLNNSTYTSDLSLIPT EINEILQALLNMSSSKPSLTTQELQTLASKAIAAKATAYCPYSKFRVGACILTQSGEY IVGANVENASYPVGTCAERVAFGTAVVAGYHDFKAVAVATDSNPPASPCGMCRQFMNE FTTPSFPIYMYGSEGTYTIKTMRELLPDSFGPEDFSKERVQSS AFLA_122640 MIYRWLLLVSCLLLALLAQRGAAKSSSPKIAPPTKIDHKPSSLF YFEDTDTVLMNTVNGDLLRSVDAGETWSVVEGDDGGMKHHVLLIRQHPYDNKKAYALG PNGRHWVTTDQAKTWASFNIAEFPAIRHYPLVFHGGDSSKVIFQGEECAGRYCIVRSY YTTDDFATVKLLRESTGGCAWAVGHPQFAEDLNLAEEIKDRSFCVVPGLKVPLPHANR LVYSDDYFRGNAEGTETKLQEGRPVSGVISTAAVKKFIVAAAKSKGTEELALYVTVDA KNWHRAEFDGHRIEEDAYTMLESTNYSLQVDVLTSPRSGMGVLFTSNSNGTYFTRNIE HTNRNSEGMVDFEKIAGIQGIVLVNTVQNPEEVESGSAKKKITSRISFDDGRTFQPLK SDGENLHLHSVTALRNIGRVFSSPAPGLVMGIGNTGNHLQEYAECNLYISDDAGVTWR RAIKHPHKYEFGDQGAVVIAVRDEGRVDKINYSLDHGKEWASVELQHKIYPTMVTTTP DSTSLKFIVVGSLKESQDGEHVIYSIDFDGLHERKCEEDDFEKWPARLDEHGKPDCLM GHKQFYMRRRANANCFVDEEFKDPQPIFEACKCTAEDFECEYRRTEDGKGCVIPSPLT PPEGECKKPDDKFMGPSGWRLIPGDACIRDGGENLDKEIERSCKDASSPSTDGKIRVT LQLLEARDYAQYYYLERQSSSSGSDETIIMLSSEHEVYVTHDHGKTWERPLKGEEITR VYLHPYSSDVAFLLTDGKEGFWTEDRGHTFKPFQAPAPPTQDRFLQVMAFHPVHKDRL IWTGAVDCHSGDCHSDAFIKKGRGKNWEPLLSYVQKCEFESRETRPNSTNLVYCEQFE KQSKNGRLQLLSSDDFFNDNEVQFVDVINYATMSEFIIVASRQPENPDSLVASTSVDG RTFARAQFPPNVQVPVQTAYTVLESSTHAVFLHVTASSTEGGEYGPIIKSNSNGTSYV LSISAVNRNSLGYVDFEKAQGLEGVAVVNVVSNVADVSKKVPKKLKTMITHNDGAQWM LLPPPTKDADGKSFGCSVVAGKGTDDCSLHLHGYTERKDERDTFASGSAIGLMMAVGN VGDHLAGGDEADTFITNDGGISWKSVKKGKYMWEYGDSGSVIVIVPESKPTKTIHYSL DEGDTWEEFQFSDVEVRINDISTVPSDTSKNFLLWARLSNSEVQDKFATFNIDFSGVR PRPCLLDENQGNSDDYYIWEPKHPFQENNCLFGHSEQYHRKKPSAQCWNDWRESHVHS IGTNCTCTRADYECDYNYEPQSDGSCALVPGLPKPDAMEICKKDPDTIEYWEPTGYRR IPQTTCQGGLNLDHFVSKPCPNKEEEYKQKHGISGVGLFFAIVTPLAVAGAAGYYAYS KWDGKFGQIRLGESAGTSQSFLSRDSWLVTVPIAIVAGTVAVARALPLLVTSLWRGAS GFIRLGRGRGYSRPYASRGSFAARRGDYTSIVDDEDELLGVEDAELDEDDEA AFLA_122650 MVPTSSTQILVANVFFSNVERLRLAHGDRWDGFPYQRRLAKVPR RLPFCTLQGRSYPTSAIKPLNKNRKCHPHKSSFPNTTKKLRKVNSLQLVHLAAQVDSR CFHSLPPKIQQKLFSKEEQDWFYRAQFEARFFDAAGEAPHPLEKARHCSRHQPPEIRF SDGNSIATSRSSTLYFDLSDSDLSSDTDMDYSLCDNFRWFDDNGDLDLRLDEYHAHVA KTLPNSPPRRRPSLRTALSFNPDVSIRQPTSAVSRQKAQSARRSSTFPTAPMNITARN SSSRPSSSQSQTHVPRSSTSSIDPSAQYYQDPEARLKLRVYLASPQNFDEAVEFGFPA QNNERTMPAERKTKPPMFTGTFLDEEDTSVSDERCDKRMNVARLSYVHNSRSSDSGRP YPRHQSWLLPPRPGNQQNTGSNREMTLKMTLTRPDLRTTVPPPRVDPLKLPLEDSGSH LWGSGEDEQGLMKKMWRKFRKQKC AFLA_122660 MPDSASITSINPTSRSVFPQGPSFTLEDFSSRDFIVKEFIEALS DSAISNRRSTLGPTTGNQLFDPKPLIRTLEHAQRRLGELSGDLEIKENELSAAVRRAE AQHSQNINTLGRKLKQTIESFQQLDTSLNGTGAGPGPTGSELSGSTGNMAVETGRKLE ELDRQRRRALDAHFLLECWDSVSNRGELTLLENLRRSGTGEAKVRSAQIARQLLRISQ RLDQKSWNNSGGKNNGASGHGAAEEGTVEETGLTRLNTREIIEKFSETLEKDLLKQFD DFYRKANFEGMKDCATVLQDFNGGSSVIALFVNQHQFFIDRSQLVTEEVGGDLEAWEQ LADPDSEPLKVEPSLQSLIDEVKVVVQEESAIIKRAFPYYEQVLGKFLQRVFQQSIQQ RLEMVLEKANSISSLAFLRSLQSSRSYIGALVEDLKAHGLTEHPDTISAQTALILDQQ LEDLFVPYFVGSSYIEREKKTLEELYTSLLFKFTTFHARRKKAATTFMASLSKSGTEL LSSARDAYINRLESSDFSPTQRRMLLQVAGLRDANDLLRRTEIKLTEEDGLPSISHAK RMLKWLAEGVSRGLELSVSSETPKDMLALLTLLLSIMGEGYIEVCLDAALETATSQEL GKTEPDFAYLPAVRSAIGIANLMVMCINTLLIPLATGSITVRREMEKKTNLTTMRIEE KVNTIEQKIIDASLVWINKLLSGQRKNDFRPKEGDNAAWLEKLQTPTCSSICTFLSRV HNMTATSLPPGGSNLRQLLTEIAMGTRSLLLEHFKRFAVNGPGGLMVTKDMTQYTDLL KSWDIDEQVKGPGGALDVLLEVGSLFVVGSEALRERVWSGAASSSSRPGNNTGTSSGR GAGGGQVEAGLSVQEVRAYVSRREDSNTAAMQNVLSVL AFLA_122670 MVSQRDKELLRDDVRARGTKLSAADRESLLKPYLPDPSELPLRP PQRRRKTSPRKTPIRTFLKSQLHQLTYTLIHIFFGIVVRLVQSYHAVVDRVFAIVYYH HRTPELIRKDVKNLDRLPEHLSVILSLRQEEDSLTILMDEVAELAAWSVSAGIPVLSV YEKSGVSALYRSKQCEIIFLTNHYA AFLA_122680 MSILVSIVSHPHTGSRLSQNGTFGFRALKPAIALYPQFLEMLVS RLSSADHALCANALQLINSLMRDSITNDSELEWPKFIQKLQDLGVIRAVYALMQGSAL QDHAHPLIEFQSLTKVLLRKWRDVALDLEKPEHRRALKGIHLASNSERDLEKGAESGN EARRSKRHNPEKWRRLGFESESPTVQFETMGFLGMMDLADYIRNYQDEFQKLLLEQST KPAQKRCPIARASLSVTQILYEHFEVDKSEMEDAKSYLILESRVNFDKIFKPLLLHWT RLHVAGLHAFFRLWKVTGAEVEDYEKIVELVRILVESVVGGAPRTKDVQDVEDDLAEF EYSRLRELQMELLELTYEDVWGQHLRQVREELHHEALQFVKEQRIRCLLKGAWFPNDG SSKADMVASGDPSWKFVQLSHNRRILHFGHFHSVKTQCPELDALPEKLDLSIVSSVVS NVSATSDDSSSSTVKSVSHHFSATKITIHGHAQSRSSTDESGKIEDHARSTSKATHRE AVLLTLRPQSPSIASEWLDGLLMLLNQQPITAETSKLVNLVSDYGLKIRLLNVRFDDG VFAGEPPNVPSREDLDDDYYYDVFGGA AFLA_122690 MEGINGNEEDNDDIIDDDYDSYDELFTQHLANEEAVRNTTKDQT PWQVQSPKGRTSVARKSADPRKRFVLPMVSDHENNDSSPIHSQAHKLPWAQQYSPTNL DELVVHKRKVSDVQSWLRNAFAGTGEHKLLVLRGPAGSGKTTTINILSQTLGFDILEW KNPPVSEFATKAYVSVAAQFEEFLGRGDQFRKLDLDELTEFPQDSNRYHRQRIILIEE FPTLLHRGSSSLAAFRLSLQRYLAMENTLPSHGLNRSGVKAQASSSPVVIIVSETHLT SGSSSESLTVHRLLGPVLFNHPGTTIVDFNSIAPTFMYKAMKLILEKEARHSRRNKDP GPAILQSISRSGDIRSAVASLEFLCLDVGRWGIPATRAKKSSRNNVILTSAEKETLKL VTQREASLGIFHAVGKVVYNKRDDTGVATEGPILPSPPEYMRHHDRPKVSQVPVNELV EETGTDTQTFISALHENYVPSCDGPSFVDCVDACIVALSDSDMLCIDHKSSYGSRTNR SSAGVDVMRQEDISYQVAARGLLFALPYPVKRRIATSTGEDRSSDTHKMLFPSTLRLM QEAEEIGGLTNVWVKKLLNSYRGTSAGPDPGLSASSFTRCKSGRVEDYRNDPGGIVTM IPRQDLVLYHLPYLTKIYRGEAEIAQLRRITGFHGSGCPNNCLGNNPDVNRSGLASAS HGTSQMLYSTDLKSNTFGPQLPPNYEQEGEKLILSDDDIVDDL AFLA_122700 MSKQHAGTLEIDDHLTERDEPKEPHASLGSITTAAAYTVLPGWT TIVLMISLIFGGCCANVSGFFSSSSLMFAPQGPLITFAQFIVTALLTSPSFLSLSAGP QSLFLSRRVIPLRSWLVYTAFFVTVNLLNNWAFAYKISVPLHIILRSGGPVASMIVGY AFNAKRYSHGQILAVAMLTIGVIAAALADARTKGQSISVGYHQNDSTMASTFIGFSIL ALAMALSAFQGIFADRLYESYGRNHWKEALFYSHTLSLPLFLPTYSHLLAQWRALLSS PSLLSGISAIAARKGSVSSSPLLLGTGLATASKTAFVKSVPMPIINTTSHLLAELERF KSFQFVLACIPIQGFYLLMNALTQYLCIRGVHLLSAKSSSLTVTIVLNVRKLISLLLS IYLFGNDLAPGVLVGALFVFVGGALYGFEGARLRKTYKPSNKKD AFLA_122710 MASLSEDLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINIPSERHDKPDTDEIHVPHTAASVAGQHE WAEFHHLPGRKFEDFALVKQEIEAETARIAGSNKGINRQPINLKIFSPHVLNLTMVDL PGLTKVPIGDQPSDIEKQTRALILEYIAKPNSLVLAVSPANVDLVNSEALKLARQVDP MGRRTIGVLTKLDLMDHGTNAMDILSGRVYPLKLGFIGVVNRSQQDIQSGKSLSDALQ AEVDFFRHHPAYRNMANRCGTQFLAKTLNTTLMSHIRDRLPDIKARLNTLMGQTQQEL ASYGNKQFSGKEHRGSLILQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSV FGNSLETIDPTHNLTVSDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKMLEAPSQRC VELVYEELIKICHTCGSQELLRFPRLQAKLIEVVSDLLRERLGPCSAYVESLISIQRA YINTNHPNFLGAAAAMSSIIQNKQEQERKSALAEDRRKREKRRQRELGGINGSTSLSP EDEEEQALEQKAQNIPIRSHRPSNHTNEVSTTQSIRRTEVRSPVMPPDDYVPVPEYIG EISSLENTEPTLSDRELLETELIRRLISSYFDIVRETIADQVPKAIMHLLVNHSKDVV QNRLVSELYKEDLFGELLYEDDGIKAEREKCERLLETYKEAAKIVGEVL AFLA_122720 MASLNLSSNGPSIVKSYQAVVNSSPPTNSSSPTYGQWAVFSVSA PLVSAFQQDTGSKESVLKVQSTGDGELADLIDEFSEGKMQFAFVKVTDPNTGLPKSVL IAWCGEGVPERTKGYFTSHLSAVSKLLHGYHVQITARADGDLTAEGIIRKVGDASGAK YSAGAGQPAAAPTKPPISSKPVFTPSRTDGISSNATPAAPQKPLQKDTDNDGWGPDAP PVTRTELEKVQPAYQPTRVNIQALRSGKPHVANMQVQHTTEDHSDVVKGGYQPVGKVD IAAIRRQAREAGEMKDDRPEPVKGAYEPVGKIDIAAIRARAQKPSELMADDSKLAAPN NAERQAESGNYTNPGHSERLTSLPKPKVANKFGANQSFVGTKPPLPSGSMPKPTSAVA PVGSASRTFADEGGKTPAQLWAERKAKERGQATASDVPPLGHEEPSLQTQHSGRAEWK SSYSGRTWTPVQTTHTGNLTRSASYLWLPTLFAKPLGFLAEHTLSHSYQQEKERNEKG VFPLGAAFVDRTRAFSGI AFLA_122730 MASSQLSMTPRLDANVNAAETSSEDEASLHSHSGNPQARVGDTT ANESSFAASTKTSGDILPRDRLLKTPTYDYAYEKSMSHAEAKLFYQHHQFASRSADSE PTLNPVPANHNAAVTQTEGTPSYTPTVMEHNSSEDYTVSTVCQNDTFSKFQPAANPTS LLGRDSHFIHEYQSNGSAVHDTHGTLGLHAMPSRVQDQLGQTAFGAGNDHSAGDVLGT AQGILNTTPGDDAVTSELNLSLQGAGDNPKDHSDWKVYPAPPEPAWEGDNETGKFNDT SRPDQKKRKMGQDIGEDFDMAECLPLPEASDWVFKLDGNSVYQVCINGRARKELRV AFLA_122740 MVEWRISIYGRSIQEWDKLAAWVVDNKLFSPNVRWLVQIPRLYD VYKSSGMMENFEQVITNVFQPLFEVTKDPNSHPKLHLFLQRVVGFDSVDDESKAERRL YRKYPIPREWNTKQNPPYSYWIYFMFANIASLNIWRKRRGFNTFVLRPHCGEAGDPDH LAVGFLCCHSISHGILLRKVPLLQYLFYLDQIGIAMSPLSNNALFLTYDKNPFANFFR RGLNVSLSTDDPLQFAFTKEPLIEEYSVAAQIYKFSAVDMCELAKHSVLQSGFELALK QRWLGTNCSAAGVSGNNVAKSNVPDIRERFRHETLLGELALIGRYVDSLSTYSKALPL RGQLHPSVTTENENNPSHPLRKEAQATAFLQTSNTVNPPKYLQNQSETNAAGEACFPS STPPGPKSSEDTGPETLPEQKIFPGIVHERAQRGSMLTRALAEDDKDTKDGGKDVKNC PSPS AFLA_088970 MDLALCTMYFGDYYLGQIRELDSQTHSRRAMKERICFDGALPPE KRKTRLLRLEKSRRKLELLRLKPQSALQNSSGYSGKRALALENVLQGRALPARYNDLP ENPFMVPAVFEDLKYRWNKEKIFTVARDALCMQSIEIKDFPWADITVMVPGEADAYCA YIAKYANSSVLTNDSDLLLYDLGPRGSIISLNSIEIVGWDAHRPSERQIRAMSLSPTL VARRLGISDILRFAFELKTHPDAGTAELVQRANSSYEGPDNTSDYQAFTQEYQEGIHE FEATNLRSLPHLDARVSELFWQFEWRQAHMVQEAPHMYLAILNEDHARRCAWAEGRLY RSLAYSILNASRPVSNRVGFINEFARRGGRITVDKVVLGNEDWIETVTNVLLVRLRSV QNKVEVDTTLPAYWIMFALCELHEADSSFVTLDHARLSCFLTLGRMNENFDWKDIQLT AQIQAVLYSLRILGQLLESSVDTCDNIVELKSILSNLPPLHMIMGPIPSMMDETLNIS CVSALVHRLLQVLGKEEKCSDIPDAVGLTRQQLFSYPLASQQYESRVGNPRSPRRIDN MYDLLPME AFLA_088980 MWDLNHGGRIVGMLRGAHRVTEGGKGLGVNHIEFLDGQPVMVSS GKDNALKTWIFDELPFSPIPRPLHSRSGHSAAVTTLEFLPSASDGSEFGGKWLLSASK DCSLWGFSIRKDSQNTEISQGAVEHKAKKLVGSRTVTGAQELKAPEVTCIACSLNRDG GMGVTTSGPIWANPKSINTDASNKTGWESVLTGHRGDKFARTWFWGKKKAGRWAFETG DKMEVKSVAISQCGTFALVGSAGGSIDMFNMQSGLYRQSFPSRGLKKASKSNGAKHSK AVTGVAIDGLNQTVVSCGLDGKVKFWDFTSGSLADELDWYPMTSITGLRYNSTSELVA FSCDDLSIRVVDIETRKVIREFWGCVGQINDFTFSSDGRWIIAASMDSIIRVWDLPTG HLIDLFRVPSTCTALAMSSTGEFLATAHADGLGISLWSNRSLFVPVSTRNLDEADIAD VRAPTISGETGVGAIEAAFLEDYQPSDTEGPMLSTEQLSRDMVTLSVVPKSKWQTMLH LDLIKERNKPKDPPKAPEKAPFFLPTASEKGNPDEILDPNLLADITITERSRVAKLQH SMSVGIAGSRFTTLLRSGRDTGNFQPFIEYFKSMSPAKADLEIRSLDPRIHENHSELS DFVLALSTHLRSKLDFELVNAWMAMFLKIHADVVMKCSESEENEALREALASWSVAQQ REGQRLAELVGYCRGVVGFLRSSR AFLA_089090 MDQNCDRSSSRSVAVDSSKSSIESVARLAASSPCAGPDQTDKSS SLLYENASSVALRGIHGMQSHQVKANKTDCTSDNQTAGRSNHPSTRSSSRVPRRMSGS TAASSISEAEPAPPSLGKIGVCALDVKARSKPSQNILTRLQSKGGFEVIVFGDKVILD EAVENWPVCDYLIAFFSDGFPLDKAIAYARLRKPFCVNDLPMQKVLWDRRLCLRILDN MSVPTPKRLEVNRDGGPTLESPELAQHVYQLTGVKLEGPEDGTGGGLSRTKDVAMSDD GDSLIVDGKVFRKPFVEKPVNGENHNIHIYFPNDQQYGGGGRRLFRKVGNKSSEYDPN LVIPRSVTENDSSYIYEQFVRVDNSEDVKAYTVGPDFCHAETRKSPVVDGLVRRNTHG KELRYITKLSRDEATIASKISNGFGQRICGFDMLRVGDRSYVIDVNGWSFVKDNNDYY DRCASILRDIFLHEKRRREGTLESEPFHQDLNHSWRNSVSHRHGLKTLLKSPSASKLH GSPPGHKSPDNALPESAVPGLPASSTSEGLDIGNSYKKPSGRERFSFSGGLDSQTANA SVTSTDSIDDAPPPPPASKHSWKLKGMVAVIRHADRTPKQKFKFTFHSQPFIDLLKGH QEEVVIKGEAALASVSDAVKVAMERELEDMDKLKLLRTSLEKKGGWPGTKVQIKPMFQ NDLILDKLQLVIKWGGEPTHAARYQSQDLGLNMRDDLKLMNKEALNNVRIFTSSERRV STSAQIWACSFLDQKELPDDFIQVRKDLLDDSNAAKDLMDKVKKKLKLLLREGSAPSQ FTWPKDNIPEPSVVLATVVELMKFHRDVMRHNFRRLESSSSGPFEPYFPSDDTSNTHG EASPLASIQGRWCTGEDPMLFKERWEKLFAEFCDTEKVDPSKLSELYDSMKFDALHNR QFLEWVFMPPDTDNDADGEGYSQSKMRNTPAGDIRPGNEDANHERNEEHADSSTFVHR LGLKRRMHAFESMPHFRALDDTYDHYFKLYPGLSVKKAKLDSRLSKLRELYKLAKVLF DYVTPQEYGITDTEKLEIGLLTSLPLLQEIVRDLEEVQASPDAKSFFYFTKESHIYTL LNCILEGGIQTKIARRAIPELDYLSQICFELYEAKDSESSTNSYSIRISISPGCHAFD PLDVQLDSRHAIGCAPRRSLTAHQDWKNVIETLKAKFDT AFLA_089100 MASSLGLTLYPTSWLKELLRGRPRPLPTFGAKFSPGAQYVTEEG RSRASISANIKTKYEVPIVHGAVVASWGIHAALVADSDTTEYRRFGADRFKLAAEELL FPPGGAESHRYAGTISLTKWDSEANSEYVDTMEIKEHMYVLATMVPRLEREFVISPES VPLDGRLRIIHFGPVPSEKAMQLMASAYQGGQHVFDKSVLYSEIERLKIVFSETDERW RRVCIDGRIIAVECDGWMEIYKEPECLLNILTSVEFQNH AFLA_089110 MLISPRTYHASQIPDRGQQSHGSEDNPRFRSLFGWSTGSTNDRR AAEMLLIHQTGSVRVGEVVRSVAIDSHGQALPVRNADLGLVLNRYTVTYTPAADTASS IPGELFVKVKNTSAIPLRAAYLHGPYTLYTSCYPSTFDPNVKLDQQATEGIPQFEPYL KAGGSWNAVITVPRRLRQKPEVASAEPQSHQKVTWIIEIVSQVIFSSTASVNFELLVG RDQKSVELYSSSAASTTGFQAAQLSDHWLPNAKGKQVFATNGVYSRSITLQIDDTVSL WNTPAFPSSDKSCGAEKDKGPSEDSPTNNDEASTRPSEPVNQSHNNRRKKKIHLVVLT HGLHSNLGADMLYLKESIDTAARKAKEQAYNARQKHKSEQSSNHDADADADDADDADD ADDDDDDDDDDDDDEQVIVRGFPGNAVRTERGIQYLGKRLAKYVLLMTYPDQPCNPYH NAKAKTLPKSLAPWRNAAAEFPKDHTASVPGPTGGGHAYRVTSISFIGHSLGGLIQTY AIAYIQKHSPEFFDVVRPVNFIALATPFLGLSNENPMYIRFALDLGLVGRTGQDLGLS WTAPRVRSGWESIIGQSDAGSKPLLRILPCGPAHEVLAKFQHRTVYSNVVNDGIVPLR TSCLLFLDWRGLERVEKARRENGIQSKMLKRSQTLGTSSGVIQGPPSPCAPTHESYAD SQPSEEYGLYAPPKTTLFESASDLLMPPLPPIEFIIDPTSRPRTIFHDRVYSPEDIPP PLTPKRRTLTFGSSQSKVSKTGPFSSDESPHRGSNESESGLKVEEKIARAYHRDLTWR KVLVRLEPDAHNNIIVRRMFTNAYGWPVVKHLVDTHFGYTSAAQSKDDSAKRVERAMP PAVPTNSLGEEVQGQVEHL AFLA_089120 MTPETSRTDGGLPDLNHIHDSLIEIAYKAGEIIMGALPTTDGIG SKKNSADLVTQYDRAVEEMIRTALKEKYPDYQFHGEETYDPGHPLTTAPTFVIDPIDG TINFVHGFPHACVSLGFAVDRVPVVGVVYNPFDNTLYSAIRGQGAFLNRSVKLPLKGT DLEPLQGLQNSLIGVEWGSDRKGRNWETKVRTLEKLGQAKDEGGAMVRSMRSMGSAAL NLCAVAAGTLDLYWEGGCWAWDVCAGWVILTEAGGIMVDGNPGGWQAVIDGRVYLAVR ASPSQIGQRELVEEFWANIQGKLEY AFLA_089130 MPTELEELVEFLHHGNTQIRQIACENLLGFSIAQPNLFKRHQLL PVRDLKLLVRDYTPIAKNALTMLINLSADQDVLANLADDDAFLETLFTKVTNVKEPNA DDVSMLLANLVKSEKMKKLLTIKRRVPEQVSTSPNVMDQLMDCFVKGAEGALNKNATY DYLSYVFADMSKSKEGRAYFTSRQEYDGVVPVTKLTVFTEHQSSIRRRGVASTIKNVA FDIAFHPTLFSEDEANLLPYILLPIAGPEEFGEEETLTMLPDLQLLPPDKKRDSENSI IVTHLETLLLLTTTREGRDRMREVQVYPIIRECHLNVDDEDVREACDRLVQILMRDEE GENAGQPGSIQQEHDDQQVVELF AFLA_089140 MQHSVSSAAPVMTDKRRGLAHRSAAATPISSGMDGLPCHVIDML SHASAQLLSQQQPAIASHYANHRDIQLSPSKSTHRQRGFLSESHVEERTMNDKTDTYP VHYQSVLEIAHASNPASYSYSHAPRTRFGDQQSDPCRRVQLQINYLNSLAARVPSFGS LASEFRSKETLRIFLTNIAREALERHTKQHGYTIKEKTFDLKCFGSLRNGFALPGADL DLVMTTHGEVFPKDIEARCPQILYKAFTDAGFDARIIQKARVPIVKLYEAPSQGVSAS LGVESKGQSNYHFPKQTTNPEALSSVGIQCGINLSGCLVLYNTELLRCYALCDERVRV VGVFVKMWAKARKINRPYHGTLCSYGYILMVIHYLMNVVDPPLVPNLQLLGRLSPRHF STTGPNKYDIRFSKNETELRRKAWYNMTSGNRQSVGELLRGFFAYYGSRCKTTPPGAF NWIQDVVSIRTQGGILSKLEKGWNTARTDEHGRRLRFLIAIEDPLEHNHNVGETVTDK GLEAIRAEFSRAQIIIRRVQEIPGVGWEWRTDNGHVGQNLLAEAEDNLNRQQPCGTPE FAGFKNDQVSSTRGVNFSSEIHAYNRLICPSAAAQKQRTQRENFHRQTTLAANTMRRM LRIPDGQDPGASYGVTLDSMQFHSPYATHRKDSAAQRQMNQCETDTTNVELLAILPFN ENLL AFLA_089150 MATPTNDLNARTPTGSNGPPPMRIRRPKAADPLVRPKKKLAAKP GIPVSGNGPALKSVSSRPATSNPLSMPHPDRGKPSSSGADFSANGFSGPLLSETYTDY PLVTTKRALREGLKHHIARFASKKTVDPRDESQFTRPVRLQRRDPRARSHEMTSEKSQ GMQKTMSESSHQMDEVEREELEARKAAREKERAENLAQIAPSAGSAPKRANVPKQKTQ QVSKTDMTPEEMAKTRIKYEEALPWHLEDFDNKNIWVGNYEAALSETHAVFVLEATGK MRMIPVEKWYRFNAKHQFKALTIEEAEKFMAKKVKDPRWFMEKQQELAQRKELEQFAK QRKVYAGKQGTPSGVEGLEADEMDFEEDRFADDEEHDDLFNEDEEAKAAEKRIKQDQL KANVFDLKDEKDYEQEELREKKEKEARRVLGKKVRKALQKREKNYDYSSGSDVNPYSD DESSDDSESERLKEEERKAEEEKNKKDTTASSKGNNTPSGRPKHTDPLKKGTAAAPRK RLGSPNVSDASGTDTSRKKGKSKDLSSQPTPQPSSRNMSPVASSQMPLGKKRIRNVPL GGAGSGSDADGGAGSGGEMSESGKTKKLKLNPPAVSQGGTPQGSRAGSPTPLAGRSFS GSRASSPESFRGQTRVSTPVRAGNQSFPTPAEIHAAIPPQAYSVVTCSKYSVPVLVSQ RRTTESL AFLA_089160 MYGTSTGPQTGINTPRSSQSLRPLILSHGSLEFSFLVPTSLHFQ ASQLKDTFTASLPEPTDELAQDDEPSSVAELVARYIGHIATEVEEGEDDAHGTNLEVL KLALNEFERAFMRGNDVHAVASALPGITAKKTSVVKAYYAGRAAAGRPTKPYDSALFR AASDEKANIYTVFGGQGNIEEYFDELREIYTTYPTFVDELISSSAQLLLSLSREPEAS KLYPKGMDIIQWLQDPDSQPDTDYLVSAPVSLPLIGLVQLAHFVVTCKALGREPSEIL ERFSGTTGHSQGVVTAAAIASATTWESFEKVAKDALTMLFWIGLRSQQAYPRTSIAPS VLQDSIENGEGTPTPMLSIRDLPRSAVQEHIDMTNQHLPEDRHISISLVNSARNFVVT GPPLSLYGLNLRLRKVKAPTGLDQNRVPFTQRKVRFVNRFLPITAPFHSQYLYSAYDR ILEDLEDLEIPANSLAIPVFGTKTGEDLRESGNANIVPALVRMITHDAVNWEQATVFP KATHIVDFGPGGISGLGVLTNRNKDGTGVRVVLAGAMDGTNAEVGYKPELFDRDEHAV KYAIDWVKEYGPRLVKNAAGQTFVDTKMSRLLGIPPIMVAGMTPTTVPWDFVAATMNA GYHIELAGGGYYNAKTMTEAVSKIEKTIPPGRGITINLIYVNPRAMAWQIPLIGKLRA DGVPVEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSVDAIQQVINIAKANPKFPVI LQWTGGRGGGHHSFEDFHQPVLQMYSRIRRCENIVLVAGSGFGGSDDTYPYLSGTWSS RFGYPPMPFDGCLFGSRIMIAKEAHTSKNAKKAIADAPGLDDQDWEKTYKGSAGGVVT VLSEMGEPIHKLATRGVLFWHEMDQKIFKLDKTKRVPELKKQRNYIIKKLNDDFQKVW FGRKASGETADLEDMTYAEVVHRMVDLMYVKHESRWIDESLKKLTGDFIRRVEERFTT AQGQPSLLQNYSELNTPYPAVDNILVAYPEAATQLINAQDVQHFLLLCQRRGQKPVPF VPALDENFEYWFKKDSLWQSEDLEAVVDQDVGRTCILQGPMAAKFSTITDEPVQDILN GIHQGHIKSLLEDVYNGDETNVPVIEYLGGRLDESIDEPEIDGLTISEDANKISYRLS SSPSSDLPGLERWLRLLAGTSYSWRHAIFLADIFVQGHRFQTNPLKRVVAPTAGMYVE IAHPSDPSKTAISVREPYQSGKLVKTVEVKMNEKNQISLTLFEGRTAEGGVVPLTFLF TYHPESGYAPIREVMEGRNDRIKEFYYRVWFGNSDVPFDTPTTATFSGGRETITSQAV ADFVHAVGNTGEAFVDRPGKEVFAPMDFAIVAGWKAITKPIFPRTIDGDLLKLVHLSN GFKMVPGAQPLKVGDVLDTTAQINAVINQDSGKMVEVCGTIERDGKPIMHVTSQFLYR GAYLDFENTFQRKDEVPMQVHLASSRDVAILRSKEWFRIDEPDVELLGQTLTFRLQSL IRFKNKSVFSHVQTIGQVLLELPTKEIIQVATVDYEAGDSHGNPVVDYLQRNGTSIEQ PVYFENPIPLSGKTPLELRAPTSNETYARVSGDYNPIHVSRVFSSYANLPGTITHGMY TSAAVRSYVETWAAENNIGRVRGFHVSLVGMVLPNDMITVKLQHVGMIAGRKIIKVEA SNKETEDKVLLGEAEVEQPVTSYVFTGQGSQEQGMGMELYSSSPVAREVWDRADRHFM ENYGLSIIDIVKNNPKELTVYFGGPRGKAIRQNYMSMTFESVNADGSIKSEKIFKEID ENTTSYTYRSPSGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYSA LAALADVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISKTFNEQALQ YVVENISEQTGWLLEIVNYNVANMQYVAAGDLRALDCLTNLLNYLKAQNIDIPALMQS MSLEDVKAHLVKIIHECVKQTESKPKPITLERGFATIPLRGIDVPFHSTFLRSGVKPF RSFLLKKINKNTIDPSKLVGKYIPNVTARPFELTKEYFEDVYRLTNSPRIANILANWE KYEEESENVSRGGGGTSA AFLA_089170 MRPEIEQELAHTLLVELLAYQFASPVRWIETQDVILAEKRTERI VEIGPADTLGGMARRTLASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPVEEEPEAS PTSSTPAPAAPVAATAAPAAAAPPPSAGPAAAVEDVPVTAVDVLRTLVAQKLKKGLSD VPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQATFNGQLGK QSSSLIARLVSSKMPGGFNITAVRKYLETRWGLGSGRQDGVLLLALTMEPASRIGSEA DAKAYLDDVTNKYAASAGINLSAPAAGGDSGAGAGGMLMDPAAIDALTKDQRALFKQQ LEIIARYLKMDLRAGQKAFITSQETQRALQAQIDLWQAEHGDFYASGIEPSFDPLKAR VYDSSWNWARQDALSMYYDIIFGRLRVVDREIVSQCIRIMNRSNPLLLDFMQYHIDNC PTDRGETYQLAKELGEQLIENCKEVLGVAPVYKDVAIPTGPQTTVDARGNIGYKEVPR ASARKLEHYVKQMAEGGPISEYSNRAKVQNDLRSVYKLIRRQHRLSKSSQLQFNALYK EVIRALSMNENQIMPPENGNGKRSNRSSQKHNGSPRAGKVETIPFLHLKKKTEHGWEY NKKLTGTYLDVMESAARSGLTFQGKNVLMTGAGAGSIGAEVLQGLISGGAKVVVTTSR YSREVTEYYQAMYARYGARGSQLVVVPFNQGSKQDVEALVNYIYDTKKGLGWDLDYVV PFAAIPENGREIDSIDSKSELAHRIMLTNLLRLLGCIKSQKQSNGFETRPAQVILPLS PNHGTFGNDGLYSESKLALETLFNRWYSESWSNYLTICGAVIGWTRGTGLMGGNNMVA EGVEKLGVRTFSQQEMAFNLLGLMAPAIVNLCQLDPVWADLNGGLQFIPDLKSLMTKL RTDIMETSDIRQAVIKETAIENKVVNGEDSEALYKRVVAEPRANIKFEFPKLPSWDEE IQPLNETLKGMVNLDKVVVVTGFAEVGPWGNSRTRWEMEAHGKFSLEGCVEMAWIMGL IKHHNGPLKGKSYSGWVDAKTGEPVDDKDVKPKYEKYILEHSGIRLIEPELFKGYDPK KKQLLQEIVIEEDLDPFEASKETAEEFKREHGDKVEIFEVPESGEYTVRLKKGANLLI PKALQFDRLVAGQVPTGWDAKRYGIPDDIIEQVDPVTLFVLVCTAEAMLSAGITDPYE FYKYVHLSEVGNCIGSGIGGTHALRGMYKDRYLDKPLQKDILQESFINTMSAWVNMLL LSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYEFANMKAT SNAEDEFAHGRTPQEMSRPTTTTRAGFMESQGCGMQLIMSAQLALDMGVPIYGIIALT TTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDIKYRRRQLDLRKKQIKEWQESEL LYLQEEVEAMEAQNSESLNVSEYMHERAQHIEREAVRQEKDAQFSLGNNFWKQDSRIA PLRGALATWGLTVDDIGVASFHGTSTVANDKNESDVICQQMKHLGRKKGNAVLGIFQK YLTGHPKGAAGAWMFNGCLQVLDSGLVPGNRNADNVDKVLEKFDYIVYPSRSIQTDGV KAFSVTSFGFGQKGAQVIGIHPKYLYAALDRTQFEAYKGKVEARQKRAYRFFHNGLIN NSIFVAKNKAPYEDELQSKVFLNPDYRVTVDKKSSELKFPAAPPKVAGKGVESTRQVV ESLAKAHAVENSKVGVDVENLESLNIENETFIERNFTAQEQQYCRKAASPQASFAGRW SAKEAVFKSLGVSSKGAGAPLKDIEITNDATGAPVVNLHGAAAEAARQAGVKQVSVSI SHSDSQAVAVAVSTF AFLA_089180 MEAESLSGTLNQLVQYDGKEYHAVKEGNAFILNPPSQAAASTGT RRNLKAEDESQSVFYNPIQQFNRDLSVLAIKAYGENVLASKKRRAERRQRGGAVDGKS TGKKRKREDGDEEEPNGKRSNFDNQTPSSDHLDLELHAGDNTTPSFTILDALSATGLR ALRYASEIPFATCVVANDLSPSAIKSMKLNIEYNGLGKLIQPNTGDARTYMYSTLNSA NTQASRPHTGKFDVIDLDPYGTAAPFMDSAVQAVKDGGLLCVTCTDAGVWASNGYPEK SYALYGGVPTKGTHSHEGGLRLILHALALSAAKYGLAIEPLLSLSIDFYARVFVRVHR SPAEVKFASGNTMVVYNCDSGCGAWSTQPLTQTKPRLDKKGNPFYHYGFAQGPLANTT CAHCGMKTHIGGPMWAGPLHNPQFIRRILDMLLEADRSVYQTVDRIEGMLTTALEEDL TPNASIRSGSSEPTISKTKDVALSEDPAIIPRMDPALREPYPFYFSLSALSKVLHTST ISSDAFRGAVRHLGYQCTRSHTKPNSIRTDAPWDVIWEIMREWVRQKSPVKENALKPG TAGAAIMAKSRENLQKQKEGDKDLRLLKQEIVFAAENGKDISDLVTKVEAALYRSGFR QGLNLSEFDSRPANMQNEAQSTNQALAIKSNTSTLDVVFDESLGREVTKKRLVRYQIN PRANWGPLNRASGRGQG AFLA_089190 MTSKESISPKPEDIDTHSIISMQELDPSPVQDVFPDLESGQYIF QSQGRPSKLGDISKAGQPPFSVGLRGHNWDSWLTQSVPDSETYLLLTRPIYQSPGLEH VVLTVPILVHIASGIVLRNIRSSRRARLYGAETRAQRYSLNFWPRMSLQARLGYCFVP FLGAHVLVNRVTPLIVDGGSSGIGLGYVAHGIARNPVFWNVYYVVLAAVGMWHIIGGW ATWMGWRVTTAQKRRGCKKGSLEGYLGYAESEEQVKRKRKMRWIVNGVAAVGTAVWLA GALGIVGRGGQGSGWEASGWNEIYKQVPILGPWL AFLA_089200 MAPRGFTNPAPKTESARSALSSFTCTLCNKSYSRHPEYEAHISS YDHQHRKRLQDLKQLSRDPNAAEKARRAERRADAEAGLRVIDTKASAAVGTGGGGGGG FKKGGFKSSFTTVKGPVVPTAPTKKNVLGDDDDEDEIPESTYISQRAQATQDPSDQPD YAESDTDAEYSSDTMGSAYYDPLKPTGCFAGCVSTKNIPIGTAAVKG AFLA_089210 MAAPRLFRPAARLLSSRLSAPRRPAFPQSACAPSILRSRGYATE GGAKEVTVRDALNEALAEELETNPKTFILGEEVAQYNGAYKVTRGLLDRFGPKRVIDT PITEAGFCGLAVGAALAGLHPICEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCNVT FRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVSPWSSEDAKGLLKAAIRDPNPVVVLE NELLYGQAFPMSEAAQKDDFVLPIGKAKIERPGKDLTIVSLSRCVGLSLNAAAELKEK YGVDAEVINLRSVKPLDVETIVQSLKKTGRIMCVESGFPMFGVSSEILALAMEYGFDY LTAPAVRVTGAEVPTPYAVGLEQMSFPQVDTILSQATKLLRL AFLA_089220 MIVKSMIYDRSYSYVLSFFALVTPILARQPSAPEAIPAPLRDLK WGQLNFLHTTDTHGWLAGHLQEPSYSADWGDYVSFATRMREKAEAQGQDLLVIDTGDR VEGNGLYDSSEPKGVYISEILRQQHIDLLSAGNHELYKQNTSEAELFTTVPNFRGNYL ASNIDIIHPLTKETVPLAPRFKKFTTKKQGIRIIAFGFLFDFTKNYNNTIVHPVEETI KEEWFQEAIRDKEVDLFLVVGHVPVHSKEYDAVFKEIRMVRWDTPIQFFGGHYHIRDF ARFDSKAFGLASGRFMETIGFMSIDGLTSSKQLVKPASTTPSFHRRYIDNNLYSFYHH TGLDEETFSTEEGRNVSRLIEESRSTLRLDEVHGCAPRDLWMSRVKYPSEDSIYTWLE QQVLPNSLQDKSRTGKPALAIVNTGAIRFDIFKGPFTQDSTFIVSPFTSGFRYIKDIP YGKATLIVEILNKQPQILTDADNRSAITEGLAPPEQSVYSSDLIITDSFALGSTNYLR DQTPLLRNDHSEPSIIPGYTTTDDEGSDGDDTIHSPISFYRVPKCIQALISPKESAAP KTVDLVYIDFIESHVAQAAKFAGLSIDFTQDSDVYMPATTLTDLILDWVKDNWSCKEV AFLA_089230 MKQTGQGTWRTHAQMDESQLIKIENKDGLSPLQISTVSVNPVTA YRMIKDFCEWDWMRSGEEWLIQNGANSGVGRAAIQLAREWGIKTINVIRERKTPEDTE VLKAELHELGATAVVTEAELLSGDFKNVVSGLTRNGREPIRLALNCVGGRNATALAKT LAPGSHLVTYGAMSKQPVSLPSGLLIFKNLVFDGFWVSKWGDKHPQLKENTINDVLQL TRAGKFKDIPVEEIKWAWDTDGAALTSGVQETLSGYRSGKGLLKYEGGD AFLA_089240 MSLLREAEKCIANQKSHAALNALITALQPSGQWREQVKDADLRT ERGIPRSKVDGRLIAIKDNICTRDLPTTCASGTLEKFVSPFNSTVVGQLQDAGAVVAG KTNLDEFGMGSHSVYSRFGPVRSFWQGRDAEPLSAGGSSGGSAVAVATGQCYAFTEKY NRQRVGYRHWRVCSPSGCIHWDSWFQTLLWIDLAVGCSRICQLTGHGWDLREKHSQRS RCLQYVSLPSPCYVLLYARVSNASLDVVNQHDPRDPTNLSPSSRSRILSTLQSPFCAS RLTSAPLRIGVPTEYNILELHPAVRHAWSRTLAYLQRQGHSIHAVSLPTTKLALSAYY VLAPAEASSNLAKYDGVRYGTRCGVLDRDGRPQGNLYASTRGHGFGSEVKRRIVLGAF SLSAHAMDNYFIQAQRVRRLVQRDFDYIFQMKQPLASPGTDSERVPEHTGVDILICPT APSPPPGISDLIDSDAGASPLDAYMNDVFTVPASLAGLPAISVPVTVSGEKQDSSEAG LAGIQIVGQYGDDQLVLKVGELVEDQK AFLA_089250 MASIQPAGSSAPSSNINSPILPPSGTPFFNGPLSDTNTRSSPAP ASNASAQTDGPRSKRNKRDSRKKREAKGLDQEIVPAKKRATAVQNTALPSSDLNILRP LLLAEPRPSDLLPPQPRQLNLVSRKTSEVIGQSWSFYEVVDKLTNKNGFRYSYAIADT SFPHIKYRQTDVRPYNARFSFEDSPAAILFNEDALAVTTNGPWHTARANVCAREGTFY YEARIISGVLSDPQTAPANGKSCLPSRGHVRLGFARREADLDVNVGVDCYGYGIRDVN GEVVNRMRCEYFFPKGESIREGDVIGMLITLPPLSLHKRIVEGTYDPAVDGHASTSGI ELSMATNVIRDRIPFHYKSDFCWQQSNVFPTKQLRDYAFNLKETPTFGPPSPMNTEDA SLRTLPGSSITIFKNGIKMGTPFKELYAFLPPASRLANGTNNLGLGERENADDGMIGY YPAVSCYGGGAVECRFEGPWWIGPPQAENGEPIRGIGERFNEQIVEDVVADIVDEVEA MLVWGGVDGDVVGNAQMDGTGTGAVGGSEVLKGGVGAAYESAVSAVSAGPGTGPSTVE NSVGNVGSPDVGTGHSTFEDAASVGVVGTPNTEEPAARPENITVGHDVEMS AFLA_089260 MPKATSSRSAAVGRRHNPLAEDIVATGHLRTHSSKNSKRKSQSD EDQDDGERFIDAKMSRKILQIGQELADEDAAEQRTSLGNIAAKDNTAFDFESRFEDDE AFSDDEKFQDDPWGDEEEIEQVEVDPNDLDMFHKFVPGGDEDPIFNPSEQGAGGQSTN LADLILEKIAEHEAKQNGDNGPFIQGGGLPEDAVQIPAKAVEVYEKVGMILSRYKSGP LPKPFKILPSVPNWPTLLSITRPESWTANAVYAGTRIFISSKPAVAQEFISTVLLDRV REEIHETKKLNVHTYNSLRKALYKPACFFKGLLFPLVSSGTCTLREAHIVSSVIARVS IPVLHSAAALLRMCDLAAEQSLRSLESTGAVNMFIRVFLEKKYALPYKVIDALVFHFL RFRASDNDEDSMMTNGRSRDTNKAYKLPVLWHQSLLVFAQRYRNDITEDQREALLDLL LVCGHKDIGPEVRRELLAGRGRGVVVPDPEKQGALDAGDDTMDVTM AFLA_089270 MSCDMEKTMSSVDSLPATPASEVPVLTVSPADTSLNSADVKTQE VKPEEKKPAKKRKSWGQELPVPKTNLPPRKRAKTEDEKEQRRIERVLRNRAAAQTSRE RKRLEMEKLENEKIQMEQQNQFLLQRLSQMEAENNRLSQQLAQLAAEVRGSRANTPMP GSPATASPTLTPTLFKQERDELPLERIPFPTPSLSDYSPTLKPSTLAESSDVAQHPAA VLCDLQCPSLDSKEMEAPSHFSTSAQTLNITLQMTLQLLFLTMTSTAYSTVIHPLNQI LLSLKTGLPLMFSKEEIYQHFHLILWLISTPSLSPSKASRWPTGFRMRLLARLLACNP ALARPLRDATGRALQLAVSENFSQGSMSVTDTQRSRWSWESLLTLSWAIDRLENPRRR RRILHGLRTSQIDRRNNLGKRQRSIRSTWSSNNTETLTSPLTGKDC AFLA_089280 MVRKDPIFEARTNVKLHSNRLKKEAARAESTFKSEKAKADKAMK SREFQIARIHAASAVREKRRQVTLKEEAARADVIINELKAAQSTRDTSRTLALASRGL DAASKSVNLEALVSHANNFLARSEDFKIASSAIEDVAQGVSMREYGAEGEADVDRLME QLADDAGVDLRMALDADAAPKEDVKNQKEAETDLEDGLGARLRALRAAS AFLA_089290 MDHIATAPSLNKTSHARQAACLNCRKSKVRCSRTPGDASCDRCR QAAAECVIPSHHVGRQKGVKNKRKGLEKALHQIEQAIKRPKPDASESDAAQNIISSLQ DLLNRTQGNQLYSETEELSEDTDRLHNPHSPHGVDTGDSLSLDDAENPLQLLARASDL QLPPAEVRSFHRRRTLEPSQPAAIPQNNNAEDDSSTAKLFFVPVKANLDLGSDMDPIE LGLVTPDEAESLFTFFYQDLAHTRWGLDPVIHTASFVRSQSAFLFTSIMAAAALFLPS AAALSKRLSRHCKSLAQMVITKRFRSVEIVLAFMVNVPWMAHGDYLGDDDTCSYIAMA LAIALDLSLNKIVLPSTSFDNGVIRRLAKADCIDAKRAMHMDGFDNVDPNSEWGQRLL RRRERTWIALFVLERGVCLARGRSYTVPQTSLIIMCLNITDAGSEAAQSIKTMIESFY DRWQSRACRRADSRQSIFSSAIRGNFGHSHAIINVWWCDQPSHGAT AFLA_089300 MIAFAACSALSLSVVPTDTRSSLAPSVRNLIEETAGVLERIGAT PSHRNGASVLYGRYLRQLVRRGSENQNVPRMPTEATLRSPSAFGGDGALPPMSQPSFP PLFWSEPLQFSAMSDGQIIDAVNRAGIAFGTGVPDVPLDDLMGWDWLDIGNATDFGF AFLA_089310 MVTLRVSALPLLRRALPVARPWFQLKTYYRTSVPPLLRMSAGKT TRYSTVTAKQPARMPTIDRAASKLFRNADEAVADLESGSTILSSGFGLCGVAETIISA IHRRGPEDLHSLTAVSNNAGAPGKGGLSTLTQAGQVNRLILSYLGNNKALEKKYLTGD IAIELCPQGTLAERLRAGGAGIPAFFTPTGAHTFLQDGQIPVRLDESGRVLEHGEPRE TRIFNGKTYLMENALTGDVAILRAWKVDEAGNCVFRYTTKAFSPLVAKAATLTIVEAE NIVPVGSIDPNDVDLPGIFVDRIVPATAPKSIEIKKLRSADDASNLQPTKDAAMAQRN RIAKRAAKELRQGYYVNLGIGIPTLAPSFLPEGVKVWVQSENGLLGMGSYPTEDEVDP DIINAGKETVTLVPGAATFDSSESFGMIRGGHVDVSILGALQVSAKGDLANYMIPGKV FKGMGGAMDLISNPDQTKIVVATSHTSKDGSPKIVSECELPLTGANCVSTIITDLCVF QVDREKGELLLTELAPGVEVEEVQSKTGANFTVAKQLELME AFLA_089320 MSKLPNSLKALINAPKARPNTVPAPPNIRSVYQKIQQTAQSNNV SQPSWLALSVSTTLSASYIPKRGEDMSSRKILISFQTAATMTMNSPESLAILFQLATA SQSTDEGVSVAELMREVGLKCISFNGIPRQPSGFSR AFLA_089330 MKKAKETNVDFFPRIFFSFAFSPDQEKLLFVPCILVSSVSISSA EASIRELKHE AFLA_089340 MSVPFLQRSVYILPNSLLDNFGRFLTTVSSWSPSSKAQSWLKMR HPRYLREQFLAILPRRCWSGVFYSNWIQELLRCLDRILPILAMLFLCSFLDRTNVGNA KILGLEDDLNITGRQYDIGLAVFYLTYICSELPSNLIMKKASPKIWLPWLSIVWGVIT MCLGFVRNFAGFVAVRAILGVAEGGLLPGMVLYLSFFYRRGDLALRIGLFYTAASLSG AFGGLLARGLAEIGPRGGLEGWRWILIIEGLLGRSPSGDELETLRWSEVRRGLLDPQM WFSAAAYFAILSGLYSFGLFVCLINLSSYLGDN AFLA_089350 MTFLMATGMYSSVPCILVWNTNNSAGHYKRATTSAMQLTIANCG GFVATFNYPDKDKPQYHRGHTIILALLVFAWFMVLLNILYCAKVNRDKKKGRYAAYVG YNDDRDPAFKLML AFLA_089360 MVGLCLNVKCRMSAHLYRYGDYPVYPEEPTVGTSAYQSSLYDTW DPNWRGFIGTAFIIALEEFPHLINPSVTQLMLESLYNSTIGDAYRVGGVDGDNLYPSY TNPALMRAIVSGWTGEKYADANMTLAGENYANEVIGLFDRANTLSEFNSATYTGVSLI ALTMWTKYAAESSVMKAKGKTILQATWSNIAQLYHAELKNLAGPWDRSYGFDMQKYFG IMSAHIWTLVGKETSPVIDKVYMMSHNADFAISPLVAILSSFHNSLVPATAVDALRTF PGEHMVSTSAQSIPYDYVPRNISAWLGEKISIGAESFNETVIGGPAMNPSTFNSAVVQ WDTGAGVGWITLYATEQALDAVVGPVSPFTQKKDVAGWEDLVGLNVRVSGTFDPKLRV SYSASDATIKYVF AFLA_089370 MSEESSQTRVRLPTKILSELGLLSLWKSSLDVKLLCAQRFVRLF AYGGSTLILASYLSSLGISDARIGLFMTLTLVGDVVISFFLTLFADTMGRKAVLLLGS VLMVGSGVIFALFDNFWILLAAAVLGVISPSGNEIGPFRAVEESTLAHLTPHELLSDI FAWYSLIGTAGTALGMMACGWAINLLQVNRGWQFIAACQMIFFAYAAIGALKFILAAI LSPHVEAEKQKPVERQGQQGGNGETQPLLGGGEQPPKKTGFFSFLGDRDLVALVVRLS ILFALDSFASGLASMSWMTYFFKRKFSLPEGELGSIFFTTSIIAAASMLVASSIAKRI GNVKTMVFTHLPSAICLALIPVPNILPLALTFLVLRACSQNMDVAPRSAFLAAALPSD KRTAIMGAINVVKTSSQSLGPLITGVLSNHGLFGLSFTIAGILKAIYDIGMLLSFAGT EPVRRQRSGQDDASA AFLA_089380 MASKSASSLMTLYLTLASSCLGKTADMSAFNNPSHGPPASDFAA ADSLPTSVLRAAAAAASVVPKDATYPFSLGNSETSTIHSDWVSFKEGAALSWVADMDV DCDGVNHKCEGNRDGQSQTNWGTLSAYAVPYIVIPDRFLAANKDILPGNNLAAVICNG KMYYGILGDSNGDDPQITGEASWLMARTCFPKEDLQGNNAHSSKDVTYILFTGKDAVL PDNAMDKTYITDFDTLRSMGDKLVRALASNMDKSASSESPATSLWAGRGGPVMMIVVL FCAVLVTLAL AFLA_089390 MGSDGLPTMTAATASRGTKASSAVSKTRPRSLGGCATCRSRHVK CDESRPSCLVCRNLGLTCDKYEIRLVFDAIDTQGSRCRRPLFTEDMRQRMSKELVDSV NPDDAATLLLQIDDQCEEQEQHPDSYFDTYLGPFGAFRAPRPELEPTEGLTCPSIKPP SYQHVPIADDFNTAFEEALINDPLMECLFGGIDVNATGDFQTESFFNITGTENHERSS QQSVTESSEMLMDLPSLSQHIQYTPTIPNLAPADAPFLLSVYKSQVVTSFSPVQSTKS PWHILHVPSAMGTFAQLTMGEQPGNAQLCIFYAILATGAYMQRAVSTSQGHREYWQRQ SESYAVSAQNYLKVALQDMSATLKKSKYKDILIALMCMNTVFRWTQPYETGSRTGLIG RSKQVGYRTSSSPLT AFLA_089400 MKNLTFGVYLTALAGVGSAAPHFSSSSSGPASAPTGATYASGFD MTKSWANLSPYKNADTFGVPKGMPQGCELSQVHVLHRHAERFPTSNLLDGRGMGDFAS KLVNYTKAKPGKMVAKGPLKFLNDWEYVIGENTLMENGAATEDASGADFWIKYGRLLY RPGRDNVAAWNESLNVYPNGTARPKPVFRTTSQGRILESARWWLSKLFSGFFGNSGAN SSYDQYDLVVIPETTGFFNNTLASYVSCLGDKTAGDESAQVFIPRYTKNALSRLASYL PEDFNLTAYDVLAMQNLCVYENTSLGGSFFCSLFTEQEWKDFAYNVDIQYYGNFAFGS PTGRAQGIGYVLELAARLEGKLIHSSDTSINSTYDDNTAQFPLGQPFYMDMSHDDIIL SVISALGLEHFKYGPHGLPINIDHAPSNRTFALNDLTPFGARFMSEVWTCPSNVSFDS LDPILYVNPRLESTRNTKRYIRFVLNNAPLPLDGLVGCEDSQNGFCAVDGFLSGVPTL KENAKYQEACFGEYPTGKQVEDGVPYS AFLA_089410 MLYYRLSPHVKLWRFCIYGIATLITLPSLILVFLYLFGCQPVAK AWDSTITEGHCVDRLSIMLASSVLNVITDFLMIIAPIPLIWKLNMRVWQKLGVTLMFF LGGITIITSIFRAITVDNLLYEGDHPYRMAVPILWANAETVLVIICDCLPSLRPFLHR HCPGGCFTGSEPSGVPTSEARNTRQHGRSRYFDDDIELIQNGSNPPRSMVITRTVEME LQYHKAEVDAKLTKPSLP AFLA_089420 MLANRRTGYEEIEGDVWYGSMHHEEAAENYAGQIIMNTEEEIFF PTLTVGQTLDFATRLKVPAHLPSNVVNAEAYRAEMKEFLLESLRIPHTAETKVGNEYV RGVSGGERKRVSILECLASGASVYCWDNSTRGLDAASALDWAKMMRTMADVHGSSIIA TLYQAGNDIFRLFDKVLVLDEGKQIYYGPANEAEQFMESLGFECSEGANIGDYLTSVT VPLERRIRSGYESTYPRNAEAIATSYCKSSAKAQMTSEYDYPTSELSQQRTKDFKESV TLEKCRPRSANTVNFATQVRACIIRQYQVLLGDKKTFAMKQGSTLIQALVAGSMYYQV KPDTSGLFLKAGALFWSILYNSMSAMSEVVDSFSGRPIVVKHDAFAYCKPAAFCIGQI AADIPITIFQITLWSVILYFMVGLKMSASAFFTYFVVLFACAMCSTALFRAVGAVFRT FDGASKVSGYVVTIMAMYAGFQIQYTQMRPWFGWLYWLNPVAYAFDGLMSNEFRDREI DCTGGNLIPHGESYASVSMSYRSCAGVRGATPGFASLTGEQYLGALSYSYTHLWRNFG ILWAWWVFYVVVTIGATMMWKSPSESGAQLLIPRERLAHHLQLGLDDEESQTPEKYCH GHHSQEKMDGSTPLPTPGAEAHLAKNTSIFTWKNLTYTVKTPSGPRVLLDNVHGWVKP GMLGALMGASGAGKTTLLDVLAQRKTDGKIEGSIMVDGRPLSVSFQRSAGYCEQLDVH EPYATVREALEFSALLRQPHNTSEKEKLGYVDVIIDLLELGDIADTLIGKPNAGGLNI EQRKRVTIGVELVAKPSRASTIDWRRVWLESPEYQQSLVELDRLIRDTASRESVDNPS SDDNEYATPLWYQTKIVLRRMNIALFRNTNYVNNKIYLHIGLALFNGFSYWMIGNTVN DMQLRMFTIFVFMFVAPGVVNQLQPLFIERRDIYDAREKKSRMYSWKAFVTALIVSEF PYLCVCGVLYFLCWYYTVGFPAASEKAGAALFVVVLYEFSYTGIGQFVAAYSPNAVFA ALVNPLLVGIMVSFCGILVPYDQIIPFWRYWMYYMNPLTYLVGSLLVFNIFDVDVKCA DSEFAIFKPPSNMTCLDYLSSYLEESDANLVNPEARSECRVCPYTSGGGYLKAINLND YYFGWRDVGIFVIFIFSSYGLVYLFMKLRTKASKKAES AFLA_089430 MLQSLLSAVNLMQTLGDTTQAWTLTAFASRLVVALGYHSVDARM LEECDRNHEIRRCIRWCYYYDKVLSMLLVRPPSLPALSVEPASLLLPRQADPLDMKGN ILIKLAHVLDGALSVLTPGDGIPDNQALGAITRLEVELQDIWEELCEAKAKSSDTIEL RLEWDAVDFTYHSIITTVLRLNSVSLHDHRVRERCLSHARRALRSMNDCSSLPTYAFF YYFLQSALSRIKESLCDQLYETIAQDASQQYQQTTGTIGSQPTAVTDSNAVNVAVYPQ PHESLTARAHSSDSTQLGTSEKPVLYSHALDPGSSAEGLSQQTSSMWDDGLMWELFNI QPTVEWFDAGYKDSATGL AFLA_089440 MVFYRNLSIRRFMRTNNVILAACSVWTVLAIAILGARCSKSPWK DIDNHCVGLLLRWKVICALDVVLEAFILAYPVGIIYKVQISPLKKFVVLTILSCRIIL IPLSAVHVHFIQKQIQSPNPTLTGTYATIVAQIHLGVGVLVLTVSSLKMFVAVYEDEQ GLAYTEDASKSLGIGDNDNSRQSKMRSWILSRQTKEPSTSSTGCDDGPGIPLASGARG SGNTIIKSVHISVTHEAREDVALGERGPHGHSGSIM AFLA_089450 MTTTTEYAPPTRKPGNYISATASQNIDMEDNYKNGEEMGTRYDQ SDMTRMGKIQELKRKHRSARERRPGRFILDVHVDLCWIRADYTDNVRNGINASETTIS FNISIAFGLTRCGKCRSPTSGGQYHWVSELASPNYQRVLSYITGWMSVLAWQAGAASG SFLTGTIIQGLISVKDPSYEPENWQGTLFVFAMILVIYFFNVYAASWMPRIQNLLLAL HILCFVVVITVLWAMAPRQPASAVLLEFSNTGGWSSIGLALMVGQISAIYAGLSSDAT AHMSEEVRDAGRYVPIAIVWGFFTNGAMAIVLVIIYLFAIPSLEDALDDPTGFPFIYV FKNAVGTAGVNGLTSIILIPVIFSNILFNASTARQTYAFARDKGLPFAKWICKVNPKR KLPVNAIGLSCVISGLLALINIGSDTAFHAIISLNVAALMWTYVVSIGCLLYRRLSCP ETLPPQRWSMGKYGIWVNAAALVYVAFAFFFSFWPTSTPVTLTTFNWSVVIFSAVFII SVAMYIFKGQKEYAGPVISVRRDAMPQARVHRHSIRRET AFLA_089460 MMSLIIVAMLLCAGLSSPLVIRQSCHVQDAAHTFYGFPDNDPPG CVIAYDCGRGLTAGGAGTFNDPLTFASAPGEFQICEIIYDPYLRKYLRMEDSCDSCNR DWANKVWHIDIWTGSSTVNGGNDQVNCENRLTPAPQHKPIIRGPGPNLPVDASILITA NAGYETAGDMDFPNAPKNEPTQEPDRLFNGIYIPHTIEWKIPPHRRSEAETDLSSGGA TETEDDIED AFLA_089470 MRFQQFALFSLVALAAAVPLSAKDNRVKLASVIDAEASLSRKNE YSDISARATIPGIRGEKVNLILRGETAANGTGHGSGGEGGKQGGGGNGGGKDEEGEEG EEGGEGEEDEEGDDEEGDDEEGEGGDGGKGDDGKGEEGHKGPHGGKHGHGDEHGQKGR HGQGGEHGQGGKHGQEGEQSEGGQHGHGNKHGQEGQHSKGGEHGQEEQDGSNGQEAKG NMQRANQSR AFLA_089480 MPIRLFYLSGEPSSTAREIELESTLDYDGLRHLIAAHFAIVEPN GIGFQSQDAILSDVAEVLANEDSISITIDGKSVREIPGPKGLPIVGNFFEIYPDHLGN HQRLFEQYGPIIKTTNMGRTVYQTNDPQLSSIIFSETDFFTKKINEAHPLHAIKNQQA GVFLGDTDTPEWRAAHKFLPPALGPKAVRHYAPTMQQTVEDAFKVFDHFDENGEAWNV YQYMLKLGSQAVGKLVLGIDFNHFSSPDAPPHELIQIIAESLSLNKKVTARGDWYAKL PFGDPQRLRNMRYRIFDIVDQSIQNASRNGVEDLPLQDAALKASNMIGECGHDYAVRA TDNKGEKLPKTSLIQSLVVATGAGFTTTSSLLSWLIYSLVTYPGVQDRLLQELIDNDI TEDTQITADLTDRLTFMDKLIKETQRRHNPSYQPARTAKVDMILPGGYKLPEESVVVG ALHHLHNNPEVWSNPTRFDPDRWDTEEVKNRHKAAYIPFATGPRMCIGFNFALQEVKV FLPKLVYRYKFTKENDGPIEYDPMFQLIRPNNLYVRAERRVKWPPKSESATTRASL AFLA_089490 MVEAKAESPADVQLPEYTAAEVASHNRKDDIWIIVHNKVFDITN YLQDHPGGAEILIETAGTDATEAFEDVGHSEDSVEIMEEFLIGTLKGAREYVPPKKVQ LVAQKPESLPQSSGSRPVGTIAGVLGALASVLYVYQRGTFGSLVPRNQFSQLIPQYFN GLRMPRGGFTNGFLTAAAISTIVGTAVARQAAKFTKIDSGFLRYPPHIKARTVMKIDP HLAKGFLDAKEFKSLPLVEKDQLSPNVYRFVFALPNSKGVIGLPIGQHVAIRAVVDGA TVSRSYTPVSNNLDLGRLELVIKCYPEGLLTGRYLANLNVGDEVEFRGPKGAMRYSKG LCTKLGMVAGGTGITPMYQLIRAICEDERDTTEISLIYANRTEADILLRDELETFARK YPKNFKLWYMLDSAPDGWAYGTGFVNQEVLSQQLPGPSEDTKVLLCGPPGMVNVTKKT LAAMGFQKAGAVSKMSDQVFCF AFLA_089500 MSRSLEIFHALGGLAGLEKGLRTDRNSGLSIDESTIADSAETTE IATGQQNDRYTDRTKAFGNNHLPVKKQPSIFQLMWMAYNDHVLFFLTGAAIVSLALGL YQALATEHSARNPPVEWVEGVSILVAIIVIVLVGAANDFQKQIKFQKLNKKKIDRNVT VVRSGHAREIPISDLVVGDIVHVEPGDVIPADGVLIQGYHIRCDEASTTGESDLLRKH SGDEVIDAIRRNSDTQSLDPFMISGSSVAEGVGSYLVIATGTNSSYGKILLTLNDDPG FTPLQTRLNVLAKYIANFGGLAALVLFIILFIKFLTSLPHSSLTPTEKGQQFLDLFII SLTVVVIAVPEGLPLTVTLALAFATTRMLKDHNLVRMLRACETMGNATDICSDKTGTL TQNKMTVVAGMIGTTGKFIDPQQADNDATDPCASPTAVDYTRCLAPDTRSLLRQSISL NSTAFESIEAGIKSYVGSKTEAALLAFARDHLGMSQLDVERSNVKVVEVFPFENARQC MVTVAQLENGRYRAYVKGAPEVLLDKCTEAVEDPSKGLSARPINADMAQGLRQIIADY AGRSLRTIIVLFRDFDVWPPFGQLDDQVEEIRIENILLNLTFLSIMGIRDPLRNGARD AVQSCHKAGVTVRIVTGDNLLTAKAIAEECGIITNPNDLAMEGREFRQLGDSQQLEVI PRLRVLARSSPEDKRTLVRRLKEMGSTVAVTGDGTNDAPALTAADVGFSMGISGTEVA REASSIVLMDDNFSSIVRAIMWGRAVSDAVKKFLQFQITITLTSVGLAFVSSVASSNE QSVLTAVQLMWVNLFQDTLAALALATDPPSRKVLDRKPEPRSSPLITIPMWKMIIGQS IYQLAVTLVLHFAGSSIFSYTPDDKDGLQTAVFNTYVWMQIFNMYNNRQLENSINLLE GLSRNWLFICVTLLMMGCQILIIFVGGRVFSVVRLTGTQWAYSLVLGALSILVGFVIR LVPDEPVEWVFDGLGVVWSFILLKLKTFRRRRDDDVGV AFLA_089510 MAGAVQKSAWHAIQVTLFSSYANVLLVFVFLGIWSGARGWDPSA VFMLNFLAIFPLASLLSFATEELSKSVGQTVGGLINATFGNAVEMIVGITAVTQGEIN IVQSSMVGSILSGTLLVLGCCFLGGGYGKETLSFNVDVTQIMSSLMIVASTSLIIPSA LYSTTLCELPDGDDYILTLSHITSIFLLVFYLVYLYFQLKSHAHLFASTEEESDEKRE LEPLPASIILIFATLGVTVCSDYLVEGVDGFVEVYGVSRAFLGMIVVPIVGNAGEFAI TVNAAMGGKLDLAIGVIVGSTLQIALFVTPFLVLCGWALGQPMSLRFNTFQTACFSLA VVVMNSLTREGKSNYFEGLLLIGTYLIIAIAFYVHPDVTDHLAIA AFLA_089520 MQSVRHRHQQLPPLDSMSAASPNNSLLSPIPSTPMRVSRQQWRP FHRAIVSIGSRQYVKSMLATVPIGIITAILGGPPQMVFFLNLTALIPLITLLTISIAD LSITTGRVVDELLKATVGNAIELILGIVAMNRGYMHMIHSTLVGSMLCYMLLVPGSCF CFTGYDKEHLYFDRTLISIMSSLMVVACMSLLIPTIMVTFPSLDTTSPQASVTRLEIV FVSRGAALVLFILLGVFLLFQLKSHASIFHLAEASSEGSPDRHSLNDRGIAQDRPARI FTPRSAMIALAAGIACLTMCIICIVESADRVVQELGLGAAFPTLVLVPLIGNSARYAS IVMVSRQGHVESAVRAIINSILRITLLVTPFLIILGWVLNLPITLQMDTFDATMLFLA TMVLIHVIQDGRSNYFEGLMLVGMYIISAAAFYMRPGITGATKPMP AFLA_089530 MQRYIRKLSNGDTKIIISIPTPNRIRYRNYHIEQLQCIYHSRPT LSPPDIIVSHIEHTTSWPCDSNLRIAIGRSSIEEKSLKHPSTHTQQRSNSRRNSIRMP LTTVRYAMITVHSLLLFLPLGVIAALLNWNSVLVSVFNFLAILPLSAIVSDASDTLAE YFGDLVGGLINATFGNAVELSTGILAVTSGDTYFAQSVMIGSILSDILLIMGGCLISA SYSKHILYFNMAQTGSLSSLMVVTAVGLILPSVLYATFTSVDLEDQVLSFSRGTSAVL LVLYVGYLYFQLGTHKHLFQQEPNSPQEGESDQDENEQKPDLSKTVLTLIAAGISIIL CSHFFLASVPATSATTGISKTFIATILIPITSNCPEGVAVIAASFGGGDVNFAISVIV SSILQIGLFAIPFLVMLGWLIGVPMTLFFETFHTLALFFAILVVNQVLKDAKYTYLHG SMLVGLYVILALSFWVR AFLA_089540 MSTDIFKVTEHTAPASHIREYPGSTARSQEEVLSLHVKQYTPLD QDHITSSDAITVIAAHACGYPKELYEPLWDEVYRRLKHNGVHIRSIWIADAPNMGMSG ILNEDKISLDLSLLRANLALMHPRLFTTLVFLDPTIFPKPVSRGVGIDPPGAVNYTLW RPDIWPNRKAAASAHAKAWRHWDRRCFDLMVKFGFRDLPTALYPTIPAGANRSEPPVT LTTTKYQEIAGLYRSNFSARASGGIDRSVHPDMDPIHANRIVYRPEPRNTFSKIPHLR PPVLWILGAKTFLGIDNLREAIKVCGVGVGGSGGISHGQVKEVTLPERGHLFPFEDVN QTAGYCAAWLVQEMGKYRESELVWNKKRSSMTQRDHLVYGDDWFEAIPMPKRPQRKAK L AFLA_089550 MAYRTAIDSVLSATSSIHHHTNAATAVVYNKLARTAMHFNSVFS VLLSCGLAAAHMQMSWPYPIRSPLDPQNRGSDKDYDMANPLSPSGSDFPCKGYHKNTP WRATAEYNAGESYNMTVAGAATHSGGSCQLSLSYDDGKTFKVIKSMVGGCPLDFKYDF TMPSDVVNGHALFAWSWFNLVGNREMYMNCANVEVSGGSGSKQSFENNYPDMFVANVG NGCSTVEGKHTVFAHPGKQVTYAGGLDASSPPFPNCS AFLA_089560 MTQTSTSKGTIKVADYLFARLCQLGIQSIFGVPGDYNLRLLDFV EPSGLHWVGTCNELNGAYAADGYARINGLGALITTFGVGELSAINGIAGAYAEKAPVI HIVGTPSRALQDARTLVHHTFADGEYNRFAAMHAQVTVAQANLIDPRTAAEQIDWVLQ QCLVHSRPVYIQVPDDMVDVMIPASNLETRKIELPATPSTKNETSVLTTVLERIYSAK RPLIFVDGESRALNILPQVDELIQTTHWPTWTSAYGKGLVNEQYNNVYGFYGASYGTE QEKAYFDSADLVLVLGPHYSNTNTLGFATIPKQEVSISFSGTSIQIGKDLHRDYSIKQ FLIQVLESLDRSRIPKIDGAPPKPKGDLSHINKSDPITQDDFYRFVDRLFREGDIVAT ETGTASYGGRTFTLPPNTRMFCAVTWLSIGYMLPACFGAALAQQETNSFKPSNSTGTG KGRLFLFIGDGSLQMTVQEISSIIREKLDVTIFVINNAGYTIERAIHGRNQAYNDIAP WRHLQALSFFGGSEEDAAKNNFSARTFGELEEVLQSDRIQKGNGLRIVEVHMGREDCQ GLLRTLMNNQIAQDAKQ AFLA_089570 MANPTRKIVCFSDFDGTIFMQDTGHVLFDNLGCGEERRQMLDEQ IKSGERSFREVSEEMWGSLRVPFEDGFEVMKKELEIDQGFKEFHQFCIDNGIIFNVIS AGLKPILRKVLDTFLGEDESSQIGIVANDAQIKSDGSEWKPIWRHETELGHDKALSVK EGRAQAEELSDENEVPLIIFIGDGISDLAAAREADVLFARKGLRLEEYCIEHQIPYIG FDSFTDVKREVENIMKEDQEKTGGVGKPVRFNPRANMWRRVSSKQAVPKFVAATPSKE EKMFLWPENFSQYQPKPVPENMAS AFLA_089580 MLRGKAIKGVAAGIGLASESISAYNANRREKKAQSSDGPETNNA NTTTTDDDLARHERVVEEQHEEEWELDEAQDELNSTLETDKAATNQTPEQLAESFLRN YPQPPPYTPTSNPRLPYPVVLPQRRPKSRKRGFIRAYAPALEEFGIDQAMFLDFLETS NRACQATPWLHAINLAGIGTMFLPSAIGIAVSIAIQLTTDVAIAMDARRKTNSYFDKI NEEVFRPRGLYCLLMTWKPESSSTVTSFDLNSTVATSLDHGGSGAYNKMKHMFKSSHG NTYGDMPFPETAPLIFPDLDELAAQGVDGEARIKSAKSSRREFVADYLDRRSQAQFEM EHPDNALNKAPKPQFTSRYADPSHPASSGSALGLITGGYITGDQLRDLRGGRRRDRFG RGLEYEPRGMRGSPIGPVGALAATVRSIKNGRSPDEPHQNPGEGPHDEEYRRRSGGRV GSRSPRERLQNRGGPIGGIQKFLKSNVLYMMIVNLPSEEEMAQARATLRL AFLA_089590 MVFKALLYITRKPGTTPTEFKTHYETVHLPLIQKLAGADFPLSH RRLYLARPAPGEDNSFPAAVLIGNQDDFAFDAIVELTFTDEAAFKVFFTRRQEAGTKE LVDADEEKFLDQTKFKAVVLGEVHETTS AFLA_089600 MVFSVACFVAIVAIVRAFDGKPAPVFWRVVALNAVVSVLGTASK CSLLYAMSQSIGQWKWVLLQTEKRRLRCVQTIDDASRGPWVSVTLLTRERCSVLRIGA TVMVLALALDFQFSRS AFLA_089610 MIFAHVELDSVTTPSDIVSIPEGKLKIKRVTSCAISFCARNYNI SVSHGTLTEQDWGRIFFDDGGVVCWIPDRALRKASTQEAPLPKPTHGSEYSICYVVPE PRLIYDNVVSGFSGQTFWEWSVGHLGGDWIFKDAGEKSVHNDDSIPMTSRIMELRFEE VT AFLA_089620 MAPLIHGTPPPEIRNHNDDSLSKRAISVVGTGAHYPPHELRSDE LEKLISAFHDPNDPVVRKTLYVNEKSRIQTRRTAVPFDDPFWSDPKLPDIAECDVLFR KYGVPVAEEAARKALADWNGSFNDLTHVVVVTCTNTANPGLDYMICERLGLRKNVQRT LLHGVGCAGGAAALRTANELLLGAAFQGKPGRALVVACEICMIFFRSMLEDIVKAQEA NVAMTLFGDGAGAMVLSNGICPKTSERAPLWNILNCRTTLLEDSASSIQFNIRPHGYD PVITKEVPGQTSAALPSGFQDLISSTPSLYSDKSNFDPSSYDWALHPGGYSIAILAQN ALGITEHHLRKTYEVYRSRGNTSSSTVISVINELAREQGTSESGRDKVIVAAFGPGIT MELAVMARPA AFLA_089630 MGTAVKRVSQVSVEDVQPPTSKEKLSMANTAQDSLDMQRLGKTQ QLKRNFRFVSILGFNCTLMATWESILLTSDYGLINGGRAGMVYVYIGTFIGFFASVAS LAEIASRAPTAGGQYHWVSEYAPPSCQRFLSYITGWLAVLGWQAAFASVCFLAGTLIQ GLLVTVDPVPTIHHIYTFERWHGTLLAIAIAVIATVLNGWGTHWLASLERFLLFIHIF GFFVVLIPLWVKADRASSAEVFQTFSNTGGWPNIGLACLVGQLTPIFSFTGPDAATHM AEEVQDASRTVPWCMISTALVNGTLGFVMLITFLFTMGDIESVLKAPSGFPFITAFQN ATGSSNMAMGLACIILVMEVCSALGVLATTSRQIFAFARDKGLPFSSTLAYVHPKSKT PIWSILSSTVVTVLLSLINIGSTAAFNAIASLAIASMLTTYIIAIGCFFIARWQSRTL PSPRFSLGPYGPAINLISLFYLSFQIIFTFFPTTKDVVPRTMNWAVLMVGSVTLFAVF QYVSYARKVYQAPVDQTLRNQ AFLA_089640 MMSFTKFILFILSLLSVVSSESVEEHGSAAGLGNVAIVNRMGTT LYLWSVDQNEGPMHAVVPGASYQETYRLRPDGGGISIKVSTSQDVNGDIIQFEYTQAG EKVFWDVSCVNTKAGSPFYDKGLMLIPSSSKDCPHAFVCLPGDSNCKYVYHKSSDDDA SHGCPVSTSFHLKLGF AFLA_089650 MANQVFAVTVFFICFRECLESSIIVSVLLAFLTQTLGAEGDKAA LKRLRIQVWCGVGLGLFLCLCIGAGMIGAFYGLEKDTFTNTEDIWEGIFGFIASIIIS IMGAGLLRVNKMREKWRVKLSRALEKKEKSTTIMGRLKDWSEKYVMFILPFVTVLREG LEAIVYVGGVGLGLPASSFPLAVFCGLLAGVAVGYVMYRGGSSTSLQYFLIISTCFLY LVAAGLFSRAVWYLENNTWNHVIGGDASETGSGPGSYDIRQSVWHVNCCNPELGGGGG WGIFNALFGWTNSATYGSVLSYNLYWVVIITSYVCMRYNEKHGYIPVLTPIARKLKLG RFKKGSEEEHVPEVVEERKEVNHLARQIVTRTMSEA AFLA_089660 MDLSLLSLLVYIRVCPCRVQFSVLIIAFVSLTLANCLDSGFVDR RMNLLSLLSLSAISAIAWAETHVFDWNITWVTANPDGMQPRPVIGINNEWPLPLLNFT KGDRIIANVRNQLGNESTSVHFHGFFQNGTNEMDGPPGVTQCNIPPNETMVYNFTLDQ SGTYWYHSHTKGQYPDGWRQALVIHDEEDPYIGKYYEERVITLSDWYHDEMPGLLKEF INVANPTGAEPVPKSALMNDTQNLTVPVEPGKTYLFRVVNIGAFASQYFWIEDHDMQI VEVDGVWTEPATASMIYIASAQRYSVLVTMKNETNANYAMVGSMDTDLFDTLPSDLNY NSTGWLVYDSSVEKPAAKSVSEFDFYDDFELVPYDGLERYGDADITVTLDLTMDNLGD GANYAFFNGISYVAPKVPILYSTLTTGSAATDAAIYGTDTNAFVLNKGDIVDIVLNND DTGKHPFHLHGHNFQVIWRSGDYEGHFNPDNVTFSSVPVRRDTLIAKPMGNFVVRFKA DNPGIWLFHCHIEWHMDAGLAAVMVEAPLYLQENLTIPQNHYDVCSASGTPTEGNAAG NTEDFYDLTGENKAVAPLPAGFTARGIVALVFSCVAAFVGLASIVW AFLA_089670 MHLRAAFLALCWAGVPALAGQGESHNAADSFDEYCFYSIYTALS DYAFAGSTILASSQDSQGGTSHGGSDTSGDGQSSSSMGQTSSSNQSASSTQSSAASEP SHGKRSLRRRGHKGSSGTSTGPCNSTIEVTSMYASAKAWCTKAELKATIPYWESLCEQ NSLTLMDLSEVEANVTDTYLASLPTIDPEMNSTSTTATIESPVLLSHSYYKRAHKSYR FGWGLMGYWGGILVLGMMAKLMALQSMHRKQTKPKAMTSVLHYLRTYFVVPASFAPIL PHHQQLYYWHTVPRRLDLLIVLGFWALCIILACVDYQSFSGNIEMSSVFQQNWQYSSD RTGILSYACLPFLWLFAGRNNIFLWATNFSVQSFNIFHRHVAWACTILAIVHSINYSV VFAYYGEFQSVWLQEYWYMGVVATILMSFMLVQSLTMLRRIGYETFLIIHIVFAIVVV YALFRHTSFDGTKWNGYLWPMVAIWGFDRTVRLVRIAYCNFNVRFGKQFVSTTQSTVH YCEDSDLIKVELWPASTTLTPQPGQHYYLYQPVSLKGWENHPFTLGAYVPTQDKNPEQ GNKLIFYIRPYDGWTRRLRDLCRKSQMNIHLPLLLEGPYGSRAALHTCESILMIVGGT GIAAAVPYIIEHVSRAKEGKTRTVRVQLVWSARSTEMYSQVFSDELSTLLHHQDITTT YFCTNKSSLDPEMKTGSGSDVPGESPVSSTLGDKEGNVTSSVRNGTVQFLSGRPDVGG IIKAEAQEAKVSSGRLAVLTCGPAQMADDCRQTVYEVMKDGFQDIEYHEEAFGW AFLA_089680 MGLYLVNYYLPHKWFSQEGEVPAVMFAASEKSRSPCGGHLAFLP ELYREKGSEPCLKHAVLSVAYLALFNSNRSRFLWTQARNNYSTALVALAASLNTPESA ARDEVFAASLFLSMFIDLSNERKNSLNAHIPGIHALMQLRGVASLRGKYGRVLLAWAF MRSQIQAIASNDFGYGCLPAPLSTMENPDSVCRAGIVISMISKFCESVKYLKECMQFQ ALNGYASTTEPSYELLEQAGSIMNHIDSWHARLPRHWKAKLKDATPGGLPGERVSASK DSWTTCFVAIISATHLFFYLQFLEYCECVTPNLEALKALPDENSVYYPLYGIGSRIQD SVNIICLSVSYALGSMNVHGDFEPFHDTKHGIGYNLLWPMSLVANCQFSMTEQARLCR RALDANDTIYSIATTLNRGVCPLARYNHLSDPELLYPGEVLYIPPEACNTNAADTSCL LSLQNSTTNDCIFGGPHTYRTFEGDTLRKIALGKFNITLEALNSSVGRMAGVSSPDET IEPNTFIKLPQCNPSSCGIQPLEYVWGTYQDLAEEYGTTPGQIFALNPTFNHSSTGPG VGGWITLPVNCGLDGETYTVVS AFLA_089690 MLVIMMYIYFTVNGLVASFSISESDPELGVKDFHALFLTGSAAM HNANHIFNAIHASMRLWGSSLHHNGMSFFLAAVPEGIQLYHGNARSDPIEKIGWMAFE PDHAMVFARPSRRPSPSMPSDQHLQHTMAAEDSPADDSGFLHTFLTAKELRLVYIDGT SAGKSRIGTLDSQDRILFNDTLHGGVGMEDQRAKAVCRIAQTEWEGRVDGVIRMAAGF EIILCDPEVNLVPVQVTQVRHPGFKGGPNKGGKGKPKGKPGELLRAVTSRFHGIGGER VRVHYDHFVTAYSYDLDLFTGDSKLPRLAHLSSEELQPIRDNLTQLVLTHDANDRSVN WQAVADLIVEKYGRFLHGLLSRKHHHGDSDLRESIVAQIDRLMAPFIDVRSGDEEQAI KLCSTQFVPLPTGNSPLAQRALYAVDHRICSALIAVRNETEPRTMVSTIRELMDYLDW TVWKECRGYRDDEFCAIPIWPQGSQRDYHHPRPQKYDEAYQGENDYWGPVWD AFLA_089700 MGDTNYSFFPKWDPVPDEWFEAPIRPYDGKSEEHKQMLTEELAI LKAFYHGVMTAEEAAYAITRPISTSSIPVLNTYSDECIALFMLWRQIVNACEEWPASR IPDLVALLSAISKIPDHIHRGEATDDEDEGPLGWDILPYFAAEWRDAHWRIPMNILQD YPDTAARLRERGLYIRAQEVESQLVAAGIWDLWRAINYVIWTLEMKPNHDYHAEERTG VHHHPLAFYSLELDFQVPAVACWIKHNGQRMHQCIARDGLKGNPDLPTVRMHFGEPIE RWAFWKKRLLELANDPDDFTRRGAQLAIGYMDEATGSLTEK AFLA_089710 MRVSQRLDQSTLEYTLFSNGMSMDYVTSPRVPTPLTLSVPVWID LENNFAAIPGDGEGAVAMIHTSDIGRFVAAVLDLSQWEKRYHLMGDSLSIDDMVRLAE AFLA_089720 MPSASETSTDLTSRNPYGHGNGVSDFLSNVSRFKIIESTLREGE QFANAFFDTQKKIEIARALDEFGVDYIELTNPCASEQSRLDCEAICKLGLKAKILTHI RCHMDDARIAVATGVDGVDVMIGTSSLMRQHSHGKDIEYIKKTAIEVINFVKSQGIEV RFSTEDSFRSDLVDLLSIYSAVDQVGVNRVGVADTIGCASPRQVYELIRVLRGVVSCD IETHFHDDAGCAIANAYCALEAGATHIDTSVLGIGERNGITPLGGLMARMVATHPEYV KSKYRLDKIKDIEDLVAEAVEINIPFNNYITGFCAFTHKAGIHAKAILNDPSTYEIIN PADFGMTRYVHFASRLTGWNAIRSRAQQLNISMTDKQYKECTAKIKALADIRPIAIDD ADSIIRAYYRNIQSGEDNPLMELTADEAAQLAAKEKELGEVVTA AFLA_089730 MSLSSTIAKRLEGKTIVITGASSGIGKSIAKEFARTSPGNLKLI LTARRINNLREVAAEIYQEAGDGVKVLPVQLDVSKPEEIEQFVPSLPDEFKDVDILVN NAGLVKGMAQAPDIKPGDMAVMFDTNVTGLINMTQTILPIFKTRPDGGRGDIINIGSI AGRDPYQGGSIYCATKAAVRSFTDALRKELIATRIRVIEIDPGQVETEFSLVRFDGDK AKADAVYKGVEPLTGDDIAEIVVFAAGRRENVVIADTLVFPNHQVSF AFLA_089740 MPQTLVLITGANQGLGYSTAEQLAKTGKYHILLGARTKSKAESA IQQLASDPTIDSSTLTPLVIDANDDTSITAAAKSVSDQFGSLDILINNAAISKPQDPN LSLRDNFRAVFETNVFGVAVIVDTFLPLLRASKYHDRRIVNVTTGLAQMSVAYSPTSE YGARRTPLPVYRSSKAALNMLTAVEGVTLEKENILVVSTSPGYCRTNFTGGHGVKDAS QGAMNIVRAATEGDPKTLLGTIVAEEYRVEDIGW AFLA_089750 MDSEAQARFNLISENLAEILNPEIIENILIEKRNPRIYWGTATT GRPHVGYFLAALKIAQLLRAQCDVVVLLADVHAFLDNLKAPLELVENRAQYYRKIITA ILESVGVPTDKLEFVLGSSYQKSPEYVMDVYRLSSLISEGDAKKAGAEVVKQTENAPL SGLLYPVLQVLDEEHLKVDVQLGGMDQRKLFTAATEWLPKIGYRKRAHLINPMISGLK GAKMSSSVEDSKIDLLDPAESISKKIRKAEAAPKVVEDNGVIALVEYVLLPAADLKGK KEFRVERRDEEPLIYTDIKQLEEDYKNDILTPQLLKPAVAQGLIDLMAPIQAAYQASP EWQEITLKAYPPPVVEKKQKKVKSKGTRYPGAKAQEAQTNGADSTEK AFLA_089760 MDTHPSSGTSAEAASYYELSWSILSSIGLFTTLLSIWAMLITRP SPLSFIPIIVSAAGAVANGLCYFSFYTSYPTGDRAAASAIADILWLVQEAGLSFYSYQ ILLHTLRDSTRIIFLSLFWFFMVAIGAIRMTILASRVLEITQEGVSSHSTGPLQHRID YLHVGYFASIALVETCSSFFLIRLLHKAYRASPKLSCTRLVFRHMLRTTEMRVASLCV IGITRAVTYSLQVTSQTATTVAGQFDRFAYTMECLFPLVMV AFLA_089770 MVALSTLSGLSALPFLFSLVQNVYGVSLEVSTEKGNSSSPILYG FMFEDINHSGDGGIYGQLLRNNGLQGSKPGLTAWAAVGDATIAVDAQNPLTEAIPHSL KLDVKQGASGAVGFTNEGYWGVPVDGSEFLNTFWIKGNFSGDITVRLVGNNTGTEYGS TKISQSSNSSNFTKVLAKIPTKKAPDGAVLYELTVDGASVGGSSLNFGLFELFPQTYK SRGTDIVCRSNGLKPQVAQPLADMKGSFLRFPGGNNLEGASEARRWKWNETIGPVENR PGRQGDWSYYNTDGLGLDEYFYWCEDMGLTPVLGVWAGFALESGGNTPITGDALKPYI DDVLNELEYVLGDASTKYGSLRASYGRKEPWKLTMVEIGNEDMLGGGCESYVERFTAF YDAIHAAYPDLTIIASTDQSSCLPSKLPEGAWVDYHNYNTADNLVKQFSQFDNKDRSV PYFIGEYSCQQDNAWPFMQGSVAEAVYMIGIERNSDVVKMAAYAPLLQLVNSTQWTPN LIAFTQNPSTVIETTSYYVQQMFSVNRGDTIHNVTSDSAFGPVYWVASSADDKYYVKL ANYGADTQEITVTISGKTGGKLTVLADSDPKAFNSDTQTLVTPSESDVKATNGKFTFT LPAWSVGVLAAH AFLA_089780 MIFHLIEILGQILLETHTRTLLTSAQLVCHKWHKVIKWSPRIQS ALFFNPSMNLHHPRIKNPFVSEILFQFAAHTAEPCLLRLEASWRRMLPQQPPVSFIKI RHARSEDENDVVETTPVEELRMQHLADAMGSYEARVLYGIRKFSSTVLHRPVYPVILL NDGSVSDAGEKCDLMVYDPEYNPRQLSWVEGVILGGGGDHDS AFLA_089790 MAELWETLHRWYRGTLYQAIALGLISFTQPGIWDALNGLGAGGL ATPYFVNASNVITYVIMIVTCPLFAIAGNRFSLKWVLVAGTIGYVPYFAALYCNSVYG TQWFLLLGSVTCGFSAAALWVSEAAIAVGYPEPENRGTYIGIWMALNKLGSVIGNAVQ LALNIDASSKGSISPKTYLVLIGLSCGGLPLALTVAPAHKLIRKDGTKPTFSSDENRI SLKEGLKGFWRATKQKYMLLLIPIFMTVRWSQTYQGNYLTEYFSVRGRTLAGFVQTLV GIVATVLWGWLLDSNKIFRTRRGTALAGWLTMVAVFIPQWVLNFVMQTDLQKQSPTPS LDIYDPGYGKAIAAYCLFGVGSQASVVWTYWILGTYDIHVDVLAYTTGILRSFESLGF AIAFGIGASSNVSLMANLIVAFVVFWLSVPFTTYASCLVREPNPASADPINKDIQDDP ERDLPPKLPQIQEHADDLDYHPESRA AFLA_089800 MHNIATGRSSHLVLHVVTRISHGKVPGEVPENGGIGNEDFNAGE KVSKYGYEILDLWKLREGISLESAISKGFLKGAPQKYCWVPVALLESCPLDRQDHIIS SVPEERPVEKKKQHDPEEPKLPMHSSPSASTCGGVCSSPPIVSVGD AFLA_089810 MTVATLGVAGIDLIPQGTAYGLLIGLGVLFCGVILVAIKVQKAY LSEDSATSEMFMVANRSVGTGLTASAVFSSWMWINETVLSAAMCYRYGLAVPLWWGSG LCFQIALMAALGVMAKIRVPYAHTSLEIIKMRYGWIGHLVFIVLNLTNNVFGCASMIL TGSQLIYGVSGMHFVAATILIPLGVVLYTAVGGLKATFLTDFLHTTVALILIIYFTLS VLTHPAVGGLGGLYDKVVATASENYISGNFEGSLLTMKSKDAIIWGLVLKFGNLALVV MDTAFWQKSFASEVNSTVPAYNLAAVAVFGIPWGLGTVIGLSARALHNTPIFPTYPGP LTSAEVNAGMVMPYLVKALIGDSGIVAFFILLFMALTSTVSSSMIAVSSILSFDLYKT YFNPKASDKRLLHVSHISVVFHAVFITGISIALNYGGANMTWIGYFRPILSCPGIIPL ALTLFWSGQTRLAAIVSPVLGFFTGLAIWLASAKSLYGAVNMTTTGEGLPALYGAIGS FFSPALYSVIISLYKPYKFDWREFLRIELADEAQLHASDPSITTTSQENQSSEKAIEQ STSPTPESPPSETASTNDPERIGADEKVMTVSATPAIASPTQLSLDDIRHPFSDETLK ELYRWSRIAWAIFVVLVLITFILWPMPLYRNYIFTKSFFSGWVTVAIIWQFFAFLAVV VYPLYDGRFEIEKGVKGIWKTANDYLAKRRS AFLA_089820 MTNSDNNKKQIILNAFVMNTPGHLAPGLWKHPRNKTDQYKKLSF WTELAQLLDNAGFHAMFIADTLGPYDVYKGPANVVPTLASGAQFPVNDPLYLVPAMAA VTKNLIFGVTASVTYEKPYALARRLSTVDHLSEGRLAWNIVTSYLDSAARNHGLKEQI PHDERDAVRQIHHKGKYFEVPGPHFCEPSPQRTPFLFQAGVSEAGNGFGGKHGEAIFV GGQTPEGVRVTVDNIRKVAAEEGRDANHIKIIVGINAIVAATDEEAKAKREEYLRYAD EEGALALFGGWTGVDLSGYTDDEDFRFSESPRVQSIVRRWSATVPGTENLPWTKRRIV EYLSVGGLGAKVVGSPTTVADELERWVEVAGVDGFNLAHITNPGTFEDIIEYLLPELR RRGRFRSVVGKEGATAREVFIGSRRLPEDHPGSKYGWHVGEKLPKYQLEEENREEA AFLA_089830 MLNFEQELRIRLDNFFRQHTGFSSYAHFLSVPPIFREEIFDQLL TTDTFLIMPDTDVRDAVLGDAILLCQKYITRDSAVTKEALETYYEKNHFQVWNAPYLA ELLVYMDDRHSDPDEGFDPKLHVQHLTLGFEWDVLVEFGLGEDDPEATIEGVLGMLAE CPKLKEVAFEIKGTPEGISRLRNIFDAHASQLRNLDERLRLNTDEGDIPAGLLLLEIP SDRQLPRRMIPHPWWDIDRTEEMELFEEDWWERLEHTEKIPMELLD AFLA_089840 MANDTKEIVDSLHEAAKNAVNTVYSDCTEVIERLREHESDTNWD AQLGEEINTLKRETGDLWENKKQFGLDQIDKLSGDVADTATELYQVMLGLLQRFIVTA VKWLQQAQDNASDWSQNSQSHIHDFEMKVDEWSEEALKKIDWWAGK AFLA_089850 MLCIPLPLFIRSHLRLGKKLAVCGVFGLGFVVILMAVLNRYYSL STVGSMVFMRWYAAEISTAVYVANLPLMWPLIRVVFHLQTPNPSSYPERGQATPRSAP QRARAAIRTITGFTTHQGSSVESIIRDTDGQMHGTADAHELSLTTAGERDRRASISPD VELGQRQRRLEDITVQRTVEVTYQ AFLA_089860 MADCNKHLIDASPSYAMYSSPPIDVTLALSQGWYVNVPDYEGPL ASFTAGVQSGHATLDSIRSILNSNTTAFNIKTSSSRTALWGYSGGALASEWATELQVQ YAPELSLAGAALGGLTPNITNVMNTVTGNLNAGLIPEAVLGLASQYPATYKYLVDQLN PEGKYNRTTFLLSQNMTIAQAEAFFAGQNIYDYFVNGSDTFKAPVVQYALNRDGYMGY HGVPQIPIYAYKAIHDEVSPVGDTDALLERYCGVGVNILYERNSIGGHSAEGTNGHAR ALQFVSSVLDGSYASVYQTQGCTFRDVAVNITDSAL AFLA_089870 MIMNSSHYPVALLAGAVVFLVYTVTALQRKYIQYRIARANNCQP VHCQVNKDPFLGLDSIHNNLDAAKKHVLLERSRSRFREFGNTFRARRLRTPIIVTCEP QNIKTILSLKFKDYGLGNRIDAFGPLLGHGIFTTDGDHWAQSRAMIRPNFVKDQVAHL DIFEELMADLLALIPTDGTTVDLQDLFFCYTIDSATEFLFGHSVQSLKKRLSGVKLDD NDFASSFNYAQDAIAKNTRLGPLRHFFRDTKAEHCNQVCHKLVEQFVEKALKYRANYD EEKAATDDDKKQRYLFLQGLAQQTGDPKRIRDELMNVLLAGRDTTASLLSNMFFMLAK NPRIWNKLREEVASLEGRAPTYEQLRNLTYLKYCMNESLRLHPVVPSNARFAINDTVL PVGGGPAGNAPVFVPKGSIVAYSVYSMHRREDFYGPDANEYRPERWADLRPSWEYLPF NGGPRICVGQQYALTEAGYVTVRLAQQFSVLESRDPGPWEENLTLTLCSRNGTKVALR H AFLA_089880 MASPSYERTPFGAPMLKHFLFDPKYKNLNHGSFGTYPAQVKHAL RKYQDEIEARPDAFIRYTHNELLDESRLATAKILNVPVQELVFVKNATTGVNTILRNL SYKEGDVIIYFATIYGAIEKTITSLTETTPLQARKVDYTCPITHETLVQMFKDVVEQA RSEGLNVKVAVFDTVASLPGMRFPFEELTRVCKDEGIFSVIDGAHGIGHIPLDLKELQ PDFFTSNLHKWLYVPRGCAALYVPVRHQHLIRTTLPTSWGFIADPTSSTADKPNILTP VGSQRSAFEELFQFVATSDDAAYLTVPDAVRFRTDVCGGHDAIFKYLEELAIQGGDIV AAALGTDVLQEPDLRAGDKSKLRRCGMSTVRLPIPVGGQEDGKLSSPYPPVAAEDVSK VVHFLQVTLNDEFGTFVPVFQHGDWLWTRLCAQVYLEPKDFEWLGGVLRGLVERVRKG EYLA AFLA_089890 MELETRHSAREEDPTGSSLLTDERHNELQLPPVDGGKDAWLFLA AGFVMEALVWGFAFAYGIFQDFYSTHEPFKSSGNTAVIGTCMMGVNYMISPLTFALLQ GFPVLKRWCSPAGLLIMCLALVLSSFASNTTHLILTQGIAYGIGGNLAYSPMIIFMNE WFVHKRGLAFGTMWAGTGVSGVVLPLVLQWLLNAYGHKTTLRIWAVTLFLLAAPLLYY VKPRLPISRASSVRAFDLSFLWSHTFLIFQMGNIVEALGYFLPTIYLPTIARTLGASS ILASLTVILCNLASVFGCIAMGHLVDRYHATTCILVSTIGSTLAVFFLWGFSVSLAPL YMFCVVYGLFAGSFTSTWPAIMNEVVKKSQLADPSIVFGFLATGRGIGNIVSGPLSDA LIKGSWSYDPSAFAYGTTYGTLIIFTGITALFGGLSVVGRPFKLI AFLA_089900 MPSGVSIADECITTYKQVRSGRGAKKPTFVIYRISDDQTAVVVE DSSAEQDFEAFRHKLCSTVDSRGNPAPRYAVYDVEYDLGDDGKRCKTVFISWVPASTP LKLCMLYASTKEQLRSALDVKLSIHADTPDEIEWKTVLSVASGGKA AFLA_089910 MDDETAAIVIDNGSGMCKAGFAGDDAPRAVFPSLIGRPRHHGII IGMGQKDSYVGDEAQSKRGVLSLHYPIEHGIVNNWDDMEKIWHHTYFNELRVASEEHP VLLTEAPINPKSNREKMTQIMFETFNVPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFSMPHAIARMDLAGRDLTEYLVRILAERGHSFTTSAEHEIVRDIKERLCY VALDFEQELETAAKSSSIEKSYELPDGQVITIGNERFRAPEALFQPSLLGIEQGGIHE TTFNSIQKCDVDVRKDLYGNIVMSGGSTLYPGIADRLHKELVNLSPSSMKIKTIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF AFLA_089920 MSLYFGRASSMQDFDIDAQYPAITRDPAVRPWDESFVMGIRLAK LQGEIYDKLYSAEAAKSSHPERMRRVHGLALDIQQWYAEFKEVSKRIIPDHECVLVTV IPPCVRSTQVK AFLA_089930 MHVVSMRSKTFSVLSSCLLLIATVQGQLSGSVGPSTSISDKKAV KTCNVLDYGATNDNKTDVGQPIMDAFEDCGSGGVIYIPDGDYLIQEWVSLENGTAFAI QLDGVIYRNGTTTSQGYMFGISGGSDFELYSSTSKGAIQGSGYLYHMNGEFTAPRLLH ISDVSHWSVHDIALVDAPMFHFVIDDASNGEVYNMAIRGGNSGGLDGIDVSGDNIWIH DVMVTNKDECVTVKTGSHNFQIENIYCNWSGGCAMGSLGSGTNVSNIVYRNIYTWNSN QMYMIKSNGGDGEVSNLLFENFIGHGNAYSLDLDSEWSSMDTVDGDGIFYRNITFKNW KGTETDGESRPSIRVICPEATPCTDITIEDVDLWTEEGDSETYVCKNAFGSGACLKSD SSSTATYATTTTVTSAPSGYSATTMAADLTSAFGTDASIPIPTIPTSFYPGATPYSAL AGSS AFLA_089940 MVQANLTSSSTNTVVRTFTKMELKYYLILAAIYIFFRILKSRAS DPAATMPHGKVVEVDNPVIFKALTSSGPVVVDFFATWCGPCKAVAPVVGKLSETYENV RFIQVDVDKNRQVAQDMKVRAMPTFIVFKDGKPHGEPIVGGNMKALEDRIKEVA AFLA_089950 MATTGDNFIDKTNPNPYAQAIFLSQKIINNGFSAMWDAAQREGD EDNPLKYFSYTVRGGDFLKFKVGRPTVSLQVTTEDPMLYFQLRMTEGEVLLYLTDDPD DDSKINWDIKNWIFAFSVTIARKEVTKDSKEYQEFKERAGLPNSNFTLAALFIDASST TKWEPDLSEFGDKNDAFRNLTPEARATFDSFIQRWLNVMKEKGKNILGYSAERQEDDE RSDGSQAPKDNIEFNALSYLMMCNFDSPPAGGAIEYTGPWVDNGDREGTFVMNCDLFW PWMQGLMRKLVIDMVPYPDTPMCYWDDSNDPDHPFRSRIEYHTGDDAAEDSQYQFSPQ WWKPNTWWLIGPSRHSEIQVANPNDSRDTMKLQEDTKSTTASLGFRPGGQVVDLSGST TFVFRADHSTRKFSTWTEMTFGISWSMSIAMASVEDGGLQFKIVQGSDKVNVSQNSSG NMSWSPPPQQIAETFKNRVQGGMESALSGVGNYLLYGLADQQRLFLPGKGSYLMKNPI FNSRGDLLVDLHFNGADPPKQRKRHLRSV AFLA_089960 MITVTKDGELMTNYVTINPVPADEVFGAFTDHEKQPAVMSLSED SILYLVISNGGKVTRIDFGRSSGIVKGERKVLAFAVQQSLDGTLDICMAVDKPTSGCR FYLLHAIRPEDLLQPIPPSKIIEAANFPIVAHIYMGNKSTAMSQSLPLVMVAFVRPDR ITKTEELNFVEFKGKEAKLNGNWTVPADPERILAVELGTVSTGDGAFILYEGQSGKKH LLFQSFTGSVPFAVQPVCPEGAVGLTTFLDTQTQETTLIVAGDKIAYFLPRAYLSPKG IGTVIDNLEHVPGMKDIHCSQSQEDLRLWYTTVDDALYYYTTTTSDLSEGVNIPLLTE GQGGRASGLLCAQARDDDSDLLVSSLLSVDQDANIYLLQQDSASKAWQRYPFWFSSEK NVKEVEGYMLRFQVVQNNDPEDPNNDDEGEMIVGSWLYVTCSGLVRCFINGKPATMSP AGDWYQTDVKGVLNVLLQTEDATCHQFAVQRYRPVEIPGGKRQRNSERLIEDPVLDPS EKVVGRLKNIQTEDDLRALRRQDGTPLIGPGVPDGDVIRAAQAFQQLSERADEIHLDQ KQKLAAYRLAVRELKGQASGGEIVPFGFFDDAWDWLTGAWNWVEDKVKDAIDWGVKLV GDVWKFIVKIGEEIFEIALTTITSIVKGIVWVFKKVGAFIKDVIEFLGFLFGWGDILD TTDSIVAGLNAALDHGKSVLESQHDTVHGWLEDLRETLKEQLPILHDTDYTAVLKNQE LAKLLSGSSKLSDDDETKQSVVYNWSAYNFTYGGGTTSAVLHDDSMSRTGTEDELLRL WDTVVDQLEAITKTVVKVAKEFVDFFKPGHLNVNTLINKVGTILIDELVDALERLADI IFYALSTGISIIKDIGNKKIDIPIISWVWEKIISRGRPLTLLNFCALLIAIPTTILYK ATTKSAPPKLSGRVTKDTFAQHVTGKGSATLSKDITNFALSASSGLELVSEEFSTLGL LADGTFEGLGLEGISVGFIGEFMNVFDSATLAFEAVGGFVEWPVIPDETTAEDTRAFD LAKFNKYSGWALKGAGMGSSVIIKVVAKAKKAPRPVVKRWKATVGAAMSVPKLCLSLT NGIQDAKEGEKSDILIVNGFLKGGFTFGKQWGESVAAWNNQVENELMYAGLVVKQICA IALYGLKIVDFGVGIIE AFLA_089970 MGERKHRHTTFSGCWTCKARKVKCDENPLGCGSCLRLGLTCGGY DIQLQWMSSDAGRRPNRNLSARVGRRRIGAGELSTSIPASSGICVTCSDGI AFLA_089980 MTFEQVMEGSIIDFRIHLQGISNFQGPRRKWQVITAETRQLNTQ SAFLNLLARTTSSFTPSPWLPNRELRQWEQDSLTSNDNRSYCYEFTFGITADIAAAIQ EITDLYECLQFYRQAQAPIPEGLLEACEDLGDRLLSWTLSADKVPSFNDRGTDELDIF KHHSHAWHGAALIFYLNCIQGAKPQDLVDEVATVASHMLAVEEIKSKHIANQMAPISW PAFIASCCALNREPWEAWWLDVQKYGIGSIRRQYTIVQEIWSEMDTNSSEGWLQILHR RDIQVLAA AFLA_090000 MTKLSKTFQIHDERFHSILGPTPTLRLLAGNESCPFAHEAGVFM ASTNHLFITSSRIKDAQGEQSVRITRVHLNETPVKCEEIPTSIPLANGGVNYGDDGPS GLYLMSTTPPYTTKLLKEDFYGRPFSSVNDVVVHSDGSIWFTDPTYGFEQGYRPKPSL PSQVYRWNTVNGNTRVMADGFGKPNGICFSPDEKTVYVTDTHWLHGDGSTDDQRVSSM YDLSIEIISLYAFDVSIYHGEPFLTNRRLFAMADKGIPDGIKCDLEGNVYSGCDDGIN VWSPGGVLLGRILIDGGVANFCFGRGGEIFALNEHQLWRVQLGGDVKGALLEI AFLA_090010 MPGAEAIKFQYKAEGKDDVTLDLLSISNNPALIPTSSALNALQL RSDDRASNFLIRHLHQPLASSVLTIKFILPVKSGFTVRSDFLERRLEGYEHALNVESF LTPREEIKAPDFRRLDSKSPSSLLDLLPHAVGAIQVQSEQHLASLEAELVNRLSFAWI SPEPIEEKRIAWIKGKEDLESGRRIWEAARALGIKVVILDHDGHWFQKDDDRWNHLRE AFIPTDITADQGLVDRIVAAVRSYDKPIHALVTVNNAGAIGTARACQILGFRSAPPES YIIAGDKFKTREMEPDNGGAFKIFNIDELHTRLRSEVHSPIQYPVIVKPCMGWGSECV SKVQTEEELIQAVGRASSRHSEGPNPRSDVMIEPYIEGPEVDANFVLIEGNIIFFEVA DDFPKAGEKAGNALNGSFMETDMVLPTGLSPKEIQVTKDSILQTLLRQGFRTGVFHCE GRVRYASKAYDTRDGIVDLYPSNRVQGKEPSFYLHEINARPGGYFVSSATLLTYGVDY YAIHILAALGDFDRCRALSVPFCHGPQWWVQVIIIPEDKSGVMKSPDAGKEMLERHED LRLAVVDYKAMKKKGDKLLGPKAKVFSYLAYFSVASRRSREDCLRLGQKVRRSFTYEI E AFLA_090020 MARIVEAGSGPADINDESLSPRDATDEEIESLRHVVDKLPRKVW VSLIVSGAERFTYYTITTPWQNYIQNAPGDGAVPGSLGLGQSRATMIFNAFYLFYYLV PIPVALVSDAWLGRYAVLCISLSLYFCGTLVQFITSLPSLFHYESGLAGLVLSMILIG IGVGGTKAAITPFIGDQYPVKPAQVKTLATGERVIIDRTLTLQYVYNVYYWITNIAAL SILASTYLEKERGFWAANVLALCSSWIGVALLAIFGKELGESPPHTKRYPAQGGVLLK AGKVLAYAIHDKFKIDAARPRYQLEKHNRAVPWTDRFVTEIKSGLRACQVMAWFVLFH LGINQMTNNLVSQAGEMQLDSFPNDGIQVLNPIACVLLGPVIQKILYPTLTRYQIPFG PLMRMTMAFFTMAATFAYAAGVQKMIYNSGPCYEAPLVCPAAQRVGQPALPNEIKVWV QTPIYVILAVSEIFGFVTLSEYSYSKAPKDMRTVVQSMRQLSAGIGSAIGIALGPVSR DPKVLWMDVGLAVSLALSGVLFWAVMGHLEKDKEDLDTMYLSEDQDRAAHTGVEAGSG TKN AFLA_090030 MGYGTPEWQGWVLNEEESLPLIEHAYNKGIRTWDTADMYSHGKS EEIVGKALKKYNIPRSRVVILTKCYFGVDDQGNFPSPLSTGRQNAGDYLNRVGLSRRH ILEAVDASVERLGTYIDVLQIHRLDRETPREEIMRALNEVVESGKVRYIGASSMAAWE FQTLQNIAIRNGWHKFISMQNYHNLIAREEEREMIPYCLDSGVSLIPWSPVARGALAR PWASRSTLRENTDAGISILVRARESESDKAIIDRVEELAGKKGVSMAQVAIAWSLSHP SEYPIVGLNTKDRIDEAVASVQVKLTPEEIQYLEEPYVPKAIHPGER AFLA_090040 MKHSEYKYCRTSSHVSSRASITPLQVTSKTHSYTTKQHPPNSTI MSIPSHPKLEGFDIIQATYKQIGDHAIRVDILIPQTPYSGKRPTFVRTHGGALVACDS LFMDFFPHWASDLALKHGAVIVSPNYRLMPESTSSEIFDDIDDFWRWLHSPALTDLLA NHTTPTEIDLTRILTTGDSAGGLLSLYLALTYPTQIRAATAGYPWVNPSSAGFQASRT ILPFGVHTDESVIDVTVAAAASGQIVSSDLSPSRLAFMLAAVEHGRLAGFYERQKEGS SHRELFYPAKKLEEPGLSIPRGGIAIWHGRQDTVVPLEDVEEFVVQYSRLRMVC AFLA_090050 MFSLWRRILPQRVANTGSQLRDHQANERTFLSWTRMGLGFAAMA LALGRLDAVDHILSSALSSSKLNLVVGSENATATVAATPAPVQSGDTSHRNSQEHPSS LLFFNHNGGFSATTFCQAISIWSFGYGIFRYLSVRKSLLKGQFTPAIWGPVLMTTGCL GVFGTMGMWVEQKNASKMAKQGS AFLA_090060 MKLFAILSSTVLASVALASPLTLERRARNAARLQARVAQRHSNL PFKAGTNEILHLNETTHEEYSSNWAGAVLIGSGYTSVTGTFTVPTPSAPSGADSDEQY CATAWVGIDGDTCQSAILQTGVDFCIDSSGTTFDAWYEWFPDYSHDFSGISISAGDKV KVTVDASSKTAGTAIVENLTTGKTVSHTFTGQDDNALCETNAEWIMEDFSSFLSLVPF ANFGTVTFTDISATSGDSSVGASNATIIDIQQNNKTLTSSSASDTEVTIKYIG AFLA_090070 MQFTKSLLLLAALTTGSLAHRVHGHARRQSPSATVSAVPSSSGS ASGGSWTATPASGSYSTAGFGASTANSGSGNTYAGNVGNPWGSNIIEVSESDASNYKY VAAISGQNTEPWTVVFWNKYGPDGKMDGWYGNSAVTFTLNAGETKYVAFDDDTNGGFG AAPGSLPTDSDGGYACTWGEFDFGSNGNSGWSGFDVSAIMAQNAGLTVQGMKMCDVLS GTCSSITTDAASVDNAYTSAETDIGGIGGNISGDGAVRIAVTIDYSG AFLA_090080 MPQSNTPYPFTFTNPTGVQSTNGTTQTIPLTIPNVRGTIPSFQT SRLRTMMLEARRDPAKILAFPCSYDGLSSRLIEEAGFPMLFLSGFAVSSSYGLPDTGY IAMEEMCQKVQETVRVTSLPIMVDGDTGYGSPMNVRRTVEAFAAAGAAGVMIEDQTWP KRCGHTKGKSVVSRGEAYARIQAACDARNEGRDIFILARTDALILGWEEAMTRAKEFK RLGADAVFVEALPDRESMQRCVEELDMPMLANIIEGGKTENLSAKELAQLGFAAVAYP WTLVAARLKSVRDALEGLKQSMVEGTAPPMILGYSEVCEGVGFNRYWDQETRYEYDQN GLINP AFLA_090090 MRTAASTGLFLGLASMASAVMYGYNHVPLIKDTEIVAGAFENVN DIELLSPAFLTPNVRLPGFPNGTQGPSSQDDMEAFLEQLAERNDYMTYRTANFTSEEG RSFPYAHLSAGHSVSKVRVWIQGAVHGNEPAGDEATQALLGKFDGDQEWAASILDKLE LVVLPRYNPDGVFYFQRTLATNYDPNRDHIKLARQQTRDIKQLMNEFNPHVIVDMHEY FAGSRFGDGQYVHGCDGLYSAAKNLNINEGIRKLSEELFAKNIAEDMNAAGMRAEPYV TGSSAASGSNFVADFAEAGTDGKIGRNAMGLTQAVVFLLEMRGIAIADQEFQRRTAAG LTMLGSIVQTAADNADEVLQTVEDGIKEFIASDDDVIVTDYSKTEIRPFALVDVKNGS IVYPPVRFASTTPSFANLTRSRPEAYLIPVAWADLAERLKVSGLEVETLDKPFEGTVE ALTITSAEVDTSYYEGVIRVTVTTETSEREVRLPAGSFRVSTRQKNAALAMVALEPEN IDSYVSFNIVPVEESDEYPIFRITS AFLA_090100 MSSEASAEDMNLVAEYLGDQRIQDLSSSPSVDCIVICASAILYQ AEHLFQVLQDRPSLSKCLVLCGGVGHSTHFMYEAVAQHPRFSQIAQDIHGLPEARVLE RILDTFFDRSAITDGGCMILVEDKSTNCGLNASLSRKILDAAGFHDLKTCIIIQDPTM MLRTKASFQKAYEDSLSSPSFMSCPIIVPHMQRTEGSGLKYQTLPLGNAWWPLDRFLE LIVGEVPRLRDDENGYGPRGKRFIPHVEVPEHVEEAWSRLLVVSNACR AFLA_090110 MSRVLALADGDQRKIRPLGVNDVLSHLDAAQKKDKVSSWKVKDI KDSFNKTLLFIQTVSSITAAAASQMESLVDEENRLVVAQTSALVSDAATNSKANLALM QGLVDSFAEDRADQKKEKDKKSREQVVLKALAFDETKTNQDSGEPEAFWQTIYRNYLG QRIKGTGEWIFSDHKYVAWEKGQSSRPILAIAGGEGTGKSFLTSTITKHLNRRKATES SDRKITTGYYFLEGDSRDELRNAINLEPVAKSLVWQFSQSERIYLKSVAQICEHYGEV DPAEISKHLIFGNRDLANLTSLFILSLMGWVTLSERAWSGFCRELPYPYLVATFASLS DVEKFIENRMDKMPALNDRTRLGIPELRDNIRARLCAETQGDYFKIDKTLDHISSLEY KTDIKQALDDASKERSQQITEAIKKMNESRSEKELLEINEIIRWIVYGKDVLTPKQMS AALYVRNGETSLLPLEQKPKIKYSLFEVDRNGKVDFRSSEIERYIPLKKTTHDLEDSY SKEAAQAAEVAMIKHFLLTLCPSEVYTKLKFDAYLAQLSKPKGSRINKDEPHTGETKM ALTCLDILTEKTDRKRTRLLTHARKYFINHLSTVDLALADIACKSAVGVLLAKLFTEG SSIDILLHCAESVDDPNLRYKIRRYWLYSNDSVNTILRWFGDSAITSEITDTATRAWV ASVASEAESDEDLMRPAAERMAVHFLQEAHSESFTKDAFLFIAGFVNKIERRKGVVTH EMAFSSICGTNIKFPTNKVSLACTTEMTAVTLVPWVVMLTPLQHWFLLRSRASRNLAI GALSSEMNRHATFFGAFRPVVLELSTMSLSLCAPWRLLYAILLTIALVNAQQASEEDF SPRDTITRDVCILGGGATGTYAAIRLKDMNQSIVVIERNDRLGGHTETLYVENGGHVD YGVQGVFNYDISRNFFNRLGVQYKPVTPGSLINKYVNFKTGHEVSPPSGVLDTVAALL VYRTAIQKYDYLKDGIYNLPDPVPEELLWPFSKFVEKYKFEGALNVIYTFANALGDML ASPTLYGIQLFGISHIDIFLQGGYITPNNGMYELYRKASEELGTDVVYNSKATKATRS DTEIKIITHDTSGKKILIKAKNLLITFPPIPENLKGFDLSPEEISLSSKLFWKTYYVA VLKNTGIPSNINVHNVNPDEKPGNLPVAPFQWALQDMGPNNYLASKLIGDMNFTDTQA QELIIGDLHRMGAAGTFDIRKDWEMAAFGNHNPTTLMVGVDDIKDGFYSRFYDLQGRR GTYWTGLTLVSDYSALLWKYTESVVERIVKGE AFLA_090120 MGLMLLALELLRQYSDARGGLVFYDDTDDVPNHVAFAYNFVPTI LGLCLMTLWSFTVYDVFRLEPYFQLSKEQVFPADVLSANYIFGPFISTPISSARRKHW VVLGVSIINILVQLMLPATLSALLDVDSVPMSSDATLRGWPELVPVGEQAEWISSQRN MSLYSLTRGDTGETPSRLSHFAIPPVELPSDDDALFTALWKLNHTVYWADLTCSDLPI SNSLTANVTEIVGETPADYSNYSTLTCALRDLRLTSYSDSSQNCALNANYSGIFDLTA TPVQARRWELDTIPCAPFDLYGFLLDIDTTNTGRLVGQTPKAQFFSCDMQYYTAKAEV SMKANGSVVAIVVDKGSMSALDSSQLDIAGFKAYLKRGICPTGNVCPSGNGTLTNYSL DRCGLGIEAHKSPCSTSAQYSQVPISGDEFISKIRMDMKLGFARLLSRLFNTNAAYTP IQGVGTTDQVAVLVITFPAIASEVILLLGTATCILLALFYQSRENILKSDPGSIAAMC SIVAHLFNPTGVQAFGEYTDKLTARQLNRKLRAWRCYWYDEPSGRKLGFLPGEAPPRT LREKVLYSKPASRKASRQDARLHFLSKPIFVAELLGLLAVICFMTVMFILCSKDSRLR RLSDSASDRLSVALGILPSLMASMMRALFNSIYVNISILEPWIHLQKGGVDAKNSLLL NLSSQSPITVFFRSIRSRSFVLSLVALCCIINTGLPAVLGALFTQSRTRAAWPTQAVE LRYDYSTILNSSTVPTFFQNGPIESTLLNSVSLLPWTAPDYSFVPLSVHTNNMEHKYE AVTLGIGADLNCHQVPLIQDLSKDENIPYLTGRDTEGMDGTPSVNKSSSEPYSIQLLA PSGVTNNGTLTVLAINSVGSNTDSPTLALHCQPIPKIQNFTISFDPSGILQYYYPIGS TITSGNLVDNVTSNLANYHEHFIASIQDIGNGTITDGPKLFPSDWPSSLIKLVYQNLN PESTTIDAQGLTDATKRVYQWLFAAYFTLQKDMYLQPLPKPITDNDAQILRPTWSIVC SLSSFIVALIMVSSMAIALVLVFITRYGKFKGPRIPQSLGSVLVWLTDSPILPSFYGT YDWTNDARRDYLVGLDKKYVFRMITTPAGEQKWVIEEDKGEKVG AFLA_090130 MNKLIGPLITCFLPSAGDSLSASYRQMFSFLTDLGPVYTIWLLE SHRIHSWAVVILPNAPGSFLPALIAGYYGPHFGNFFPKIVAMRQCFHAIWQLFPITVQ APFRLLEKCAYPPVRPRPQ AFLA_090140 MAPATLKVAIAGLGRMGARHAHHFYALTPRAEVIAASSPVQHEL DWAKDNLGGARTYLDYDEMLEKEQANGLQAVVIASATSVHAEQAIKAIRRGLHVLCEK PLSINVDESQSVVDAKNESIKQFPNQKVMCGFSRRFDASYRDAFNKMNDGLIGTPSVF RSQTCDKLDPSGFFVEYAQFSGGIFVDCSIHDIDLALWFYGQDSVVKSVTAIGITAVS PELRKYNDRDNALGIVEFYGGKIAQLYCSRMMAAGQEDSTEIIGTKGKLAVNTQPISN LVNIYEPTGIRREIPPHYYGRFREAFITEANEFTAACLDNTELPVQLEGAVAAVRIGA ALQESLISGKKIEFDEQGNRI AFLA_090150 MNRRQNTKQKAREYPSNQHEIKTLEAIATAFLILDSLALKTLKY KGDAEDAEKFEKLTKDYVDRIENDPLRNLRFTLRVSRFVSDLELSDLLDLISRPVRTT YYWDAIYLRVNACYEAKDEEDSRYFTDDPPSDEEYAKKELRAIVEEVQKLDRHVRDAD DKRYAGEKILQLKAMLGKLDGALAIACEYLGDEHKPLANWDTTDQQ AFLA_090160 MMYCISSSDSQSKNGLARTPTAAASLPTGSGSAPSTPMYQLPIQ ASAMQKARPKLICLGTYETDQCEPCKRHNRTCVRRKPRKNPIQFRPGSRAKYDQAFAP DQVWVKLGRRSAPLRFIDETVDVISGYYSASDSENEETDGEDVSFRAGAAVGAQSEHA RFHALGPNSSTKSATQCGTQGQTPASEMTTLISSNMAALHRGASIVPPEKQREIHRPV VFVGNTDIRLQAILLRYFAEVIGPRFDLCDNERHFSRLVPQRARSSPTLLNAILTTSA RHLTRLQRYRNSAGVVEWQGHLLPNLSEESAVYYHNECIKDLLRLSMDPEQIHNEALL AAAIILRTDEEMDAPLHEGEEDTEVFLGMLNMFINAQVPLVATLPHSSPPVYPSQEEL YGPGVHLVHAPHPPDSPSHPLSSPTPQTNILWPYTIPHVPRPDGLRQAAFWVALRQEL FTSFMKQRPLNFPMNHCDAFRNLSPAEDVIWADRLVIFCADLLEYCYGSSHIHNADQP SRRHHDPARWHKLRNYERDLTLALPKSFEPMCYREPDTSSGQVFPEIWHLESCHVTGT THLELARILKLATTLKNIVRRLCGIAMCNRQSPPAFIEALMGITLCGEYFNDRGEQEA LLGVLRTMRQEHAFPTGKVEKMLLEAWGWD AFLA_090170 MAQDKDAKSQSPTSIMNDPKKANLPENAHIEVAAGSLTTETGID DLPVSMFVWLVAFTASIAGMLFGYDTGIISAVLVYIHDGLDHRTLTSNEKELITSLCS GGAFFGSILAGLTADKLGRKAAIYFGCALFTIGAVLQGAAYTIAQMAVGRVVVGFGVG SAAMVVPLYIAEVAPAKARGRLIGLNNMSITGGQVISYAIGAAFANVNHGWRYMVGLG ALPALILAALMPFCPESPRHLVYNHRQEEARGVLRRIYGHPSDVQLASVLASISAACD EAREINDGATRSTKIKQLHTVPSNLRALISACGLMVISQLSGFNTLMYYSSTLFALVG FDNPTAVGIVVAGTNFIMTWVNMMVIDKMGRRRLLLSTAWGMSVGLIAIAVAFSFIPV DLDTLDLKSNSVSPPAIVVLVFIIWFVIFYGVSVGNTAWMSTDFFPLEVRAMGTMWIT CSSWGSNVIVSSTFLSMMKSMTPSGAFGFYAGICGVGYVLIYFFYPEVSGLSLEEIKE VFKHGFGVRYARNLRKERRDIIQERLRIQGKTAVVGH AFLA_090180 MESIFLKISSDVSLHARISRPSTDNQKPLLVLLHYWGGSSSTWH KLTSPGSPTSLDTTYPILAFDLRGWGQSMGPSEEHGTAYSITAMASDVALALEKLKQD ASTNHLLNHGFIFVGHSMGAKVALATLSTLNENLLRELKGLVLVAPAPPTALSLPPEM KEQQKVAYETEESVRWTVKNVLANTKNLSEDDIELVVHDSLSGSNLAKKAWPTYGMAE DVSGSVRRALGIIGHAGIRASVLVGELDVVEPRERVEAEVCRILEESGVKTSLKVVES VKHLIPLECPQMIYEEISLY AFLA_090190 MMYILLRSGSSVHSAGKPSVEFDSKLRNQEVGVFLSCRTVKRQD PAIKLYKSVLSGLGPLEFSQNFTKTGKTVRMGFEPTTFIASTSRDVCNRHCLGKVLNR FKQLNIKLDLQLYHQLVNEVSLTDQEENTLLERAILDDEPAKSQSLLALDFNKDDVTV KLYLYPQLKSLATGIPRTQLMFNAVRNVDKTRAFSESMNMIEEYFTSVLATAAPYWIS CDLVEPHKTRFKIYIALFQVNFENAVSMWTLGNRITDPETMRGLAMVRDLWNSFDIQD GLREQKNRPGNPGDPSNIVPMLFNLEILPGKAYPQPKIYFPTTGMNDLDVAKVMVEFF KRHGLHEHAQSYIDNLASYV AFLA_090200 MLKQIIHNLTNSPSRPLVDSCLLSKHHQDQIARWNSDAPAVPLD SCIHTLFRVQCMLQPDAQAICAWDGTITYRELDRLSSAVQGLLQPYNPAPNSVVPILF KKSKWAVVAMLGVLKAGAAFSMLDPSYPTKRLVDICHDVDAKVLVCSEELSIDVSGNI LTIGDHNIANASYSAVHPVKTASHDAAYVVYTSGSTGAPKGIIIEHGSFCTNVMASSR AQNLDRSSRILQFASYAFDVSIHECLTPLLLGGCVCIPSESQRVNSLKEAVRSLGVNW MELTPSVARLWQPEDIPTVSTLVLGGEPMLPSDVSQWKDKVRLVCAYGPAECTIVSTV QSCVQDLGNIGVSPGGTCWIASQDNHQRLMPVGCVGELIIGGPIVGRGYLKRPCLTKN AFIINPEWASLFRLDETYRLYKTGDLVRYNYDGTIAYIGRKDTQVKLNGQRVELGEVE YQARQCFHDAVIAAEIAAPAGRKPTLILFIAPRQEYSIQMDSARSGLQNVLPRHMIPT AYIELVAMPISRTGKVDRRVLREAIENVSEDDFRAYYPTPHNNMINSPNTPVLDQLRY LFSAALDIPEDKIGPNDSFFQLGGDSVSAIKLVGDARDQGLKMTVEALFRQQTICKLE TCTHQTSGSIDTPISAFSLLDPSSKATYIAQATEQCFVSPEQIEDIYPCTPLQEALMA YSSKRPGAFQATFRFRLPQQLDILRLKEAWITVIAANPILRTRIVHSETGALQVVLRP DEPLQWDLIYDVNEIPGSFMSYGAPLINVAVVSDTGGKPDRTFCLMMHHAIFDGWSYA LILSAVEGAYKHMNAVQRPFTPFIKHIMSCNYESARDFWCSEFKDTQAVPFPVPPFTS GHMVNSITTVQRQIHISEWLGGCYTPSTIIQLAFAMLIAWRTSSMDVVFGLTVTGRNA PVPGVHETTGPTIATFPLRTILHGRLNVADSLVLMQNHITRLIPFEQTGLQRIKSLSS ETASACQFQSLLVIQPATNRKSQRILSECPSNEYEQVKFSTCPLTLVCELEADKLSIK AVFDNAVVVADGMQRMLDQLEYLVDMITKSPTSKIESIIPRPSNIYPDAFRQGQSWSA YIEKKAYDYFDGEVSVVVDTIVPKGGSNQHTVMFICEADQNCESAELSDLFTRPTDQV RWQLHQLICSLQESLPCSVVPSLCLPIHSMPLDAFGQPDRSRLCEEASSKSHCFLRSL MVPANNQIDYNILPGEARLRTAVAHVLGMEPKNISPKDDFFALGGDSISAMQVVSLCR KHHLSLTASDIFDGKSIETIASSVKPLTLYTPPSTPGSDRSLGARFPLLSLKSDSDME VLESAIMATYDFQSMDSIEDVYPCSESHQGLLPTQMLQPFIYQSYTIWEVTTGSMASP VCPIKLRNAWFNIARRHPALRTHLIESPLSVGIHQKIHIVHEDYVTDIPIISCADEQV FAELRKPFLQTSAKIYYPHAFTICQSISGRVFCKLEGGQAFLDAASVLIILHELSEAY DGQLSPLPGPLYGPAVAWFQSLRNADDRMDYWRRQLEASRPCIFPMLRDQDSPTETLV ITEHLASTATLISFCTLHGLTVTNLMQVAWGLTLRYYTESDDVCFGALMSGRDSRIAD VDKMIGPFFNVLVCQLRFGREDSLLAILRRNQVETGNRLLNQHCSLIEILRFSKYFGQ PLFNTCISVEQPLSMDSCNASLCFKELETLEPTEVCFQQSHCLTQLTALNSMALSLPL RLDQRMFG AFLA_090210 MFFFSLTFMSSWETQALYVLVFLSSLSGTNAVARNLTAVLTNGG PEALAWGIVIVIFGALAQCASLAEMASMQPIAGAQYHWTHYLAPANQRRFITWMQGWV TWFAWVSLLAGVANTTATTIQGLVITNYTDYIPERWHLTLIIFAILIVEGLMNMYTFQ LIPWIELLAGILHVVLFIIFLVLFVALAPRHTPEYVFLTTQAQSGWNNPFVSWNIGLL TPTWGFVGFDGAVHMSEEVRRAREAVPRSMVWSVATNAVLAYAIVICMLFTMGSVEDA LNASFPIIEICQHATGSTQAATAMVCGLLVLGLSVTLASIASASRLTWAWARDGALPK WFSYIDRRHNVPIRAVWLPVFIVMVLACLNIASTAAFGAFIALSSIGLFVSYFIAISC MVHNRFRKDPMPIGNWNMGRWGLPVNIFALVYTAYVTVWLTFPSYRPVTGQNMNYALP IFASSTLFAFVYWFLYGRRHWPGLNKEVLRLVVERGELQLK AFLA_090220 MGQEALYTGLAVTHILLDIGLVVLPMILMWKVQMSQWKCFQICA LFGLRFLVAVLTIPYIVSLRPVFHSVPLDEPWHILMPTLWFQLLQSASIICTCIPSLK RVFAELQMGMMAGTVSEFFELSVSGAQGTTDGSTSISGKQSANATGHSGFHSASDKKW HLKGLRSSNHGRVIEPSESMRNLREGAIVRSI AFLA_090230 MSMFNLLSSMSSATVAPGLSAIGEDLGFPSNTLLILSLSVFFLG TAIVPLFTAPVSEMIGRVPVLLTMNVVYIVFNTACGAAKSPTQLIIFRFLAGLGAAGP YWRQDIGAAIYSGATVLQLVCVQGCLIDTYQVYAASAMVSVMVLRNLLGLGLPLLAPS LYGNLGFAWGNTLLACVAVVIGIPAPLLLWYYGEDLRGWGNYAQS AFLA_090240 MTPHTWHLLLIQVAEGMCRTGGETCINASQFHNKPTLYLIAVTH NQRTLAQSHNMVSFSSILLACSAAIGALATPIEPLADHPNEAFNETAFNDLVGRSTPS STGYNNGYYYSFWTDGGGDVTYTNGNGGSYSVQWSNVGNFVGGKGWNPGSSRAITYSG SFNPSGNGYLAVYGWTTDPLIEYYIVESYGTYNPGSGGTYKGQVTSDGGTYNIYTSVR TNAPSIIGTATFTQFWSVRTSKRVGGTVTTGNHFNAWAKYGLTLGTHNYQIVATEGYQ SSGSSAITVY AFLA_090250 MLTIQLENQLACYSGHEHLRGCVVYHCPNPIDIQEVRVSFYGRA KAKVQKVKGAAAPAATYRSKCILFQEEKILVTPNGGQLTRNTYEWPFEFTFPSQVESP AKWPEKAPFRSDEHHPLPPSFAVDVGDSQRKLHCVIEYRIEVQMFKPQKNFLSKKAPV YTEAIRVNFLPLAAQWEPTDHADKLSRKHKDEVFTVRSLLLLPENRGRNLKVGEKFQS WLSKKQLPQFDFKASFLYSTRVLQGTPVACVLDVIPCTDGSSMPFPGITLQSVSIAVM SRTSARASQSLRGSIAGDVDDRLEILSKTSLGMPVLGQLDLNETFGPLIFRHSEVSFS TFTISRSYRLCASFTFECVGKTFEFNANDLEFFIVSDVVSPTVQMTPMEQGTAITGVF ELADTTSRRSSSDEYDESPPSYSMTASTSEKPPKN AFLA_090260 MTSSDSQNLPPPPPPPPSIGKTAPKPPIVVSEGPAGAYLVELLI FNGWPFKDHWAYWVRSHSDPDIGVQLHATGDVRNGFVFQIKRNYDFHDDNSERPSTRI PLQWIDGKFFDERAMMNNGVFTLDDVPVCGFEASVYKVKAPEKSLNTVTGTTIAEGQK GQKVNQSNCQTWIVESADQLVRDEIFNQEVAAYLHAIEQ AFLA_090270 MVKIGDLGGAAFIRQREQRPVTPTALRAPELIHRIEWDVGIDIW TLGRLIDEEHLYLIGQVLDKNNQTGDTFTQYLTDRLPADFGAENLERLASFLSGMLQE KAQKRMSTAELLRHPFLFR AFLA_090280 MRHFERIYDVVEPVEEYRHGGYHPVHLYDVFNDRYKVRAKLAFG QFSTVWLAYDQLRQQQVVLKILKADASKDNKELSILLQLSGSALEHPGKNHLMNLLDH FEHHSPNGIHLCLVLPVMISDGEAVTITGRPHYAACIQEVSRHILLGLDFLHTSGIIH CGMSLSYLQPANITFSPDEDVDLETLLEPPG AFLA_090290 MHRPQVKGSMKNAALEEVEQEREVAFEIEQEREIQRPLSMKAHR YPGLHSSVRNFAITGHLKGNEGYVKAAAVIKSTDLGRKHGIDASTLLCSLYVSTEFTR TVVTKKRTKTIDNFLGQDISHTPMGYVCQGSRLRRDHPFLLARKAVEEIEDADRCLFY TTKHRYSDELGEYYDSDDDDDDDDDVVAEDVDMIEGGEDDVSDVDVDMHEED AFLA_090300 MVWVVKQGRLDILQMYLKAGVDTNFYTLYGVPMLSHAAYEGQIG SARILLRYGADPCLPTLCGGQAPLMYAVYGTLAKFRDTEGPNMVELVLRAGAKITSLK LFKFICCDIVNPEHLVQLAIMNGTEFLALKDTWGETVLHKAVRYSEGLTALISKAAPE LLHDRTFDGRAVLHVAVGRGCVAAARYLIALNENTDVVNMNGEKALHIAVKRDLKRVQ LLTGRQEVDINGLNASNETPLSIAISYHTISRCYFDIVDHLLRDPRIYIDPMSVLAMK HLFRPTDLWLLLLFEDVILLIRFLWPYTKQGGYISLLKSCQESLFALLPYLF AFLA_090310 MESLQAPVTYVNASLDSLLFADKAQFGFSIENSLVSRAGNCDIG EGIDPTLPPPQLVEPS AFLA_090320 MASRSDGDGYDDSNRAFLQAFMARSTMTFAEARPVLAAIFSVHE GEPVSAEDVTEDDLASYIAAANTAISPFDLEIRSTLRQSQVDPEGSGNQPPERVYALV NTTSDALTQLATTYSADEISFIKRILDAMFDTNNTRRSEAMVVSSMQAIQLAKASSGD ASRRESGNATQSQGGAAQSLSMSQAETVLKQLVEEGWLEKSRKGFYNLSPRGLMELRG WLVATYNDENEDGRRMDKIKFCAACRDIITMGQRCGNRDCAGRLHDHCIRNFFRMQQA EKCPVCQAPWPGDKYVGERAITSTERSTQGRRRSSNTQRQSDVGSSSQIPSEDGAADS D AFLA_090330 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNMYQNPAYDPKNKMNPSQLQNHFDAFYEDVWCEMCKYGEIEELVVCDNNNDHL IGNVYARFKYEEDAQKACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKDPSNELDRELRLSTKKWLKERGRDARSVSRSPSPEPTRRRY AFLA_090340 MSDKLIAYRAEMSGESYSLKILDSSITRRGVKGFIGVFNHSLVL YVAINSRTILYPARNIY AFLA_090350 MPPNNSASWLMAKQAPNSVIDVAPYTTPSANELVIKTKAVAVNP ADVGIQKLGVLLNDYPAILGCDVAGEVVEVHPTLAEVYTPGDRVIGAASPLDRKDGKY CYSAFQEYVVLKVPSIAKIPNGVAYEDAVVLPLGINTAASCLFAEKTLGLEVPSIHGV RSPRGKALLIWGASSSVGACGVQMATHAGYEVVGVASKRNHEMVKGLGASVCFDQSDP TLVDDIATYLSGKEVVGAYGAIASDSALNAMCEILDRCSGRKLVASVIPGAEQKATKG VQIVSNFASDIGNSEVGKAIWKWLTIAMEEDWIKYMPRSEAVGKGLERIQEAIDRLAE GVSAKKLVVSI AFLA_090360 MGIPVSHSEHRRTTKPSLTSAQAKNMPEGWTNDPSELEEFFSPG SGEADLAQCHGLRDIKLLVMGTRDSGDMQHIIKSGRRYYWGYLMIDYIFEITNPKTFP AILRALATKGDSGLKYRKLKQVETVEMEPREQRVVEEEGPRLFVPYNPNAPSVSDK AFLA_090370 MPRAEAGSTKAISNKIKSKGLQRLRWYCQMCEKQCRDENGFKCH TQSESHVRNALLVGEDPRKYIEEYSKEFLNNFLTQLRTSHQEKAIHANIFYQTIVADK THIHLNATKWKSLTQFVAYLGREGLCRVEETEKGLFIAYIDRSPEAMRRREALMKKER QDRGDEEREQRQILEQVERARQNADKEEEIDPEARNLQRKEGEKVKLNIGFGSKANGD SKTESPKPQSPEEKDNAASSATPEPAAASASPDSAPTPAPAAAAPAPAQDAPKPAVKL SMSLGDKKPKNVFAAAAKKNPLAGKKGPVMEAPKKMSEQERIMKQEMEAMEKKRMRGG FGMPNPKRPKLT AFLA_090380 MPPFKDEHILIIAPGSQVTLAQLGLPESFTPARYRFPTRMFPAE KKGEFEPYKIRERRQDGKPSNGTSAPKEDVEMKDAETSAPEATGNNEAAPKPENGEQE DKKEPAEGQETNGETKKEAQQIFYEEDVTSDEGAIYPLENGHIVDWPCFFALLTHVHN TLSPPFHTPIMLISQPAWSARDREAITQFVFEKFKTPAFCMMDSALAICYGYGTSTAA VVDVGKGKVDVTAVTDFLVNEHGRGIALEGCGGDYMTDRLQELLGSKGFTREMCEQLK RSNITEILPAGTPLPGTAATARQNPNPAAAASTGGQDGGPNDAVPRGPGEGTQTGPEG TNGEDEDEGVLDVAAIVSGNTSEFLANREKEKAEKSSSKKGSVDQSGKPIRLPNSKKE KASFQYEEYARLEPEKDAPNAPPRYIRQTREIEVGVERFLSATPKQKTGERLTSNILE DIATQIHHTILSVPDASKRSELWDSLVVVGNGSKIKGFTQALLNTITQKYVLSPSATI FTSELPSNFSTPMPTGGTNTPAPGQTGPFNTPGHGVNPLLVAATHSNNPTAGNMPGTP SMDPSMASHYRSTGHSQTPTSVKTLKPPEYFPEWKEHGNSNVPGTSGSAGMNNGPNAG PGGPGAPSGGHGMEEAVFLGAQVASKVVFVIDQGLSKGFMTRVEYNENGPSAIHEYSM AFLA_090390 MSDREFSSNDDLSLPKATVQKIITEILPPSSGQTFSKDARDLLM ECCVEFITLISSEANDISEKEAKKTIACEHVERALRDLGFGDYIPDVLAVAEEHKEQL KSREKKQSKMEQSGLSEEELLRQQQELFRSATEKYHAAPE AFLA_090400 MSAKTIIVTGASRGIGLAIAKYLLTSPQSHNVVVIARSVEPLQK LKEQYNKQVEVLNGDLADLSIGQKAVDLALKSFGRLDGMVLNHGVLGQVGKIAQADPE QWKHGFDVNFISFVAFIKAGLPALRETKGKLVFTSSGAAVSAYRGWGLYGATKAAMNH LALSLGEEEPDVTTISIRPGMVDTEMQRELREDHATTLEPQVHSKFTTVHKEGKLLKP EQPGHVMAKLVLDGPKELSGKFLSWNDKALEAFQA AFLA_090410 MTAFTILLGLAVPSLTAANAAANFNLSIATLETYGCDSTCQAVF DYAQAEDRTLFGTDFEFGFYATASNFSRSQPGDLLKFEAIDPDGLDVINGMSAYRFQY TSRDLDGSPVPATGFIGIPYTSFRKDQKYPTIAYAHGTIGVFAGCPPSTTPTLYDYTS WSILIEKGYAIIAPDYAGLGNNYTEHKYLSFPAHANDLYYGMVAARKAFPGLFTDGWM GVGHSQGGGSVWKLSESKLLQTGAAGKYLGTVALAPASKIYDMTLLGVESLSQTSNYA SYDILYETIWLPFAIERVFPGMSRAPFAETLQNRTKIADMAQACNYGIMSLAYGLKPL DLFTSAIKNNTDFQEWQDMVAPANGDKAGEPMMIIQGLNDTAVLPQITVSSFQDACRY GNEAHLRLYPGMDHSDVLTASSPEWLAFIDGRFAGWKTPGNCSTITHQPFDVAHMVTE PEAAEIASI AFLA_090420 MVADPRPQTLAGKVAIVTGATRGIGAGLAEELARRGAKVLITYT SASSEPIADKLIEKIKNFNNGSKAAKVRADLRDLSAGETIVEASIQAFGPNIDILVNN AGVEVVKPLSDLTVEDYNLVYDLNVRGAIFLTQAVLPHLRAPGRIINISSVGARAGFA NLSIYCSSKAALEGLTRCWAAELGDAGHTVNAVNPGPVQTALLENIPKELVEMQKSAT PVEHRVGTIDDVAQVVAWLASEESRWVSGQAIAASGGFAMY AFLA_090430 MPTVILGGGIIGSAIAYYLSETHPPGDIHIIESSTELFSSASGY AAGFLAKDWFSPDVASLGELSFGLHERLAIEYGGVERWGYMKGMALSYDATIKRGSGS QGDEWMDRGGSRAEMASRSTLGSEMGAPAWLTVRSGVEVERISDVDTAQVDPLRLCRF LMDTAVSRGVQLHHPARATSLVTDSGTLTGVKMVDLVSQEESTLPCTHLVICAGAWTS RVFEDLFPSAQVSIPVSQLAGYSLVLRSPRHTLEHEQQTFGGRCHAIFTENPFSCGFS PEIFSRQGGEIYIAGLNDPDMPLPGLAEESRNLIDRDELRRLKAVSTQLMGRLVDEDA GVTDVNMDDLEVLREGLCFRPVTHRGTPVVCRVDDDFLGDGLKTDGHGGIFVASGHGP WGISLSLGTGRVVADMVEGVRPRADVSKLGL AFLA_090440 MTTLAFIGCGNMGSAILDGLLEATRSEPQKSKIEYFIATTKSAA SAEKLSEKYRSDPSRVLVASGSNVKVMEQSDIVLLACKPFLAQSILSEPGVAKALKGK FVISVMAGKTPAEIMEYIYGDSAQAMNRPVIVTAMPNVAARLRQSMTIIEENPALSKD RAEILTWIFEQIGTVKFVAPDLVNAGSMVSGAAMALLTLAVDGIMDGAVMEGFRRPDA MEVSAQVLEGLAGLLREGVHPAVLRESISSPRGCTIQGLYALEKNGVRGAYAEALVRG VKHLRGEN AFLA_090450 MKPKVFWPRKPETFYSASKPLADAASPAHNAALHSSPAQKRAPL ADLPTKTLLRSLFLTSAMASPLLKPSIAVLKYVVDSKSPLLSPSKNPIMNYILRATIY NHFCAGVNETEVRKTVQDMKTLGFKGVILGYARESVAKVDAAGSHVEEWKNAQAIEDR AVDEWKEGNLRTLRMVGKGDYMNIKFTGAGPAAVEALARGDPVPPPRIKQAITEICEA TAAQGSRFWIDAEQQIFQPAIDAWTIDLMRQFNRDGRVVVLNTIQAYLKSSAENVHRH LALAGKEGWALGIKLVRGAYIEHDIRERIHDNKADTDRNYNHIVESLLSRQSPFQDLH DTKFPDARLFVATHNAESVRRAYSISRHRILNGLPTIPLEMGQLQGMADEVSCGLLAE YRPESDVPLSGKSPVEASVFPKVFKCLAWGTTEECLHFLLRRAVENQGAVVRTQDTAA AMRKEAWRRIGLRW AFLA_090460 MIEGKELFQHIHDQQGRYDAKLHIAEMMALLGPPPPEIIRRYQY MREYSWPEPVRREDDIVCETAEEYFCGPFFDNNGLFLYEDLIPDRKLGDTVSFLEGEE REAFLDLAKGMLLWHPNVRKTAGELAGHPFLQPKQTSP AFLA_090470 MNTIKPSIPRLSALLRKKPFPMPSPGPPLPPGILIDEEISPVYN SKYFYPAKPGEVLANRYQALVKVGWGVSSTVWLARDLQGHIEEPESIVVLKIANNNAS SAGHEREAEEHISTVDPSHRGRSLIRTLLDSFEVNGPEGPHSCLVYPPMREPLSMYQR RFGDRRMPLPLIKTYIRALLTGLDYLHKQCRTVHTGKFIFDLSSPRDTEPRRRLDLKL ENIMVSFEDPTVLTDFLESQLEKPMAFKIDSTGRPVYQSRSDFGPLKSLRSIPQLVDF GLATRLEEDDDWGVWPIQPDHYRAPEVILGIGWQMPADIWNLGVLVRPVVLYSCIH AFLA_090480 MPSLYVAIYYPRYGNYQHWALHLHTATEDLIFEVDGEHPTFRKM ESRGKPSDSDSLIMSLLVSEIGDVDVPTVKAAVEAARVDNETLEWDCQEYVLDILEAC EQEAVLDGDDEHYVEVMKFLKSKRGPVL AFLA_090490 MKLRNLAPWALLLTAVHGLPSQGTQNKHNPRVAKILKRHEGSSQ KAKDSNNVYETKFDGVTWDEENWLLKTTTLDQGHYQSRGSVANGYLGINVASVGPFFE LDEEVDGDVINGWPLYSRRQSFATIAGFFDSQPTTNGTNFPWLSQYGWDTAISGVPHW SGLILDLGDDVYLDSTVDDSTITDFQSTYDFKAGVLSWSYTWSPADKGSFEITYRLFA NKLNITQAVVDMEIIPSVDANATVANVIDGYSAVRTDFVESGQDDGALFSAVRPWGIS NVTAYIYTNLTGSANVDLSSRALVTGKPYVNTNESSVAQTVNVKFTAKEPVRITKFVG GASTDAFADPKQTAKEAASAALAAGYKNSLESHASEWANIMHENSVDRFTDPTTGKLP EDQHVIDSAVIAVTNIYYLLQNTVSQNAIAAVSNATVNETSFSVGGLTSDSYGGQVFW DADVWMQPGLVASHPEAAQGVTNYRVAKYQQAKENVKTAFTSSKNQTRFDPSAAIYPW TSGRAGNCTATGACFDYQYHLNGDIGLSMIYQWVASGDTEYFQEKHFPIYDSVATLYS NLVERNGSSWTLTNMTDPDEYANHVDAGGFTMPLIAQTLENANTFRQQFNLEPNDTWT EISENVLLLRQNNVTLEYTSMNGTAVVKQADVVLVTYPLAYESNYTAEMALSDLDYYA NKQSADGPAMTWAIFSIVASDVSPSGCSAWTYHQYSYDPYTRGPFFQLSEQMLDNASI NGGTHPAYPFLTGHGGANQVVLFGYLGLRLLPEEGIYITPNLPPQIPYVKYRTFYWRG WPIAAESNYTHTTIRRDTKTAPLSTADERFRNATIPVHVGSDEAETHTLQPTGSPLII ENRQIGTIPTMQGNQIQCQPITSPDEHKAGQFPISANDGATSTKWQPASSNLSSITVT LSDTQLANAVSGFHFDWASAPPVNASVIFHEEVIDNPASVFAFGTQDQAQAEGDEKYR VVLTLTGIEPSTIYTAEEENQVRIPVGNTTTTQLKETVKASKYATLLIAGNQALSGEQ EDAGATVAEWVILSQEGGQSQSAATAQRRGMNVRDRALLERLRR AFLA_090500 MQADLASAGSGLFRDGLARLFLIKTTLRLSPPSPTSFCFILVYY SPLHQSTVPSYHTDKMSATTAAPVEAKTEPQKLSGLNLYSRFAFAGAVCCSVTHGGLT PVDVVKTRIQLDPVTYNRGLIGGFRQVIQNEGAGALLTGAGPTFAGYFLQGALKFGGY EFFKQQSINTIGYENARNNRIAVYCVSSAFAEFFADIALCPLEATRIRLVSEPTFASG LVSGFGRIARQEGLAGFYSGFGPILFKQVPYTMSKFVVYEKVAEFAYANFFDKEKTSD GMQTAINLGSGLIAGFAAAIVSQPADTMLSKINKTKGLPGEGTTSRLIKIAKELGFRG SFSGIGARLVMVGALTAGQFAIYGDLKKAMGAVGGVEIAK AFLA_090510 MWTRLTLDRIESGGNNSGSLKKLASSKITAFGLKQRLSNSLAFI TVVTTMSVASVISLSTFSSNRRGSRPAGVEDPTGLRDLSRASSEASKVPTVWQSEELL HVPQVIARRRDSSKKYYAGLIERPSAQEPISQFRLGSHKANTLLDDSISRVIRHRDLQ VLQVLCDA AFLA_090520 MWSVSAFERLHIVGYPSVMLDVQNRSDARLYAPTSEAFYDGQVR SARMNCSMSVDCLFDGAVTVQWNNCTWRLPRGLGWARLPATHPSRSSTREKQRLPSAW RES AFLA_090530 MEELFEAFLFHRDVVGLDNPATNWWGNTDSPGWRKIEQMTGRTM ETDLVISILGGSTCTDYDDDWESEDVIQGCFALEVVTFMRRDENMNPGYPLFFVSIPI AILALCAPSTI AFLA_090540 MLDGIFPPLPRFRAISDTLNELAQVLSNVPPSEKSKALSQLALS HIEKAEHEKNPIQVLHLVSTLEEIGYKPTIPQIVDFLPFLGLYKKEDNDYTTHDVANM CAHFASFGTCTQAMRIRSPLLQSHLCEEVSKSNTKGTMLRAALSYLSQEDFQRGACTS SASLKKRFQTHPFLPFAARIISLYTSRVPHQQDALDDFLRFASHRGSIDSYLQAADAW PYQDDDSYDELESAEQRWAYFPRGYGPLHVAAHIVGGRLFVKALLQQAEDVEAQSGNG QTALHVAAGIEDESETARTLLEYGASVSAVDDDGETPLSIAVVEGDLETVKLLVEFGA DIGSLDTSILVECAEERRDVVEYLTGLGVNFPDEDQMDEDDEEA AFLA_090550 MSSTSYPPEDPTDKTNASRGLITSLEPCVVYSDTDPARAIWDND SFDFLAKEYPSHFTNPSLWRQSQLCQKQGLFEVRPGIYQVRGLDLSNITFIECPNTKG VAVIDPLTSVETAKKALELYQGQFPDRAIKALIYTHSHVDHFGGAKAIADAAGESLKV YAPNGFLEHAVSENVYAGNAMGRRAVYMYGESLPRQRDGQIGCGLGLTVSTGVSGLIP PTTDIKRTGEKIIVDDDLEIECQLTPGTEAPAEMNMYFPQYNALCMAENATHTLHNIQ TLRGALVRDARVWAKYLDEAIVRYGKDAHVVFSSHHWPTWDNENVNEFLTKQRDLYAY LHNETLRLLNTGQTGIEIAENFHLPPSLKNTWSARGYYGSISHNVKAIYNRYMGWFDG NPAHLWEHPPVASAKRYVKCMGGIAKVIDLAKEYTDEGDLRFAATLLNHAVYADQNND QARSELASVYRKLGYGAENGVWRNFYLTGARELHPQNKPVDSQLAMSAELLMALDLEQ LFDTIAMHVDGPKAWGRSLTIDFMITDMSRGWHLNLSNGALTGHGIEYREPSNERDAS LVIWLTHKDLTALIAGDRKDLGGLTTAGDVKYWETLVSLLTTPDPAFAIVTPRAIQGS ST AFLA_090560 MQLKSLFVVMAGLMAFAAAAPAEAEARDTANVQARKSWTAEGGC KTDWAGRCNAQCIGEGVRSHGCKKSDISSGIESSHCFFGWNICKCSC AFLA_090570 MNLFISGQVLDIFMWLSRILGSQANDNQTIAACALGEKFQTVSE RADGEREMSLSLKRLAATECMPIAHSSMLEKIYLAKRNEIRMVTLVNLTIDNVVNLYH HDQGA AFLA_090580 MLLNLNTLQKALLWLFIQVVILPYTIARQCYWRNGASTLGEQQP CFPDKENSPCCATNKQNGDPNDVCTSNGLCVAQVEPYTGLVLQNGCTDSRWNSSDCLN ICPDYYGIHILPCPDKSLRHWCCSLSGSDCCDSAFELDMGTLMLSSNSTNPSSSASAS ATVTATVTAGTSNESATCNGDCHATSTTVAVGAGVGAGLGACLVATLCLLVFQRRMYR KKVQEMKALQASAFPYMQQYGPFVPKNPVEMPLNQRPTVFEIDSERPMRPE AFLA_090590 MESHFLSRRLLKVSTLLCLSPVLAYGKGENGNSNFDVFDYIDPF IGTSDGVNEPRINCILGHSFPGATLPFGMLSSMVKAVADTDGANQGGFASDASFVTGF SHTHDSGTGGPGSMGNFPIFIHPACPDDNLTKCSWQESDRSVRWDRESTKAQPGFFSI SLNNGVHAEMTVTNHSALYRFSFPEAAPDSLNPVVLVDMADLHHSRHNGTTSVDPHTG RFTGSATFEPSYGVGTYRVHFCADFHGPSIRDTGIWLDDEVRPGKNTVSLNASGSGGA FARFTPPQANGTIDVRVGISFISATQACSNAEKEQPNFDFEDTVARAKAAWKEKMDVV RLDNSGVSMELQTVFWSGIYRTMISPQDYTGENPLWKSDEPYYDSFYCIWDSFRGIHQ LITLVDPLSQSRMVRSLVDIYRHEGYLPDCRMSFCKGLTQGGSNADVLIAEAYLKGIP DVDWDTAYRAVVKDAEVEPENFNVEGRGSLQSWKSLGYIPIHDSSTTAKGLRTRSISR TVEYAYNDFCIAQMAKSMGHDGDYKKYMKRATNWENVFKPNQTSSWRGSNFTGFLQPR NADGTWAYQDPMFCGPYLQPDACLMDENAKETYEGSSWLYTFYVPQDMAKLIRALGGR SKFIDRLSFFHDSGLLNMGNEQAFLPVFQFHYAGRPALSTERAHSYIPRLFNTSVGGL PGNDDSGAMGAFAVFSMLGLYPVHGQDVYLISAPFFKEASIRNRITGNVATIRNINFD PLYKSIYIQNVTRDGKPWTRNWIGHDFFTEGGTLEITLGDKESNWGTRIEDLPPSMSE YRW AFLA_090600 MDEPIDINNPNDYAKIDELTAQGLLDRDAVLYKLTRRICKFGVI KSESELPHLRRTFDSLAVQENGTKTLTQTGFLSFLESTGFLPPSMRDTGALVYRSLLY LSQYPFHQPIPDSLTYMGLIRALAWTMAWRTRPIHEACRWSRTRSPADSRRQLFQSFA TGRDGKSVPFDAEYAKAQAQRRAFDFACASHDSLTCIFPKTNYDDHGDEMFHDILDVL FSIQPQIIWLAPPPRDCFRATARKLAGDERLHDLSIPQDQFRSVVKLLVTASFRKPTV PVEGLVDLDHVVDCMVRPAIQRPDIGITWDMYDKAARGGMPMLVSSLMCLLTPFYKDP EDEDYTLTIPLSGKVATLPIFAQMGSLNIFGICQGLQEYKYYDLRTTSVTASTIADDL DAFPKAQIILLLSGKDSRTEKKTIFGYYVPLLEIRHAPFLFQLSDTSDSFRGNGPRPG HELDGGELIIGQRGNGAALMLRQDSKRAIVSHSVSTQFEPMYAANTWRGDWQIEFDVE EIELWMLPEDEEEDEEADEEENGEKVEEEDESR AFLA_090610 MKPSKILSIVLATLSVGVSSRPTTLSNNVQQVPADIRDEPFKVP VDIEKRAGHEETERFKNTQKYLGKQKLQLGKTYSFQVT AFLA_090620 MKKTQQGYGFDHTGIVVGEVVKVPVGQKYELDFKGDLYHLRAKL EGSGQKAWYKTWVESIPWDPKPTPKTVTALHLQEVSGKWEEKAVHANTAASEVSTKNG NRWQGKRNDCAEYVKAFEKAL AFLA_090630 MFAGSDTTALSLTITLVYLCKYPEKMVKLRREVQCLRDFKVQDI QLATISQMPYLDAVIREANRLSSPLSTVLPREVPSTGCVISGHFLPKGTVVGFHLDDI NRNPKFFPEPNDFIPERWSGEEGKKLQRWFVPFSKGSRRCIGMDFAFVEMKLAVAAII SRFEIWLDNPNVTLNSREMFVKIPEDDLRIRLRAITV AFLA_090640 MKILTGPLDYLRENLGKDIRSKLIDAFNEFLEVPNDKLDVIKRI IDLLHNASLLIDDIQDSSTLRRGVPVAHSIFGVVQIINLANYAYFVAQRELTNLTNPL SLSIYTEELLHLHRGQGMELHWRDTLQCPSEEEYIEMALDKTGGLFRLAIRLMQAESA SGTDYVPLVETLGLLFQIRDDYQNLQSDTYSTNKGFCEDIGEGKFSYPIILSIRSRPG DLRLLSILKQRSEDITVRKYAVEYIDSTGSFDYCERKITSLLQQAREQVRRSTGY AFLA_090650 MSKAEVAGLAAAMPQDDVLEAFEYIREEALRFLETRQTAASETV TGDSEPIVRVWFYFPSLSTREKRDDLVNHAPGYSLTGFVLAGKPGVLCLEGGSADIDA YMKFIKTHSWGDIPSHQKKVSERFRETEGVQRVFPGMQEITDSLGERSGQRANRGDMQ ALEAWLRDRGLQEAFEKVIF AFLA_090660 MPGMSHPFRWQIWRAFWLLMSSIAQGVSSLLPLPALGWSATEVP YDEEVLSLDLEENDFLYRIRRNSRIVYVSVLDGGILPPDYRTDGFLVLAKLQNIPKWN DKWKTLTVRNTAQGIESSPDEFPPHGLDLGQLNHPSAIFVNILDLTTVSRISYRLSRV RHGDETWVLKIARFKHEIRSLQHEVSVYSKLMESGFSFCPKFIGFVYEETKDRTIGFL MEEISGRTPGIQDLKDCTETVRLLHEHGIVHGDLNKYNFLVTEEGVKLFDFEVSAAQE DADPGSTEDELKGLAARLEDESRIGRRGSLF AFLA_090670 MAKGNYGKTNGVDADRGGTHGYVVCDDLTISATVEDNGISAGPA YGNLKDGLKLNFQLKDRKEFRFDFKNGSEVWVTEHGHDHMLLRL AFLA_090680 MGQGPIIRINPHELSIRDPDFYNEIYVTESKRRSNHYDLFARGI GMDESHIVTVDHNLHRKRRKPLEPFFSRAGIARLEPALVEMSLKLESRLRQYEGKHTV ITLDHAFSAFSGDIIRRVCFNKDDFGDLFMDHPDFSPDWYNLIHNILRHFPVFTGFPL IARIVTYIPESILLKAFPQGQSLNRLKDVRFLSLLIMIILGQYTPLQRITHVMNSKAT ELKDTHREVSLFHHIVESDMPESERSPERLVQEAQVLLSAGTVTTARTIAFASFYILA RSEIKAKLQAELRDAMDGWPEKVPTFMDLERLQYLQAIIKESLRLSYGIMHRLPRVSP DLPIQYKDFTIPIGTPVGMSSYFMHTDPTVYPDPTTFRPERWLGAIDPAMHRNYVPFT RGSRNCLGMNLAMAEISLILAVLYRPNGLKLELYETDETDVMIAHDFMVPMPKVTSKG VRVLIR AFLA_090690 MRALSLASVIGIASAACPYMTGELERRDTGTNDATAATEEFLSQ YYMADNDTFLTSDVGGPIEDQNSLQVGDRGPTLLEDFIFRQKIQRFDHERVPERAVHA RGVGAHGVFTSYGDYSNITAASFLGAEGKETPVFVRFSTVAGSRGSSDLARDVHGFAT RFYTDEGNFDIVGNNIPVFFIQDAILFPDLIHAVKPRGDNEIPQAATAHDSAWDFFSQ QPSSLHTLLWAMSGHGIPRSLRHVDGFGIHTFRFVTDNGDSKLVKFHWKSLQGKASMV WEEAQQVSGKNPDFMRQDLFEAIEAGRYPEWELGVQIMDEEDQLKFGFDLFDPTKIVP EEYVPITKLGKMTLNRNPRNYFAETEQVMFQPGHIVRGVDFTEDPLLQGRLFSYLDTQ LNRHGGPNFEQLPINQPRVPVHNNNRDGAGQMFIPLNPNAYSPNTLNKGSPKQANQTV GKGFFTAPGRESTGRFTRAVSPSFEDVWSQPRLFYNSLTPAEQQFVVDAIRFENSNVK SSVVRNNVIIQLNRVSNDLARRVARAIGVEEPEADPTYYHNNKTTDVGTFGQKLKKLD GLKVGFLASVETPASIEAASELSKQLSEDGVDVVVVAERLSDGVDQTYSGSDAIQFDA VVVAPGAEGLFSTFSFTAPSNATSSSTLFPAGRPLQIVIDGFRFGKPVGAVGSAATAL KNAGIQTSRDGVYVDKSVTSGFVDGIKDGLRTFKFLDRFKLDH AFLA_090700 MNLLLLAGLLPLAASQSVSDLYLENAPSSPRPYIIPHYANSHAV TIGSQLYRFPVTGPSSDYAFTLMSTNAPYSGSLGVLPHIHQKHYENFFNFKGRFQLWA QYGEEEQQGRLLTQGDYGSVPRNTTHTFQILDPDTEMVGVISPGGFEDLFYTLGTNYT SATNTPYVPQASNDSSATGPDASGISGLESFDVYAQLSFEPRRDFVNGSAPSDEGWHT EDASLGEPGKPYFIANNYGPKYLNSKHGAYQIIQPLVTNSQAQDTNFTLSTIILSRQR TSSSAPTWSTVGATAFEVLEGSVQIQIGDYPVAQLEMGDVAFVPATVSYSYWTEGSYA KVLSVNAGQDGLNQQLIAEGKDWGYPTFPRY AFLA_090710 MKSISALAAIIPLLPVTEALVGLDWSATNLPSTGLKDITFPISI ANAPREEGFYFAQQFSFNGVTDIGYTGLQPRPDANGASIIHAVFSSFIPGSTSTDENC SDGADGGAGVSCAVEIPASYAPMYHLVIKNTEGTTWTGTLVDAVNNNETHIGEYTLPS GTGGIKESQVGFVEYYPWNAVASHTCGSLPYTNATFLNPISSESGVTATVGKPYEYGD CEGQVRFDVQEVKDGFEVSVGF AFLA_090720 MWEYYSGKVLFITGASGFLGTALVYRIISQAPVAHIYLLCRGGL PLLEGIWRQYLPSKYIECLYDTGLVTVIEGDILEPNIGINDDHLQALQEHVNIVIHTA SSINLMSSLEKLAKPVIHGSENVAHFGLQCQQLDRFVYVSTAYVNAFIYQESDDTDPY VEERIYPLGREWISDARDEWKQVQREGKSLEYSAHNFPWPYGYAKHLTERLVLNIFSA AGKAEKLLILRPSVIAPAQTFPYEGFSVPKSTPTTVFAAFFILTPTLVVRVTSRADDP ETESTIDEVPVDVVVDRLLVHLAKGTTGPVHAVGGVRTRYTFQAFWEQAMALRKLPWP LRKEWLDVDWRSKLLHPIARVYVIYAASYRFSEEKTVSLWKSLSEKESSELQLFSSGS RNGVDFAARAKQIRFVAEQFAAKGFLSRILFWLFYSSGFF AFLA_090730 MATSRNWLVTGFIALDWVSIGFASPHIAVSTQQTYSSFTYTQVT SDAYATPLSTSVSFPTPIAPPFSQASTLLPSDLTYTTYSYDPSATITSDGQYGQSAYV NLWQNYSFVSSPPFTTTASATPVARAELVLPPALYNAPSDTGLKLPADFIWGVSSSSW QIEGGLQLEGRGPSVLDTIGNVLSPEAADRSDANVANMHYFMYEQDIARLAAAGIPYY SFSLSWPRIVPFGVAGSPVNTQGLDHYDDLINTCIKYGVTPIVTLNHVDAPTAVQADL DSLPEHFLYYAKIVMTRYADRVPYWVTFNEPNIGVGTLFQKYQDLTSALIAHADVYDW YKNTLGGTGKITMKFANNLAMPLDTQDSSHIAAASRYQDILLGIMSNPLFLGKQYPDA AIDTVDMMQPLTDDQIKHIHGKIDFWSFDPYTAQYASPLPQGTEACASNSSDPFWPTC VTLSNVQANGWLMGQASNAYAYLAPQYVRQQLGYIWNTFRPSGILIAEYGFNPFLESN RTLDAQRYDLERTLYYQDFLTETLKAIHEDNVNVIGALAWSIADNNEFGSYEEQYGLQ TVNRTNGKFTRTYKRSLFDYVDFFHRHVQSA AFLA_090740 MRGGRKWRRSARCIHNLDGKDLNGGKRALIDRKGRICRSNADEM RGKAASHNVSPTTTGHCKIKEQTMSYYLSMVISGEGKDPRHRSHWAFAIHQPAQVIGD LLHVRPIDLGRLWYEFEHRSDSDLILVDAIGLAKIADLDGSQRLQAISVIRDETAPKD GVRRCQDWVFSALIALEVEELVPSGTSELWKGLMGRTATEVEKAVGPKWTSFRGCHSS LR AFLA_090750 MATAERRKIVVLGGSYAGVSAAHYLLKHVVPKLPDPEGYQVILI SASSHTLCRSACPRALISDEFFDQEKLFVSISKVFDQYQTTGLATFASSTALRNVTVS FTANDTIDTIDFHTLVIATGSSTPSPLLGLNRDIGDLRENWTAFRKALPTAKSIIISG GGPAGVETAGELGEYLNGRAWWFRSKLANPRVPITVVTSGPQILPLLRPSLASLAEQY LAQVGVTVIKSARVQNVAPSADSKDALTAKTTVTLEDGQILGADLYIPATGTRANAGF IDRSLLTPDGRVDTNPSTLRVDKAGPRVYAIGDVSSWARPTVHFIVEAIPVLCANMKR DLLLAAGEDEGSVGEDRLFKEDTRETQLVPIGKSKGVGAAMGYRLPSFLVWLMKGRDY WLWTTEKLWSGRQWSKEL AFLA_090760 MADEGASPRELVIEACRRDQPHLIEQVLKGMEGKSNEEVAEFFN GVTDSMGNHALHICATYGSGDTMDCLFDIQYFECDPLTRLDKDTPLHNAVRYANEKDR EIGLEMIEMMCEAGCDPRVRNKHGQKPADLVYNNPEIKSILQKTEYVLAEGLRDNSDN GSVHDSASDSE AFLA_090770 MPLTFCPNCSNALTISRADPTPRHPLGVNRFECRTCPYQYVLEQ SYFEKTEMKQKEVEDVFGGKEEFANADSMATQCPAENCNGDRAYFFQLQIRSADEPMT TFLKCTSCGARWREN AFLA_090780 MGKEDKQHINIVVIGHVDSGKSTTTGHLIYKCGGIDQRTIEKFE KEAAELGKGSFKYAWVLDKLKSERERGITIDIALWKFQTSKYEVTVIDAPGHRDFIKN MITGTSQADCAILIIASGTGEFEAGISKDGQTREHALLAFTLGVRQLIVALNKMDTCK WSQDRYNEIVKETSNFIKKVGYNPKSVPFVPISGFNGDNMIEASTNCPWYKGWEKETK AGKSTGKTLLEAIDAIEPPVRPTDKPLRLPLQDVYKISGIGTVPVGRVETGVIKPGMV VTFAPANVTTEVKSVEMHHQQLQAGNPGDNVGFNVKNVSVKEVRRGNVAGDSKNDPPA GCDSFNAQVIVLNHPGQVGNGYAPVLDCHTAHIACKFAELLEKIDRRTGKSVEDKPKF IKSGDAAIVKMIPSKPMCVESFTDFPPLGRFAVRDMRQTVAVGVIKSVEKNTGGSGKV TKAAQKAGKK AFLA_090790 MDGPPPPPPPHGEKPNTTGGQEYRKASDLPEGNYDIFIIPPHSS GSGFLYLPSLQCQRNSFVAGSVCTLFVVLVWSFISPIFKTWYIAAAAGGGGAGGMGIG LLGIGVGIAGWGFGVYQAGFGGSGFGRKGPGGGAASGGAGANTGQAGAGGEYARGSGN AGGQQGNYGRNYGPPPGSQYSGNQYGAGPPPQTPPPNAGPGPNKSDSAKAEEKARAEE KAREEKAREERAREQRAREERAREERAREERAREQKVREERAREERAREERAREEKAR AEREREEKAREEARRKEELRRKMEEFKRKREAERQEKQRQQEREAMEKEMRERREQFE KEMAAAREAAAKEARERAEKEAAEARAKAERDAAEARAKAEREAAEAKAKAEKEAAEK EAAAKAAAKKEADAKFAALKEAAAKKYAEKKAKDAQEAAAKEAAAAKDAAAKAAKEKS GSASVPPRSPSPKKPAPSGARTTMSADQDDAYSFRPYDRPRRPYGGTSSSSAYSESSY APSQSTARTSPAPSNRGRYETKDPDKIVIKGVFQFNNAFIKSPAAQLVSGQGMVTDGL VLRITTEGLFIDDDIRGVGQREWDVKAWTMKLVEVWCPQYASQKHNPPKQSSFFGRRD EGPSSAESDAYLINLLKVCKNTCRLASPGSQSEIQGLHVLRASIRDQEGRKYLFVLEE TEGWKVGIGLQRLRKGALVRSLGVTNMSVNEGRSILGNLGYI AFLA_090800 MRKAQRAGDVFQSEKAKLQQRAVRARHRLKKVEAAGDAQRIEEA ALALKIARVERWEFAVEHGNSVKIVPSKEDRRMYQPFICGALSQKYYFAKKRVFAVTV QLRV AFLA_090810 MIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEEVGQMAILNA TYKFPSYPVFSDRLRMLIAWMLKEHPQKRPNIYEVVQEVCHMQGKEVPIRDIYANRST SEARRYQELPPSPTETAQVGAVFSPPVQETQIIPEIAPMRRGRPTKPTSSQHTSAKPS PSPFRGGSTDPFAVLDGGQKSRDSTDEFSNRFPSLDQFDILHEKGGKFDFEPAFAETK QEDGDLAQRLTNALADEAFAKRPSPEQAPQPPVQKQPHTSHVKSRPSESFNYREEPQQ PQVPLYQPVPQKPTMVSTGTMTSPPQTPSVPELKPPSRPIYRFPSSDHQRRPSSQPWP ADGDKKVSRPLNPPSPKKPSADPRTSADRISELSTSSSRPSLEGMRPSNLELEELTSR SKSANSKTRPLSVQAASKYDFGRGSESARSSLDIPRPSYDMGAPLQHARTEADRANIS SDIDYLRAREEESNRKKEKRYSGSSKHTKRSSLSTLSLSGTKTLFAGRFGDAFRRFEQ SNQESKAQSPAAEETKQVMITSSELVEVPAEMSDAEEDDISPEMRRELERRRLSQEEK RVANAAAEYRRQVAERGEGGFRPGPDTRSSIQNRVQSFLEESNKSAPPPKTATGYGRF TEETNPALPAKQNEPLPEPRINSRAAGHLYGSQGQSSPVKDRWETPGLPSQETANSGY AQSQRTGPSRPAAPPKPKSLRVRGSEVINERSQATPTTPSEDWEANFSRRFPSLSGLE METEIEIPNIPLRTKEV AFLA_090820 MTLDIAQVRSRFPALKQEQVFLDNAGGSQVLDTVIESIASYLTN TNVQLGATYKTSKLSTAGFANGYEAAAKFINAKPEETCLGVSTTQLLHNLSTALKFQP GDELILSKLNHEANSAAWVRIAERLGLEVKWWSASNPQNPVCDPNDLKQLISEKTRLV ACPHASNILGSIVDVKEIAKIVHQYPRALLCVDGVALAPHRQVDVKDLDVDFYAFSWY KVYGPHIAQLYASSRIHDQIDTLGHFFKGTDTLDLKLNLASANYEHVQSIPRVVEYFE PDVSASWEKIAVQEERLQQIILDFLNGNDRVTVYGERSADKNLRVPVISFTVRGTKSQ KLVEEVEKRSAYGFRSGHMYSHRLIKDIIGLEDVEDGVVRISMLHYNTGKCFSSRISS GGRDDRFGQGFGGGYCDIVEYRILPNQQHVC AFLA_090830 MAHTTAIPQHVTSLLSHLTSRPGVQSTFILSRKDGTIIQSTGLL ATRPAGNSSPNVSQVDSAAEEQSVETMTPAESPTPSTPSSATTPNRQTSYQPSQAEAL AARIFAFVSSASDLSLSLSRPLDKNAHGSKTDSNGLQEGLGNGTSRDDGDGEASERED DDEVKLLRLRTKKHEIVVVPDKKYLLCVVHDAAHPAGNASAGLRSR AFLA_090840 MSNIPGDIQLPGLSNIQNRSEHVPDLVQFFQAQDSLSNTSSQSG SARDILKAGQRRLRQLAQRPKRTTDPHTKAEEASRQLLALQQEGFLPDSLTLPKPKKS APKQSIDSTVSSTRSASDLSFQASSRRDVESIGRPWLEDSLEKYEMKGGRLSSLDLRE ITSLVGAALPRPPQFEDVTPPPYQASTDHDSQGKSSHPSTGHGSNSTPSEPMDEIEAL PKVPEIPDRTSSQPPEMSTSTLDNKQQSSHEQPAEAKPAGAKDAPEAADSKSSSAGTS NAMQSHSLKLFPDTVPPRMPNKGAWRISNGCPPPSLRSLPTKSAQQGSSAQSNDKNTK PSSSTQSCDAGLENLGCVDQMPPAQPTDLGRNNGTSQKHAKMPLARNGRRPASLPMGA IDAFPLPAPMRPLPALPEAVPGIRPSYGQDTIAGRRGLRANQQEQDPQLPSCSTEEGS MGSGARRDNHGSMNGRATPSLSIPETAMDGGIKSARAGATSVRASKSRADRVRALKKK DMSASRIYLQDSDDRPSEEGRQSFSRLSHRASSEKYDGTTAASRCTDEGVRSQYGRGS PDTQLFTPSSYGSKFPGQSTEAHAISTRKGSSLGYIDAISLMNTQEPSSLPASARNSQ VYPSGGTRSTSVLSERVANDEDPHGRSETPLPSSEDEGMDVGMRKLQAHPPSSRRRRP KLAPINVDESATRKTRHIKKPSSCDHSRPRTPRNRRSHGLEKASSQSPHLQDSYYYHE TRGGRHRPSYVRELEKRIAHLEHQNRTLQAALLGALGVGGKQNVEGLLGGSSTSLSTP PTSRSFSSMTNSSSSPDSHVMRNERHAHRRQPPYHPETWIASPGSSRRSSCGSEESAD IQELESIIEDFDFGWESDSERTQQLGMRA AFLA_090850 MRILAYNEEGVEELQNWIWRKLDDTLEKCDLCIKQYYTGKIWLM EQLKENYDDEDIEKFSRMIDECDIKRITRNLTTATTKLKEVPPQEIGLHVLDRASLLS IFESLSCDAMLRNDSLLQQYFDEPFRLIQTKRSLKVSDYIPAVTRFLFDPNQSRSFWA IQAWMRYSRPPTDTEFDWAIKEGLLGALRSASQQPVQVAAIQRLWRGMQLVVKRLDKD QITHHLRALEIDPCRLSVEHIGIQSPGLRFILNTIQIFLEKAPGDFWDAMQTISPQAI IELVFYNPQLDAFLMQATEGEPYEKSAMKDMLSWITPFMSSLKGPHQPSACRSLVYQL LDRLQDARLPNLARYHCFHIGLSSLLYTLRSFTDHESSRGSVARIVLSETMEVVSANI ARILEPPKFEVEQGRQREISTLCMDVIRNTLALECQSLKSDYEVILRYNTLQHGVSTY SAPIWDAVVKHLHEDNLALSTSALLGILPLVGLEKFPAKGGASQEKTHFNMIYGHLTH LSCLIIERLADFKPEHLDELFKSQDTSSALISALFAADLNTYQAAVDLIKNVSGQSAR RDAISHLLQSFFTTTMYGLSWSFRRISNMKTFASAPRMIHTGTDIVDILCDSQTGMLR TRKLADRREILSLQKLWEYLWQALTTIFDETESWHLRGNDRMVMLEFCRDSIQFADLL FDQYGVFLSAVVDADPSQETSARENFLKSPTATMSTMVKWLRLKDEYLATTLVGLVAK LLRRLGELSVTTVKEDALDYIEGVAVKSTVKTMLTLREKAELVRALEAYYKKPVVTAS TASLKKQSSITAFAKPAELSATPSPSRTPDEFDDNVPDDVMLQLSRSVELNKERVAAE AKKRAEKAAKALPAIPRPAPAPLKSNVTVQAFREKREREREAKKKRDMAELARLKKGL PATGVAEQTAEQGSGLAGIGVKGKDHRPADSMMVSSGSESESESEDELDRELFGPKGG SKPDAVRAYEESKRLSLKQKGPVKKIKQVRSAKDMRARLAPDLSSLHRTILSWDFFAN GDLPPNSGRTDYSLISNTFRDPIDYQKTFEPLLILEAWQGFQSSKEEGNFKPFEVKVA TRLSVDSFVEVSTVMPALEVKDYGLGEADLVLLSKANSPTNNPSAPHCLARVAGINKK KGTVEIAYRVNPGNSFINALAPGSEIWGAKVTSLTPLEREYGALMALQYYDLCEEVVK AKPSPILNYSEATLSPIAENYNVNPAQAKAIKSALDNDAFTLIQGPPGSGKTKTIVAL VGALLSGVLGNQGVTISRPTGVGNIKPPPRTTTSKKLLVCAPSNAAVDELVMRFKAGV KTIQGRHEKLSVIRLGRSDAINTNVLDVTLDELVNARLSQTSRKDSGERDLQKIYMEH KAADTAFKETRSKLDQCRAQGLPVPAELEREFELLKKKKTALSQEIDNARDKNHSAAR DADLNRRKIQQEIIDGAHVICATLSGSGHEMFQNLSIEFETVVIDEAAQSIELSALIP LKYGCSKCILVGDPKQLPPTVLSKVASKFQYEQSLFVRMQSNHPRDVHLLDIQYRMHP EISAYPRNAFYDGKLQDGPDMARLRARPWHQSELLSPYRFFDVQGLHQSAAKGHSLVN MAELRVAMQLYERLVSDFQGFDFSGKIGIITPYKGQLRELKTHFAAKYGNAIFNMIDF NTTDAFQGRESEVIIFSCVRASNKGIGFLSDIRRMNVGLTRAKSSLWVLGNSQSLVQG EFWNSLIKDARRRSVYTDGDVLKILQRPQFTGYKNVDMLDADGPESTKPSVGTLTDPI SRSSSSSRDNLPTDGTFGKNEPYVSPPEGPSGGASGLDDNRMCGYCGDFSHMTHNCDN IDAKEAARGNCYRCGQPGHTRAVCTSERCLECGEAGHIARDCKSTRVLSKQEKQRIAR EEYHHTQMQKQRAERQRARQLGGHDPKVPVIQVTSGSNEKKPEQPKTDSSKGAAKRKH TDSPSSDTAKPPKRKIDQKVPPTAPKNPRRKIDANVPPKTEDLVKPSRDGPAYAPINQ NKPPSGPRADAGPANVCVLFLLWIAWQLLADFSSYIAATAAREWGQAPSTDAEEESSR PVHPTETEIGCGIEIRCISYRPAPMLMLLFYRRHVTCQDITLIYRSTR AFLA_090860 MASNHLAPPENVLPGSEIQEVNSNISHPTKRQRWATQRVHGAGG VRKRVSIMDRFNKRSEMKDEKRKSTSSNLPTAENPNAEGDAEASNRRIYFNIPIPESE RDEDGHPKAYYPRNKIRTAKYTPLSFVPKNIWLQFHNIANIYFLFIIILGFFSIFGVD TPALNTVPLIVIVVVTAIKDAIEDWRRTVVDNEVNNSPVYRLIDWNNVNSVEDNVSLW RRFKKACTRATIWTYRAIKGLAQKNKKQHDPEEADRRASFMTTVTPRASVYSQRGDGG LADEAIQMTPVPSPTPEARPDWTHAVDEQSQYLHPDKARDSVAVPAYTATSPKKGGSV VDMSKPIIGKARFKRDYWKSVQVGDYVRLYNGDPVPADVVVLSTSDPDGACYVETKSL DGETNLKVRQALNCGRQVRHARDCERAEFVIDSEAPHPNLYAYNGAVRWDQRDPDFPD APRKEMIEPITINNILLRGCSLRNTEWALGVVLFTGDETKIMLNSGVTPSKRARLAKD LNWNVIYNFIILFFMCLISGIVNGVAWSSTNRSLNYFDLKSYGSTPAVTGIITFWVAL ILFQNLVPISLYISLEIVRTIQAVFIHSDVFMYYEKLQIYCVPKSWNISDDVGQIEYI FSDKTGTLTQNVMDFKKCTVNGISYGEAFTEAQVGMVRREGGDADAVAARERERIAMD TTKMLELLRKIHDNPYLRDERLTFVSSNYVADLGGQSGDAQRKATEHFMLALAVCHTV ITEHTPGDPPQIEFKAQSPDEAALVGTARDCGFTLLGRSGDDLVLNVMGEERTYTVLN TLEFNSSRKRMSAIIRMPDGHIRLFCKGADSIIYSRLAPGKQQELRKKTAEHLEMFAR EGLRTLCVADRVLSEEEYKAWSKEHDIAAAALTDREEKLEEVSSNIEQELMLIGGTAI EDRLQDGVPDTISLLADAGIKLWVLTGDKVETAINIGFSCNLLDNDMELIVFNIPGNE SHRAAQELDQQLQRFGLTGSDEELLAARQDHTPPEPTHAVVIDGETLKLMLDDELKQK FLLLCKQCKSVLCCRVSPAQKAAVVRMVKNGLDIMALSIGDGANDVAMIQEADVGVGI IGEEGRQAAMSSDYAIGQFRFLQRLILVHGRWSYRRMAETIANFFYKNLVWTIALFWY SIYNDFDGSYLFDYTYIVLVNVAFTSLPVILMGIFDQDVDDKVSLAVPQLYMRGIERK EWSQLKFWLYMADGLYQSLICFFMPYLLYSRATFQTANGLDIADRTRMGVLVATSAVI ASNTYIMLNSYRWDWLTTLINVISSLLIFLWTGIYSSVDASAQFYKSGAQVYGTLSFW VVLLLTVTICLLPRFTFKAFQKVFFPLDVDIIREQVTQGKFKFLEQYEAFVPPKAASA AASGQLLSDESAASSDLGKPMQPSMKQDPFSDDQQIYTPSVAPTSRTHQTHNHRSQNG SNGTNYASSLDTTQHYHTQPVDYVRGSAERTRHSFDRVRHDFEANNELTRVETGLTSG AQEPQSPHSPLKAPYDPPSHSS AFLA_090870 MRHHLYSGPYLLLALTGCSSGVRENWYLFICYLNLAIRTAYRFS ACSIP AFLA_090880 MSSYREPCMRANFHIKLPSEYPACLNVPASRIISNGQIFQLAET PDSTENSQHQPSTQNTEPAASDPTLPPSFNLAQRVVRNGREVVISSDGEDTDTSEAFE DPTSLFLKFAKPDDTSTNEETNNDSSSHGRTLRSRPSRDDVKPRRFSLSRVSAPNYKH SIDSLVTQAVDDNETEASIAKLRATLEEENARKAASKSEAAPGLQQLHEGILTSALDS QNDETGLRRLLDAVRRTEAFDMEKSWFFFDHGSELAPPPAFPRNCIPAKSSLSVLRGS FEK AFLA_090890 MSQSHALSDDQVAGELRKMTAFIRQEALEKAREIELKADEEFAI EKSKLVRQETAAIDTLYEKKFKQAAMSQQITRSTLSNRTRLRVLSSRQELLDELFQQA RDKISSIASKDAKKYETVLQGLILEGLYALNEEKVAIRVRAKDTDAAKKAIEEAQKVF KEKVGKDVTVEVDEAEPLPEGSAGGVVIIGGQGTIELNNTFEERLRLLEIDALPAVRE TLFGKNQNRRFYD AFLA_090900 MAVVGPSQTALGPGMAVVEEHPRSSLELGLEDEEDQTGEREPLL WKASHRRASHRRTPSLPLLTPQGSSADMDPASIWAELDESDFDYADPDSRTPLLKRLS ADPFKSQPRGKTKSITLKDASLRGQRHSSTSPLSHQTWNPRKVRPSVFQPGHQRRTSA PSPDNRRPPQKRRTYAGGPSFFDISGLGYGTQDNRSEAPSSREPPPPTDTDATPLAGT SSGALGTAWRTGLRYLSRLTGGQRGSDQRTHNDPEHNPDNHTDR AFLA_090910 MADITAVGEENPSPTQDDLQQAAGNGAADNRGTKRSRMSADDDD DDDDKPGRERRKIEIKFIQDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVSET GLVYTFTTPKLQPLVTKAEGKNLIQACLNAPDPTTNENGVEAGDVPTEAPEDVAAAHN NVNAQQQNIPRPAGMHPGYMTNEQQQQMAYYQNLQQQQQAGGQYPGMPVGNRMPPQHQ PTA AFLA_090920 MLKNNSFNNSPKMPIFRTRTVFPLIALFSIGFFFWCIERYDRAA FLRFKHPVDRVTTSAGTPQIQLQPSSAPTAKMCDADPSVMPPLPFTEWLPRKNYTRAY FRPHHVSPKTEFSSLEEIDIPVLPPMTVMERGMVVSPDNEDEDISCPPIIDVNVAADH DVDETDKLLFGLATTADRLDRLLPSLLYSYGNTKAGIIVLVPESDDDIPKQETYFRNR GLDLTLIQSPLDFTARYFGLVEAFANHIRTKRPQTQWVSFIDDDTFWLSLPTVAEELK LFDVTKKHYIGSLSEAHWQVDTFGHIAFGGAGVFVSKPLLDVLEEYYDECQSWGEQPG DQKLGQCIQRYGDTPLTLWPSLYQMDMKGEVDGVYESGRKIESLHHWNSWYTKDVVKM TTVAAAAGRRSVLRRWVFDQEEIVNNSTGKSTRTFWVFTNGYSLVKYTYGENTPDDAI DFDHTEKTWEEDPRGYEERLGPLRPKEHDGVLKDRWLLREAYVVGDNVHQWYVREEDE GHSVIEIVWLGPKGGGGAGIKDYNVRKHH AFLA_090930 MEPLAETPWDVTISGTGLAQSLLALALSRSGKKVLHVDQNSYYG GSEAAFSLQEAQEWASKVNGDPEHYPFEDATVYLPEGTPQLPTRSYTVTLSPHLIYSK SRLLPTLVASKVYRQLEFQAVGSWWIHRPSGVDGTSVLYRVPGSREDVFADDIISVKS KRTLMRFLRHIGKPPPDNDSEAEEENLAMSLPEYLTSKFQVPAELHEPLLSLSLSQAS PGQTSAEYAVPRIKRHLASIGVFGPGFGSLLAKWGGGSEISQVGCRALAVGGGVYVLN AGVESIYNLHQSDYGDDMRVQLHLSNDETIKTKFLVGSNWDLPGQGRPACDKVARSIT VVSSALESLFPVTAEGGPIPAGAVVVFPGSSFDQSDDLPPVYLLVHSSETGECPPGQC VVYGSVSHAGAGGQSLIESAVHRLLQTNAEPDAKVLWSLRYTQLGLSSNGANAHSSKF EGPSSNILCFPPPSLDLGFDDALIDRVKEVWKSVMGDEANEQEFMNFEEREHADDDD AFLA_090940 MATDVAFDTSMGSFTVELYNSHAPKTCKNFATLAQRGYYNNVIF HRIIPNFMVQTGDPTGTGRGGSSIYGEKFEDEIRADLKHTGAGILSMANSGPNTNGSQ FFVTLAPTPWLDGKHTIFGRVKSGMRVIQRMGLVKTNGEDRPVDEVKIIRARVVEEGE E AFLA_090950 MAEVSPFAEFLGQAIYQFTAVKPLLPTYGHLLVSVLFPIYIASH ASLSRPSSAAEPPKKSQDADGDESDDEDEDEEEENDDRRVEGLAPSDALIFPLTAGLT LGGLYLVMKWMGADKLNKILGFYFSQMGIFFATAFVKDSLAVLRSFVFPRRYSKAGKT WKVKQSDRVFTVVQEGSTTSEPLEARNSPLPGVFGSIQLPGPVLNLLWTCRNLLYQRV KLRVVLRRIIKTECSVGLLDLFSLVLALPAIGYFTFVTKPWWLTNFLGFSFCYGTLQF MSPSTFLTGSLILSSLFFYDIYFVYFTPLMVTVAKGLDVPIKLVFPRPAGPDAPPDAV SLAMIGLGDIIVPGMMIGLALRFDLYLYYKRKGLQKAQAEGKAQEIVKPVYQSATGGW GERFWVSPTAPSQPALEPPYHDARAFPKTYFKASMVGYIVGTLVTLIIMQCFDHPQPA LLYLVPGVLISLWGTALVKGDLEEMREYSDAEEEEDDAEEKQEKKPDETPAANASFFR RILSGEISKPKKSEASSEKPDKEKSSTEAKGDRPEDPKKSSKGANNKNMELFTISLYI PHKGRTDEADQPKSSTEDVKSTVDDENWSFVGNTEREDEPPAKRRRRSPRKAGATSSP AK AFLA_090960 MAGTSPYVTSPQEEYELPSAATDSSTTHFFPARGPLPSTNTTKQ QSSISTIAPLNNTIAFVRWIFYRPIPVLRIGKLRIGFPSLGASSIILAALIFVTLYSF VPQPLYYSSISIGSPPLAIRAGMIAVAMIPWIIALSTRANFVSILTGISHERLNVLHR WAGYLCLFLSLIHMVPFYVTPIWESTNFMYYQQYFPRNIYIYGTGWAALVPLIVLCLH SLPILRAWMYELFKLVHLPLSIIFLAMIFWHSKNFLASWDYLWATVAIWMLSYAVRLF YVNWSNPLRLSFLIGEECAVTILPQNAIKVTVATQMKWKPGQFVYLRMPGISLFERHP FTISSLCSGDFPSEYGENYRDLALVFRPFGGFTRNVFLKTFEYGPYKTWTAFLEGPYG GMKRDMAAFDDVVFFAGGSGITATASHLLNLIKKMRDRKAVTRSVRVVWAFRNPETID WFREELRICRDFAPPNTVHCHFFLTGLEPHGQDQLAQNQFYQEMLRDKMYNTLEGMDK RNSAYIREEAAGDPEIEKELRRENEDAITALPLAHTLPHINTSRHYTSPMDNNYQHAP YPAPHMSPADTPFNFGFPPSSTVFPKLTTRVGTVPLQRNGWRIDYARPNIPQVLKDYS RTFGRRTCVFVCGPPSMRVEVSKAVAQLQQVVMTDSSKDEIFLHAENYNV AFLA_090970 MAYQFFAKAHQSKAPTSPPLSPPSSSSSNNRHPKPPIYPPSLVL PQSATSTSIADRVKPPPGKDSSFHPPAYPHPIAPSYKTPHPHVTIESVSTAHIPSLIR ITGLLLPIRYPNSFYTATITDPVIASVSRVAIYHDHPGAAAPASTSYAPTSASPLGTS TGTDKVIGGIRCRLERLPPTTAELIQTQSNPHSQEPTNLYIQTLHLLSPYRGCGIAAS LLNSLLFSSAPSSFSSGSRSTYQVSELVKHYNVRCVTAHVHEANEEGLKWYIARGFQV EEGVVENYYRRLKPSGARIVKLVLQWNDDASDKAAEADVHSTSNQSGEDNRKSPEEDD DWEKVEAEDGEDNEDHGVQTFTDSKILEVDDGVNRKRKADDEPQRA AFLA_090980 MGESNGTEQQESSFPSGTIAKLTCDILNNTFYNIIHDIVSKVHR DEKVARMRSAVTIARQKAEEEAGRRREEAGAKPTALKPGEDFEELKDIRVETDGAIFE DGKVYLKGNPLNTTKEIICPDCRLPRLLYPVTGVGARPPPDPYREYCQKQPMISKPGH DVHGNPFATDKLNPKKKKQTNTSNTPASSPPTTPDSSFKQAAPEKVSFPTVKCPNCPR YFVVTRVAQHLDRCLGLSGRQVNRNKTPMENGTSTPSSAPPKRPLDDDTPPTITKKKK LGAPKKLSGKKPPPAPSSKLKNGVTPDMAAAADAAAAGDGDIKSEPNGDA AFLA_090990 MADPSLISWGVSRISQILPLDEESLTQIITYAASLPKEEGADHL KNLLGDSPAAFEFISAFSSRRDPAPQQPAVPDASSSTPTATSSKNPAARQKKGKKSKP PLHSAGPPRRPENFGDVTGGYKKADLEEDYMAPAPRAKQDTNASRSSHLSVEDSSAAS SRAHSPAPKQSSSKPPPSASGPMLSDLLPNVKSKAAKSSTRHSNNTTTSQSKNSLTTT NISDLTAAIAALEVSTNPTLSNESRKCSCFASIHPLFAPAPNCLNCGKIICSLEGLQP CSFCGTPLLSNEEVQSMIRELRAERGQEKMRAHNESVHREGGPGQGPSPSASSSKLNA AMAHRDKLLQFQAQNAKRTRVVDEAADFETPNVASTLWMSPAQRALALKKQQQILREM EEKARPEWEKKRTIMSLDIKGGKVTRVYQSAGASPADPGSPAEEEPEPEPEASEPADE YTKPRRGEAFSRNPLLAAGGLMRPVWKGPDGKPVEARPQSERTQTWRRVQDDKDDNEQ WILDGGLHGYS AFLA_091000 MLVQEVWKTLSIEGVVVGVSALLGLLSFAYVIYNRFLHPLRKFN GPFLASITPWVQLYHGLKGDRHLWLHNLHQQYGSHVRVAPNFVSINSAQGLHDIYGHG KKLKKANFYNGFTAIKGVYNTHNVIDKTVHGRKRRVLSQAFSDQALKSMEDVMLLHVR QLCTALAEQQTEGNKAEAQKTTVQNMGDWFSYLTYDVMGELCFGKSFDMLVSSGRRKM IQLVDRAANRHYVCGLWMPLDTWHLDQIVIHRLTNDRWNFIMNSRVEANKRAQERTQA GHDSKKDFFYYLLNAKDPETGKGLTTPELWGEANVLMIAGSDTTSTTLAATIFYLVRN PRVMKLLKKEVREAFTSVEEIVTGGKLNELVYLKACIDEALRLAPAVPGAIPREVMEG GAVVDGAFLPAGTDCGTPTYSIHRQPQYYREPEAYLPERWIEGATCVTADDKWESTKD AVETARRAFCPFSIGPRGCIGKGMAFMEMRLTIARLMFLFDMELADRQGEDEKGHLAL VDHFTSAKQGPNVIVRRRDITV AFLA_091010 MPPSPLTVTLIQSTILNAISNILAQLIDRHKENKPFALNTIALI QFITYGAIIVPPNFSWQRYIEARFPGFPSWKRNNDNHVPEPNGLLPTKEKPFRPKQQR SGMWNFAVKFLLDQTIAGVCNILLFIVLINLLKGSNLGRVWELVCEDFGPIMLARLKF RPIVSALMYTVVPVDRRVVFGSACGVIWGIYLSLYAVV AFLA_091020 MHDNKLQDTLRSPPQASFVSDSRSVEGRPSMEKGSTLAGSQEES PALVSEAKNENSIHVANTSPGDEATQEEEEEYPSSWKLGLITIALALAIFCLALDNTI ISTAIPKITDQFKSLEDVGWYGSAYLLTTCALCLTFGKLYTFYSTKWVYLTALFVFEL GSLICGIAPNSLTLIVGRAIAGLGAAGLFSGALIIIAQTVPLNRRPIFAAMMGSMYGI ASVAGPLMGGAFTDRLSWRWCFYINLPFGGVTAFFIIFFFRAPKSVKDNSGFKNQMGQ LDFPGTALFMPSIICVLLALQWGGTMYAWNNARIIALFVVFGVLLIAFCGIQWWQQDK ATVPPRLVKNRNVWGAAAYSFCVGGAFFIYIYYLPIWFQAIKGVTATRSGIMNLPLLL PMIVASIAAGACVTMVGYYTPFMIATPILMSIGGGLLSTLKVDSGDAAWIGYQVLFGV GVGLGLQQPMIVVQAALPIADVPTATAIVMFTQTLGGALFVSVAQNVFQNQLRKNILA RAPEVDIAKVVGAGATMLRQAVSKDILPTVLESYNDAITQTFYAAVAIGAIGFFAALP IQWLSVKGKKIEAMAA AFLA_091030 MGAGSSKPDASAGSKHVFSSSGPVEFSSNLVDHLQSNTETDASR AKSIELQIQARVAQELERLRQREQQTIAEIEKRIAESKDTAPSSFSSTPNISYPPGSL NLDAPRIPFAGREYTPAPVPDAQPINRDVSRDSVNTEIEELRAKLEGRRKLVQIDESV EKAKSNVVSCLRLNDRRPLDCWQEVDAFKREVAKLEEAFVDRVVG AFLA_091040 MMEDNTPTVTARRRKPTEAMRIRLETARRLQNLNTKTKAKRAHS KAKRDKENQKSLEEAGSHSFDIAPRVPKIKKNKLSRPPPPESKFKKRQKCKTWLPTHM FHAKRAHMTTSKDPLWRFAIPLSPTEKSYRPTHRARGARGAIVWDMSYMSTIQLEGTE GALECVLRAVGVDGNEAWGPRGKKWRAGTRSLQVWAYECDGPQRPIAPVTLIRCAEEK PGDVEMMDADKASSVENKNSKKDRKKLFIRVHPSAFLQLWNELLEVSKRQNPPVMIED LRFEIGSIDITGPGSTEALLAVLRPVESNENSKLSNTPESTWNSLLGVSNPSSLPQNA LLAFPISDPRLRFPHKTMRPPSSEKDMQDLAMTLSSWAPDRTQTTPSIFDRRARLAAV RQLPSQKAINRRRTEAGPGRLPTPRDTDPQIPMMLLATRPRAQTKDNNAPGSWTVLLP WKCVLPVWYSLMYYTLSSGGNPRLGGLKEQQQLAFEAGEPWFPGDFPGTRAGWEWNLR DREQSKKEWERRPKGRRVEFDSIDLGANQRGEIGRGWACDWERLVQGAEASDASDPNE KDKKAKAEGEIETRQNGEDEETSVDGLPLELRQKWLSFASAKPAGHKSPGRESSSTGS DPQARLAASLLSAQIDTDVHQEHLPLPSEEDLIGFVTTGNFNLSAGKGTGVGSIQVSK VTLAALGKCKARERTMCIIRGAGERVGRLGYWEVA AFLA_091050 MAPNPASKRKSTHPGAQNGSAAARKRAKTFDARTLAAQSAESAL SASGELDVAAYLEAREFEIRALESGMQRSKAALTSRAFQQVPRSLRRRTASHNVKRVP SRLRARAKREVR AFLA_091060 MSGIKAGDSFPSDVVFSYIPYTEEADKFNVCGIPINYNASKEWA DKKVILFALPGAFTPVCSANHVPEYKEKLPEIREKGVDVVAVLAYNDAYVMSAWAKAN GVKNDDILFLSDPDAKFSKSLGWADEEGRTKRYAIVIDHGKVTYAALEPAKNHLEFSR AETVIKHL AFLA_091070 MADVKPPFTEESARKKVKAAQNLWNTQDPVRVAQAYTPDCVWRN RTSFFSGTDNIISFLTAKWNREANYRLRKELFSFTDDRIAVQFWYEYQDVEDEMRWKR CYGLEDWTFDQETGKMRKRMMSGNDLVLGSNGNGEGRWFVDGVDVEDVAISEEHW AFLA_091080 MAVLTLDRYLVLEYVEGGELFNYITENGPLQEFEAVKLFRQILA GLGYCHRFGICHRDLKPENILLDSQGNVKLADFGMAALQREGYWLKTSCGSPHYAAPE IVKGDEYRGNKADLWSCGIILFALLTSYLPFDGQDLSSTLKLVKTAKVEIPSNVSYEA ADLIHKILQKKPEKRIDMADVWKHPLLRRYECLDPVMHNPYIGPAPSWSVKECGPRIS RHELESESDILRNLQTLWHDVEREVLIERLLDPEDVPDHGGRKEESDSIAEGSVISEE EFRDQTPCPPSSPFAIVQNKKKPSSLKSFHSRTSHTGNRRQLNGLSAPRSASYKRNVC FRHVRNRSQGSTTAMKPKGAPINVPDKDMSENSLMSIDPGPFADRESSPLLPAQPAVV RRPGVALRTCAPQKKVRESDFIWKDDARQVSHELSQICEEAFNRASLSTGCTTTSTCM SAETPATSVSMASPEASHSRIATSNSKAPVTPSRCGESPRSYTAAELTETRRKLIAHS TQDGTEDVPGYLVAVIKHLDRLIEQDKARQRERVYTPEPEAQPSELSQDAEIPPKSEK RNAARYPDNKKWSWLPIKHQPPTETVCKNLRPLHPVDRTVTVHEVNPSADSLTNQPET PAGKSKGGFFKKFMKGKASNPKYPTTGQYHLINTIRTSSNRPVPDSQATETTPILSHP TEPPRLINADKPLPQRPGTSRKPVNWFARMFQFTPATKALALNTSKMKGRKEVHRILR EWKPYGITVHYDKADGIIYGKVSRSNIRRIRPVAFSAEFYTVLEDGRRGNLSLVRFKQ ERGAVSSFNKVIDTLEKFMKRRGLLVEDPVRAQQMMKVLDKYQDPQGK AFLA_091090 MSSNPHDFSDPNRQGQYPPPQWNTSQPEDNPSAHYPPASQYPYP PASYPPPSADHQYPPPPQSQYPPPPNMAAIHPHVQGPQDPYRLPPPPGAYRPPDVYAQ PPPPQVVYQAAAPRQRTAIACRYCRRRKIRCSGFESSQDGRCSNCIRFNQECMFTPVS SQAQAFVPAHAAYPHLRNAQNQPRGGAPVMLYGAHGQPLPPQQQPQAPPDATLPPPQG LYQNPYGSAPPPLPQDPVSSNSALSAVKSTLTHWSLCEQRPIGRRGSSSGFEYPDPTN LAPVTPATSAPGYQAHSASSPYYPPPPQHDRRPSPQSAYPYDNRHSSSPHNSPYPPLH ASQSAMTPPPTSTPGGSSRGGLNVRDMLNPGDSQGRSSTDSDMLNALNRRGLNQ AFLA_091100 MTATCPAGADADDNAHNIHCPISPNQSHQPISLSARSSPTDGIW QLVQQR AFLA_091110 MGERKRGVSPDGWDIPTMHCNTVFGAMMTRLRAEPWPTALVDGL GRSAQFVKATETRASGFAWEISGMQVAGQGYKGNTRQSATQMLLGDFPTLGWSVVENV RHTLGLQGGTGLDPEKG AFLA_091120 MPVWLHQISLLMRHICPFSRFESDSVASRHRKRHLLLGYGLDNE NIRLLRLRSFTIGRPLADEELMSPNAQDKIAALIGIMEPFHRYQIRALSPRSPLRLSS HFSGVASDTMIPTI AFLA_091130 MPRRSSRAVPVSAAAPAPVQKRRASDRLPTASKTGSKRQKSDVT TTTTGRPVRSTSKKSKYFQEEYSDDSGTDSNNGSPPEDSPSNYDDSASSVAESVAFPT ARSNKGDTEGTRKKSDSVPKGDTNEALNDKQLWKEGVKAGLGPGKEVFIKKPKARDAG TVPYQEHTLHPNTFLFLVDLAENNERAWLKAHDPDYRASKKDWESFVASLTEKISEMD STIPELPVKDLRWLH AFLA_091140 MSEVEASAIPEVFESRKRKILAGLSVPDAEYTDLSPKGSVDEGI RDLIHEINTHPGLVTTSSCAGRISVFLEGRKKQPKPHDEQGRQFVASGGKGAGKWLNS SERGDHQGKSLHELFGMVPGDGKPPGLNKQGKAPRLVRFHFEPLVR AFLA_091150 MAATLQHAHPVLSAASSSGFRESGLQSLRCLEGDEGPSPIVAVR SAGLSLESVIGYCEDSDADDETATGEPGIRSLVTEEYLQMLVAISNERFSINTERKER FRENLLGLCSANRGPKTNKKTKPPGWEDAQTRRERMKAEGLMRKKLLQDQAKGNEPRD TLQATKEP AFLA_091160 MQILGNLVLTGWITKDNEAKARRAAAGPSSSTRHDQRRTLSGLV ASSEEGLDPQTSSRLEIFATPSRRMTEAGPSYRQEREVGDETPRPFNDSDQSPWTRRL LIFPPGTAREGFHFGHRNTKSSPGNLTPSGV AFLA_091170 MATRRPVDPFTGHDSPGPYHGPYRARAGTVMQPVQTTQPGPSET PHQQGQFPSLGGLYAHLPSTGEAPRGYYPYDTAQTPTPTPRNPRPFSQLRAVHSPLPA PAPQHPFHYGPPGLLSPAFYPTEGTVAPQPMRAEVHGQPGNSEQITDLDKPFSTVAMR EEMPIGSSVHTRQAPCWGVIKISNIPYSVTKRCSIHIIMERSTAKTMDCFVETETQEA AQRTVDRINSIYETGRAPRLGLRRVDVEYSNQDALLKDLFPRAKCISWEEGMPRELPN TDPYSTGFSGFITSEEIVGAIRHAEIPHRSPFSVKCPQRTYESTIISMVRYKVVHGR AFLA_091180 MSQSLRPYLQCVRASLTSALAVSNFASQTSERHNVPEIEAQSSP ELLLNPLTVSRNENEKVLIEPSVNSVRVSIRIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPVKGYDISFLITNRNVEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD AFLA_091190 MASIPVIVKHQGKRHEVELDLSSNGETLKYQLYSLTGVEPERQK ILVKGGQLKDETPLSSLNAKPGQTFMMMGTPSGGQGSGDLGRPKEAVKFLEDMTEAEA ARAEGAIPAGLQNLGNTCYLNSTLQTLRSVPELHQELLRYRPSTGAAGASNLSDLSSF GLGGLGASMDLTSSLRDLFKQMSETQEGFPPLMFLNALRNVFPQFAQRDRNGHGYAQQ DAEEAWSQIVTQLRNKLMIKEGEGESSNEVSFVDKYLAGRFESVTECDEPGAKEAGEQ PTPSSDVFYKLDCHIGKETNHLHDGIKAGLEEKIEKRSPTLDRDAIYTKRSRIARLPK YLTVHFVRFFWKRETQKKAKIMRKVTFPAELDAVDFCTDELKKELIPIRDKVREIRKE ELDVERARKRQKLDHQRDEEKKTEAEPEPLEPMQKKKAAEERKDASKATEKDGDSAMT DVFKSDAEYEAEKAASILAAKKELSELIDPKLRSDEGTNKSGLYELRGVITHQGASAD SGHYTAYVKKQAQGNKEEDGKWWWFNDEKVTEVEAEKIETLSGGGESHSALILLYRAI DLPTAN AFLA_091200 MFGTRRALQSRLRSLGTQSQLSLRFNPHISRSTSTVADQSAPER SKWGRRLIYAGIFGGLGVAAGKWMDNKVSAPVEPETTEDVLKLEEIRRVYETGLPIVQ ELRNNPDYLEADVYGNYSEEDKKQRFTSGPLKGSRGLALQKVFWNDKERKAVSVVYLG NGLEGWPTVVHGGALATVIDENLGRVAIRHFPERTGVTANLEIKYRAPVYSGNFYTFH SSIDRERTTDRKAYVTGEVRDPVGRLCIQATALFVVPKKYKLEEIGERY AFLA_091210 MELTNNFTQSQADEKASGHTDNSHDKQGYSHQEDVGSGGYADES GEQGSEPPMTFRRFMGFTAMAFLWTGSQIPVYLFGGIPPYIYGDIGGSDRWVWFVLAN LLALAGVCPFVGSLSDLIGRRYVALIGVTLICIGMIVSSTAHTMNTFIGGMAIAGAGA GVNELTALAATSEMAPTRQRGKYVAVLIFTIVPFCPSVLWAQLIAAHSGWRYVGAFCG AWNGFGLLITALFYYPPPRINSEGLSPKEVMSRVDYVGGFLSIVGLILFMAGMQWGGY QYSWSSAHVLVPLILGFALLVIFGVWEVYGARYPIFPSRLKQEPRTLGLTLVITFISG ANFFSVIMFWPTQSFNVYGHDPVEVGVRSLPIGFGIMGGACITLWLLSLLRGHNKELL IISSILMTAGCGAMSIARPDNLHQLWGLLTLAGLGIGGIVVPASIMTTIICPDDLIAT ISALTLSIRVVGGSIGYTIYYNVFISKFIPNAKHYIGGVMLTQLNITNTTYIAEAIEL TGASLLDGLREIPGIAGNETAYQAVVAAGQMAYSEGYKWVYYVSIAFGGVSILAACFL GSIGDYMDDHVAVVI AFLA_091220 MVDPNEPRPLTSAFESPTFGEDSSFHVEQPVGSMSISPCGRDVV LASKEGLHIIDLDSPYSPPRYLPHHTPWEVADVQWSPFAARDYWVVSTSNQKALVWNL ATKSCQNSIEHVLHAHTRAITDINFSAHHPDTLATCAVDSFVHCWDLRSPSRPAISFS DWFAGATQVKWNRQDPNVIASSHDKFLRIWDKRKGAYPIRSIEAHDTKIYGVDWNRVR PGAVTTCALDKTIKFWDYTVEGEEPEKIIRTPFPVWRARNTPFGWGVLAMPQRGNSDL HLYSRRAGEGEDPSKDLPLVHSFPGHKGQVKEFLWRARGGVVDGADHRDFQLVSWGTD RELRLHRVDPDVMRGVGYEKGKSFISNINITRNGAVYKSFRDEDSSRGHDETDSLSAF QESVSGSSRALGLNTISVPYTRPWTQGGNTDSRIGMQGRSNIRNDTNPISWMRGVKIS GWDVETLGDEITHVGEKFTKVDFDSVDVRQRKATISLHGPWGPEGDSLFLKVDIKFPA DYPRTAMPTFSVQKTSAVTDELADKLVAELRTIAETFLSHKRGCLEGVVRYLLGETSL EESIAWILGETTETVKSPISGQLEAGESSDEDEVGLSQSQELGMSSELLRPVNANVMV PVAKACGALWANDGRLVCFFPTKKDKSASLLENLGFKEMTRLSRADKVFEGFGRLQTS SPGPRGSGTMTSTDDGTSDYSDDSDAETSSSSGSSGILSTLQHRFPTPQTWRSAGSLG LYKPRSTDNSQRSTVGMMTSKASENPQNTVSIHDFTELLPAKRELANNYRICGKGTDV CAHNAAVALDHGFYELARIWGLVKLVLHNNNKSGQISGLDTGERPQRMQRKDSAVDLS YDLGNQDQRPKDTANSVIQWGDHPFGGQWLVPALFEHFERVGDVQMVAMLSCVLHESN HEKYPPREEYGQSKTVSKRVDDQPLSLNNYSTQAASHSKSHTTTPLGSTPKDSHTTPI TQSSGRSSSEIWRPDSTPPYSTGTTPPLASRAAGFAAERKAMSHTASIAASPDQQSQP RSASGLGSVLASSLSRSFTFGPSSASPPASALSRKKQTPSGSPNTTGGIWPTSTFISK PVSAVPDYLTTPTAPTSQTHSDTESERLEKTQASKPRAKLRVTLKHRDAFEGDKATQN TFIDSKKDGLYRSYRQAYAHLLSIWGLHIQRSEVLKIGRVNNHSSDTDFRQWGYNSPR SSLSLPTLRHRGSVNSNLEAGNQGLEIQRHCIRCGRPLQMSIFTSEPMTETPVQKQMK GDPTPAICQGCNPKQPLSLKLPCVICGEVVDGMLTPCLNCGHVSCFDCHQGWFSGLSP ELQDDKANGAIDMHDSDQEHQYCPTGCGCKCPEHIVSNIPRPWSPSSPTPDGETSPLT RSRKQPDILSNVGQHEDDLDQWHTSPFASLARGLGGGLSRGLRPKEDRRKSKSSISGF VPKRTAMNQVESTWQ AFLA_091230 MALPKRIVKETERLMAEPVPGINAVPHEDNLRYFDVSIHGPAQS PYEGGIFRLELFLPEDYPMTPPKIRFLTKIYHPNIDRLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLANDVAQRWKEDEPAAIQTAREWTRTHAMT AFLA_091240 MKNKSKGRSKGKGMNKLKKDHTNNNNNNNNNKDSIGFTTSSETI TEVNSGRLSEITTQIETSLRIEDDALNKSLLSKTEDINAETTKKENEANTNGDGGLPR VGSLKRRLKMTFTGSPLKLPGDSDRHSCHIHSQDKVTRSERSGSGTASLTDSNTIGTH GSIHSPTKQSPTTPPTPKYLKYIRATNDYIFLDLDFLETDNPNKVIQGGSLSAMEDTK RQAPGVSPSGKRPPETGDKDIKKGLQKQIDQVRSLTGTEVKTVSSTDSKSPRRVDDSR QWNTVNLRCTTCRGSCPICSVACCRYAEAKQTIADTEAKPEKSDNARQILQKIEGLGS HVKDMSTFSLCSEPGGCGRRECKPNPWELCDWHD AFLA_091250 MRTYDDSFSGQKIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRISWTVLYRRQHKKGISEEVAKKRTRRAVKSQRAIVGASLDVIKERRNQRPEARA AARQQAIKDAKEKKAASEKAKKAEKAKNAAAGKGTAQRIQSKQGAKGSAPKVAAKSR AFLA_091260 MTNLTTTTTTPAPIAVSVKPTMNSQTDDAPLFSSSLISPEVVAA LPEGYTIRPVRRSDYKRGFLDVLRVLTTVGDITEEQWSQRFDWISARNDEYYLLVICD NTDRVVGTGSLLVERKFIHSLGMVGHIEDIAVDQSQQGKKLGLRLIQALDYVAANVGC YKSILDCSEHNEGFYLKCGFKRAGLEMAHYY AFLA_091270 MLAPSNFRAAVRSMASLAHAASRASASSPAVARSALACAARSPA SLGCRRALSTNSRQLQFPRLQSLNTISSKRTFGTTARMFLSSQASETRVETDAFGEIE VPADKYWGAQTQRSLGNFDINQPQDRMPEGVVKAFGILKGAAATVNMKFGLDPKIGEA IQKAAAEVAEGKLMDHFPLVVWQTGSGTQSNMNSNEVISNRAIEILGGKMGSKKPVHP NDHVNMSASSNDSFPTAMHIAAVLELEGTLLPSLKSLRDALQVKVENFEKIIKIGRTH LQDATPLTLGQEFSGYVAQLDRNIERVQNSLPHLRYLAQGGTAVGTGLNTFKGFDEAI AAEVTKMTGTEFKTAPNKFEVLAAHDAIVEASGSLNTLACSLFKIAQDIRYLGSGPRC GLGELILPENEPGSSIMPGKVNPTQCESLTMICSQVMGNHVAATVGGMNGQFELNVFK PVMIRNLLHSSRILSDGMKSFEKNLVHGLEANEPRINSLLHESLMLVTCLNPVIGYDM ASKVAKNAHKKGLTLKQSAMELQALSEEDFDTHVRPELMLAPKEKK AFLA_091280 MTKNLAYCWLAKCASTSISTFTGAKAPKGTLGKEKATQKARKKK KLSTKA AFLA_091290 MTVLSTLAPVPADEIFALNRAYANDDYPQKVSLGVGVYRTDDGK PWPLPVVREAEKRLLAEDNLFRHEYTAIEGDIPFLELARDLMFGFDAKDTSDKVKSTK GRIGSVQAVAGTGANHLGALFLSHLMKPKNVWLSNPSWANHLTIWELAGVPRKTYPYY KAATRSFDFEGMMSTLEAEAQQGDVILLHACAHNPTGLDPNKEQWKAIADLCERKKLF PFFDSAYQGFASGSADEDAWAVRYFLNEKPQMEMCVAQSFSKNFGLYGQRVGAFHYVL NDGAQNLRDIVVNNLCHFIRGEYSMGPTGGCSIVKKVLTDEELTAQWHEDLKVMSSRI RTMRQALYNELIKLETPGTWRHIVEQNGMFSYTGLTPSQVYALKDKYHIYLLKSGRAS ISGLSEKNVTYVAQAINDVVRNVN AFLA_091300 MLVSLTVGKVDAGVAVLLTQDNRLIEFPSVLLPNNITSGSIVDI TVARNHSAEEASATGFQSLQKRILSTYGVKTPSPPILRLRNATQTSLVLEWDPIDLAT ASLKSLSLYRNGSKAGSIPRPLETRSTKISGLAIHTEYTFHLVLRTTAGTYQSEKLTC RTHKMTDLSGITVTAGVLPEQQKEALGAALDRIGGKLIDTVRIDTTHFVCTEGKGPLW EKAVEMNIPVVTPEWVDACEAEGTIVSVRGYYLNADPKARQLGPIHASTQHQRTMSTM TANTQNHIQSQSQSQSRLSLQPQPTRERQESIAEPPITPFPGAGMSGQPRAEDSEGPS DDEDEQPPPPPPKDESEAAASPEPTPNGHAEQNGDADAAETAGSSEKEDKADNEEKEE DAQEKVEETKEESSGDEVKKGKGKEGEGDFNEVPL AFLA_091310 MPKNKGKGGKNRRRGKNESDKEKRELVFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVLLKYTADEARS LKAYGELPEHAKINETDTYGQEGFEDNVEFDEDRESEDEKEIDVDEL AFLA_091320 MPPERTNVPVKLSLPLQYQQDIFTELRTEDELVILARGLGLLRL VTNLLHFYDAAGNNLVLVVGADDRENEWIGEALAEHYAMSKTPFARGLKVINTERASV SMRERIYAEGGILSVTSRILVVDLLSKLLDPEKITGMVVLHADKIMATSTEAFIIRIF RQINKNGFLKAFSDSPEPFTTGFAPLANSMRNLFLRKASLWPRFHVTVAESLEGHRKA EVIELEVPMSDKMREMQNAVLECVEICIGELKKANTGLDMADWTLDSALHRSFDIMIR RQLDPIWHRVTFRTRQIVSDLSDLRAILHALLTYDSVSFVKYLDTIVTAHSPPPGSTR HNYSPWLFLDAAHVLFQTAKSRAYQGKISNDVARSSLNSFPTTLQPVLEEQPKWEVLA EVLEEIENDAYLNPVNVDESNSTILIMCTDQRTCRQLREYLGTMHAHVNDENEDDKDI GDNIKKGSAQVMMRRRLREYLNWKTSLSNVTKNLTSKPTNDESQAGKSQDSPRPSAPQ GRAPPNKRRRVRGGGAVTSASGRVPNSSVQTDVELPGQVSNLLEEIQPTEIEEVQKED IIIDDLEDMEDFYELYDMDDLIMIHPYDGDMDEHILEEVRPRYIIMYEPDSAFIRRVE VYRSSHVGRNVRVYFMYYGGSVEEQRYLSAVRREKDSFTKLIKEKGNMAVTLTHDKGF EDPQEQFLRTVNTRIAGGGRLAATASPPRVVVDVREFRSALPSLLHGNNMIIVPCQLT VGDYILTPDICVERKSIRDLITSLRNGRLYNQAETMLQHYKNPLLLIEFDQNKSFTFD AFASATTPGTTFLTDYGFSSSGTATTTLSASSSLVNPSSPKSAQHLLVLLTLAFPRLK VIWSSSPYQTAEIFAELKKNNPEPDPIRAVQIGLDMDIAAGSDSSNVMAAAGIEHRTF NLLPQDMLRAVPGVTPSVLERLILETGNISEIANMTVEQLDPLVGIEAARKIVNFFQK SVFDEN AFLA_091330 MPAIPSDTTNFPSAASNSSNQNEPQKATAQDHLSKGPQIPDNMP PKASREEIEARKKELNK AFLA_091340 MPSILSDADKETVKRTVPKPANKIHAVAVARLYVAYPDPQKWTY TGLQGAVVLANDLVGRTFWLKLVDVSVSDRLCLDSPWSFSLTANDSVHSEPQPAGRGV IWDQEIYDNFPYNQDRTFFHTFELEECPAGLSFADEKEAKTFMKKMQDREKNASKETR QTPFASTRGQGPAPIANGKHGVGRSIFGSLLGHRSTSGSNAPPPVAHTEPPSAPSIQV APPPPSSPPRKPLPFDTSDPSWKGLLDELLQMGITEDQIAENSDFIKAYIEQKQSNGV DSTPSPAEDKRGKAPPPPPPSAPPAPKSSSISPQHTGNSTGSRRGAPPPPPPSRRTRT EAEEESPASTREPSPPRPRFRAPPPIADAGKFAHTNGPPLPGRQRASSGATPGPPPPP RPPKTPVDDSQPRFGVPPPFQGERKVSAPPAPPSRNNAPPGPPPRPPPRTSSPAVPPQ LPPKVPHAAASTPAPPPPPPRSPASQPPPPPPVPAASRPTPPPPASSAVPPPPPPSSS VPPPPPPPPPPTSSVPPPPPPPPLPSSRGPPAPPPPPPSSSIPRPPPPPGRGPSAPPP PPPPAPAGGAPPPPPPPPGAGAPPPPPPPGGAAPPLPTPSGGRDDLLAAIRASGGKGG GGLRKVKESDKKDRSAAMVPGSANETSAASAGGGAAQGGMAGALKAALDKRKQKVSGS DDEKEDDDDW AFLA_091350 MLSPPHLKPAVPSASLLRYLRSQSENTHVFISACGTSRKPQVHN QPSRMLALRNTSSWANIKPLRCRAALETNLLSVSTISRSRGSSRCRASLGQSPSPITV FSRATHTKSRPLLRRLFDLRRSKAAAEAKLNRAGSPALVDEGAEGLNFGRGLAAKASN ELRLRCTEFDNNGNVTLVNGEFRKSELIAKYGLLPRDLRKIDSSTLPHILVRPSAILI NLLHLRVLIKADRVLVFDAYGSTDSYMQSLFVYDLEGKLRQKQSQGAQALPYEFRALE AVLISVTAGLEEEFNGVREPVVRVLRALEEDIDRDKLRHLLIYSKKLGSFEQKARLVR DAIDDLLEADDDLAAMYLTERAEGVQRQEHDHQEVEMLLESYHKVCDEIVQESGNLVT GIRNTEEVVKAILDANRNSLMLLDLKFSIGTLGLATGTLFSALYGMNLKNFIEESDFG FGGVSVICFAITAVVCVYGLAKLRKLQRVRMWGEAGVGGSPMVSLPTRNSALTAHRSN WRADSIEPVWGSLPGEGRAERIRRLKDSAAAAAAKSAATDPTAQRAAASRNAAKSQPK QSGESATNEAAANIGGDSA AFLA_091360 MKNHRNKTVRDVTKLSGKPLPETLEPSSILQGTPTEQWVTSKDL LEDGLPFIPEVMKDLTVFLLGNININSTHLFRADILYDSQGVLSTPQQKELSFAQTGN TSVETTTDAEDRVEPIVAAEVAGFNLTRTVVRRLIPRNPKLDRPLEQTCHFYEADIAP GSEGATQESRLRRFLAVYTPHVASKEEIPFYHPLLRALAYLYDFTDDAAEATEAGSGS GALSLHFLPYPEEALPTRLERTLHALLNTQIRLARNTRLSETTEGGNYNPSKDNVIPQ HLVQNTYSRLKFKYGKDLCRDWVEDTEPTKHVFEDLAITAFLIELWRSMYGAVPGEER NEDGPEKYDPNFPGFVDVACGNGVLVYVLLMEGYRGWGFDARRRKTWKILPEFVQARL KEEIYIPKPFTDAMAERGGVPDLGVETHSGLFEKDTFIISNHADELTVWTPLMATLAC PESPLPFIAIPCCSHALSGAKYRYPPPKASKPDSDKESSQHEDVDSEQPATGDLKALR KAKQETQTDVGFYKSMYGSLTMKAISIAEEIGYDVEKTLLRIPSTRNMGVIGGRKRVT KEWRARNQQQPASDCNGDAVTESALDKAMAAVQRECSRDGGVEGAAKIWVERAKGIHK GQGPGNQRGHC AFLA_091370 MLYPETVDVVRRGTWAECLSYKYGTEEELDRLEALLQSGQQIRA LFCELPSNITLASPNLCRIRALADIYGFVVACDDTVAGYVNIDALPYVDVMMSSLTKT FSGASNVTGGCLVINPDSRHHDQIHTTLSKNQDAYFPLDVNTLRQNSKDIVWRVKQCN PNTLPLIELFQAHPAIAAVNDPSIAPTSALYKSVMRKDGGYGNVLSIVFHDPRTAEHC YNVFNVCKGSSFGANFTLAIPYVQLANYWNQDKVAKHGVPRHIIRISVGLEDSRQIVE TAKRALKSVDEFEMKKDLN AFLA_091380 MAPRCFIIRHGETEWSLNGRHTGITDLALTPNGEKRVKATGKAL VGNDRLIAPRKLVHVYVSPRARAQRTLELLEIGCRERLPWNEERKSEDEEPIRTEAKV EITEAVREWDYGDYEGLTSKQIKEMRKENGEEPWDIWRDGCPGGESPEDVVRRLDALI TDIRKKFHGPCFDGEGGQGDVLIVAHGHILRAFAMRWVGKPLTETALILEAGGVGTLS YEHHNIEEPAIILGGGFVVEN AFLA_091390 MPPSHHYSQSQNLPRHSILPPSSTAAGPSSMSAAKTRQYANLQA QLEQLNANLADTQNLLRMTAVQAEDMRFLGGYVGALFMGSAKVLGEEGVKGNADKKES ES AFLA_091400 MGFCCNPNHISKPPRMGRHLTRLCLVGSNAISAFLSWRLQATTS CDVTLVWKSGYEAVSQYGVSFKSKAFGNERFKPRHVVRTPEDAASRENAFDYVILCVK ALPDVYDLASVIESVVTPQHTCILVNTTNTLGVESHLEQRFPTNVVLSLVSGVEISQI GASEFEHLNSSEIWVGATNKQTSIPSTIQNDMAAALAMTLASGQVDCKVSDNIRQEQF ERMIGPIAFYPTSVMFETSNHTQLLEKVGVRQLVSDIIEELLELARANGCSFPNDFAK KTIETMTANGAPSTMYQDFQARRPMEIETYLGSPIKLATESGVRIPRIETLYAVLHHV NATNLSKPRTNESPPPVLAQPPPRMSSAPPRGPMNGPMRGGRVPSGMMPRRGPPHPGM SRPPSAHPQAGRMPRDPSVEGLEEFSHLVMYDDAASAAAVAAENGVPPQNGTNGYPDM PPGPPPSAADLALRERELAIRQRELQIREQEMGMRRGPRRPPPPRSTFDEEDEDDYFD PMDTLPIPSIDPDQVDMLSITSRRTKKSAPSASQLRKNPEITLNNGGGSRPGSSFSRY FGGRKRTSDRIMQEIPGLHDSLMDNPMMAYSSNRYGAVDRNHIQAGSRANSLTASRMG DYPPHPYPASRRNSQSPATPPYGPPGPRMGRPGTSQDPSLGPPNGPRGGQPSPPGQMR APVPRHPPGQGNAVGPQQIEQQYGVSNSSLAKGTPKHRSLTGSASASAESGDSGASAN LDSENSAHSSQISLNAHQAATPVR AFLA_091410 MCQLWAERQPESKPMEQNLLKRSWIPRQGSNRKRSAGENKMTVN GEGENGENSFPTMKKEKIK AFLA_091420 MSHEEDLIDYSDEELQTTDAAATTAAPAANGDAAKKGDLTVSGG RPDKKGSYVGIHSTGFRDFLLKGELLRAITDCGFEHPSEVQQVCIPTAILNVDVLCQA KSGLGKTAVFVLTTLHQLEPVPGECSVLVMCHTRELAYQIKNEYARFSKYLPDVKTAV FYGGTPIQKDVEVLSNKESYPNIVVGTPGRLNALVRDKKLSLRNVKAFVLDECDKMLD QIDMRRDVQEIFRATPADKQVMMFSATLSQEIRPVCKKFMRNPLEVYVDDDTKLTLHG LQQYYIKLSEAEKNRKLNELLDSLEFNQVIIFVKSTLRANELDKLLRECNFPSIAVHS GVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVGR AGRFGTKGLSISFVSNEEDEKVLKDIEKRFEVALPEYPEGGVDSSTYMA AFLA_091430 MSVSASIPDTSLGLTSSEIQILRQQQQIALQGGHATNGVSRGRG TGRSSNSSSRAASAASSQGRLLLDPMSLRALSHQLDALQGQIRSRIDYLEEQMQLSIQ NTYDRAGNVIHNADAEIARTRSILASIDELETEMAKIGHIREIVKAYRGRIEGLDQRL DQAARRRR AFLA_091440 MPKERNFNPVQAQRKADKQKSLKKAKSEAQARQNEKLARRNPER IQRQIDDLKAVEESGQKLRPRDKEVLEALERDLRAVQKAREALGDKAPKFDNHQSRRG GFGGRGRGDGVLGKRRRDDRGHFGQDSESSETDEEVRRIPMPRDTPPPIPRQYQKKRE GDADTGPRGPHGLPAKPPVVESRTVYEAKPEIKDLRQEAVKKFVPAAVRVKQDAIRGQ GKLLEPEEMDRLEKAGYNAGPSEAVGQESSDQPDDVAQQRLLEEEKRFDQELRSVQIE DVEDEDA AFLA_091450 MQILCRKEINYIKMSQKEREQLTAEFNILSSLRHPNIVAYYHRE HLKASQDLYLYMEYCGGGDLSMVIKNLKKTNKYAEEEFVWRILSQLVTALYRCHYGAD PADVGSNILGPAPKPSGLKGKQAQMTILHRDLKPENIFLGHDNTVKLGDFGLSKLMNS HDFASTYVGTPFYMSPEICAAEKYTLRSDIWAVGCIMYELCQREPPFNARTHIQLVQR IREGKFAPLPEFYSPELKNVIASCLRVNPDHRPDTTALINLPIIRLMRKEKEVVDLSS KLRKREESALQKAKEVEQTFAKLEKERQQMKSDIESSLRREWEVKARLEIDRQVQNEL ERLRKRFETEVQDRVAIELEKQRKSQNVRDDAALRSSVYGSRSSTSNGEDTDFPSTTD ISQLSMESPVNKPLRRETRTPFNRSKTVVESPVDVQMAEPSPISIASLSLSPRRTSAT SSGKNIFAEAERQRAKWEPTLAYSDDEDDTPDLPSPTRPKVKPDPFKAPSRPLLRQNT AALMQKLSTQPPLFPSNPSRLPQASGSGQNEARHGEPKARSPHRRLSKIPSSANLAAD AGSPTRKSGLKQHPTKVNGGGEEMFKAVMQRNMGGRTLVELAQARAGGRPVEDLKRCA SDSRTSNCSSGLKSSDRDPPAVWDPEKDEMPSPFLARGRKVIRNLR AFLA_091460 MSEPTVLRKDQLEISLHNEKKLIKEGTIKDDNPLDLSEPFRELC AACRKGDLKVCQEKITEGVNINARDPYDYTPLILASLCGHYEVAQLLLESGALCERDT FQGERCLYNALNDRIRNLLLEYDYSKSTDPLQPLAAHISSLLTRDQPGTSDIVVTAED ESLYLHKFILSARSPYFRSKLAASPSTATWKLPNTIPPQAFAAAIKYLYFGEAPRELR SGPGTGFTESEVFAGINRIAKHLEIDTLVDSILDSGDRRLARQRRTTELTRGRDQLEE WFRSNVLQNKMVVETIRAGDIKWDRNNAIFADVLLQADELPEETDEHSNGTLQPENGA NAGTKEQTQKSVLFPCHRAMLLRSEFFHTMFSSPFREAHLKDHLHIINVDCSPDVLEI ILTFLYTESVDFPLEVAVDVLFAADMLFIEKLKTKAAVVISTLGSGNMSQAEAAKTRG TKEEEDLDIYAIIRAAWMTRVQRLEEFAARYLAYRLEAHIDSPEFAELIQESASRIQG RQETDSIELLDDIRFYLGERFRLRFDDAGLEEMMEEEAEQHAPDAIANDETIEKVAHG VEAIDLTDKGSPEVLNNAEHASQEAQENVPVMRNLNGEIVVDEFDKDSMNYHILMDKL DKILESLNLEA AFLA_091470 MRTGPIDYSGTGKFQKGTKGIGSRRNQTRMCASSYMRSRLHPLV VVLTPSGTRKLIESMIIHRSEDDHQQVHGSAVDHENSHKLHGRCACLLDGSTIQ AFLA_091480 MTGYVDKKARQEWHARIHRIASSQPQAGFTFPFFALIPRMRPKL CTGLRPSDPHPNRGSIRAWLSTGSCARDASVRFRPDAPKGLWRRDGAKG AFLA_091490 MDLASLITPGPEPIYKSRASYSPPPSSAGSYKRPAEHDSYFSYS RAPQAPLSPPVEDQPKCSLPSISTLLEGADSASTYAAKRQRTSPPPRRESEFRSPYDS VSTPNGPPTPPLRPESGFHSGHHSPSASSVTSGKAIKLESYSQTPMTLPSPSDRSSIS SQGSVHHVSAAPYASPAPSVASYSSPVESSAPSAMYYQRPSGSYQTPATVPSPSAAPM PASATHQQMITPVTPAWQHHHYFPPSSSAPYQQNHDRYICRTCHKAFSRPSSLRIHSH SHTGEKPFRCTHAGCGKAFSVRSNMKRHERGCHTGRPVATAMV AFLA_091500 MLISKTVLSGLALGASFVGVSAQQNSTRWPLHDNGLTDTVEWDH YSFLINGQRHFVFSGEFHYWRIPVPELWRDLLEKIKAAGFTAFSIYNHWGYHSPKPGV LDFENGAHNFTSIMTLAKEIGLYMIIRPGPYVNAEANAGGLPLWTTTGAYGKLRDNDP RYLEALTPYWANISKIIAPHLITNGGNVILYQIENEYAEQWLDEETHEPNTSGQEYMQ YLEDVARENGIDAPLIHNLPNMNGHSWSKDLSNATGNVDVIGVDSYPTCWTCNVSECA STNGEYIPYKTLIYYDYFKELSPIPTHSNAYQSTQPSFMPEFQGGSYNPWGGPQGGCP DDLGPDFANLFYRNLISQRVSAISLYMLYGGTNWGWHASTDVATSYDYSSPISENRKL IEKYYETKVLTQFTKIAQDLSKVDRLGNSTKYSSNPAVSVAELRNPDTGAAFYVTQHE YTPSGTVEKFTVKVNTSEGALTIPQYGSQITLNGHQSKIIVTDFKFGSKTLLYSTAEV LTYAVIDGKEVLALWVPTGESGEFTVKGVNSAKFADKGRTANIEIHPGANNVTVSFMQ RSGMSLVELGDGTRIVLLDRSAAHVFWSTPLNNDPAEAGNNTVLVHGPYLVRSAKLEG CDLKLTGDIQNSTEVSIFAPKSVCSVNWNGKKTSVKSAKGGVITTTLGGDAKFELPTI SGWKSADSLPEIAKDYSATSKAWVVATKTNSSNPTPPAPNNPVLYVDENDIHVGNHIY RATFPSTDEPPTDVYLNITGGRAFSYSVWLNSDFIGSWLGTATTEQNDQTFSFSNATL STDEDNILVVVMDNSAHDLRDGALNPRGITNATLIGPGSYSFTEWKLAGNAGFEDHLD PVRAPLNEGSLYAERVGIHLPGYEFDEAEEVSSNSTSLTVPGAGIRVFRTVVPLSVPQ GLDVSISFRLTAPSNVTFTSAEGYTNQLRALLFVNGYQYGRFNPYIGHQIDFPVPPGV LDYNGDNTIAVTVWSQSVDGAEIKVDWNVDYVHETSFDMNFDGAYLRPGWIEERREYA AFLA_091510 MASELKANEPNVAVWRETVTDLTAGAAGGAAQVLIGEYHLLYNS YDLVKVRLQTQNGGNTLPTARNIWAKEGPLAFYRGTLMPLLGVGACVSIQFGAFHGIR QAIESYNTDKRPGHDSTLSIPQYYLAGAGAGVATSIISLIRTAGIAGIYRGQAVTLLR EIHGYGVWLAAYEGLVGIAMARQQKEREELPS AFLA_091520 MPYQESDTGISPATDLTSGPAFESQVKSLLDRNHPANSALLRAP GNRGSTEAVTQWTSARPLVSDDAVPTIPSLEESQDLLDRFLFYLGVSQHFFDPRTFSD SMVLLFQDEQTQEHQMHTIWFTEYLLVMAMAKLMDVEDPSSQPPGASLFAEAMRRLPP LHQLGEEGAITVEILTLIATYLQWCDRKHDAYLYIGLALRLAIALGFDKPAREQRCLP SETAHRVRLWWTVYMLDRRLSSGLGLAAGSDERQLRAELPRQAIGFQSPIALAINVRI ARATDEIMSSLYGNTSITQLELVYKIQKILQNLYETGRSFPPALVLDFTRPLQTVTRT GASLYLMLFQALQPLPAALGRLCETCNEAATKSLAILHALKRQQTIPRYGFFDLDATF SGAFVLVMMGLIDKTQDQPPPALDQAFDVLRFLSRAGNLAAERRLQDITHSCMHVWPN HILGADRQQGEQVDSGSLSAISLSSLGPRGDASVPHTSASAIPPQPPQYTTVAAEGWE QGRDESRLLETWMHPDTANATFDMQVDWDWHLDLSVEAEGIYSSFFDPSLPLTGVDHL DWQEIEKIFNGQNDP AFLA_091530 MEEPPFSIPPHSRRIDPTQPRTATFKPDGSDNDNDRVEVGPTPL AFAEWQHLGLQPPHLPTMRAYRLQRICDQLISRDLGGILLFDPLNIRYATDTSNMQLW TAHNPSRACFVAASGYLVLWDFHGCNHLSAHLPLIKETRSGASFFYFETGNRTDEHAA RFCAQVDELLRKHAGNNRRLAVDRIEVAGLRALDALGVEVCNGQAVTELARMIKGPDE IRAMRCAVASCEAAVGEMRQAMRAGATENDVWAALHAGNIRRGGEWIETRLLSSGPRT NPWYQECGPRILRDGDLVSFDTDLIGVYGICVDMSRSWICGDLEPTAEQKRLYRIAHE HITNNIEMVKPGVRFTELTRNGHRLPESCRAQRYSVMFHGVGLCDEYPTIRYPEDLES YGYEGELQAGMVLCVEAYVGEVGGKDGIKLENQLLVTETGYELLTRYPFEESFLRD AFLA_091540 MASTQKARRLILTTAVVSITIAGTLYGAGIKTEQEVTQTVQKKE EASIDERIASLRGMRQNLAAKKELVERQINDLDARIEERKRKGIDGSKREPSS AFLA_091550 MPNIFGLVPMLRRAETQPDLEVAQGDGASHIPATSGATLLPRPV ASIVSFVTQSTSLSLRVGTFFGGVAIDGARATTLTGLELSRAVIEGVLTRAGRDVATR SSGEHGRVEAESILERSLAALHKSVTSASFFVAATFHFSSTTLSSASNMSQALLSTLD AILGSTESSRAIAAIITLIRREFRNPRVESMTDDNIGVGDLLIGTVGFAMLQRWGRKN TERQIRTNGGEEAIWDVVILDNGVRADVVGMHQIELTRRPRSEFHDETRRSSFISPGN DEEAFDAVQRPASRGDMAEKHPLSLPPGDHQMSDDDIRLYIMKQLPQGCRASIKTDLV TARTITVDIYDDDSAEIAAPPGTMVIEERFRNDQCLGSSGGSSPPQFPKHTVVFRTAF NKSQSADVRLSRGDDISGIYEISSDHGDQSPYLSQGIAITDSLPDAESTVADHRHAKP QDKPIQTIQTEIEDGSHRNSRFPGTNGLGKSSYEPTLLADSNSSKRYSTESTRTASNE ADTISKGSFGKGSLTRIAQKVKPASVERSGQSKRLSVKEKSSTPPVHSVQRSKGSKGY VPERKPSAPKQSPKPTAEAKSEKPPLPSKARVSSVANRGSPRSPLQSSRLSVPSTARG TSVREMPPERGPMPEFYAIHEKNEESFMKQTDAYSTNVRPRSSAGVRTHVRSSSSMSV TRSEADMSVSVNDGRPSSSHLHRSSHTFTPSIYSLATAGSETSLILAHRSRKSAYDDM ETIQALSRDGLVPGIFPEKHFVQNIRRFLRFSSASYGSNALKVMGVPPTTKALAYQES DSREHSDFSDHTGLPASTILLSSFVDPAGGSNAAGETETGFPLVHYLFLDHESKAVVL TLRGTWGFEDILTDMTCDYDDLEWQGKSWKVHKGMHASAQRLLMGGGGKVMITIRAAL EEFPDYGVVLCGHSLGGGVAALLATMISEPTNDGYGTSFVTASYQATAQRLLLTGSSD TNQTACFLPSGRPIHVYAYGPPAAMSPFLRRATRGLITTIVNGHDVVPSLSLGILHDM HTVSVAFKSDVSGTKSHVQGRVWNSLRQSIVNKFYVNEPPIVQHAGDGIGEDAWAWKT LKMLREEMLAPKLMPPGEVFVVETMRVLQRDAFTSGMGDDGHPRLGRPATRVQLKFIR DVESVFGEMRFGSGMFSDHNPARYEASLAALSRGILDD AFLA_091560 MPERYGDYQSVIYGRGAIESVQPNVTTDPRLLEEQARKALGVRS FNYVAGGAGEKATMDSNRLAFRQWKIIPRMLRQVDNQDLSVELFGQKYPNPVLMAPVG VQSLFHEDKETGLAESCAEVGVPYTLSTASTSSIEEVAETNGDGKRWFQLYWPQDDDV TLSLLKRAKDNGFSVLVVTLDTWSLAWRPADLDNAYVPFIKGVGNQIGFSDPVFRAKF EKESGSKLEEDIVGASRAWISDVFPGRPHTWEHIAFLRKNWDGPIVLKGIQHVEDAEL ALQAGCDGIVVSNHGGRQVDGAIGSLDVLPEIVEAVGDKMTVLFDSGVRTGADVVKAL CLGAKAVFVGRPVIYGLAINGREGAKSVMKGLLADLWQTMSLSGICTVAECTRDRVRK VQYPGDMKAMM AFLA_091570 MEYRSEPKPDVESPRQGNTMMVPQDTDTGSSFLEGESEKHLLWK IDIHILPMVVLLYLFSFLDRVNIGNARLYGLEDDLGLVGNQYQVAVSILFVTYCLFEV PSNLVLKKLRPSRYIASISVIWGIIATLTGITQNYGGLIACRLLLGVVEAGLFPGLIT YLTLFYSKRELALRTGYLFSSAAAAGAFGGLLAYAIGFMDGISGLRGWRWILILEGIP TVLLGVVAWFVLADEPDTAYYLNEEEKALVLRRRMRYVGQTASAQKFHWADVKEGALD WRIWAFSIAQFGIDTMLYGYSTFLPTIIKGMGSWSTPEVQALTIPCYALGALAYLVTA WVSDRTQRRGLFICIFSAVSVVGYGILISDTSSGVHYFGALLIALGLYVAVGLPLAWL PTTLPRYGKRTFATGLQLTFGNISGVMSPFLYKNNEAPRYVRGNAVTLGLVGFAGIVY GLMWFYYHQKNMRRVQGFEDEKVAGMTDEEIEELGDKSPRFIYST AFLA_091580 MLNVTSPWALILSLLTAIVSISYYDNNPSAPEIRSPHCASWNAW YNPLRSGQVGYQANDWNILYHLGGNGPWIEKIDELETPGLEPPKGCVIDQVHMISRHG ERYPTKSAGSRHLALLNRIKEANVVLNGSLSFLNNWTYFTDEPQKDFDQLTRTSPYAG TLQAFSTGIRFLTRYGHLLPLHGTTRLWASECNRVIETAQHFASGFFGLDWEKTEKAA LEIIPETLERGADTLTPGDTCPKYIEDPAKGHDNGVNMLALFQDVYIPAIAERLINDE NNSALGYLTNLEVYGMQEMCGFETLSRGSSPWCDIFTHDDWENFEYARDLIHYYRAGP GNPYAGAMGWLWLNATTGLLRSGPEAGTMFFSFVHDGDIAPLVTAMEILKDPKYDPFL PTTHRVEDRVWRTSSVMPMGGRIVFERLTCPTSSAKDPSGEEAFLRVNINDKIVPLPY CKSGPGLSCPLKEFVDHVERRRSEVGDFGEVCGLEKDVGYITFLRQG AFLA_091590 MEYHFVPPEERAKDDKGNLLPWGYVYKDESRNPRRPPEESGPFG KRRNARYDHARSRTRTGTPAKKENPNVAEFGRLFAMQQEEEKTRSNTLPKSTSSSNLD NARKQTDKVATECILYGYKSKDSEWKVIDKYERVSRGVICEDYPRSDPNSTSGYSQLL SGGDVVIHSNLSADANRKSKRYAGGFHWIKVTFDSTIAADRACFYSPQEIDGHFVFCE LYHGQGPAEDVPILADSSAAEQQKSKATRTLTTSRSTNFLQTNERSTLPRSFTVNNLS SVPDTEEGQSLESTPTASSTTATGVDVPSTASLQQRNIPQEPKPESEFMTHIPTVRRA KLRPINEALPPQPTVTERVLRSIPILSWFTGDIVGDGPQLKEDGTFDYDKSNTYWRFW YMIDMVLGTDICGLREES AFLA_091600 MDQAKLARMQASVRIANLYLHVEQGTYKFSFLFAQSPSGTAFDH ENGKLTHTYPQCIISPSSSHTRSTPNSYKPDHESIRLTNSLKNRGKGTPRRKVKKVHK SSGADDKKLQATLKKMNVQPIQAIEEVNMFKEDGNVIHFGAPKVHASVPSNTFALYGN GEEKELTELVPGILNQLGPDSLASLRKLAESYQNMQKNQAGAEGKKDDDEDDIPDLVE GENFESNVE AFLA_091610 MFNMSLYQNIALNGSFALHGLRHPLDMYSSLGPTLSEATFGLLG YSFEPSRDIGDLSGKVLFVTGGNAGLGKETVLQLAQHNPSRIYLAARDATKAREAISS IQDIISASVDIRHIQLDLSSFQSIRDAAEKFCSECDRLDILILNAGTMANPPELTKEG FEIQFGTNHIGHFLLTKLLLPTLKKTVGSPASDVRIVTLSSVGSHAAPSLDVMTSTSA LLDSHTLVRYSASKAANILFASELARRYPEILSVSVHPGVVSSELYRHTGAMNAISKF GVGLLSTVFRSIRTGAMNQLWAAGTKREQLVNGAYYVPIGVRGSSRFTDDADMARKLW EWTENQIAEKS AFLA_091620 MRFLCLHGSGTSGEIFEIQSGGISQALEAKGHRFTYIDGRLDSE PEPELKGILDPPFYKHYPRDIAPGEDLARAIEYTMDIIKKKGPFDAVMGFSQGAALAG SMIINHAKTHDVPLFKAAVFICGAAPYESSGKETIQPTPGEYLVNIPTTHIVGKQDEI YDLSMQLYGLCEPSKAEFYDHGSRHLIPFDGKNTEAMIAAIEKTIKRARTG AFLA_091630 MATRAPFVVPALKKHTATVIMAHGLGDSGAGWMGLAQNWRRRGL FEEVTFIFPNAPMIPITVNFGMSMPGWYDLSKLGRDLDFEEAIRSQDEPGILRSREYF NTLIKEQIDQGINPSRIVLGGFSQGGAMSVFTGVTNKEKLGGVFGLSCYLLLSDRIKN QIPEDWPNKKTPFFLAHGTDDDVVKYEFGKTSSKLLQDLGLENVQFNSYSDLGHSADP QEIEDLEKFLQQVIPAEGEVSAGL AFLA_091640 MDQFPKLRPHPILSAVPIGEETKDPYFARLPSIDLSQSVFFQKR EHGFPDAEDRDEDLDTLLSTQHNTPFELPLPFWRIFVLTDDADDTERRFTVVFVFHHA ISDGTSGKVFHRSFLQALQSLSSLAVDDVKEVIPSPDSSLLPPGEAVHPKPVSYTYLA VQLFKAKIYDPRDPGLWTGSEVKLPLETKLKHIVFPKHLSSTFRDRCRENKTTITAAL QTIIARSLFTHLPESSTKVRCSGAMSNRHWLPGPITEDSMGVFVQEYTEDYSRKDLSE TSFPWSVTVRSRATIERELSKEGRDTSANLLRYVNDYHNELYLSKVGRPRSSTYEVSN VGAFTSTTPAEGMPRVGRVVFSQSASVTGNAFEVSVASGGDGCLVLMFTWQKGVVEDL FILNVIESVRKEVYDLCV AFLA_091650 MKLSILSLASLAPLVSAHFKLNYPTSRGFDEDKMSQFPCSGLSQ SSERTKVSLSAGDFPVALTMGHSQTAVEVLLALGNDPGTNFNITLHPTFRVEGLGAFC LPNVTFDESIVGVKLTDGMNATLQVQSNGDPSGGLYACADIQFTDVDYSAPSSCSNNT GVKATSFTGDAAKRNANESTADGEAQSGSSSSSTSSSSTGSATSTAGAVALETAAWGM LGAAVVGGMAIL AFLA_091660 MDMSSMDHSSSSSSSSSSSMTMSMAMVFVNAQDTPLFSNQWTPS SSGAYAGTCIFLIILSIIGRLLVAFKGVMEQHWLNAHLNRRYVAVAGKSTEAGRIDAD PDAKVASLVSAQGVEESVKVVRRATHEPLPFRFSVDLPRAFLFLLITGVSYLLMLAVM TMNIGYFCSVLGGAFLGELAVGRYIQWNEHSH AFLA_091670 MSMGGMDMGPMGHMSMGDGVPGLFYLQKMYWAVVGSAIAAGTVV NAFNNFLAFQRLRDSTLTPSKPKSLFFITCATLTAIVREASYATLPPWSLGGRIIHFA PLGPLAIILANLVVVLVFCFYKLDTTDQWKWEDVGYRTGFVAIAQLPLIFLLAGRQNI IGLLVGMSYERLNWFHRWISRTLWLTVTIHMGFWFRSWGRYDYITYQLKNDPLSKRGF AAWCILTFIVLSSMAPIRRLSYEFFVLQHLVTFVGFIVAVWLHAAEEVKVWVWISIGL LVFDRVARYAWATYANLSIFHRSTSNTKHALWANRASFTPLPGNVTRITIDNPGIRWE PGQHVFLTCHSIVPLQSHPFTIASISADNKMEFFVRSEKGGTRRFFRYASKHHHVLGS RDTSPVKPARAVFIDGPYGKMRPLRQFDSVILLAGGMGVTFVIPCLRDIVSRWKMECL GDNEQSKKSPRLTPTKRIRFVWVIKSRAQLSWFETQLQSVLSDVEECRRAQPDINREI ELSIYVTCDEKLEPQPQPAQPLCSQAQPESTIAIWQENNEA AFLA_091680 MGRTVDQEVHAAFVEFRAKEDDKCLSVQCIYCQQIRAKNTSRQK QHLLECPGLRGHTNPQAQSQTAQSAPNGIGATNGYPPTPNGATATAPGAGPGPGALPT PNGPMMTNGVNPHATPMQTPLQNMQGRASLPTSGPTGTSSAPPSQQAPRATPKSKPKT SSSSLPAPPLDDVHAAFVEFRAKEEDKCLSVQCIYCQQVRAKNTSRQRQHLLECPTYL SVMKDSIPANNLLHTFPEGDVARSLQIPAPTLELDFRMSIKMNPKVAVGQSLWGQRDW VTFVGGQWAGRWGKGIVLPGGQDSQIVTKESATSLRASYMLQTADDPPAFIIVKTNGW LTGAKDVLDKVNDPGVADTINPNTYKYRINLTMETGDERYAFLNTLMWVGSGCRRGHE VIFDSFRVN AFLA_091690 MFRSIPRRIPRRLPTPSSTSRLALRSFTCGYPRMSVPPLSAVEP PVSTALPCDSYQLLSTADKAGAAEDALYEQQIKDVEAWWKSPRFEGIKRPYSAADVVS KRGSLQQTYPSSLMARKLFNLLNERAAEGKPVHTMGAIDPVQMTQQAPNQEVLYISGW ACSSLLTTTHEVSPDMGDYPYNTVPNQVQRLFKAQQLHDRKNWDARRKMTPEERKSTP YVDFMRPIIADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVIV PVGEHINRLVAARFQWDMMGSENLLIARTDSESGKLLSSAIDVRDHEFILGVTEETEP LAETLQAMEREGAAPAEIDAYELDWVKSHKLVTFDEAVDAHLEREGAAQSVRDAYKKR VQENPDLSITRRRALANDYTKTPVVWSWDIPRTREGYYHYKAGFPAATKRAKEFAPYA DLLWVETGNPNVEKAAKLAGDVRSAFPGKKLVYNLSPSFNWMGQGFTEETLKSFIWDL APHGFVLQLISLAGLHTNATVTTELSRAFKDEGMLAYVRQVQAREKELGVEVLTHQKW SGAPYMDGILGAIQSGNSSNKSMGDGNTEKGKNFSYSRYRGSCY AFLA_091700 MCGLTAFLTVGGTPGCVHYANNGPGLEKQLEESLDLVNHRGPDA RGRWFSTDHRVGLGHVRLSIVDLSSAGNQPFHDSEGAIHAVVNGELYDHEEYRTELAQ EYDFKSNSDCEIVIALYRHYGISFLNKLRGEFALVLYDANRKLFLTARDRYGIKSLYY TMVGNRLLVATEMKSFLPFGWKPEWDVTAIREGAWMNGSQMLFKGVQRYMVSQNFNPP ERTVYPHSEEEVIERVRELMLDAVRVRLRADVGLGIYLSGGLDSSAIAGMAVKLVQEG TKLGNDTSGERSKIDCFTVQFEKDSGFDESDIARRTAEWLGVGYHPVYLDEEAIAARL EDTVWYSEIPAANVNGMGRLAVAEAAHAMGKKVILTGEGSDEHFSGYSDLLPNFLLEP DYSWPQSLAKQSDFAEAWKVMEERNQILAMGMDEVAGPTKRMLNNTTLCARLSTFTTL PYSSWTDQLALRSPATAFAENFGVQVLHKMMEKWHPLHSTQYQWMKSVLANYILRYIG DNVDMVHQIETRPPFLDHYVTEYANNIPPSLKIKYDPESKTFREKNILREAMKPFVTE EIYNRTKHPFVGPFTYQEDGPVHKSLKRLLTEENVNQLGFFDNDRVQSNLVKAFRDKD GVCFQRALAVAQYVVLGQRFGVKKAQDPGCSETSSGPYV AFLA_091710 MSSLLCLGMEDIKIPSPSAILDPQPAITPTGGLSRPLRSPTTKK SVSGQTATNATIPGKAKQSKSRNGCITCKAKRLKCDESKPTCLQCKKRNVECGGYKKD FKWRPFEETNVAGRVSTAKIKKADSSPPSRRANLSISTSSPTTEHSAAQVKDQPAASQ SLPLDASDFAQFLAPQLNGSSSPSNKRRVTQKNLSFCADSLLDNTDVAHIDEDVFVPP LEEIVPPDESPWPSDISLPTAEFDTSPGETTLAIPGEETVHESDDFSFAALLEGDTED LEAIVRQSDPSVSPNLFQFAGTSVSPRSRHTDISLGLFKEPAISAGSPERLILHFDRY TCGILSVKDGAHENPWRTLIWPLAKDTPALYHAIFSLSAFHCSKKNPSLKVYGVEHMR RSIACMVQDIQNMRADAALATSLALAFADTWDRDTRSCIQHLRGAKALVAQVVGLGLQ AGLCAADLDRIRFLYNTWLYMDVIARLTSLEESGDQKIDLSILQLPKGAVHEIDPLMG CATTLFPLIDRVAQLIQRVRKTNSNSISLVSEAIELKRHVEQWEPPDWFEPPEDPTSE VQHSIQIAHAYRWATILYLHQAVPEMPCEPASELAKRVLLLLATVPPSSRTTIIQMFP LLAAGCEADQEEDRQWVLGRWRSIQTRLMLGSIDRCIDVVHEVWTRRDQFEAEKQRRQ FRGAGRSNSLDDREPVGRDGLPYRNMVHGDLNNPAMFAKESYRRPAADDRAISSRGTG NPRRSSAVSPLENIEFEKTVRGNLHWVSVMQEWDWEGKFHFFHSSPLCLGRD AFLA_091720 MDLVTKWGHFSKEYKQRRKSTSSTEEHKGGEEHKGGEEHEEQRA FNWLLGKFGRPHNDHPDRRSSGAGNMATDIDEWRRSQKKSTSSQQGVPDLKSQEGVSD LRPQEGISDLRTHEQVSDLRRQ AFLA_091730 MPAAFTYFAKAQSTYKPPHIANGNAFLGDIVSSEHNDPEKPISG GFYRLEKGVPLVYEYHFDETKIILEGEFEISDETGQKVTAGPGDVFYFPKGSKITFTT PSYGLAFFVSSILSFTL AFLA_091740 MTLNFARESSDRPALRLPNPPPLLHTRSGNETLDRPSTPSQNGF TSPIQTPQGSPSKNRFPPGALDLPNVFDKAMKLNPTSPNKGTYDHYSHPMFSPKKSVE DFSESVIRQPPGSPTRKANKENTPPSPTRVGKDLGPNPAAAAISRHQTYQPRDVESIQ RRQVQMRGLTPEEMEKLQNPRVKRLVNVTQLYFLDHYFDLLSYVHNRQTRYSQFRNAY PEPPATPMDDYEPALLKYLGRERAHLRKRRTRLRQHDFQILTQVGQGGYGQVYLAQKK DTREVCALKVMSKKLLFKLDEIRHILTERDILTAAKSEWLVKLLYAFQDEDQIYLAME YVPGGDFRTLLNNTGVLHNRHARFYISEMFSCIDALHALGYIHRDLKPENFLIDSTGH VKLTDFGLAAGMLNPGKIESMRVKLEEVGNTPVPFGRPMEQRTMAERRQGYRTLRERQ VNYAKSIVGSPDYMAPEVLKGEQYDFTVDYWSLGCMLFEALAGYPPFAGATVDETWQN LKNWQKVLRKPVYEDPNYFLSRRTWDLITKLVASKEKRFKNIHEIHAHDYFAEVDFNR LREQRAPFVPELDSETDAGYFDDFTSEADMAKYKEVHDKQRALEEMAERDEKMGKGLF VGFTFRHRKPAMDGGRSSPRKPIATDGSFGTMF AFLA_091750 MVFRFPKTLDPITLFHSPSAPASQNALKTLQRALAAAEAGEPQT TKRGEFQLEVTTEAPTTDQLRNILDYVTADPAGAGSNRVVYGVEQVVKGARDAEDALK KFKENGAQGIVRPITVDWTNGRAVLGDNESEILKMVHQLDVD AFLA_091760 MQIARPVTIFHTASPEFSDAPESAYQRIIVDGAHHLLNAALKVN TVQALVNTSTPGVINDNHTDLIDATEEMPMLRPPQQKRVYCIAKADAEDAIQAANRNG GQDDRGILTCAIRPGLVFGERDVGSLGKMFAVARQGKTRFQIGNGQNPYDFIYVGNLA DAHLLAAHALLNAWGKPPPADASTRVDGECFHLNNEDPWLFWDFQRAVSALAGNPIRP EDIVVIPKWVGLTLGFVNEWVAWIISGGTRPANMTREGIRFSTLIRTLNGNKAKRVLG YRPKVGMQEGLERSVRWFMENAEREA AFLA_091770 MDPDASITPDQPPVFNYILSFLLVGVAWGFTTPFIRRAAADFNA RQEQLSQGQPQAQAQASQEPELDAQELQQTNPDHEPAPTPDSEPSDEEDDEDHPLPAA GTQQQQQQQPAWMRPSQSWLKTKITTMFWTVINLLRTPAYSVPLIINLTGSIWFFLLV GKHELSLTVPLANSSAFLFTVLGEWYVERKVIEKETWLGMVLVLGGIGICVKSKS AFLA_091780 MAEIILYDLACTKNVCFSPVVWRIRLMLNYKRIPYRTIFLEFPD IEPTLKGLGILPSESTSTSKPKYTVPAIQHVPTNTYIMDSASIAHFLESTYPDPPVPL TSELGREIEAKSRAVVGPIFFTSVMPREIGILSPRAQEYFRRTREASLGHRLEDLLDL DKEEQSWDAVEDDVRAVGELMQMNRADGPFVLGDRPTYTDFFIAGSLQSARVVEESVF RRFFGYPGFREVYEACLPYMEKKD AFLA_091790 MFGLLVKAALVGLTLASHSHHRHIHRHHGSSHARTAYTDLDVSP HGLNTSTALNETELASFPAEILLNITPLHPIKEEKGAFAHFMVENARDWSVSAWEADM KLAKEAHIDAFALNFAASLTDMYPLASAFQAAESTGFKLFLSFDYAGAGPFEESVVIG IIKIFSSHSAYYKYKGKPFVSTFEGPGNAKDWEEIKEKTGCFFVPSWSSLGAKDALEL GTADGLFSWAGWPWGNKDMDTYVDASYLDYLDQDYGKPYMMPVSPWFYTNLPGYDKNW LWRGDNLWTDRWEQVMVVQPSWLQIISWNDYGESHYIGPLRDIDNYEAFKVGKAPFNY AHGMPHDGWRLFLPYWIDMYKKGKGTITKEGVVGWYRPNPVAACKNGGTTGNTASQLQ LEFEPAQVVQDKIFFSALLTSSATVTVTVGGVSIPATWEFVPDGGVGVYHGSAGYGAF LGDVKISISRSGATIAEFSGTAITTSCKDGYSNFNAWVGSASGPSISAVSPKLPMENQ TCIQGTAPGNFQGLCEFTCNYGYCPIGACQCTKMGAPREKPKPTGVEGYPIAGEGSSY IGLCAFACNYGYCPPDACGTTKVPLTEPTVSPFLPSACTAGTGEGDLQGLCSYACNYG FCPINHCKCTATGALNRPPPANTTFTAEYLGGDGNDSGLCKFACQRGYCPDACASTEG VIPSCTDDDDRPECAEELPCDYSLTFDSLEALEKASGDIPKGCMPMYAAQILMDTLDT ALANYTDVDNGYDDKFGYYVKYLRAMVPVAISNFVSLNKGEGPGNKYFQCRWAMPGKQ KGEYQSCPIINTSEQVYIEYKLIDEDGFFKELQSKYGISKDWVEFRTYYRDVPACPPF TGIGPPPICTHPHYQYENFPMAKDDFTIPNPKDSIKDALPRFQQLQLDLTATWGDLSF FLWDGDDDDAVAALSMPVFMLLQAVDSMATVKQIGEEEKEHEEEAKLMGLK AFLA_091800 MKYFLLLILSCLLLLTETALASDTAGPAETLFFYNAYLIEYKTV SNPKKRKLAKGCPATQIDAPCTYAEFMDYILDARSKTQIRKPKFQNILNNADTAGITE TSRRLREGGLKCKYDLSKLVEGIGKVTPFSKVLEAVGEQIKEKISLSSVESEKNNIKT ALKIVEQNRVADNMRFLNEELEKRMGIEFVKSSRTTDDGRVWQAYDTDKTKLKYPDRK SLSEETKDILKQLRDGKIEVEDRALASHQAVVVKVKEILKHANSC AFLA_091810 MSNTELDNSGTGPLKVPGFNNIPLELALGSDDDSEDRFYDAVPM DWYSSPLTARELAMLNLMETLTDRPGWYNLVFDKSTVAKWSEEAMTRPMMSRKAWDWC LAELRDKATRFKETGQILVLNSGSAVCKSDTIIPSSVGLKIKQFVASLSDELGGRKDW EPSSNKQIWNIIDPSLFPLVYGQTRVLVNGGCVPLEQTLETYGQGEVAPRHDQDRERL ERLPNTYRNARSLLFSHRFQWLPCEVEFCGPVGSTDIRITSYINELHPSRQRSFYETL EEVMSQVIEPWNETLIKGEPIDLDLPSPRGRAPRRIQTFGVEWRNEYPKWAEDLPTER DGDLEAYHNALARVKEYVALPEYGVQVKWQGLETKEIPQDWESTVSLKDVVDAKYSRL FRFEHSDPGLYSYDEWKAGKTAKSIVGPTKHDIQWETDPKIWYSQFKMKDPMDRYKLQ EAPDMNCTDHDYYTVKLQENFRDEGLQIIVKLEGIELTPESPSYPGEDWHTDGLRNEH IVGVAVYFFDMENVTGSRLSFRQEIDMDSDLYQFEGWDVPYLEELFGVENHKPALQEL GSVSIGQGRLIVFPNALHHRMEPFELISKSRAGHLRFLTLWLVDPYYRICSTRNVPPQ RHDWWAEEAQSMVTSAHSLPQELATMVLNETHQWPMGLPEAEQHRLERGKDGSTAHDA MRYLIQNHEINLWKRI AFLA_091820 MPHLTIQDEIKKLRCSDSPTVTRSPSPKKTEKYIVQKLRKQFEL TKDTIGDGSFARVKIVHRKEQGTLVKYAAKFLNREAKVSEQLYEERAWKEFDIARNLH HPNIAEVISLCKHNPHLVFVMEHCQHGDLCDLMAKATLRHGAKKCFFKQMLRGVAYMH SHGIAHHDIKPENLVLADDNVLKLIDFGLSEVFADLLPPDSKGERKLGPVREFPARLY GTPAYLPPELFQGVYSYDARALDVWSCAITAFAIFAGDVPWDLADDEDKSYQAFRGSW QYLLEEYPDLPITADNFPLTTFAKALPEKELATLLLRMLHPFPEKRMTILEVLEDPWV QAIECCSPESNHSSTVRGDKAGDCGIPKMHDHRPLTDELASNSD AFLA_091830 MGTGIQAENDTNTVSGAVPLSPATQVKNRRKRYLDTHPEYFSAD LELADPLLYDRLIRRFQTPAEREAEGRAKGFSGILQADLYRSEAKLDALSHPDPNAMF SYSRGPNGEILAEDKDEIPTSKEEGEKIWQWEMTLRFIRGEDRDFDYTTVDENDDYDD WNEEQERYFDDEEPEWIVEGTSGDDVRSRLQGETGVQDF AFLA_091840 MSSLQEVIQQVNSRRLWRGAALLVLVAFSSPVFVLTLAPVYGSA PSHIFHGYGVAITAALGWFLKDFIQQVTNRRAVYLLPVVAFWYPTIQYFLLQQSSSFG NPTGAVITEVVAFYPFVLLSVACAAKLVQAGLNLERYGDLAKEHIPLISSYIVYSFGE KLAKSFIVRFIGSFWLFSSTGLQLLVAILCSAVIPSKWLLLAIPSILFTLTSNVHLPL GITTSGLKSALNEEGFSLVDRQESSTGYISVLDNLEDGFRVMRCDHSLLGGQWTKTYR NYKPKVQDPIYAVFSLLEAVRLAETGHGAHRVDADSKALVIGLGVGTTPAALITHGIE TTIVEIDPVVHKFASKYFHLPPNHIAAIEDATLFVDRALKSPQPNQYDYIVHDVFTGG AEPIELFSIEFLGGLNSLLKEDGVIAMNYAGDLSLYPAALTVRTIQAVFPSCRYFRED AGEEGNGDFTNMVIFCKKDSATPLRFRDPVPADFLDSKFRETYLVPKHEIDPAIFTTV TGGQRVLRTKDTGKLYRWQDQGALEHWGIMRKVLPDAVWENW AFLA_091850 MLIWAGSPMFDNRNIFDFKAVHSIGDKPHLSLVWQIAYDHSDNG YGVILDNAYDIKKKIPMPDEYGAFDIHEFNILDDGKTAMAITYREHEIALDTMDRPGE HTHVLSGGFVKIDLNSEKINYAWDGVDKVALSESVTVNKETPPVGPPGWDYVHINSID KNDDGDYIISYRFTNTIYMISGQDGNIMWRLGGQYTDFEQDFTFSKQHDAKFIESNGT HHVISLLNNASDEISNDEDVSSALFIELDTSASPKTAKVIRRHNRPDGGLTRLRGNTQ LLPNNNVFVGWSERGYISEFSPEGDTLLNANFVSSRYSTYRAYKFEFTGRPSAPPDLV ASVWGTDQTDLSTTFYVSWNGATGIAGWNFYARAAKNGHPILIGNATKTDFETMFIAR GYLDWVTAEAVDHEGRVLGTSRVQRSKIPDNWAAAGFRGDLKTLKPDDPKAPKSNGGK QTTADAEADNKNNNNLQSPRPADAEVKEIAQLAHETYDLVRNVSGVFVLIVLCGIVSG IAASIYLCFRRRITQPYKHVPSDDIPEEEIRLHSVE AFLA_091860 MQSFLTKQLEVLEQLKIEDEKDRTAKPVPQLEMSPLDDNGRVNE HIGPVQFNMGGIQVDADDMLRKLKEREANRSQRKDTLSAPPGEKSHNQALANFFAGLV KKPGGSPRGSPSA AFLA_091870 MNAIRQIQSLNKRELENAIPPEASWHADYRDTAYIYIGGLPFDL SEGDIVTIFSQYGEPVHVNLVRDKETGKSRGFAFLKYEDQRSTDLAVDNLGGSTVLGR ILRVDHVRYKRRDDEEEGDNVAKLMGDAVVKSAGDGDTDDERRRRRKGRTGEDESQRR PLLKEEKELEELMVNHDEEDPMKEYLIQEKKEEVARALEKLNREKSSRRRESSRERSS RHHRHRHHRRRHDEDRSRSRERGTRNRRSRSREDRSYRNRSPARKERTYRDRTPVGSR SPEPRRNRDREDRHR AFLA_091880 MSSMSYKQIKLRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCG KQVLEGKDADDAANAHVEEGVRIKPVTVELELDDEGTRISLTIVDTPGFGDQIDNEAS FGEIVGYLERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRL SPRVNVIPVIGKADSLTPAELAESKKLIMEDIEHYRIPVYNFPYDIEEDDEDTVEENA ELRGLMPFAIVGSDDFVEIDGRKVRARQYPWGVVEVENPRHSDFLAIRSALLHSHLAD LKEITHDFLYENYRTEKLSKSVDGATPTQDSSMNPEDLASQSVRLKEEQLRREEEKLR EIELRVQREIAEKRQELLARESQLREIEARMARESSSQDVANGDA AFLA_091890 MADLGGHQGRNYRPYGHPSSFQRDAAFSEIFGGAPPPGRSQTMT SQTPQFSQDRAHTMSSHVPHPQMQRDPPPPTRQVPNGHPAGAPNGYYQAYPGSATMTS HSSQNAPRPYPGRFAYPQPQRLDSRQSPGPQYPDAKGYSRPMPPPALNSDAYRSRSMA RMGGPPLYHPPPSSFNHTSASAFRQQPYNAAAPMTAQGRVVPERHGNERAMSLTSYSA DRPDYNKTTSTGRVIPARRQPSGSSQPPFSRPDMDPAAVHNVDGRPRPPSDGSTTSRS MSMASTVPDRTMSMQSQAPPKPAGQPTLVASNSRRSKVPLVYPALLSRVADAFREKIV LAKREKNGVTYHYAFSGADAVDLISYIIKTNDRNLALLLGRALDAQKFFHDVTYDHRL RDSPGEVYEFNDFKETMGEEAPSSEVNGVFTLLTECYSPTCNRDSLCYSIACPRRLEQ QARLNLKPQPGLRSSASKGSLHGDDDDNDNQKLWINMVPKEVSDSIDDREKKRQEIIF EIMYTERDFVKDLEYLRDFWIRPLRSAGNKNISPIPEHRREKFIRTVFGNCLDVLAVN GGLAEALNARQKESHVVKTVGDIFLQHVPRFDPFIKYGANQLYGKYEFEKEKASNPDF ARFVEETERLKESRKLELNGYLTKPTTRLARYPLLLEQVVKNTADDNPDKEDIPKAIK LIKDFLSRVNTESGRAENHFNLVQLNGALKFGPGDYVNLKLTEENRQMLTKMAFRKTP TDTSEVTAYLFDHAVLLVRIKTVNKREEYRVYRKPIPLELLVIAQMDEVIPRAGIAKR PSSSLLPNKATANPPNTKDGLPITFRHLGKGGYEQTLYATNPTQRRKFIELVDEQQRK LRERNSNFYNKTVLCENFFTSINRVNCLVPVDGGRKLVYGTDSGIYLSERWPKDKSAK PRRVLDVSQVTQIDTLEEYQLLLVLANKTLSSYPMEALEIGEGQNTVAKRPKKIQGHA NFFKAGIGLGRHLVCSVKTSALSTTIKVYEPMDNLGKGKKKYKMFQSGQDTLKPFKEY YIPAESSSIHFLRSTLCVGCARGFEVVSLETTETQSLLDQADTSLDFVARKENVKPIH IERMNGEFLLNYSDFSFFVNRNGWRARPDWRISWEGNPNAFALSDPYILAFEPNFIEI RHIETSELIHIMTAKNIRMLHASTREILYAYEDEAGEDVVASLDFGKPQRS AFLA_091900 MVVDSELAPKFAPFFSFAGIAAAMIFGSMGAAYGTAKSGIGISG VGTFRSDLIMKSLVPVVMSGIIAVYGLVIAVLIAQDMQPPPLPRQSLYTGFMHLASGL SVGLAGMAAGYTIGIVGDAGVRAYLQQSRVYVGMILILIFGEVLGLYGLIVGLILNSK SHP AFLA_091910 MATGTVDPSAPKDVQGTSAPAGDIDTGSGNLHEKEKQMVSAIRP PHQRHLSPFVITERPEEKQLGVSTRTLTVDDFALLKTLGTGTFARVWLVRLKDEIRQR DKVYALKILRKADVIKLKQVEHVRNERKTLSAVAGHPFITTLIASFSDDQSLYMLLDY CPGGEIFSYLRRARRFNENTAKFYAAEITLTIEFLHDVEGIVYRDLKPENILLDAEGH IRLVDFGFAKKVDNRETYTLCGTPEYLAPEVIHNSGHGLAVDWWALGILIYEFLVGQP PFWDQNPMRIYEQIVEGRIRFPQNMSPAAQNIISLLCKTNPTERLGYISGGSARVKSH PFFEDIQWDDLFYRRIKGPIIPRVDHPADTGNFEEYPDPDVRSQNVYTDDLKKKYEAL FSDF AFLA_091920 MLTYRKSLIAALFLITFVVLLRSSHSASSPSPPAPAHLPDEVAY NTNEVTEEHLSGQKKEAIPQQQPLKPSPSAPLRERLRYHFPYDLDKKFPAYIWQTWKY TPDSVWFGQELRGAEASWTELHPGFVHQVVPDDTQGYLIKYLYSSLPDVFEAYESLPL PVLKADFFRYLILLARGGIYSDIDTSALKPAADWLPSTYDLSTIGFVVGIEADPDRPD WHEWYSRRLQFCQWTIQSKPGHPILRDIVAYITEETLRMKKAGILKVGKMDKTIVEFT GPGAWTDAIFRYFNDPDYFNIEPDSNHNITYEDFSNQKDWRKVGDVVVLPITSFSPGV MQMGAGDYDDPMAFVKHDFEGT AFLA_091930 MGSIHGVARSARSLSALLRHESVSTRNRLAAVCSSTQYATARRS LHNGRPRKSQSAAASNNSSNPALSFPCLDAQDAKSALLSARSIESGPEPSYTTGHHEQ FRCEDPLLLDWGGVLPEFDIAYETWGQLNADKSNAILLHTGLSASSHAHSTEANSKPG WWEKFIGPGKPLDTNKHFVICTNVIGGCYGSTGPSSIDPSDGKRYATRFPILTIDDMV RAQFRLLDSLGIQKLYASVGSSMGGMQSLAAGVLFPERVEKIVSISGCARSHPYSIAM RHTQRQVLMMDPKWARGFYYDSIPPHSGMKLAREIATVTYRSGPEWEKRFGRKRADPS KQPALCPDFLIETYLDHAGEKFCLEYDPNSLLYVSKAMDLFDLGQAQQTETKKRRAEY EANIAEGGKTVDASNIACSLTLPEKPYEEQPSVAASTPAMDQSVAGGAEAPPQDLVAG LAPLKNHPVLVMGVASDILFPAWQQREIAETLRAGGNEKVQHIELGEDVSMFGHDTFL LDLKNIGGAVEEFLR AFLA_091940 MGSGWELENPDATTASSDDDDLDVSRPARPYKADLSRTTSKSEV TPRPTPKSEIPVEERSSPLNNPTPVIDLEVQTDSDDTSFNDGEAAYQAFKNRKALKRK RTSMSARKSKTPKVVHPESFVGRRPRQGGRPTPRRPLREYGDEVPSEDDLMEYTLPDY LQKRRQQFERRAEHLKESGLKLPPGYEDIEFSDDERLEFLEEKPAFTNIKPCSAYKDI TLPYSLGLIPAPIAQWLRQYQVDGAAFLHELFVYQKGGILGDDMGLGKTVQVIAFLTA AYGKTGDERDAKRMRKMRRSGKDQWYPRTLIVCPGTLIKNWMSELTRWGWWHVDTYHG DNKELALHAARSGRVEILITTYGTYLQNKDSVNMVDWDCVIADECHIIKERTSETTKA MNSVNALCRIGLTGTAIQNKYEELWTLLNWTNPGKLGPVTTWKKTISDPLKIGQSHDA TLYELSKARKTAKKLVENLLPQFFLRRMKSLIADQLPKKIDRVVFCPLTETQSDAYEN LLDSDIIRYIKESSELCSCGSRKKAGWCCQQYLQSGLRWQSYVFPAMNVIQKLSNHLA ILIPQGVDSKEKQDKDREWLEIASPDKWEQLYRTRDSIVNYANPEFCGKWKVLRRLLK WWHSNGDKVLVFSHSVRLLRMLQMLFHHTSYNVSYLDGSMSYEDRATAVDEFNSDPRQ FVFLISTRAGGVGLNITSANKVVVVDPNWNPSYDLQAQDRAYRIGQVRDVEVFRLISA GTIEEIVYARQIYKQQQANIGYNASSERRYFKGVQEKKDQKGEIFGLNNLFEYQNNNI VLREIVNKTNVAESRAGVQVMDIDVDESQTHEDSKNTDDEVMSQLAAMIRGESEDAKG AQQYPIPKRHDPIQAILAGAAIAIIA AFLA_091950 MPLDTSTTYPLTKLRLDGRRWNELRLLQAQISTNPASSGSSYLA MGNTTIMCSVHGPAEGRRGDATGGAAGSSGAVVEVDVNVAGFAGVDRKRRAGGSDKQS SRIATTLRAAFQSHLHTYLYPHSTISIHVSVLSADGSLLAAAINACTLALVDAGIPMP GLLCGCTAGMSGSASTPRDPRNDELDPLLDLSLPEEQELPFLTVGTTTSVPVGENAMD DDEEDMKVSMLNMDSKVHCTYVETMLAVGIDGCNQIREILEGVIKGSNKLR AFLA_091960 MLGFAHKLIVELFKLRIHDKLVALDVEEDVYPSGSFSSRYGDWV KEPDGSWMSALIQGTGRGPRSGHIGNRWKTRRGCTWLAGVPGVICDSVYND AFLA_091970 MLQDAIPARMASLMSWADKKMGQRCSDNTRGVNLPYYTIPAVAN QKAMLRAELSRFVDRGFALARKVRTF AFLA_091980 MVAHGDMMMDMSMATATSTGAMSSSTASHSMSMGGMHGGSNSCK ISMLWNWTVKDACFLSKQWHITSNGMFAGSCIGVILLVICLEFLRRVGREYDAFILRR ARLRAQYLTVQSQPSTPAAASDAEDVATGTKASSSAQPSAGKAPNCPAADVPVRPTLV EQLIRALMHMLQFAVAYFIMLLAMYFNGYIIICIFIGAFLGSFLFTWEPLQLGKENDA LAVTKCCG AFLA_091990 MFSRSLKSTTTPLCSSFASRPLFNCNQSSFLSLRQFHQTTATMV NTKAFFDVEYAPVGTSAKKVGRINFNLYEDDVPKTSKNFRELCTGKHGFGYKGSTFHR VIPSFMLQGGDFTRGNGTGGKSIYGEKFPDENFKFKHDKPGLLSMANAGPNTNGSQFF ITTVVTSWLDGKHVVFGEVADAESMNVVKEIEALGSNSGALRSNVKPTIVDCGEL AFLA_092000 MSDSGNPPSPTSVAARSSDGTLSDQRSKRYRQMEEILRNHYVVL KRFLSAPYREDRNGRPSKARDKLLRLSATQFHELSTDVYDELRRRQQAMPSPNRPPRP DVPPFLPPRQDFHEKRNQARQKLASLQHVRFRDLATDVYTELERRFPQFPEKESRRAS PAPSFRGHPSNGYPSPNGYGPGGYPPPRSQSRGPPRRGYPSGGPPGSPMSGVFPPRQG SLGGPPSVNGDHGPMAKSFQSNTIVPNKSTMVEDDDDMTGVDDDYDARSDAFALDAVL QSRRGTTTTLGEGERKLLADTQSQVSVLQEKVNKLEELLKSKDEELSKHHGDQTKVDE LEDLLKAKDEELSKYQEGHKKAQLGESERKDWEDLKSDLESKISKAEDLNSSLQTELD KVRTEHDAMERELRSQIDGASREGAGDAELQARFADLEIKHKSLQAELQEQQQVTEEV KREAAGFLTEMKALSEQSHSRWEHEERLSSEVHRLEEEVKQWKSRYAKAKTQLRHLRA SSTGIELRSDVNAIAKDNAFLQEDGLVKDVHVTKFQISIDELLRIARFDDYNLVMQQI KSVVIAVRHVLRDVEVASDREDSLSASHTKATRRVSATANNLITASKNFASSCGLSPV SLLDAAASHLSTAVVELIRLVKIQPSPAGDLNEDDEEQLAHMKSPDYFSVAPSHGRFS NNGSVYSAMSPPSNHSRNHTESHAANGMTAATKTSYPGPSRDHELQELKLYVEDQTEG LVQSIQALVASIRAEDGLTTIRTHVSAISSIVTNVSSSTEHFIHKPEANPVLRQRAGP IIEKLDQYRARLMGTATEGEEATSAEQVQVIINKLPPIAFEVARETKELVQRLDPVDQ EESEDDFR AFLA_092010 MAATLIPRKWRATDAEGDTQPNWLKRQVAGGLQSISRRACAHPI HTIVVVALLASTTYVGLIEGSIFDSIRNPKSVAGQVDVDTLLQGGRNLRLGESTSWKW QVEDSLNPVDVEAAQHLALTTFVFPDSTRSDATGPIADEVPIPANASAQQVPHTPNLF SPFSHDSSLAFTLPYAQVSQFLKAVQEIPDSSADEDVGEQKKWIMRAARGPATGPRTV KLWLTDAWSSFVDLIKHAETIDIVIMTLGYLSMHLSFVSLFFSMRRLGSKFWLAGTVL LTGAFAFLFGLLVTTKLGVPINVLLLSEGLPFLVVTIGFEKPIILTRAVLNASADNKR RGGAGPSSQSSPTTAKSIQDSIQTAIREQGFEIVRDYCIEIAILVAGAASGVQGGLKQ FCFLAAWILFFDCLLLFTFYTTILCIKLEITRIKRHIALRKALEEDGITHRVAENVAS NNDWPQDGSENSDTSIFGRKIKSSNVRRFKILMVGGFVLINVVNLSAIPFRNSALGPV PLLSRVSNVLAPTPIDPFKVAENGLDSIYVTAKSQMTETVVTVIPPIKYKLEYPSVHY AAPGDSQSFDIEYTDQLLDAVGGRVIESLLKSVEDPVISKWIIAALTLSIVLNGYLFN AARWSIKEPEAAPAPKAVEPKVYPKVDLNADSSKRSAEECEVFLKEKRAPYLSDEDLI ELCLQGKIPGYALEKTMENEDLMSRVDAFTRAVKIRRAVVSRTKATSAVTSSLEASKL PYKDYNYTLVHGACCENVIGYLPLPLGVAGPLTIDGQSYFIPMATTEGVLVASASRGA KAINAGGGAVTVLTGDGMTRGPCVGFPTLARAAAAKVWIDSEEGQSILKAAFNSTSRF ARLQTMKTALAGTYLYIRFKTTTGDAMGMNMISKGVEKALHVMSTECGFDDMATITIS GNFCTDKKSAALNWIDGRGKSVVAEAIIPGDVVKSVLKSNVDALVELNTSKNLIGSAM AGSLGGFNAHASNIVTAIFLATGQDPAQNVESSSCITTMRNLNGDLQISVSMPSIEVG TIGGGTILEGQSAMLDLLGVRGSHPTNPGDNARQLARIVAAATLAGELSLCSALAAGH LVRAHMAHNRSSAPTRSSTPVSAAVGAARGLTMTSSK AFLA_092020 MNLKLTTWKSGLGLLSFLFLTPTVVAHSWVEQLMVIAPNGTFVG SPGYSRGNVLRSDPGYSDSKMQNLVPDGRNELLPTDLLCKNTQQKQVQSEGSPRLQAS AGAAIALRYQENGHVTQPGNQLGKPENRGTVYVYGTTEPKEDEKIMDVHKVWNKDGTG GDKRGVLLATRNFDDGRCYQVNGDSISKQRQSEFPHTADQLMGVNLWCQSDIALPSNA PSGKPYTLYWVWDWPTLPGVDPNLPKGKQEIYTTCIDVDVVANTGAQSHVAAGYVKDQ SLNNAAIPSQLADIFGSGASSGSAQSSPIAGVSMAPSGHGPAAPSSSQAVIKSSSSWS SPTVAATPTSPVQDPMAPQRVTVTSFVTMMKTVFPGCSASASPQ AFLA_092030 MAQLTTVPASYPTEPEDRTDVAAHSLGLTPQTHVVAMDRSVGDG LMVASVTMGGKTARLDAESSCCGGGGDAVEVIVVVMDLVGEDPVLRTIVSQIRNGARE FGCDR AFLA_092040 MEGEREALVAQGPQVIWEILIVGYDGPGGEYVTYPVNESRYSYA GTYGNYASYGPDVEYESEDGCADDRYNGDFQFAVGCPDEEMHGKAVALFDFTREHENE LPLTEGQVIFVSYRHGQGWLVAEDPRTGESGLVPEEFVRLLRDIEGGLTSLNGDPEFL DDTHPNHDSTEIHQAMAPAQDDHPSYVANGEKKDDNEQGVDTVKQITEKTQQDGQHVA VPMKT AFLA_092050 MYIDTLFPNGVYHALLPRQTYASCWGGVGQGECRGSSNCKGLVY TNQCTDNKGDECCLQRRCTVPEGTGYCRDTNNQTCDGGTYFAGSAPSWPCPGISSIQC CVTYDNMTNGTSTTSSASTSSTSPTSTSNSTSTTTTPSTSSPTTSATAPATQSTQGLS GSQIGGIVGGVVGAVFLLGVIALFLFFRRRKKDGDVVQEGPDVQGPVSAADKPVMGED GGKDVPMLEGSMRQEMDAQGAAALHEMDGVNSAGGLVGVGGEKRAGMVSELEGQRTVV AELDGGSVVRGSGG AFLA_092060 MPRDLTLQQNILTVIAVMNYTEMEAKVREATNNEPWGASTTLMQ EIANGTHSYQLLNEIMPMIYRRFTDKTAEEWRQIYKGLQLLEFLVKNGSERVVDDARS HMSLLRMLRQFHYIDQNGKDQGINVRNRSSELVKLLGDVDQIRAERKKARTNRNKFGG FEGGSHVGGGMSNSRYGGFGSDSMSFGGYSGGVYGDGGGFGGNTSDFQDTGRRGNRFE EYDEYDEADASPSVRRAASPPRARATKQPEPPKPKAPEPDLFDFGEEEVVTTVSTSAG KKPAGNNGLDVLDSKPIDDDDFDDFQSATPAPAPAASNQFSIPPPANTVSTTSSTQFA APKPVSATQGTNLNGLVGFTSMTPTPTSSTVASPTLSQSSMVQPQQQKPAQPKPTGFQ AATPNYFTSVSVMQPQAGVSNHRPGMASTSSFTSATSSSPAAAKPAASKSSNGDVFGS LWSSASASAGLQKSNANANKGPNLASMAKEKASAGIWGAPATPSLASPSSSQASQQGA KTNSSGLDDLLG AFLA_092070 MGSTPSFGHKRGKSEVNTMSADRFKADSRWSNAGTTYENVRRSL RPLSQAPNASPSAVKQVAYGHSRSRTIDNPQFWKENRPQTPERRNGYHGEIEPLKEIE SHGVYAPPSPKSPQDFSPLAVSPQTRSPSKTQHSHSLSTPQPPPLTTTLSTPELETFQ KSSTGHLRTLSKFAQSGETEEFALDSPSVVGLVGRRRLKRTDSVAGSGSANINRRKNA SSWAAGNWMDKQRQFLQAYEYLCHIGEAKEWIEEVIHKQIPPIVQLEEALRDGVTLAE VVQAMYPNRMLRIFRHPKLQYRHSDNIALFFRFLDEVELPELFRFELIDLYEKKNIPK VIHCVHALSWLLFKKGLVDFRMGNLVGQLEFEHHELEKTQKGLDKAGVSMPSFSGMAA NFGADPEPEPEPEPESEEDRIERELHENEASIADFQAQVKGAMLRLKLGNLMNNLWDF EPFLIDLQSRIRGDWARQIVQYRLDMRAFAINLQAICRGFIVRCRQNDDMESYQAQEP GILELQTLIRASKARAQVQYLQSQMRKEESGIKEIQAAIRGALQRKSVSALYYDTRDA EDHVRLLQAAIRGALQRKTVGALYDDIRDAEDQVRLLQSIIRGGLLRNKLSKQCEETK SAEDAIRDLQSLIRGFFTRRQMNAQYADMDSVQGNVELLQAAIRGSLARNSLSQMRDL LDQQAPSIIAIQSGARALAVRKQQTILAEALGKAEDRFITLQAMARGNAARERLDCLR KDLMEHVELLISLQSILRGRATRSFLASQQKALRDEEASILELQSMARGSLVRRRLEA DAEALQAEELTIIDLQALARAAVLRIEVGGILEQLDDCDDEVSQLQAHIRAMLVRVEV GQSLADLAAEEDVITDLQSHIRGHIIRSKFEEKRRYYRENMEKVIKAQSFVRGRIQGQ AYKSLTSGKNPPVGTVKGFVHLLNDSEFDFDEEIEFERMRKLVVQQVRQNELAEQYIS QLDIKIALLVKNKITLDEVVKHQKHFGGHVGSLLPNREIASKDPFDLKALNKTSRRKL EQYQVLFFLLQTQSQYLAKLFRRLRELNTSEKEYERTRHLMMGLFGYSQKRREEYYLI KLLARSAREEIESFDSLHDYLRCNSFWTKLFASYIKSPRDRKFMRDVLGTVVKENIID NPELDLESDPIQIYRSAINNEELRTGKRSRRPLDIPREDAIRDPETRATFIQHLQDLR DIADQFFTAFEELLYRMPFGLRYIAKEMYESLLSRFAGDDPGFILQTTGHWVWKNYFQ PALIEPEKHGVVDRGLTQEQKRNLSEIAKVIAQVASGRLFGTENVYLQPLNSYIGDSI QRLGHIWGDMISVQDAEAYFDIDEFNDLYAKTKPTLYIKLSDIFSIHQLVASEIHYIC PNPDDILKEVIRDLGNVKSNENELMSVNTSEISLTLNPKLAQVEDPEADVKALFMETK RCILYIIRVQSGANLMEIMVKPPTEEDEEKWMTLVRDELSANNTRRSAYSEAHTVVDI ASMTYSELKRTALENILQLEQTGKIRRDNQYQDLLNAIAIDIRTKHRRRIQRERELES SRSTLARLNDQAAWLEQQFKTYNDYIEQAMVTLQNKKGKKRFLMPFTKQWDHQRELQK SGKVFKFGSYKYSARNLADKGVLVHWKGYTERQWDRVDLTISSNEVGVFTIDGSSGPM MVPGANAQVPLDDLLQAQFNNMQFLDFFEGQLRVNVNLFLHLIMRKFYNE AFLA_092080 MTDKEPYFVYKLVPSTAPVREPLPEQLPVSALDQQSGFIHLSTA FQVPNTLKLFFKDEPLVYVLRIPYDSVAENLKWENPEGTVCGPRPTEGLFPHLYNGLK LGKDEVESIAIWTKDDDGWDHALSQATPWLVY AFLA_092090 MASAIGKEGTFQAPQEPQAQQKPGLEKQMKPSSEATKLESSGTF VEYVGSGKLKDKKVLITGGDSGIGRSVAALMAREGADVTISYLPEEQEDAEETKKLVE AENRTCLLFPGDLSNRETCRQAVEEHMKKFKKLNVLVNNASKQYMCKDLAQIDLDQVE HVFQTNIIQMFALSKFALPHLSRGDSIINTTSTVTFRGTSGMVDYAATKGAIVGFTRS LAKQLMPKGIRVNAVAPGPVYTPIQVDTRAPEEMEDFGAGSSIGRPGQPSEVATSFVF LASSDASLYYGQILHCYPLGD AFLA_092100 MPISNGDALGRAMKAEIEDHTKALEVLEKDYTTRDGLDVDTLLD SDKHGALTYNDFLILPGYIGFPASDVTLDTPVTKRVSLKAPLLSSPMDTVTEHNMAIH MALLGGLGIIHHNCSPEDQAEMVRKVKRYENGFILDPVVLSPKATVGEAKELKSKWGF GGFPVTESGNLRSKLVGIVTSRDIQFHPNLEDPVTAIMSTDLVTAPAGTTLAEANEVL RSSKKGKLPIVDNDGNLVSLLSRSDLTKNLHYPLASKLPHSKQLICAAAIGTREEDKR RLQLLADAGLDIVVLDSSQGNSMYQIEMIKYIKKTHPEIDVIAGNVVTREQAAPLIAA GADGLRIGMGSGSACITQEVMAVGRPQAISVRSVSSFAARFGVPTIADGGVQNVGHIV KGLAMGASTVMMGGLLAGTTESPGEYFVSNEGQLVKAYRGMGSIAAMEDKKAGAGSKD SKASNAGTARYFSEKDRVLVAQGVSGSVLDRGSVTKFVPYLVAGVQHSLQDIGVQSLD ALHEGVNNGTVRFEMRSASAMAEGNVHGLHSFDKKLYS AFLA_092110 MASQKDGPKPKPKPSIPRPSSRNAALRELFEESGILLAKDQNSG KMLAVPEHKREEGRKRIHRNEVTFTEWLKQQNPTAVPDTEQLIPFTRWITPTNVPKRY STQMYLYFLPLPLESDKSLLSELPAEGEREEIQIPTSDGGIEVTEARFLPASEWLRLA GSGEVVMFPPQILLLHLVSQFLDQAPRITNSVDELRRRRAELVDFVHTGSPPWTEKCI SPKMLKMSSDGRAVLALDHPGPELKGTDRQGEPDRVVLVKFAKGTARQVEVRWKKDVF AEDKERSSL AFLA_092120 MENEKGEIVDLYVPRKCSATNRIIKANDHASVQISIGKVDENGR YTGENQSYALCGFIRARGESDDSLNRLTQRDGYIRNVWTASRQR AFLA_092130 MASDLTNPLLDALSASDAPILSSEAFPSHPSLNVKSALDRLASR QMVEYETIEREVAALTKEGEEIAANGSHEAKVFQAVVAAMEGLKIGDLPGIVGKDNAK VGQGNAFKRGWIKKDGQLLRANTDSIVDETREQLLTVKKTQTLEDQKALGDLKRRKLV AFQKELNFKISKGPKFAKEFVKEETDLTAEMLMNGSWKTAQLKPYNFKAKGAPTSSGA FHPLNKVRQEFRNIFFEMGFEEMPTNRFVETGFWNFDALFVPQQHPARDLQDTFYISD PPKADPPREDPEFDPHRPKSVQPASAASQEMPLDYKQYWEDVREVHENGKYGSIGYRY PWSADESLRLVLRTHTTSVSTYVLHKLAANPRPARYFSIDRVFRNEAVDATHLAEFHQ IEGVIADFGLTLGGLIGFMEVFFAKMGIHQLRFKPAYNPYTEPSMEIFGYHEGLGKWV EIGNSGMFRPEMLEPMGLPKDMRVYGWGLSLERPTMIKYGVSNIRELLGHKVDLNFIE TNPAVRLEKD AFLA_092140 MKGRSETRTQKPGETTLHLLLLLLGGHFIWILLHHSPSSKTWSN GKMKSTIGREASKKCPEAAPGKR AFLA_092150 MAGADETLAAAAAILRGLARETPRSGSAPPFDFPLSHASSNGYD TKVTKLPGDASSSKAAFENELEALVRRVQRLESQAVSHQTSPGTFPESSQSSLGSTER EADFLWSFGLSRSSSQQLSNFSSTLQQQNSHRPHHPRRIEEPDEDIEEEESDEDEDLD SRTRLVREEDISYLRNHVQKQAEEISFQKDIIAQVRDELQQQEEQTRRALTKVENEDV VLLERELRKHQQANEAFQKALREIGGIITQVANGDLSMKVQIHPLEMDPEIATFKRTI NTMMDQLQVFGSEVSRVAREVGTEGILGGQAQITGVHGIWKELTENVNIMAKNLTDQV REIAVVTTAVAHGDLSQKIESRAQGEILELQQTINTMVDQLRTFATEVTRVARDVGTE GVLGGQAQIDGVKGMWNELTVNVNAMANNLTTQVRDIATVTKAVAKGDLTQKVQAQL AFLA_092160 MVDQLRQFAQEVTKIAKEVGTDGVLGGQATVNDVEGTWKDLTEN VNRMANNLTTQVREIADVTTAVAKGDLTKKVTANVQGEILDLKSTINGMVDRLNTFAF EVSKVAREVGTDGTLGGQAKVDNVEGKWKDLTDNVNTMAQNLTSQVRSISDVTQAIAK GDLSKKIEVHAQGEILTLKVTINHMVDRLAKFATELKKVARDVGVDGKMGGQANVEGI AGTWKEITEDVNIMAENLTSQVRAFGEITDAATDGDFTKLITVNASGEMDELKRKINK MVSNLRDSIQRNTAAREAAELANRTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDDLK PYTREMLNVVHNLANSLLTIIDDILDISKIEANRMVIESIPFTVRGTVFNALKTLAVK ANEKFLSLTYQVDNTVPDYVTGDPFRLRQIILNLVGNAIKFTEHGEVKLTIRKSDREQ CAANEYAFEFSVSDTGIGIEEDKLDLIFDTFQQADGSTTRRFGGTGLGLSISKRLVNL MGGDVWVTSEYGHGSTFHFTCVVKLADQSLNVIASQLLPYKNHRVLFIDKGENGTQAD NVMKMLKQMDLEPLVVRNEDHVPPPEIQDPSGKESGHAYDVIIVDSVGTARLLRTFDD FKYVPIVLVCPLVCVSLKSALDLGISSYMTTPCQPIDLGNGMLPALEGRSTPITTDHS RSFDILLAEDNDVNQKLAVKILEKHNHKVSVVSNGLEAVEAVKQHRYDVILMDVQMPV MGGFEATGKIREYERESGLSRTPIIALTAHAMLGDREKCIQAQMDEYLSKPLKQNQMM QTILKCATLGGSLLEKSKESRISSSGEMHPVHHGPDGKGSRPGMEGRSITASSTVNRG SLASPNVEKAEDLSMERVSQLNLPRLSKRTDLTNKQALLRSNSS AFLA_092170 MAPAMSLVTLAVASWSLVYGVTAGVIPSGSMPTVSGITTSPRPR PCSPCPSLPSCPAATTVTVTVTATACVPTPTPSGGGGVTQPHPSPSFPVPPGTPGAGT SQTSGISGSQPGPGPGPGPSPSLPGQSPCPTIPVGPGGGGSTSGGPPALTTVPVPTIS SSVKPQPTSAIPSTITPIHPPTSTPVQPSPPQSTPPSSSPGKTKPIPPPVTPGKTKPV PPTSTPVQPPPSVHPTTQPIPPSSSPGETKPMPPPSTPVQPPPTQSIPPSSTPGATLP GTPGTPGTPGTPGTPGTPGTPGTPGTPGTPGTPGTPGTPVQPPPSVHPTTQPVPPSST PGKTKTKPASPPGTPVQPPPSVHPTTHPASPPGTPGTTKTKPASPPGTPVQPPPSEQP PTSTPEETKPAPSTPVQPPTSEQPTTKPIPPIGITTKPPTETPTETPTETPTGSPPGP PVPTASPPGSVTPTQPSSSSEEGVKPTTTVPTVPPTETPEDDPPGDDPPGDDPPPKTT LVKRAYPRWHIKGGKHWNFTKPKTGKKPKTTGRPFEPDYDQDEDEE AFLA_092180 MSTNVRLHGDSHTGAIEQDSSRASTTQGTPLDVAPLGLSAKPST DAPNVPLSSVEDAGYRIPPAAQPGYDLPPEGRTTLEDGHDRPSLESQTDHSAHSAPMS RVTTDADGNTYPEGGLEAWLVVFGSWAGLFAALGLVNTIGTFQAYLDNHQLEDYSSGS TGWIFGMYAFLTFFCGVQIGPIFDAKGPRFLVFAGSVLVVVQMATLGLCTQYWHFMLV IGVTGGLGASLIFTPAISAIGHFFNEKRGVATGIAATGGSVGGVTFPLILEKLFPMIG FAWATRVVGLICLILVIISCLLVKSRLPKKPASKENVLPDFRIFREPKFALTTAGIFF VEWGLFVPISYISSYALAHGVSSQFSYQLLAILNAGSFFGRWIPGFVADSLGRYNTLI ATVALCLVCNACLWLPAGDSVPVMVVYSVIFGFASGSNISLTPVCISQLCKIENYGRY YATSYTIVSFGTLTGIPIAGEILSRCNGEYWGLIVFTTCCYAVGLACVTAVKIIHVGW RQPWALY AFLA_092190 MSDTPSLHIALIAEQRSTFHNQGYSEEECAALPHSGETGSVLTT LRELGHHVTLVPGVQSLVKHLAAGTYKDWDLAFNIAQGFHGSSREAQVPALLDAYQLL YTFSDAATMALCQNKVHTKVVT AFLA_092200 MLVVRHDPGLMAEPQVKVACQVALDAWRSLGCRDAGRVDIRFSS DEHDAVPNVLELNPISGLLPGHSPLPSSAEENGLPYKRLLAAIIQSALTRKSACYY AFLA_092210 MRFQGVVPTALLAVSCTDKACASKHGRSDQPVHEPSVLDRRTSL SSEERQLRSHDNFRFLNDETRPYLVESLPDVPFDVGELYSGSVPIEKGNSSRTLFFVF QPTVGEPVDEITIEVNGGPGASSLEGFLQETGRFVWPPGTYAPVINPYSWVNLTNMLW VDQPVGTGFSTGTPTATTEEETSRDFINFFKNFQGIFGIKKFKIYVTGQSYAGRYVPY IAAAMLDQNDKDYYDVHGALVYDPVIGQFDYVGQQVAAVPTVQENANIFNFNASFMNQ LQSLHKSCGYQDFIDEYLTFPPSGVQPPKSFDPTSDADCNIYNMITDAAYRVNPCYNV YAINQMCPFLWDVLGGPTKLHYLPAGATVYFDRDDVKKAMHAPNMTWSLSSLQPVFVG GDAGVGRLGDLSANPIERVLPQVIEATNRVLISHGDYDFILQTNGTLLAIQNMTWNGQ LGFQSQPSTPIEIGLPDLQYAEVFEENDLFSWRSGQGVMGIQHYERGMMWAETFQSGH MQPQYQPRVAYRHIQWLLGRIEEL AFLA_092220 MVLVNWSVLGVNPAPRPREWSKLFHHAIRPSRTIKESNTHVASQ DPQECGERSRNDEGERRGNENKEHHLRILP AFLA_092230 MVFNPFKKHDHDFPGVVVPLGSAPAHSHPNPSLHEKDSGHDEKH DARSDKAPSEENGVATSLPDNAHLTLESLRAEVETDIAASGHDSAYDRKAKVINRAIQ DIGMGRYQWELFALCGCGWLADNLWLQGVALTLTQMSAEFGVSESRVRFTTCALFLGL CLGASFWGVASDVIGRRPAFNLTLLITSVFGLAAGGSPNWIGACALFSCLGLGVGGNL PVDGALFLEFLPFASGNLLTMLSVWWPIGQLISSLLAWAYIPTYSCASDLPACNSVAD GVQCCTKQDNMGWRYLVLTLGALTFAMFFCRFFLFHLYESPKFLLSRGRQTEAVHAVH GIAHKNKKQTWLTEDILNEIGGYPEEVEKQTLTVKEIIARYLSKFSLERIKPLFGTKK LGINTVLLWFCWATIGMGYPLFNAFLPQYLKQSGGGAEQSTYTVYRNYAITSIVGVPG SILACYTVDIKYIGRKGTMIISTLITGVLLFCFTASTDPNVQLVCSSLEAFFQNIMYG VLYAYTPEVFPAPNRGTGTGIASCLNRIAGLCAPLVAIYSGSANPNAPIYASGALMLA SFVAMCCFPIETRGKQSL AFLA_092240 MSTIQNLKNFIRHGKQARLVTPHAEPTTNVSPIHAEHQRQPQGS YPPAAGNLDAIDSRLGNGQAQAPQKSSAETQSRRARDLEIEQIVAEERSQRNKMPKYP GLERWILTDKMGDGAFSNVYRAKDSTGEHNEVAIKVVRKFEMNSNQGRVADCDSQRAN ILKEVQIMRQIDHPNIVKLISFSESRQYYYIILELCPGGELFHQIVRLTYFSEDLSRH VITQVAKAIEYLHETSGVVHRDIKPENLLFYPIPFVPSKNPKPLQPGDEDKVDEGEFI PGKGSGGIGVIKIADFGLSKVIWDSQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGC VLYTLLCGFPPFYDESIQALTEKVARGQYTFLSPWWDDISKSAKDLISHLLTVDPEQR YSIKEFLAHPWIRGSDEETQAATDAPPLTTPLPSARQQPLDAFAADQAPYAPASARLS DQPSAGLERPMDFRSPGAINLREVFDVGYAVHRQEEESKRLKTSRGRGANPTTGFQSA LNPLNEDYDEDGPQVTYQPIHQNEYAAPKVHKGSQQSREVAAMEAKLRSTNLGAPPSA AAQVRQSHQPRQPQQGYGTHSAKVAAAAKQNIARSSRQPFELSLDNATLLERRGRRHQ VV AFLA_092250 MPGIAGTSPASLEHLGRPLFRAHKSLPRRPNGDPSVHVINASPS DPIVDTSNGGAHATAPTLPLTPPGVPSDESPTPKELEMQKLASPHSMSGTVTPSKPSH PPTPETTPPRLPATTYRPTIGGQFRQASCSSRAESFQTACEMISDGETETPHRSSPSS RQSTRQRSLQKSVHSEPNEARPEDTTPVGDHSDAILLKPSRYEGINGEWATENSDRYA ASRQRRRRSRNHSSDTLPTANVPELPQDTYSTSARDLDVPRTRERNVRVRVRDAQDTG ASPYPEQYPEQIDWNPPEGRTDSYNHDDTRRFSGVSTSSTIEVMIVDTPEPYKPSLRH TEKRVSLRSTSSPITKSERGSLVSNPESQHRLVHKAARISEHDRRSIASEMSISESST QGGPRQHVEVVPVVVIPERHSSLRSSASTSRKPSNASSRPSSRRTRTRSGSRPESLDR PPQKKRTLSNSSAPAHQDTDFRGRSLGRPIIPPRSSSLSAPTSQNNSRATSLTSMSSR NHPSAMENEKQNQQPEPPMPEPTKAPQVRDRADVLKTQSIIIGVEDMAHLRSPSGAFT LGSIPSSSPGLVEISEATLVPFFPHNNESLLLVDPQHRSGPQGLAIRVEYQGSPAKPE LPQSPGPVEVDSPLQNPRQPPNPPVCKVSPPTPVNEADREPERATGNGQTGEQLPRRF GSVRRPWKLRPRSDSFNSIARSFSVTSAKNRMAGKDIDSKLHPFWRPRRFWEDTSESE DHSPREQNFCSPALEADQVISNSLGMPQQRVVFQGPPISPRSIDTKRSFDEAASRRQA RDSLVGSRVFMPEALYSQTSLHQRRYRSLSWWRLRLRFGSVRSFRKRLRRSWQQRGEG KRQARREALKQSIGEAVLVDSSMQTRSMVQ AFLA_092260 MGSKTFEGEGQRGGNDPSNSTSPNSAGGEPRGAHLSRDGDGSLG DGDGDDGADGDEKDGAVTTTPLTEQQPSSETTSKKKKRRKPKKKISALKQSSPPRVPL DDLFPTGQFPVGETHEYGSVVEGTARTTSEEVRYLSRNYLQDDSVLTDYRKAAEIHRQ VRHWTQENVRPGQTLTEIAVGIEDGVRALLDNAGLETGQCLQSGMGFPTGLALNDCVA HYTPNPGQKDIVLQASDVMKVDFGVHINGWIVDSAFTMSFDPTYDNLLAAVKDATNTG IKNAGIDVRISDVSAAIQEAMESYEVEIGGKVFPVKPVRDISGHNINRYQIHGGKSIP FVKNSSQTKMEEGEIFAIETFGSTGRGSTVEGFGVYGYGKDPNAPKKVSSPLASARSL YKTINENFGSIVFCRRYLERLGVERYLAGMNSLVNNGIVEQYAPLMDMKGSYSAQFEH TILLRESCKEVVSRGNDY AFLA_092270 MQRGTSPFTPEFDDLVNTLLAEWHVPGTSIAILDGPDTFTKGYG ISKYPDTPATPQTLYYTASTTKSFTAAALSLLIDDNTNTTNPLTWTTTLTTLIPSDFI LPNTHATETITLEDALSHRTGLPEHSYHFCPDNSLSPKDEVRRLRHLPMTAAIREKYM YNSFMYTAVSHAIETLTGIELGVFLREEIWGPLGMDATFWKLSDVPDGGLDGEVMAGG YVWDDSSSSPSSGSTSGSTSTSSGFVEIDYPEYTELSGAGCMISNVEDYAKWIRCMMY QNEPLSKKGHAALTEPRIASMSGATNPFPGPHLYALGWRVDYYRGEKIVWHTGSIRGF GSVMMYLPDREWGLVIMGNSTMTSNQMQQVLYMDLLDGLLGTPVRERVDWGAVIREKK SRRREELACARERLYPELPGPVLRPALEVREYVGSYWHPGYGGMDLGLDGEGTGLVAD RRSQEFSMLIALEHVSGELWLARLQEMYKDPRDYEVVRAEFRLGSDGVREVGVDLEPT MDGKLIWFRRTMLNGLKLDCKRVSSMSHVGWHQA AFLA_092280 MTGRPGKTQDRISHAKLFFSAVRALSVDSPATSLASLGALPSGI GGGSLQGGILEPSAGNNAPAATSPVMGGVSHPSASATGGPDGVGPARKRTVAMAGLDS SPGSVDDVEENDLREEKKRQPVKRACNECRQQKLRCDVVQDPWMDCSRCRRLKLDCKI ESNFKRVGKRSRNAEMEREIIELRKQIASVQANAATSMPQQQPPSIQSTQHTPKQEHS SHVSPAGVYHTPSGMSSDQYMGSHEAVASLLDLRSGFDGSNYMRNGSQHFKRIEDVVV VPERVTELFDLYFTFYHPFLPFLERGQSPDEYYNTSPLLFWTIISVGARRYQPDTHLL NSLAGPVSRLVWSTLADIPQSYHVVKALCLLCTWPFPTSSTSTDPTFMLCGMMMQVAM QLGLHRPSYAQDFSKFRVELIEEELKDKVRTWAICNIVAQRVATGYGQPPSTLYDWTL SSESLDPNYKLPEGIRARLQIEKFCDQVTRALYTNHRDPVGLCNDQERSTLISFFSRD FDELESQLKAQNDCITDLYLRAANLHLHLSVFFDDTSEKDYRDRLLSLYVATRTFLEA AMNLETEVGPVLSYTPYYIYQMMVAAGCTLLKLGTSFFAAHINMDYTKALFNRTIWAI RGVSVSSNDLPERLAEVLAQMWRLQSTPSPKPTTESSEMDDSLMLKVRCRMSMSLLFD SVWRWREDARTKDRNLEAYLKNPTNPDSNAESSAASSVGAAHTSNSTPGIGGDPSLAP APILPQATLGVQSGSSVPGLPSGLMEPNYEVFDPLNWLLDGLVDLPYSYSTISGMEAQ GIA AFLA_092290 MKSSPFEKITEDVSEAEPPAYGQVDQPPLVLPPLDLFQTAGPPV CSTVTQDQCIAHLKFLAALADLRDNITNINPLFQINDPDPAIFGDSTNEAFARVKEKR WAVYTARAVDRYTTWWQECIQSPDRAPKLHDLEDDSYDSITEHHKPYNWSPKTMPPLD ILMVWHAHMLNPRVFLEDCIRGGAMGFWTAGFPWELVNSCIDDQSLEYHAGQAAVAHF QQKTGLPWDNLKCSSKKPLSCPSCKHELSVPWTEAQISAPVDEAFENCRGFADKNFQK KCPVCKFEITHETLKTEKFRKDVRAFWASDVPMPGTFYDVRGVPKAATISSRKKRQSL FPNRLIKAIGTIFLSQTDPTDDDWKSMAALRDKLQSRIKSRDVMRRVNPDSGISSLFP EEKVAFRRMMARYWDNHTPFALDLVGAVIRQGTFVQKMDNIDWLHSPTVKATMDRLIK KYEVFFQIMAQNPRNMAVPTLDVDLAWHTHQLSPSRYFDYSVFTTRQHTRVPKFIDHD DKVEETKLSDGFEWTSKMYKKLTKGDIYSECTCWYCEAIRAPDLSDGIFVSSSTSRAR EAAANLHNRPDISSDPEKNPHISAHSAVPAETKKTRAGFDPRYVKHLKLQSNYQKARR RAEKRDRKQGNKEQDRSSDATLYAMAYGYPVYVPYYAPYVADPCVHSNAYPSNPGCMS FVSGAHGNCAAGTCGGAVAAGGCGGMGGGCAGGCAGGGGGGAAGGCGSGGGAGCGGSS SGGGGGGGCGGGGGGGGCGGGGGGGC AFLA_092300 MDFDKLKKNLNDTTESAKDQLNKQKPGETTNDALNKGKEQAANA ADSAKATLDKALGKGENNNA AFLA_092310 MEEDVRLPSAERLDGPHNDPEQLPSTGPSQQQNGSLGKSQSRSV TSDDLAILKLKFGAEIRRNAFEVFLRPRQTLVKLISTSMSSSTAFPHGEAFRFAFSPN AQLVLCISSSRIIVLDVASGSAVVRHELKTWRRPLNATILDDGSLLAVVSSSHQVNIY SLSNEEARHVQNIKLNDVPQALALSPTGGVLAIAYTDRIEVYAIGEGTLATERRAVRC TGVDSITFSSDGVMLLGSSGDSQNTSLVTITVPFYSEPVTDISAREAHTRMWTTQILF PEIIQNYSHACLLPLHAEGDGSWILGFDKEAAAFRAVGANNVNSGTVYFVSPTSGSGL QESPPIMLPTVDCTGELAALGFQGSGLWVYGIPDRLDIAPSSTFAAALCVSDNGPSHR EEEMMPLPDNARRLQHSIAKPKMLIRGHRISDISGITAASWVRHADTSADHRRLVAVA PGGISPPTIGEEDVPVDGGRVLLLDFERSPKDGESTEISIEIGETEPKMLHEPNTSLD TEVELERRRTRLHRGNSSHRARTLARESYPAAISMSQSPPLVIRRNSSYFSVSSNDVG DGDTPPVPDTPYDNTQPRSQDTLRRAATAAATSRTRYNPRYRDEPRRVLDARQVPPIF QVPHESDADNWVPPPPPYTREPDAPLPEYLRRTLLPTRTEPVQRVSDAPDQLQRSQTT RLEDMAEEPLPRSPLQRLNTISGSRLASLVRRTTRASEAPGLSRTQSNLVRRASTHPY SQNGDVPNVPSVPLHLQPQTTATSQEIDQNTAGILDAANLDYAAAATTTTLPAPAQQA SLQETQTESVVNPAELLEWQDAVDKPAHAITRHSSGVGNYTTA AFLA_092320 MPPATAQSSSATQKLVTPGFNAGARPYRSHKVRACDLCRKRKSR CTVDIPGQSCLLCRVQGADCHYQEETNHDTSIANGPDPKRWSVDNSSESLPSGQKRKR TPHSDSPPSRPRESTSTLPRASSVVDSRGNETRRQGIEDPHNESVHIVGPVVADDAQV IEKFMPPEHSNTNEDPNSHPYNVYSNDPRKPILYTTISRRRQGMRVGIPPGENQKEIL EQILGPFRRDLVRLYEVNSADTDNPEARRYFQTECRRSAEEIVQFISSLRKENFQEFW LPYSAFHLTSTATLLVRCALETTDTDVARSCINNVEMFRSILRRVREEEDWDVADMCL DHCDRILNRLPGNGSTPDLNFSGVVQPDNRLVNPAAISLPETQTNNDIVDDMMSISGT FGTMDGFPFDMTGIWDVSVFQDVNLP AFLA_092330 MLSRAVLPLTRPNTLASAVRLSALPITHSRWYAKNNKPKAPYKL PESVKSPKADQSSQQEYSASQAEFDTNADAQRNTANQTAESLIDFDKAESSQTGAEKA APQQPLPDLTQGIPSTLAAELEARSKGRGPTALNLTEDPSRSEDYTDDGHGGDIPKDG YVSSLDRRRARMANLMYALFLLAGAGGAAYLGRNWDTEEEARLHPDVPSGWSFGLWYS RVKARFGDITSYYKDPAFPKLLPDEDPNLRQPYTLVLSLEDLLVHSEWSREHGWRVAK RPGVDYFLRYLNQYYELVLFTSVPSMMADQVLRKLDPFRIIRWPLFREATRYKDGEYI KDLSYLNRDLSKVILIDTKEEHARLQPENAIILNKWHGESKDKTLVALIPLLEYIAGM GVEDVRPVLKSFEGTDIPVEFAKREKAMRERFQKELGEEQQKRPKFSMGSLASAFGLK STRTLDGETTPSEGLAQGKMLWDQIRERGQKNYEMMEKEIRENGEKWLAEMAAEEEKA RQEQMNQMKGSLTSVFGAGKQ AFLA_092340 MDSISEALQKVHLESPPPKSDQVELREAEGEENEEDIEKTAPLG PFPFFDLPSELRLRIYHILLFTPRRKRAHLHTKGSVGASSKKNPPLSPTSHRINLFLV SRRVHNEASDYFYTTQTFRLFSLQDYSRMPTVSMIPPRYRPSIATIELILGSSWTAPP SSWKVTRRLGLEDMVRAKTFKVFIEVDPSHPVFEGFRISKNFYTEFSGGILRDVLAKM PSLEYVEFDGWPSVRKNGALMQRLLHEAKAAKKKIAWGPERGWTDCDEEDMETPMVYE LKSMERGRVNDVPPQTDSLFGP AFLA_092350 MERLGRMLQAAQSMGMGGAAPGGDTPNLIDNSETVHISSLALLK MLRHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMDM LRQTGRPETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLIQPQTVVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKTGLEE NMLMNLHKHVWTEALQMKDFHEEGEHNVDRMKQLVSLAEGYEKRVKEETELSKEQLKT RYVGKVDPKKHIEDVSQQLIEDNIVAVSRQMIDKEASVARQSNGKGAQNGASMEVDED L AFLA_092360 MTSLAPLDPINANGSDRGKKVAYFYDSDVGNYAYVSGHPMKPHR IRMTHSLVMNYGLYKKMEIYRAKPASKYEMTQFHTDEYIDFLSKVTPDNMDTYAKEQS KYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDIAVNWAGGLHHAKKSEASGF CYVNDIVLGILELLRFKQRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGT GELRDIGVGQGKYYAVNFPLRDGIDDVSYKSIFEPVIKSVMEWYRPEAVVLQCGGDSL SGDRLGCFNLSMRGHANCVNFIKSFNLPTLILGGGGYTMRNVARTWAFETGILVGDPL GSELPYNDYYEYFAPDYELDVRPSNMDNANTKEYLDKIRAQVVENLKRTSFAPSVQMT DVPRDPLVEGMDDEADAILDDLDEDENKDKRFTKRRFDQYVEKPGELSDSEDEEENAA NGVRRQPGIMKRRNQVNYRNLDVESGLESGMATPADASSVPDDDMDTTADAKMGDAPQ TETEAPATPSVAEPPSRAEEASAAVPTEMAIDGQEQAAPSAPISRQPSPKAQDEDITM EDAGNAAPETEQQEQSVAPSEAQAEEKKPAEEKPATDKPATEPSSPADAQAPQKESVE DSGPAEASEVAETVEITETKEKSPEAPKDVPEPAKAEQESPKEVKESTGEPQEKEPTK SEA AFLA_092370 MSGRGRGSSGNKLKMSLGLPCGAVMNCCDNSGARNLYIISVKGT GARLNRLPAAGVGDMVMATVKKGKPELRKKVMPAVVVRQSKPWRRPDGIYLYFEDNAG VIVNAKGEMKGSAITGPVGKEAAELWPRIASNSGVVM AFLA_092380 MFLRRLLSRVLSLLLPLAIASSLYLYFYPVFHGCAFPLPRDDHT GLLSNSFTSALRQHFSPQSAENPAIFRLLVLADPQLEGDSSLPKPEDELSARIQHHWA TVKSSVNKTEPRQILTAISTAVDSLASEDIPRAFRAARKRLDLLGNDYYLAHIYRTLH WWSRPTHVTVLGDLIGSQWVTDEEFDRRGRRYWERVFKGGERINDDITATGARNYSGS EGKSTELETLNATHSAWTQRIINIVGNHDVGYSGDASEARIERFERVFGRANWDVRFQ LPLEQVDNATAPLSAPPTLHLINLNTLTLDSPALSSDIQSHSYAYINDLISHRLYPVE DRTTFTLLLTHLPLHKKEGICTDGPYFTFHESDDEDGPDDVPRFKEGGLKEQNHLSDH ISSSGVLQGIFGMTGDESGPGGGRGRNGLILTGHDHTGCDVVHFVNRTIDTTTAEDSE PRSWKWDAKRYDNAVDNSTPAIREVTLRSMMGEYGGNAGLLSLWFDTTVNEWKYEITM CMAGVQHIWWAVHIVGLLTCILLVGYILAGSGSKPSVTKKIEVGQEKKRN AFLA_092390 MSAPDIDDSTAPTPSPKQQQRSSSSPLPTSKMAIDIQKSIRQSI DGHLSGQDSPVMNETLSVIDEHITDLSTPRHSVTASQDSKTVNDSASEYSSTFEHRMS YINGHETDEEEEKQPTEEQVRRWNHLETAKHLRQLGLEAKHCDIFEDQEITGDVLLDM NQDFLFMKEFDFGVMGRRLKTWHKVKAFQEEVKGIPQQQSSRGSSFATPQDERAPSRA SHTGPLFPRIPNLRGPNGPTQHPRLVSSTMQSNTGSPLTSQAPVWMDHSRRPSAASVR EINHSRRHSSIDTTNRYSGVGDSSPASHHKKSSFDRGWTLNGASGSQRRPGSALGAPN ETALPQSVFHVAESNGSDSATAVSDDLDRGYFSGPEGDTRKNRRVLQKRSSTYGSVST PSSIPDEHFQLKVNKRHSRINSADSIRDAAQMTPPTAKASPPRGRFRSLSTRASDRHG QQSSNPPSAEEKSSGSGFFAAFSLGGKNNEEQSQRSSTLPLQGIKNAGPKFRRAVGLR AMSEAVKGIDTSVAPPSPSKDPESPSTRTGSTTPSTTKSSERHSTDGSGKAVEGGASM PRARTLRSGTKSKKDTSAYTQGLEKKTPKEQMKGCDFSGWMKKKSSNLMTTWKPRLFV LRGRRLSYYYSEDDTEERGLIDITAHRVLRADNDPLIALHATLTGSTASPTSPSGSTA DGPSSDKASGSESSLRGSKPVGEGPFFFKLVPPKSGTSRTVQFTKPAIHYFQVDSIQE GRLWMAALMKATIERDMELPVETTNKQKTVSLKEARLMNQRPPALLPTTPATQGTEEK DEHLTTTTEESGLMIQGLGDEQVPTHGDDDEKKRVSSPLGGLGVGPPSLLPESVAKVD AFLA_092400 MALATPPRNVHPGDLLLVVHDFEARGPDELNLRRGEKIELVELD DGFGDGWYLGKDLNTGTQGLFPGGKVSPKQLLSERKCR AFLA_092410 MSPTTHLPNVNSNPNSVESSNSTANIFLGGVRRSWMLNAANCSS SLSCHSELPDGSATTAPSDKVVTDTDAAAAAAAGRTRQQGMRESSSLRVLPVPSQSQE NAASVTTAAAAPLPPQSQPNVMSPVTPGHAQQQFQQQSPISQDSSWHVVSDRAGPDPP LAATATTTVVSRRSASRTLQTPIVVPSPIYDSSSNIVNRNGASPSVTSFPSPHTVGPA PLPHQPQVGAAVSTSSPVGVGAVNIIAGNPTRAGHQRERSNISLQLQRRDSHASHSHS PALSPAFPPSAASPRQQMVQPPNTSRRNPFHNPLLDDAFYEQSLRTLENFQHQLKQRD CLGNVEQPRTQLLYQACAERDPMFLAIHQVYCLHSLAPQEFFQLPGYSVPQECGLDVI RRLLVENSRVSGGFLRWSAQFPAPLAGLIQSSRYGQAVEQAGQCLRLLGEKWPMYVKE VRKREFPPLVDELVKNFGITSGALAYTVFLSTCRTLPGSKSEEHLKAVWELDLRYYQQ RCASPRLVSNAQIQEETQKVVQAYRSVCAAAVTHQPGPPPNLPYGPMSIGSERDSMLH PVARPTSTGSPQSAIPR AFLA_092420 MEWSFLFADECIMAKISRWISLIISEKGIMRSAEANDMLYAMGV EVLEVSDLVRAFSLAQALPASECREQICQRVSSSLQDDEVSVVSDHLSINLVDPFTAR IFSRPVRGRSCKHQDCFDHLTWIQTRASKSGKRSLKNDWKCPICGQDARPQQLVIDGY LQEVRAELARTNRLEGAKAILIKADGSWELKSESDAPPSSEGGPENTGERVPSKRKAP EPSNHSPAPATQRPKSERTNSMNGPVNDTHPPEVITLD AFLA_092430 MSGRPSRPSSSHLEPPSKSVELVDSGARDSATNSDEDHFSDASE GHERAHSRSASGRTSPVPLTRVEKVDDTPSHGDVPGTRAYELRGHDAVPDEIEVIPEG SRSRSSSTVGRPQRPLTPEGSPIPRTIVEKVDADQPSHGEIPGTEAYEKRKADAVPDI VTTASDSDARSPSHSPELNERGLKEGISTDADVPETVLQRVDTLPNEDTGSSPRAHQR RPSDALPDVTETVPDGPDAPSPLQDSLPQQSHDKQETPVAGDDSANQAATDDFDDFAE EQDMGEDDFGDFDDFNDGFQEPSTEVSDEEPVVQTPQLHTLPTVPPLVDFDSCQSLPD VFAALDEPLDRLFPASNEVSSLPPIEPLRNNSAIFNTERSLSLWSQLVAPPPLQPQNW VKSRIRRLFLVSLGVPVDLDEILPASKQKKLVLPSINPGGPDATSGTHSRSGSQVRKD DVQSGANSPSTSGPPRNRASWRREPSPPPQLDLPAVRRLCSTTDAALDGLTDGELQGH VKELENVTLRASSVLEYWLKRRDGLVSEKEAFEGVIENLVNHARRVRK AFLA_092440 MFGTSSATGGQGSTLFGGATGSAATPSSGTTAFSFGTQSKPAGT TQAPSLFGSGSQTPKTNETPSSGQTPAGGLFGNAAKPAGGLFANATSTPGQSGGSIFG NTASTTPAGPPPQGGATGQPQSLFGQTAQKPGGLFGNVNTTSSSSTTPTTATAPSTNP LFGGAPQTQTQSNGGGGLFGSNTQNTQQKPLFGSTPAAPAGGNLFGNANKPAESTTPT TSADTAPKPLFGAAPTPSTGGTTSAQTPSLFQKPAAGTDSAPKPAFSLGTTNTSAQPS TTPAASSATPQKSLFPAIGGTTSSTTPSTTPAAAPSGGMFSALGAAKPTGTTAPSTTA TAPPATQPAAPTGGLFGNKPAGTTASSQPSTTSATPAAATDASKPSLTTPSAPATSTA TGTTGATATSNAATGGAALGASTAGPTPPAQSRLKNKTMDEIITRWATDLTKYQKDFK EQAEKVAEWDRMLVENGTKVQKLYGSTVDAERATQEVERQLASVEGQQEELGSWLDRY EREVDEMMSKQVGPGESLQGPDQERERTYKLAEKLSERLDEMGKDLTSMIEEVNGASA TLSKTNKADEPISQIVRILNSHLSQLQVIDQGTSELQTKVSAAQKAGQSLSSRFGYGF SSSGMANSTAADDFYRSYMGRR AFLA_092450 MGHEDAVYLAKLAEQAERYEEMVENMKVVASADVELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNESQVTLIKEYRQKIESELAKICDDILEV LDKHLIPSAQSGESKVFYHKMKGDYHRYLAEFAIGDRRKGAADASLEAYKAATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKLAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEPSRC AFLA_092460 MASTSDVHGSSPVPTMPQPNDNGRAHMSSLSSSSSISDAENERR GRSERPRMASRKPSASILVPRDHPEIEIEEEEFPPDDARAMSPRRNSADLERLGKEAR QTLQEQAKALQSSLQALAERIEAVKSDHDKLESENKFLQDYIGGLTRNMTKSEMTRSS TKVRKSHK AFLA_092470 MAAFSYIIRLICIFMLLCHTHALALRSQDILAPPPEAPLVLDAP AESPSGQSGLPPSESPPEPSESVGSTLQDLLHALNVMQDTYFELWQGTWPSSIDWTAA VIGTHLSASVASLSSSTEDVSSSIFSDADVLTGKHRSLSFENLINHFFDQTVAFYFGE DAFAVRNQAYDDMLWVVLGWLENLKLQVLHSDLHFDGPSGSNLSRNHHWHGAQFRTPA AHRARLFYGLASGGWDISLCGGGMIWNPRLTPYKNAITNELYISASIGMYLYYPGDVI DSPFVPNSVDDQLWSDGYPHHPAHLQAAIEGYKWLNASNMMGIGGLYGDGFHISGWKS AEEPGTRKCDVLNKMVYTYNQGVILSGLRGLWLATASWQYLYDGHDLVRNVITATGWH NKKSKNWAGLGRGGVLEEACDSGGSCSQDGQTFKGIFFNHLAEFCRPVRPQEERFLAS ANQTTGPGSDWEYIHDWHQAQCRKYRPWIEHNAEAALMTRDKDGKFGMWWGRRYGQTD HAVIYESPLPPDATDYRNYGDHAQGSQPLQGASRLSGDSIFGVERPVYPAYAPGQTRS TGDAIALGLHPTDYNDRGRGRTVETQSGGVAVLRALYQWKVSASRPSAA AFLA_092480 MSGEMEVDPPVSHEQEEAPAQSGGGFEPRTQAGAVAVRSIEGWI VIATNIHEEASEEDVTDLFAEYGEIKNFNLNLDRRTGYVKGYALIEYSTLPEATEAIK NLNGTKLLDQTIQVDYAFVRPPPSNKGKAGGQRGGGRGGRGRSRSRERSRSPGADERD AFLA_092490 MILREIINDPTRKYTFWNFSVQLDAANLHFMNLEGLADGSLILT VRIRSSACAVRGSMISVKEKISGFAPPRLKSKLYNDLYLCDWPRQTLQLFLPEERLVE WKTVALILKSFGRITADQWSDMVWMKDRPSVAGLNWRAIERDVKIYKNRLAELKAKGK QKYAIALAADTEAWKSRSIYQAMTDSFARTDGSKTHACNITAGLYCGGTWRGMIDRLD HIEDMGFDAVMVSPIVKKIEGRVSYGEAYHGYWVQDMYALNPHFGSSEDLLDLSKALH DCGIFLMTDTVINSMAYITNGTSPEGNINFTRLNPFDDPKYFHSYCEITDYDDYPLAR KCWTGDDIVPLPDLKMEDKVVQTMLEKWIKETMGKTRFLSKYTV AFLA_092500 MAFFLRRPFAVPTALRQVPKTANTARFFHNSPIKPSQSKPLGPA TSSIFAKSRQTFQNAFRRTYMQPTYDATQGGNLTQRLLYGAAIVGGTILATNMIFNRE TREDGGMAPYERSYLNETFMHTGLGIAIIGIAARAMHMNGWSYRLMAMNPWLVAGLGL VGSIGTMYGTYYTSPDNYLAKYGLWTAFNVTQAALLSPLMFMHPALLARAGLYTVGMM GSIAFVGATAKQDKYLYLGAPLLAGVTIVALSGLAPLVLPATATRTLMWSEKIWLYGG LAVFGGFTLYDVQKVLHHSRMAERGLIRRDVVNESISLELDFINIFIRMVQILAMQRN NRK AFLA_092510 MSSTLETINLPHLPSSLPVHVALYRDIENAPFLRQQLISGNADF EYAFIDASMVLSRAHVSSAIFRAVNDYLNERLKSRNVHSEIVFSFSPTNNIADSFRKF GISDSTKDLLVVKVSVTPEITHDSVAAHLAQSIEGSPVPFNDETLSKIADVTKIKKAY KLGALPSAPAGPVNGAGNGDNGRLENSIIGAIALRGAT AFLA_092520 MSAAADVIVLSSSPDRIPNGSPVLPAHDPAKLFDLSPPSASPSP VRSPSELFQISTRSRFFELETPSRNKENKTPKEPPVRKVNTTSKAKSASSQDKPKRRG RKPASESQTVLGDSGLAGLAQQSAPKKTAGARKKRVDSEGKRGKATNRTIMGRVAKSG NVQAKPPQEKIMDVSTPNALPPTKPASGVVSLEIDGLQLETAMKRRIDWTPTKDTTAR TVESSQQEVAEANPQSFGSLLSEYGFNDISSAQSDVRNLGDDGPTKRRRIELVDSRLF GSSKPASHDIDDKNLTEDSQQKQPEPKQKPKKQTKKFTTLTARVTASYLNNSHEGSDS SSKETTTSRENAATSRTRGSKRKGKATSKPKEPEFIVLSPEAAAKSLENQELIFGTCS QLEREDSPTSLKELQAAISESERYAVAEPSPLSSTLCATPTSRFTTARGLWSVAARDL EGSLIRQTEVVDLVDTPEPAKMTTSTNDSRNEKALEDAATVPPKEPFDLPQSEPPKLK AIPAAKKEPSPAPGLPTIKASDNLKGTTSQHSKPQPKMPNYNGFTDAELSRQVASYGF KPVKNRKAMIDLLQKCWVSKHGKGTTFETQAGSQNTSTEPTPVLTSSEPNTSQKQPRK TATSRKTAAKSKTNPDSNPPPKINSRKTPSSSDATKAPSIQSKPTQPPPIQSLSNVEE IEDSEEETLPSPFRIQNRYTPQPPETRQALPVSKTLYSPSRPKPRTTKSTTNNSATLN QKQPDLADQIFKAMHAQPAGTPSRPSWHEKILMYDPIILEDFATWLNTEGLGLVGEDR EVSAAFLRKWCESRGICCCYR AFLA_092530 MTHGLSTLQYGGFNPSLGQGLPHMPSLASQYPAPLQIQSLHNNQ MDYYASPSPATVIAQGAHQIKTDSSYAYGNPQTEEIPSNLQRSAEDDEL AFLA_092540 MRRHGRSGGGSTKALAPVSDTVSLIHSFDSVMNPNRPVRPSPLA FSNIQALPLDLVDRLRSFPLFQSTPESFLSAVGRHLRPQLHQANDYILTEGDEAKAIY WLVRGAVSVTSRDGESVYVDLEPGAFFGEIGVLMDRPRTATIIARSRCLLIVLTKEDF RNILPHFPDVEQAIRDEAQERLMLLEKKKKETSAPPVDDIISRRGNKRLRETFSKDMS LAEQEGTALNWKSVNKKRKSPSPGLTDGVSSSALANGLVNVRLLLKELPLFAGLPGDI LHFLGLNAQPRSYPPFTDIIKQDSQGREIYFIVRGEVEVLTERTHTEDVSHSVPNTIE HPGFEVKARLKAGQYFGEVVSLSLAPRRTATVRTINAVECLMISGDVLAEFWEKCPHD VRQQVEETAKERLQSAADGDVVMAEADGVGQFVGDSNFKVSASRRRSMPLLTLTETEL DGPHTNKADDQAVLRPSDPDPFLNVGLDKVRLKSRRGSVAPLTPEEVSGEQQRPSPPS EPRSTSSSFLTLPEAAVSTTLKTQRESHKVNRGVLPDNILVRILNLLELHHLFRVRAV SLHWSEIINTSTDLLHDLDLSMYNRQITDDVLVKIICPFVGNRPRYVDISNCFHITDE GFNKLAATCGSNVVAWKMKSVWDVTASAILDMASTANGLQEVDLSNCRKVGDTLLARI IGWVMPGQHKDEPVKTSKGVLKPTMQTAAGAVFGCPKLTKLTLSYCKHVTDRSMHHIA SHAAHRIEQMDLTRCTSITDQGFQYWGNARFTNLRRLCLADCTYLTDQAIVYLTNAAK QLQELDLSFCCALSDTATEVLALQCSQLTYLNMSFCGSAISDPSLRSIGLHLLHLKRL SVRGCVRVTGAGVEAVSDGCNQLESFDVSQCKNLTPWLEDGGHFKYQNKISFETVAQN GKVFR AFLA_092550 MLRLSSTANLNFPPTSEKLNQLEPSGARLINWDSLRSFLPALVL PFSEVSTPHKKNQKFYTNIDSFQEEERKERRWREVS AFLA_092560 MDSHRSSDAHPRGSTTLMEILHWDKLFESDAPPRLGIEVGRRLP YTAMSAFSVGMVIGSSHGSKKSAYRFRAENAHRFPTTSTGWFQYHKTKNYTAIVGGVK EGMKMGLKLGFGALAFCLFEETVDYARHDRRDFLSTVTAGLSFSGIYSLLARHDVYTA ARTTKLGLKLSLVYGLMQDALESLKGNRPAYVNFLLGNRRSKTE AFLA_092570 MSQPDPLSWTLLFKKHKMTVLLMLPPSATIPSVQATLLRALQSR GLTEINGDPVPEDSSDIEFGVAVDRNDLGKGWTKLEFQTPQFDEDEAPKRGAGRRSAA PLSLQAAEIRNGQPVAFRFRKRGEETETAEELIDLELEDPGWDVVLPSLDDEEEEN AFLA_092580 MAIPSDASSITVAVRVRPFTIREAAQISKCEDGPLFLGDGSLAG APTPKLNQKGIRSIIKVIDDRCLVFDPPEDSPVQKFSKSVVPNGKRVKDQTFAFDRIF DQNASQGEVYEATTRTLLDSVLDGYNATVFAYGATGCGKTHTITGTAQQPGIIFMTMQ ELFERIDERSGEKATEISLSYLEIYNETIRDLLVPGGSKGGLMLREDSNKSVSVSGLS SHHPQNVQQVMDMIMKGNECRTMSPTEANATSSRSHAVLQINIAQKDRNADVNEPHTM ATLSIIDLAGSERASATKNRGERLFEGANINKSLLALGSCINALCDPRKRNHVPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVFNVNR HVKDFLVKIDEQMNLINELKAQQKDYERIAFAKFKKQTEKKDAVVREGISRIRNAYEH SLPERQERTANMLKSRQISRRIGILSSWIAAFDSVCANSENEVPLANLQAIRKTAQGV LLELESSRQHYHQRLARSTWDRGINSAVEHAVRQLHDFGINDNGDLANLHREAELLKS NTERDAFSAVAEQEKAGEAETVQLLLQAQFEAISAIEDIMQMSEEEAVEAGKSILSKM LDSCSTATSSLVKPDGSLPAAQPFSPSKAMSPKPKKRVSLAALPAGKTLAAPISLAST APASPGKGSPRRRRLGGGRKSVTFSPKKPQAKSTKRSVRWKDDEQDGSLAEFQKTPQK PRAQLFPEGPQGSPSEPPMPRTSPVPRGIPVPSRNISPSYGFSPVPAPPEPTLHVPKN NRFKAGFLSKKISGSPIAPPPSTSLPASDGEHSPLRNIENSSFLNRASVDRPSRIAVR TSSGSYTSSPASDNKESWKANKDDAIRISSAMRRISGGHFGAGASANSLRVHRRRSPG SATYGSSSPENTMFTAQARRMAKGEKEHEAKPGVLGPRTLPIMKNTGRRTTFGGEIRP RDISLTSRDAIRLSAMATPNLQRPSESLYSNSGAGWR AFLA_092590 MSNNTQIATLAAGCFWGVEHLFRKNFGNGKGLLDAKVGYCGGET ASPSYRAVCTGDTGHAEAVQVTFDPSIVTYRSLLEFFYRMHDPTTLNRQGPDVGTQYR SAIFTHGDEQEQIAKDVSEKVSKEWYKQPLSTDIVRAGQWWDAEEYHQLYLNKNPTGY ECPAHYVRTFPPLSA AFLA_092600 MAGYSVEAAMAEALGHLNRIACHFRKHEQHGAANEIGKLSIMIV NVFSEAVEHIPTNEFADVQQAVAALMNEVEKEKDSITCQTSALQNISSEAQLPMTGSW AQVASSTSSLPVQPQGVGQFMTPLPLGPASLSKQTSNNDENGDTRMSGISDVTLSAPM FPEIPEAKAGVLRIYGKTSKEIIQYLTTRIHEGPLQDIRLETNGRTRVTFQHASQALA FLKSNQEMEQMLGYGRFGCGYRVELAEIIDWNDDHRRMNQPIRERRRLSFARKRLFAD NMSPEKWKQDICTLAGPGNIDFLWVFNSGNGELVARKQWVSNRPSPS AFLA_092610 MIPQGRSYMHRVGLNTARHRDDSQAEPSALSNVCCGWLMVQSIL VGKQSTKVGECQASYVHGTDGPLQQGALLLIETENGI AFLA_092620 MNPCHFTILFKNKKLFSAATGMFYRDKLKTSPESSIGNLDVNIT YGTDDTYDTFQVLDERLACVLVSSPVHATKERK AFLA_092630 MVRIVSSLLLASLASTFAWADTIKCDANNQCPEKYPCCSQYGEC GTGAYCLGGCDPMQSFSLDSCMAEPICKSKTYKWDNLDSAALNTKYLGNASAADWVYS GFPKVEDGNLILTMPKNSVGTLFANNHYVWYGKIKGKVKSSRGKGVVSAFILLSDVKD EIDFEFVGYDLDNVQTNYYWQGVLDYNNGGKAPAGSSTFDDWHEYEIDWKPDAITWSV DGNVKRTLTRESTWNETAKRYQFPQTPSRMQLSLWPAGQASNAEGTIEWAGGEIDWDS EDIKDKGYYYASFGEITVECYDPPSNSGDGKKSYILTNKDGLEGSFKLSNNDTVLASL GATGLDPDLGASSSSSSSSSASTNNSVPENRGGSGNEPGATSSNSTSSSSGSSSSGSS DSGFSQGSNNDSNNSNNSNGAASANERVMKGSFFAVLVAVVVLVTL AFLA_092640 MARDEPLLAPRPSSDHSSIRNAEEEDALLTGERTHREQQRSKWA FWKDVGLFSWAFIATIAVIVLAVVYQHETSKNHSAKQPWGPGGKPTGKRNLIFMVSDG MGPTSLTMTRNYRQFTEGLPVDQTLVLDDHIIGTSRTRSSNSLVTDSAAGATAFSCAH KSYNGAISVLPDHSPCGTVLEAAALAGYKTGLVVTTRITDATPACFASHVNLRGYEDR IAEQEIGEHPLGRVVDLMFGGGRCHFLPNSTEGSCRGDDRDLIEIAGQKGFHYLNDRK AFDSLNGGSEAKLPLLGLFAEKDIPYEIDRRSQDGVYPSLEEMTRTALKTLSQATADS DKGFFIMIEGSRIDHAGHGNDPAAQVHEVLAYDRAFAAVLEFLEQDSTPGVVVSTSDH ETGGLAAARQLHDAYPEYKWLPGVLANASHSSEFAGATLREYLSKNPDAKSQRKFVHE LLEKSLGVFDATDEEIDHLLDPKLPYTNNYVFADIISRRAQIGWSTHGHSAVDVNIYA SSTKDAWRLVGNNENTDVGAFLSDYLEVDVEDVTRRLQTPSEWTWKPEVEPSTSTSLS WLGDPLGEAVRTDGLDTYHGEFKKRSMDLETRECGCGELH AFLA_092650 MHSPSPQLLRALRTSISAPNVTNRLCANTRSVSPISRITPHVQT YRNNSSHARPVRMVPRAHTAKPASRDRGPQSTEDTQTDFAALNVLGNIPAPTTAIDAC LDNGFHLDNGLKLTNGDGLLLVGGEAFSWRPWTAMGGEKNAMVNKKGQFEVDEQAWGL LGLVWPRPDLLIIGMGASVFPLSPETRRQINSLGVRVEVLDTRNAAAQFNLLATERGV SEIAAAMIPIGWKGR AFLA_092660 MGVPKFYWAGQDQRYHATAIELLGPSLAHLWRDCGRRFSLKTVL LLADQLICRFQELHSRNCVHRDIKPENLLIGVGRKANRVYVADLGFVKRYSALSDRQI LKRERHDRHLAQSPRDDMESLGYVLVRFLKGHLPWERLWASACTDLERQIAVAEMKRN IRTETLCKGLPSAFNLYFLHILLKATPDYTYLREIFLRLFRREGFKDDQIYDWTFKQE AELLRQHCNNRLKEQVKKFL AFLA_092670 MNQETTTTTSSSQPPTTKKPSRHEKGSKKAPQKTFNPLQIGIQD FVTNNISPETLSQYGFSSETLHSSLPKRFTVYEPMLLLPVNAFSSPPAWSALYQSLTA PQQQTLYASLVKAFSRMGVTHVAINAPIALTDTQGQENRMRSPAGLVPLYGDFGPPPP AAASTASFSAEEEGQPSDEDLERAFWVHTMQNHGIVQIWAPLYTMFSRGNVTEKARVL GHGASPFEGLEVGQLGGQAVSDVGVVDMYAGIGYFVFSYLKRGVRRVWGWEINGWSVE GLRRGCEANGWGCRVVRVREDGGLSEGLLDLVGSLKDTDRVVLFHGDNRFAAEILGEI RRVMEEKGEWNRIRHVNLGLLPTSVDAWENACRMVDAQLGGWVHVHENVDLREIEQKK EDITVEFGRLRAEALGLQDTIASAECRHVEQVKTYAPGVMHCVYDMKLLACSELQQKT AG AFLA_092680 MAMNFVTFNQDYSYLAVATAKGFRIFTTDPFAKSYETKEGNIAI IEMLFSTSLVALILSPRRLQITNTKRQSTICELTFPTTVLAVKLNRKRLVIVLEDQIY LYDIQTMKLLYTIETSPNPSAICALSPSSDNCYLAYPLPHKAPPTSFTPPSHAPPGNT HISPTSGEVLIFDTLKLEAINVIEAHRSPLACITLNSDGTLIATASDKGTIIRVFSVP DGHKLYQFRRGSIPSRIYSMSFNTTSTLLCVSSSTETIHLFKLSQGQSSESSLPSPSA PQRSMSQSSLSNSPDEDETSGDKDSSEFHSRKHNGTLMGMLRRTSQTVGSSFAAKVGG YLPKGVSEMWEPARDFAWIKLPKSNPGPGGNGNTGPLRSVVAMSNNTPQVMVVTSDGN FYVFSIDLSKGGEGTLTKQYS AFLA_092690 MLYYSKPHFFRYFVKKPQRRSPLINRGYWLRMHAMAETVRKFMR EPSDKPKFVLNLGCGFDPLPYMLLSADNDLCRDTTFVDIDYEKLMVNKKTAIRKTDEI TQLLEDVEFLPDDSAVQIRSKPYLAIGCDLKNLTKLDTVLRAEVLPSECAVLFLAEVS LTYMDVKSANAVVSWASGLSNDAQFCILEQFFPDGPDHPFASTMMKHFKKLGAPLYSI HEYPSLNEQEQRFKDAGWNHAHARSLWDLWSDDEFVDGSLRASLDAIEPFDEWEEFAL FGSHYFLLHASTRPRVSETATRTLTGLDPQTDKSGHFRLLAKCPPGSGQRRFGAVIPD SDKAVGHHSGLGRQTRLSSTELYTKSEGTTKTHEFPPGDIPARMCHTVTCLSNQDCLL VGGRASPASGFKDCWVRQGNQWRSTQSLPVPRFRHSAVKVTLDSEYVLVYGGKTSDGT TLNTWLAWSSKKQDWQQVETNSIHIKARFGACLGSINDTSGVLFGGIGAEGTILGDFF TWKLHQRSDGSLFMELTDHTDDLRRTSSLFNQIHRFGATVNQTAWGLVIVGGIVPRGI ITHDKEIMLLDSTELTKCIASGWPSNHTIISALGLGNRLDGPRPLLVGHVSCAIDSKE ILILGGGAVCFSFGTYWTEGTWLLQDVSSTTENDWTLIPESVEPNKSQSEKATSKPSA QSQNEPYRAAEVITPIPRVCVQNPAQFQDILAEGRPVIIEGSDVGPCTELWTKEYLTS AVGGDRKIVVHEAQSAHMSFQTKNFSYATKTFGTFMDEVYAGDRQYLRSISAEQPTKL PANLAVDFPSLSHDFRLPESLSIVTGNTHSSPLRISGPVTLWLHYDVMANVLCQIRGE KRLILFPPSDVQYLQVPPGASSSTINIFQSNGSVASIPHTSPQEAVLKRGDILFIPPL WLHTASPTGDVSVAVNVFFRNLSKGYAAGRDVYGNRDLQAYEKARNDIQKMAKSFDGL PSEMARFYLLRLAQELKDKAEK AFLA_092700 MATVVVQQQQQTLRHSTPPPTGISPALSLNRNPSPIPNKHLPFC PEGPTPIITHNASPLHKEEVTDQTSSLLYPPDSYKQLSSSPAVYSIDAVTLEAALDHW ASQPLPDPNKVFPWLHGLHPENHLQLGFFTNRKRSLRRIPRVWRGITIIKVGGDLISG RLKGAVSLDEVLAPSTTEFLAVDPREGFSVRNFQIQTAKLAPLSDIVIYGEDGVTRKQ LLDVAGRVAAAQHRWRSKNDPEQVLPVYNTFVLSSTFSEVQQRAPGIVAINARGQLTG QIMDFFQWERWEMCDMSRASEISTNIWQGPTPDYLLRPGTLEPTTGEYFDLLIEASDF ASLPGPRFLAKLNKQLDDGPQRLEFPSSGSILPPSGDDREVDDLVNTVRWLYYLANPD EPENRRDSDGDIAMDPMPKKPRKILIHCPDGYTESSLLVIAYVMFAEGVTAPDAWLKL HCDKKRNFFAYPSDVTFLSAVQARLLHESPATPIGSLTGLEDPHWFKFFDGSLPSRIL PYMYLGNLSHANNPEMLWALGIRRILSVGESVTWTNSEVAKFGAENIMHVTQVQDNGI DPLTQELERCLDFIRKGKKDGTATLVHCRVGVSRSATICIAEVMASLGLSFPRAYCFV RARRLNVIIQPHLRFVYELLKWEELQLQKQNKPPKRELEWPTVAREIALMNKPYSR AFLA_092710 MHALKELIENAVDAGSTSLEILVKDGGLKLLQITDNGHGIDRDD LPILCERFTTSKLKQFEDLSSIGTYGFRGEALASISHIAHLTVTTKTAGSSCAWRAHY SNGKLVAPKPGQPAAPKATAGRGGTQITVEDLFYNVPTRRRAFRSASEEYAKILDVVG RYAVHCSGVAFSCRKHGDSGVDSSRTFLTQTLLPGVRTMEPAPRDPDSTDAEGRTPKT PATTKKPYEHNLVRTDSKVRKITSMLSPAVLSATEAETTSGILDEGLQYETTDREPLR IALTSVKNLRASVRNAMHNMLTETIASHTYVGLVDERRRITAIQSGVKLYLIDYGMFC NEFFYQIGLTDFGNFGVIKLDPAPKLIDLLQIAADAEREAPSSRKAKSSEKNEIFDNA PDLVARALIDRREMLNEYFSLQISAEGELLSLPLLLKGYLPCLGKLPRFLLRLGPYVD WTSEEDCFRTFLRELAAFYTPEQLPPPPPPAENDGNEVSPDVDMEEELIKNRRLQIAR MLEHVVFPALRSRLVATNRLLRGVVEVADLKGLYRVFERC AFLA_092720 MPTDLSPAPERFNPTFAEPRQRAGMPWSPPAPSPAIPQRGPPPR EDFAPEGSFYYEGSVHFHSLGKTLYRFRKFAHGLPASRAVVFAGASLKSISDLLPLAC KMANQRANEVHLVLMGRDDVSIEGIQHVNGIDDSDCPIYWHDGRVDYAQWSTDARMER AVASGLTYVQAYLSPQAVITQGESSEEVFFLQGIEKKARELGTAHIVLPTATRDIMWI SSLDSHSLQAWNDMRIEILIQAPTESSGSFIRLIRSLKDADYLGSAPGLTIELPHDVD PQLLRFLKTMKWPSNTSNKVTLRRRVRHGVLDAAEASLRTAEAFYPQDPNMTHVLMLS PQAELSASFYHYLKHTVLKYKYSTNAGQVASDLLGISLELSSSLPTSDESVNFPTLDT NRFPGLDEREAMLVSLGQVPNSNAALYFGDKWVEFQSFLSERLAKEVTSPHEKVISER YPAVMEYLLEFMRAKDYYLLYPAARGTQTLATVHSDLFQPPEEYSDENWAKSTKPDNV KDQSN AFLA_092730 MAKEGERSAPADKGKGKVDDVKDLPGAKKSPTDEKPQADGKKKD EEPKEEELNEEDQQLKNELEMLVERLKEPDTSLYGPALDAIKNFIKTSTSSMTAVPKP LKFLRPHYDELTELYENWSAGSVKDSLADMLSVLGMTYGDEEKLETLKYRLLTKSEDL GSWGHEYIRHLALEIGQEYQNRLNAEKGVQDLIDLSLSLVPYFLSHNAEADAVDLLSE LEIIEEIPRFLDENTYSRVCLYMVSMVNLLTYPEDQQFLRTAHEIYVRYKEFTKAVVL AIRLNDTELIKSDINATSDRSLKKQMAFLVARQQIWLDMPDEEEDQSFMDCLNNTLIP SHFKSLGKELNILDPKMPEDIYKTHLESSRGAGLTNVDSARHNLASAFVNSFANAGFG NDKMMLVEGDKGPWVWKTKDDGMLSTTASMGMLLHRDVDVGLDKIDKFTYATEDQIKA GALLSIGILNSGVRLDSDPALALLSDPDNLEAKNVPMRVASIMGLGLAYAGSNKEELL DVLLPIVEDVSLDMQLSAMAAVSLGLIFVGSSNHQVSEAIATTLMDEDRQKQLKNKWT RFMALGLALLYFGRQEEVDVILDILKAVDHPMAKPTSVLASVCAWAGTGTVLKLQELL HICNDIIEESDENKGDELVQSYAVLGLSLIAMGEEVGQDMILRQFGHLMHYGASNIRK AVPLAMGLITPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLCGAGTNNARLAQLLR QLASYYHRDQNSLFMVRIAQGLLHMGKGTMTLNPFHTDRQVLSRVSAAGLLTVLVSMI DAKQFILAEHHYLLYFLITAMYPRFLVTLDEDLQPLTVNVRVGQAVDVVGQAGRPKTI TGWQTQSTPVLLAYGERAELEDEQYIPLSSTLEGLVILRKNPNWEGEQSTA AFLA_092740 MRSNTFLILSVPSPDFTIALIRTPFLPPRYASFYVPLNFNKLDM RDYLKRLYGVDVLSVRSYVEQQKVTRLRPLGKFGYGKLRRPMSKKKMTVEMKTPFVWP EAPADMTPWEYDQFHKAAKYQNDIQDKQRPDAGMKANTDERDAYAEEAKKLLDGSKPW RPTWQALGLSYDRTGLGKSSTSS AFLA_092750 MGSNNGRTTKLALVPLPKGSVLLPGATLRIPVANRPDLSNLLSS LVDRSSAVKREGNVITFGCVPLNSPFLSKDGQRLIDNGALDEDRREEYDAIDAGQARK DDLFRYGTIGKVVGVQRRAYSEASLVVQGIQRFTVKRILKERPYFEAEAILHDEKDYV SNDSETVELFQQLRRLSRELLTLLRLSSLLPSSSTRLSPLIARKFELFISKTDLTQAG RLADFMADIAESGIEDKLRVLAALDHKARLEKVVEMLHRQVQSIKSNVKVTTITTNSF PPSGFDINQIDPRDRELLARRAMAGLTGLTPPGVAGGRNNDGDDKEANEVDELQQKLQ EAQLSPEARKVADKELRRLRKMNPANAEYGVCRTYLENILEIPWTKVTEDQLGPDTLK RARQQLDDDHYGLEKIKKRLLEYLAVLRLKQSTNQNVEQQIAALSKDLDSSSDAGDIE KDLPGLSEADRVAVESKLHLLKSKRMTDKSPILLLAGPPGTGKTSLARSVATSLGRKF HRISLGGVRDEAEIRGHRRTYVAAMPGLIVNGLKKVGVANPVFLLDEIDKVGGANFQG DPSAAMLEVLDPEQNHTFTDHYINIPIDLSKVLFIATANSLDTIPPPLLDRMETISLS GYTTVEKRHIAKRHLIPKQIEVNGLSEGQVILSDEVIDKTITSYTRESGVRNLERELG SICRHKAVQYADAGDAGHPETYNPVVTMDDLEEILGIERFEEEITEKHGRPGVVTGLV AYSTGGQGSILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHSYELGLTPDL SEDIMKSRSLHVHCPSGAIPKDGPSAGLAHTIGLISLFSNKAVPPKLAMTGEVSLRGR VMPVGGIKEKLIGALRAGVKTVLLPYQNRKDVKDVPQEVSDGLEIIYVSHIWEAIRQV WPDAHWPGQHHENFVESRL AFLA_092760 MASMSNPASGAANPSSRKTFTVGTRKSKLALSQTDLVVSALKKV YPNYEFKIHSQETAGDLNTTIAFREFTTKNLWTEELEEHLMAGNVDFIVHSLKDVPTT LPPACTLGPMMEREDSRDVLVIKQGLPNMSLSDLPAGSVVGTSSIRRTAQLALKYPHL KVIDVRGNIGTRLAKLDAEDSPYTCIILAAAGLLRLGLDDRISQYLDSKNGGMLYAVG QGALGIEIRKDDQVMRDMLNNIGHNETTFASTAERSLLRTLEGGCSAPLGVETEWIKS SDGSKKLRMRSIVVSVDGKESAEVEIDGSVDSVEAAEDFGVTVAKELVTKEQRDPRGY PAE AFLA_092770 MEQPQSLRALFAAAKSEKSALESRFDTNTEQYRNDVNATIAKLE ECARLVAVLSLFSSNEPLEDIATGDLPYLTVSYHLAELLQRSYTSDRVSSLRRALEQY ERYLTRLDDYELLNDKDKKLYERYTANPASFSLTPVNDAAARREVKITRFREEKELKQ RLQYLSDNQSQLQTDDEDVRQLYIAEIKLYTHQTFQSLDLLSQELSMLSAIRNSAPAH DQIQPEDTRRRKDAQQSEYSERLDPPLSQLLQGGKFGPILSKDGKPMQPFTLLDRRTQ LQQGVFRSGHNLPTMTIDEYLEEEKRRGGIIEGGEKSGIQEEVDEDDMDRADEETMKA RAWDEFTEANPRGSGNTLNRG AFLA_092780 MPPPEVKPLAGYVRSQSLRIPSSLNLSGERTISTTEPTEGNDSS SEESGDNEQISTQRPISQNKRLQSAKFEALGRPTLDLPDAELSTANLVAKQDAGTGML DPREYQVELFERAKSQNTIAVLDTGSGKTLIAVLLLKHIIQNELIDRANGKPPRISFF LVDSVTLAFQQAAVLRNNLDQNVVQFFGAMGTDLWSKQTWDHQFENNMVIVCTAEILN QCLLNSYIRMDQINLLIFDEAHHTKKDHPYARIIRESYLKADPTKRPRIFGMTASPID TKGDIIESATKLEVLLDSKIATTSKPNLLREVVRRPIEESWEYDKLDPPFATKLYQIL QARFGDISSLQPVFRFTLQASSELGPCCADRAWAYALADDVLPKLEGNVRKLAQSISS PIPQCALREISRIQEASDIVKNHSFNSPNFPGELSPKVQLLRQKLIKYFEHPTETKCI VFTQKRYTAKMLFDLFSTLEIPYLRPGVLIGVRSGDIVGMNVSFRQQFLALVKFRSGE INCLFATSVAEEGLDIPDCNLVIRFDLYNTLIQYVQSRGRARHSSSTYASMIERYNAD HAARLVEVREAEKLMQSFCETLPEDRILHGIDSEIDSILQDEEEKRTFIIRATGAKLT YHSALAILARYASSLSYYRKSRLFEDSLESLHRRNLLQSSPQPSIHVSCSGNTNCSMI ILTQCIIGACLQ AFLA_092790 MAIDNTLHPSDPPPSFLPRRKFMESIMSYTLSGSKNARAGFLSR CNWQQPVLEVELVRLRRNLLDKMTDTEKDVETRCFVCIEPLRISAIPEEIAASCLAFP AIINRLDAYLIALEGCNTLDLSVKPAYALEAFTKDSDNTEEHRVQQIHVQRGMGKNYE RLEFLGDCFLKMATSISLFVQNPDDDEFDFHVNRMCLICNKNLFNTALKKELYQYIRS RGFSRHTWYPDGLTLLHGRDHRKKVSAESKHALREKTVADVCEALIGASLLSGGLHNQ FDMAVKAVTAVVDSPNHKALCWADYTSSYMLPKYQTQSPDGYELDLGRKVEEKLGYRF KYPRLLHSAFTHPSYPSTWAKVPCYQRLEFLGDSLLDMVCVDDLFYRYPDKDPQWLTE HKMAMASNKFLGALAVKLGLHTHLRHFSNPLQSQITHYAEEIQAAENESQGAVDYWLV TKDPPKCLPDMVEAYLGAAFVDSDFQFRVVEDFFQRHVKSYFHDMTIYDTFANKHPTT FLQNRLTNEYGCTNYCLKAGEIPVVDGGTVSVLAAVIVHEVVIAEGTASSGRYAKVKA SEKALSVLENMGPSEFREKYHCDCRTANDSQPMDIGTAI AFLA_092800 MSTHYIYNLSAEISSSSTTVATVAFIKRPAAIDPALPIPSQIQV MNFPGPASLSNTQAQQNTSLSPYEILHLLVHHGLSPYFEANSRNQDAAGGLKPRTDTE AKTGVPMTKKKFAELELGLLHLQQNVEIPALSLPLHEVVQAALTEAEKRGVKPSVELI DPTILESSTFINSIQTNVNTWIKSIQTITKMSRDADSGSAAQEINFWLSMETALEGIE NQLRGDGVSLTMDILRHAKRYQATLSFVADTGLREAADLVQKYNQLMRDFPLDELLSA TTLQKVQESLNLIFNHLNKKLKICPYPIKRALALVEAISGDLDSQIHSLLHGRTILHL DYREFRSLMKTCGAIWRTWDENLKEFTNVARESTRRRNEKFIPIKIAARHEKTQERLK YINTFRVNHEQLQRTIVNVLGPKSSSTGEPAAGASSDGAVIVEEIGDVDAVEEVAQAY AALKNVDVLDVSDEGTQQWIQEEIAYNERTSRVENSIIARLRDRLATAKNANEMFRVF SKFNALLVRPKIRGAIGEYQTQLIDNVKQDISSLHERFKQQYGHSEAHAMAQLRDLPP VSGAIVWARQIERQLDAYMRKVEDVLGEDWHLHSEGQKLQAESNLFRKKLDTRPVFES WLHDVQRRHITISGRLFNIVRNRAAGNTLELTVNFDAQVIALFKEVRNLIWLNFQVPH AVSNISKEAKRVYPFAISLMESVRTLLQTSRSIASMTEVAILLNGYVNDAQGMIVKGI PLRWESFVHSYELHVKQSALANGAIESTIPSRGESKHVQFVREFAGSASVLQSKTAVL ASIHENIQKAIHELKTCPYDASAFKQRLDAIQVAVDKLNLENYVNLGYWVANLNQKIE AILRDRLHRAIREWINSFQEAKNGDHSSHLLNGSQRLVTGEGEAMAYNIEFTELVHEI SMRNQVLHLDPPLQFARASWFSQFDNWLGVVCNLEKIKSSRYQISIDVQKVQLSESCF ATLPQHCTDELNQVYNAIEARLKEVSNYVDKWLQFQSLWDLRSEQVYDILGDDLSQWL QLLQEIRKSRATFDTSEVSRSFGNIKIDYEQVQTRVNAKYDQWQHEILLRFGSKLGGR MREVHSEIASARRDLEGQTLEAASTAHAVSFITIVQQCKRKAKVWEPEVDLFRQGQAT LARQRYQFPSDWLHVENVDGEWAALNELLARKSKIVQDQTEGLRAKIVAEDKVIGDKI TEVIAQWNDEKPVSGTIPPDEASRTLSLFQSRLEGLQSEYEMVSKAKEALDLPAGVES ALPAILEEVQDFMSVWAALSTIWKSLNDLRDMLWTSVQPRKLRQSIDGLIKMTKEMPS RMRQYAAFEHIQNVLRQLLKVNPLLSDMKSEAVRERHWHKIYKSLKPGQRFSLVSLTL GDVWDLQLTASESVIRNIIAQAQGEMALEEFLKSVRETWQNYSLDLVNYQNKCRLIRG FDDLFAKCSENLNSLQAMRHSPYFKEFEEEATSWEDKLNRVHVLFDVWIDVQRQWVYL EGVFTGNADIKHLLPLESSRFQNINSEFFAVMKKVYKSPFVLDVLAINGVQKSLERLA ELLNKIQKALGEYLERERVSFPRFYFVGDEDLLEIIGNSNDIFRVAKHFKKMFAGLSG VLMDDDNNIVGFTSKEGEEVRLKKEINLVKTPRINDWLTAIESNMKLTLAELLAEAVE QFEPLYHATEVDQSAFNDFLANYPAQIVVLASQAVWTNAVQKSLEEGGTTLSALYDSQ VRVLELLAATVLGDLDAISRKKCEHMITEFVHQRDVISKLIKANATTPTHYLWLLQMR YVYQPEGDFLQRLYVHMANAKLNYGFEYLGVPERLVRTPLTDRCFLTLTQALCQRLGG SPYGPAGTGKTESVKALGLQLGRFTLVFCCDDTFDFQAMGRIFLGICQVGAWGCFDEF NRLEERILSAVSQQIQNIQIGLKNGETDEKAQIELVGRQLSVNPNTGIFITMNPGYAG RSNLPDNLKKLFRSVAMSKPDKELIAEVMLFSQGFKQAKRLSTQTVPFFDRCSTQLSK QAHYDFGLRALKSVLVSSGGLKRARIASNDGELGPDEIIEPQIIVQSLRETIAPKLVR EDVDRMLDIQSDVFAGVEYVPANYEKLTAAIREIAQELHYVDSEMWITKALQLYQIQT IHHGVMMVGKSGSGKSAAWKILLQALQRIEGVEGVSHIIDSKVMSKEALYGNLDSTTR EWTDGLFTGILRKIVDNLRGEDTKRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGE RLNLPPNVRIMFEVETLKYATLATVSRCGMVWFNDDTVTPAMMISNYVESLRTRTFED LDDDSAPAGQAAIKTQDAQDMVSNVLKHLMQSSDIIMKSLEEAKKHNHIMEFTDIRAL NTLFSLLNKACRNVLEYNIQHVDFPLDSEQIESYISKKLLLALVWSFTGDCPLVDRQA FGQFVSALSTTDLPLDGSSSLIDFDVTLPTAEWSSWQSQVPTIEINTHSITQTDVVIP TVDTVRHEDVLYSWLAEHKPLLLCGPPGSGKTMTLFAALRKLPNMEVVGLNFSSATTP DLLIKTFEQYCEYRKTLNGVIMSPNQIGRWLVVFCDEINLPAPDRYGTQRAITFLRQL VEQNGFWRTSDKTWVTLDRIQFVGACNPPTDAGRTPLAERFLRHSPLIMVDYPGEISL TQIYGTFNSAVLKILPLLRGYSESLTKAMVQFYLESQSRFTAKIQPHYVYSPRELTRW VRGVYEAIKPLESLSIEGLVRIWAHEALRLFQDRLVTEEERNWTSDAIRRIALDNFPT IDEEQALKGPILFSNWLSKNYVPVEQERLRDFVKARLKTFCEEEVDVPLVLFNDVLEH ALRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWMNGLKVFQIKVHGKYSSEDFDDDL RIVLRRAGCKGEKICFIMDESNVLDSGFLERMNTLLANAEVPGLFEGDEFSSLMTACK EGAQRQGLLLDTQEELYKWFTQQIVKNLHVVFTMNPPEEGLSSKAATSPALFNRCVLN WMGDWSDQALFQVGSELTQSVDLDKPNFVAPDSIPVAYRDLSLPASHRDAIVNAMVYI HHSLQRFNQRLQKQQGKTTYLTPRHYLDFVAHYVKLFNEKREDLEEQQRHLNVGLEKL RDTVDKVSDLRASLAQKKTQLEQKDKEANEKLQRMVADQQEAERRKAASLEVQAALEK QEQEVASRKEVVLNDLARAEPAVLEAKKSVSNIKRQHLTEVRSMGNPPASVRLALDAV CTLLGHRVDSWKTIQGIVRRDDFIASIVNYNNEEQMTTKLRGQ AFLA_092810 MVDDWVNQLVQSGISFKPHNPITEYLSNADERLTWQDHSLPVDD LCTENAIVLKRYNRYPLIIDPSGRVTEFLQKESTERKLTVTSFLDDSFVKQLESALRF GNPILIQDAEYLDPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPSFKLFLSTRDPSAA FPPDVCSRTTFVNFTVTQSSLQTQSLNEVLKFERPDVDARRTDLVKLQGEFKIHLRQL EKRLLQALNESRGNILDDDNVIETLETLKNEAAEISKKMVETEGVMTEVENITHNYSI IARSCSAVFAVLEQLHHVNHFYQFSLQFFVDIFHSVLYHNKRLAQEKDHATRVQIILR SLFITTYQRTSLGLAQKDRITLAMLLAQAAPYPMEKDIIDIILDESTEGADLSTSPEL KDPVMSRISNMTLFKSKFPEVPTEQWDQFLSEELAENYVPAVWEDNTEPINRLLRSLL LVKLCRMDRFVPTAERFVETVFGRELFEGSTDLRDIVDQVTATTPISLSSSPGFDASY KVDALVERMQATCANIAMGSDEGLKSADKAISNAATAGTWVLIKNVHLAPSWLQSLEK RLDSLKPHKDFRLFLSMESSPKIPVNLIRASRVLMYEQPAGVRANMKDSLSSLSVRAG KPPVEKARVYLLLCFLHAVVQERLRYAPNLGWKGFWEFNDSDYECCAFIIDHWVDSVT QGRSNVAPQKLPWDMIRTLVTETYGGKVDDIGDFQQLESLVNSFFTPVAFEDDYKLVS GVENDECLILPGTTGIRDFVEWVNRLPEREPPTYLGLPANAEKLLLVGHGNKVISDLS RVTTLLDEGEQLMVDA AFLA_092820 MSSSSLPIAVDPVALVTTECITVTSAMRKHARWAHSSVSAILGG GAASRVYDRDTSAPPSPRNGTSTSRSKSRPSAVDEDHALANRWGLRGKKGKSMQDNPL ISAFSRLRSDLKDCRDIRTFDTPALLHPFLQVIRSSSTSAAITSLALVALTKFFAYNI ISQDSPRLSMAMQLLSAAITHCRFEASDSAADEIVLLRILKLMEGILSRPEGDLLGDE SVCEMMETGLSMCCQVRLSEVLRRSAEMAMVNMCQVIFMRLSHLDVAADLDAPDPAVG DSEPTNLKMDPSVDGNTVTSQHLSAMGADTATPDRERNSGDEPSEPALSGTAVTAPPN PQDDLGDEVKPYSLASIKELFRVLIDLLDPHNRQHTDPMRVMALRIIDVALEVAGPSI TRHPSLATLAQNDLCRHLFQLVRSENMPILTGSLKVAGTLLLTCRSALKLQQELYLSY LVACLHPRVEIPKEPGIDPALYSGVPQAPKLVKPSPSQTNSGRSTPVPVKDRQKLGLE GGSRKPEAREAMVESIGVLARIPSFMVELFINYDCDVDRADLCEDMVGLLSRSAFPDS ATWSTTNVPPLCLDALLGYVQFIFDRLDDEPVHEGFPSIELLRSQRRTKRTIIHGAQK FNEDPKGGIAYLAAQGVVENPDDPTSVAKFLRQTTRISKKVLGEFISKRNNEQLLDAF VDLLDFSGKTVVDGLRDLLGAFRLPGESPLIERIITTFTEKFMQKAQPPEVADKDALF VLTYAIIMLNTELYNPNVKSANRMSCADFSKNLRGVNAGKDFAPEFLQQIYDSIKQNE IILPDEHDNKHAFDFAWRELLLKSTTAGELAIGETNIYDAEMFEATWKPVVATLSYVF MSASDDAVYSRVVTGFDQCAQIAARYGLTEAFDRIVFCLASISTLATDKPPSTSLNTE VQAGKQRVMVSELAVKFGRDFRAQLATVVLFRVLAGNEATVQRSWEYIVRILSNLFIN SLIPPFDTSLNAELEIPPIPLQPPSQVVDRDVRGNEAGLLSAFTSYLSSYAADDPPEP SDEELDNTLCTVDCVTACSINDVLANIKSLPLSTVSTVVDTVLTLLPEESAPAVIVVK SERPSRSANGRLDANKSNYDPGMIYLLELATILALRDQTTLEAVGERLLASLQAFMRD ARNLHPLALSRIIYYLLNLLRLSHDQPFMRVPVILHGISGFDQDILESVALPVIEGLS RCVSHAGLLGNEITISPDFWSILQRLHQHKESAPFVFGLLQTIVNATPPIITGDNYES AVSLANDFVSAGSVGYLEERQRDAHSRRSKGVKPPKSSTENEAVTRGLTAINMIYHLS QRAPALIKQSHLEEDEAWSAYWSPIFHSLTAQCINPCRDIRHNAVSTLQRSLLSVDIN SSNEKEWTAIFEQVLFPLTLRLLKPEVFHSDPLGMGETRVQVATLVCKIFLRYLDQLP NPSGMLDLWLKILDILDRMMNSGQGDSMEEAIPESLKNIILVMADGGYLVPPSQDADK EQIWTETRKRLERFLPDLFKEIFPEMPPAQSTLTPTAPSPDLPKDDTPADEQPKEDQP SSDITEGGDGNDEQKDE AFLA_092830 MATVTNAPSDLPLEQLTLYQASDPYLSSIFVFYGPVATANATVS SSRIQAHILTPAGFQSYPRITISPAAPLYAAVNHLPREKQGDEVCRGLAVSMLKYFAE LSEPAKECLQAIARAGKAGGNIPKMFDEMHAADLANRMVKVEHKTDILRDIRGAFQER KVPWVDIDVMLPPGTIQPPSRPDNDGLDDDNADFEDTPDLQYGQYTSLIRGLGAPMFL PTSRLKRAPSQPTNVSKSKVFTKSQKQDFRLKMCEFVDTEERYVNKLYTLVRHVAEEY RLKAQGRGPSSTSPDEAALATLFPPCLNEILDVNMGFLEVIRQVLEDTEKEAIEDITV DTELLSSVSQRHSSKEEGDAVGAVAFAHALIEWFPRFSDPYADYMRAHTGFTQTLNSF MRDKQSSFSRRVHDTGEQLLRSLLMEPVQRLPRYSLLIDTMTSSLPLIHPAVRPFLKA RDIIKDICSLDDPSSTNHDQSFRRLKELVDGWPSTILPTGRLITAVDFYELSPPYQLD NPGSDPTAGIMLIYKNCLVLLSKIPGSKTTARGLLAELDNAASAANGPGGSLPSTDIR VVQVYDLHTVRCMQSTCGRILFLSPTSVKSRPNQNTTVDLLALEPASMYEGRASRVIE EIVKAKIEGRFSESERESGKWSLRSPTGTVGNIGILACVFEEEPSAAVNRTGLSKVRV EFDIPKPLRSKLLNSPDLEVIVSVSLSSEDQYRVDIDSVVGIASSDIVTVDSFVPVLS KRLLNLLLPLHGTQNRTMTESIVLSNFDILRYLSGHLIAQLKVPRTFRPPSPSKLLSS LLGGNQSREGTPSVKAPNSATLLGEFPKMPPPRSSVSRSNTLPSVFPGKEEKKEDPVP KISVVGTTSSKGSESPFSVLEQSFAAYVLSLQSRSGNIVGRTLRGRDNVDRAAVNELY NVLLEDPGQIQAAAEVPVDTLFVAFETFMANAWQEQMGPVLESSTLKSLQSQFDTMYP REFEENFRKFIADMSPQNRRALASLVRLLAELLDASGNDGDRGALTAAFAEILTAEGD PMQHISLLDRLVDDFDNLFDEFVPGGASLEGILNCDQSKPVSQTMGSINSNASSFRKR FGFSLHRENQRSEGESKVSSILRTLSKSKGTGDSEPGTPRGSLLRSKSIDIDTSLGHL LRPGSRDRPGASTSQEYLRRPGSSQEETASLSSIREMPTNGVVKVRRKRRSSLSDLRP GTASTDTSAVSPEQEERPTTAGSSSDVVTPTKQTRPQISHGSGSTQRSTSPTKSGSPT RMTSPSRRSPTRPATPSRKENIDPKLSQVERGTSLRKKGDASVSPTQDSKRRSRATSV PSSRAPGLKERSLPVNGTSVQKPQKLRMQSPQKLRDRLQNEKKAQSAAQLGLKDELFL IGEELRALKIAPPQQTRHARQDSEHLDEPFSPTNNAALVSRVRHLEMRFDTLSSEFNS RTSAIEKDLESSLVVSEKRAKKLDELYREASAENEALYDRFNSELSKVAKDVRAGDAE DALKSQLSSALEEIGRLKKENFRLKREVGGLRAQQAAVALLKASE AFLA_092840 MAASSIRRHTATQAISASQSLESFQRVWLGSRLSRPFPRRLGLA VSGGADSMALAYLSKQWEKSRPNDISVTAFVVDHKAREESTREANTVSQWLQDIGIYA SPYTLAFNTKQMLTRKLGVKSEILELTWPESTKSPSKVTAFETHARRLRFQALGKACR DRQIEALLMGHHQDDTVETTLWRLCTGAKGAGLAGIPEVTRIPECHGIYGVSESGSSY TIPSRPQRSSAQARNDTTVSTGGILICRPLLPFPKSSLLATCHENNIPYVSDPTNFDP TLTPRNAIRSLLAEDKLPKALQGPSILSLIKSSQSLLRNSTSLSNTLLTFCKINHLNL PAGTITLTFPSNPINPTSFLNTVPNKAETKGKETQRTHQIKCLTLRRITDLLAPFPEN HFPLRSYESFTDLVFPPQDQPVPQKRKPFTLGGVMFQPVNTKGDQDTTSTEADQSVQS GNTWLLSRQPFMRNRLPSLRVEVPVSGLSVGYTSWMLWDNRFWVRFGFTPGQGSCGAG VEVAEDTSKGEVMSLLVRPLQPSDLQVIRRVVDERGGRSEKKKKKMDPALAGLLDRLG QEAPGLTRFTLPMVVIEKGFCGLEYDLPVGLPTLDLWFPGMWESLQMSGRLRWEWMYK MIDNEPVELMGWL AFLA_092850 MARKLSHQRITYVLPLPDAPGGHRLGVNGLEIDTDNSILYSAGR DGVICSWDLNLSLKSSSPPTFGASKPAPTTFRNQVQAHSHWINDIVLTKNNSALVSAS SDTTVRLWRPHSECTEVSDPIGKHADYVKALATPGSHASWVASGGLDHKVYLWDLNGG GEVLNIDACGGDSTAKGSVYALGAVSSVIASGGPESVVRVWDPKSGKLITKFVGHTDN IRDILVNRDGDTIMTASSDQTVKIWSLTAGRCMHTLTMHNDSVWSLYSNHPQLSVFYS SDRSGLVAKTDTRYSADIEQGLCVATLQEHDGVVNVVAAGDYIWTATPKSSINRWNDV DTTADIEPPSSRERETASGTETATTEKSKTADNRPEKIPYDSVLLLSNTSTFPKARVP ETAQPHSNANAQSPSSEIDDDLGLTLPVHTLPDDTIEGQHGLIKYFFLNDRKRTLTQD SAGEVVLWDLLKCVPIQSYGKRHIDDVASELNTIESIAHWCTIDIRTGRLSVILEPGR CFDAEVYADEAELSDYSQIRDDQRINLGKWILRWLFAPLIEEELKRDSEYRSAALAKA EEIAKLNLSNTSAPMDIPFADGSRNLATSFDPSISSLRLGYESIGSPSTPGFGIGFAN SPGSLATPTLNPNASNNSHLGTSPGEFSDYLTSHPTADMTRSSLSDKSSDYLSSPRTH GLPPLDTDKALPTPGEPTPTALPQSPMEPDKEERKKGSSLFGKKFRMDFPKKLGRTSS EVKPQIQEEKVEESDKSSVKEEKVFENNLGGFIERIRSEYDEHISAHPGQELTPAFAP SQENETPALNIPDRVAVLIQEETGETAVASDLYRGSVGSIREEIDKLEKSIPLWLADL LLKVCLLFMVAHIAANTLFRTKCLSRNPSRSLLR AFLA_092860 MDIPIISIKPFYQPPSRNENRAPESPTIQKTIQALQLQPHPEGG YYCETDRHPLRIPNPYCDDMDNRKTVTEPDGEKATRSASTTIYYFITPGSPMGYFHRN RSRTVHTLHRGRGRYVILHADRAKENGGIAPIESFVVGHRIEKGERLQWVVDGGKYKC SYLLPDSDGDLPDDNKSEGLLISETVVPGFEFYDHDFLTAEKMEQLLTTEQVEELKWM VRET AFLA_092870 MVQSPEAEPLVSLWTRSLLSGAVAGLTVDCSLYPLDTIKTRLQK ARHHAPSAPAASLSLRQTIRGIYAGLPSVLFGSAPSAASFFIVYDGVKRSLLPTSSSE APSRTHIILTHSLASSMGEVAACAVRVPTEVVKQRAQAGLFGGSSLLALKDILALRHS DAARGISGGYGQVIRELYRGAGITIAREIPFTVLQFTMWESMKEAYAKRMRHASKSGS DSSIDQVPASTSAMFGSVAGAIAAGLTTPLDVIKTRVMLARREDGAEGGRVRIKDVVQ DISKEGFGAFWRGIGPRVAWIGIGGAVFLGSYQWAWNSLERKSRSQDE AFLA_092880 MTSEATGFAHTNNMNSGMQCPSPPWAEIKYCILISLILSPDSLT TQQPVPAPAGQGMSTADQENKSKTQPSQVRFSSTTEEIEPSAATGGPGLTPIETPKQM DDLRSLAASLQKSQLQESRLGSFSYDPVSLPSSRVASRESSDRSTRGANGSGLPSPHA SPPVSVMQSPPLTPAATHSRESKTNGTSSMSNATDRGTGANSAAMTPEMSPPIGGSTK SQAPQSAPTSRPGSTDHLAKQSNVAQTSSHPQNGAKHRAQFFIGPDANSQEESPPATP RVDYTPPGAITPVGEPDDPYARSKRPPQPKNLSQLDQRFIFGGRDFKRRAQTSSFGRP STPRSASASDLKASDRQRSGFFGSKKDSKQQEPEGKHHGHMAELKRFFKMGHHKHKRA ESPSSAVKRSSRSSGKSTPYQLAPDSVPFADDHGLNSKYGKLGKVLGSGAGGSVRLLK RNSDGVTFAVKQFRDRHSWETLKEYSKKVTAEFCIGSTLHHGNIIETLDIIQEGNHWY EVMEYAPFDLFAIVMTGKMQKEEVACAFKQILSGVAYLHGMGLAHRDLKLDNVVVNEH GIMKLIDFGSAVVFRYPFENDIVPASGEFDSYACGVFLVKEPLLSLQIYSGIVGSDPY LAPEVYDEKKYDPRPTDIWSLAIIFCCMSLRRFPWKQPRVSDNSYRLFVSSPTPGTPV PDADPKRHRPIKSAPDLSSSARESQSSESKNGVSGPPPEQSKSQDAPTAQPKPESTTQ DENRPPESPQEKTPSDNQKNGNIDNKPRRTTSKEAPPLPPGSAPPSGQRQEVIKGPWR LLRLLPRESRYIIGRMLKVSVKERAILDDVLTDEWIRNIDACRQEVTGELYRAPGHTH ILEPPSPSPAVASKAK AFLA_092890 MAKKALAKDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHINTGVPVPARVTVRPDRSFTFDLRTPTTTWLLLQAANVEPRKNRIRGAMNP GHEIVGKVSLKHVYEIAQIKHSETRLSGLSLQGLCKSVIAQAKSIGIQVVP AFLA_092900 MNWLKSTLASVAGTQEPIYGPEAIRSVAQQAQEVPYTVLSKEDL RWRAYQYTNVETKTFYIMADNGTLVFVQIIYSNIVGIHTTAQFNAKIFNLTGDAPHKW YSDPLYNFMFDESMLSFGADNLSLTLNEEGDAYTLKSAVNEDCLVNITFNRSSPGFVI GKDGTSYFGTDAQNPWGSMSHAFWPRCGVEGTITTKEQTYDLKGRAMFIHGLQGMKPH HAAARWNFVNFQTPTYTAVMMEFTTPPSYGSTVVNVGGIVKDGEIIYAGVTNSATHTE AAQDKDSDWPEPKSIKWVWDGKSKDDKTVHAELDGALGRRLDRIDVMAEVPGFIKTIA GSVAGTRPYIFQFAPQEKLTLKLKVGDEEVSEEGVMFSESTFIS AFLA_092910 MVCQYVASEKSSSRSPLQNQNPQRLELTRQEVFGPHLQKDPTRN EPPNDMVYLKISDLRSEATTALQLMNLTPA AFLA_092920 MFVRQLLGLLAVGTGLTTAVNLTGYEYVVVGSGAGGGPLAARLA LAGHKTLLIEAGDDQGLNLNYSIPAYSAKASEDEEMSWNFFVRHYADEERQARDYKTS YETPDGEIYTGLNPPEGSTMKGTLYPRTGTLGGCTAHNALIAIYPHQSDFEYIATLTG DGSWSPDNMRKYFAKLENNNYLLPGQKGHGYDGWLHTETAPLSLVLEDPQLLSLLLGG AFALGNHTNTIFNVGTLLAGDANADKKTRDTKPGYYQIPISTNDAHRNGPREFILAVR DAKNDDGSKKYPLDVRTNCFVTKVTFDESENPPRATGVEFLDGQHLYRASPLANDYSK GTPGTAQASREVIVAGGVYNSPQLLKLSGVGPAEELQKFGIKVISDLPGVGTNLQDHY EITVQGHVPKDWAVLDGCTFSENGEADPCIDRWETPTPILKDRGIYSSSGLAATMFYK SSVTADDSYDVFVFGGPVNFRGYFPNYSINATSEHDWFTWAILKGHPRNSAGSVTLRS ADPLDMPDIVFNYFDTGVGDYDADLQALYEAVELSREAFDRQLVEVTEVLPGADVKTK EDIQQYAKDTAWGHHASCTCPIGADDDPMAVLDAKFRVRGVSGLRVVDASVYPKIPGT FTAVSTYIVAEKAADEILSELN AFLA_092930 MTLRVAEKTSKTIKSESPLADGFNPTPGNSWGVEISNRASETDK LRQKVEQMNEKLDKLLKQNETYHATSEFGGTGQDDTYNKSGWPADPNDEYLGQAVEDD GCQDAYCEHSWTEEDEMPELENVNGDSGLGHEWTAEDSWGLGRAHDDSGLGDEVALTE TNVEADELRDQVKCLQARLADLLAENTQLTMKVKELVGGRDDLIPSRNCFLSLYKCQK LQRALTLDECEHLVHASTKVASGDVMADSELYISGERKDYDVFVDLYGVDPHAVPSVL KDQHTIELVNAHATILASKHKTYTSKFEERFARFITNLRRTGYPEDYLIGFMDDVGIL ELQASYTAFRNALLTEVSDALKAEVMNDPVNSW AFLA_092940 MTIGDNGGGAWTTCGPHDSRSRGPCTTSSLQSLRRLSSNATRKL SNSCYTPFFGLCVDRVSRSRSTEWRHARDTPAPEISPATRANGSHVGLRAGPLLDSEL WRRNLIGQRLTAPSVHRPTRILYMSRISFGTPAVSNHGLLISNISISMGRSTSRLLYV SVAMDLAGRAANQSQVMERACKQLPRSYKLWKMYLEFRTKHLKGRNPTIYRPEYQKVN ALFERALILLNKMPRIWELYLSFLLQQPLVTQTRRTFDRALRALPITQHNRIWKLYKA FARSASGQTAVKIWARYMQIHPENAEEYIELLVEMGQYTEAVKRYMEILDNPRFQSKE GKSHFQLWTEMVDLLVSKRSKSKLARMSALIFEKARDTFEEGITTVMTVRDFTLIFDS YVEFEESIIGNLMEAAAVRADKGQSDEEADFDLDLRMLRFEQLMDRRPFLVNDVLLRQ NPNNVIEWEKRVALWGDNKQEIVNTYTAAIAAISPKKAHGKFSELWVNYAKFYESGGD LDTARVIFEKAVKVPFKSVAELAETWCEWAEMELRGENFDKAVEVMAKATQAPKKSTV DYFDENLSPQQRVHKSWKLWSFYVDLVESVASLEETKKVYERIFELRIATPQTVVNYA NLLEEHKYFEDSFKVYERGLDLFSYPVAFELWNLYLTKAVDRKIGIERLRDLFEQALD GCPPKFAKPLYLMYGNLEEERGLARHAMRIYERATRAVSDEDRFEMFEFYITKSASNF GLPSTRPIYERAIAALPDQEAKEMCLKFAEMERRLGEIDRARAIYGHASQFCDPRTNA PFWQKWEAFEVQHGNEDTFKEMLRIKRSVQAQYNTDVNFIASQAIARSQQRAQDGDEK GAEEGDVDGSTADAMAALERQARAPVGFVAASTGPEGGNRPPPPGQEQPAAPANPDAI DLDEDMDAE AFLA_092950 MSGAVGREAVFPTRQSLGLMKSKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKQKMGRVMQIAAFSLAEVSYAVGGDIGYQIQESAKQARFRVRAKQDN VSGVLLPHFESYTEEGINDFGLTGLGKGGQQVQRSRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYIMSELDEVDREEFYRLKKVSNKKQRDIAA ADAEMEARRAAAQEKEGQKALEPEKEAPDAPDVLGEQEDADVIF AFLA_092960 MASMTAPANSIPPNSTVYVRNLEERVKVDQLKEALEEIFSEYGN VIDIVAKTNLKAKGQAFIVFDSVESASNAIDEINGFELFDKPMVLDFAKTRSDATVLR EGGEDELEAHKRRRLAEKGSFFSLFWVLLSTFFCGYIRVAQTSSRGSRSSKEAQASPR RPRLYASGQNRQGSWSQAHQRCDGGCHPGRVSPSQQDSVPAGPPRHGGPGESYCCFWT LRGIPGGQIGAGQKGNCVRRVRERVWRYQCQGGYLGDAYGGEWQADSGYLPETISCAD VVRAIVSNLFWRWGGVYLFYYIDWNSIQSLSFPSWAFILIFRVFSLWRLAL AFLA_092970 MDAIEDKEVKLQRASGDLVTEFSEKLPSLLWKPRTEKGHARVPR RWTQAAKTERLVGLLEPFQEWPQLLDPHLQTLLPPLVDAFLAYLLKHRDQYKSDKPQQ QQALYPLPRAICRLLYTFCKVRGVKVISRFLNNEPKYLDPLLRAFIEWDTVCQDDSEM GLSEDIPRRLVWEERYVMLIWLSHLLLAPFDLASMSSDDIPVPYENLGQLRPLPAEVP TVSRSLLSVSLNYVNASGKEREAATVVLARLVLRRDMQAVGLLTNVTDWAFSIVQPTG NSEPPSVYTCIGVLSFLARLGASGQVEDFAPLIVPVFEKTLQTAQGNSEISQLIQTSA LARKIIIKILRTMTIMALKLSERANSPLSDDKISSILEDSIDHFLVALADKDTPVRFA ASKAISIITLKLDLDMGTEVIEAVTGSLEENILYENSDGTIITPFEARRIGMNNTKRN LSAVDAQRWQGLILTLGHLLFRRAPPTNQLLNILQPLVSGLDFEQRSSTGSSVGTGVR DASCFGIWALSRKYTTQELLALDAQTISTSTSQKESSILQMLATELICAACVDPSGNI RRGASAALQELIGRHPNTIVEGIPLVQVVDYHAVARRSRAMIDVAKSTVDLSHQYWSP LVESLMHWRGIGSPDAESRRQAANAIGVLSTQESYKTMKTILQRLLKKLSSLPRGDIE TRHGCFLALAATVNAFNSYQETPSENKDSCEALEVTAQIQQLWDIFNSPLGPTKEDLT LQVRRPELSAEASSCLVYSLSRTASLTKNSPHSQPQINLLDKARETLLLCISRSEDIV IETSSDAISELFPLLPSSKQEETINGWFSYIHSTWKLPTGRGQISVLGAIFKQVRPED DLRQSIIKELLQCAGKEELIEKRVVAVKSLANGVLPHIDTTDAISNHLIEFLNDYTTD RRGDIGSLIRLEAIQAATVVLQKESGSATRNPRVQSIVGCLCRLAAEKLDKVRLQAWI CLQGFWKSTDDFPPLQRQYEHFSHVSLPDYFLQLFELQAIDWLRLPLFQGIATSAVAG AEGLVRSSRLALVQRINKYEAEQRQDIVTSIIKDLMVALSDNLQDDRYAIPVLEFLAF LLDSYVSSIPQPSESSYRKLFILVQKAHFKSSNIARLEAAIKVYAPLSRLEPLRADVL KKMTSMLLHPFPRVRNAVAEYLFMETMLESVKAEDWTRQPKELKSQVEDVRKELACK AFLA_092980 MKTRRSCNFITDSDGLNQHLNTNVQPLTSNKTKVRKRRSLDPKH TPPKHDTYKDEVRTGLHTPRRTPPKEKNVPDDASSPKDIACGQSFKRRKLDGQPNKMA HCLAGLVCDPVEETKNNNVNVHAWHQAKSLLEAGLSIFAPTSDILTVTHDNKRSFSEP TGNLSSPLPEYSENTTVDSEKAIASKQLVVRKRSHSPNVVLTTPQIKEEIFDDSDFRV SQTRHLTVVFSLSVEKARRWADAIDIPEGLYNEEEKDLFFRLAMRGFEPLIPEQWRSD FPTLPYTLFSDAEGDSRPVIHTFKSSKTYAIRSLAALFSLGGRVRDCRILKKGPEILI KTTISQYFRWALRDTDIHINRDAIPVHVIYAKKKGETTLDAVKKLNLHLQLLASRHRE ALSAAAPDGDHGSINLQLNEKDDGYSAPSRFYPLLIGFIICGPIIAILTLGTDLSSTT DDTDSKYICQFDLEDAGHDVWNSLAVAITVMKIRETMMQLVDIGSAGFVRHPLDTEST PDVDS AFLA_092990 MLSQLEFKLSVEKQYKAGIEKMVRLYQDEGDRKSRADAEGRRIE SNQKIQLLKQALKRYEDLHVDIESTDDPDDESLNTPNMRKPLTGLLTLRIQAVTDVDH ATSSRFSRGPETFVIIKVEDTIKARTKATRTDRWQDETFTIDIDKANEIELTVYDKSG DRPTPIGMLWVRISDIAEEMRRKKLESELNASGWVSADKMEHGGSSGRPDTAGQPGSP HAPGSAGHSGPTAQGYAGAPGGAPSLNSVMIESWFALEPVGRIHLSMSFAKQLKDRRP FDIGLNRQGAVRQKKEEVHEMQGHKFVTQQFYNIMRCALCGDFLKYAAGMQCADCKYT CHRKCYPKVVTKCISKANYETDPDEEKINHRIPHRFEGFSNLSANWCCHCGYLLPFGR KNAKRCSECGLTCHAHCTHLVPDFCGMSMEAANQILETLIHARNHNKSLSVSSGLSGR TLRPGGPPQTPQDPALAYPQKPVESPYGLPQREPSAEAVSAATNSYIPPQSPTAAQRQ QMPPRTSSAGPAAAAAAAATGMRTPQQIPKAGPVQTQPPSHAHYDPAAYVSYQQSMAP PPQQQMPQKVASPYGVPPQQQPVPVMQQQVAMKEDAPPQQPKVRIGLDHFNFLAVLGK GNFGKVMLAETKSTKKLYAIKVLKKEFIIENDEVESTKSEKRVFLVANKERHPFLLNL HACFQTETRVYFVMEYISGGDLMLHIQRGQFGLKRAQFYASEVLLALKYFHENGVIYR DLKLDNILLTLDGHIKIADYGLCKENMWYGATTSTFCGTPEFMAPEIILDKKYGRAVD WWAFGVLIYQMLLQQSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPE LRLGSGPTDAQEVMSHAFFRNINWDDIYHKRVPPPFLPTITSPTDTSNFDQEFTSVTP VLTPVQSGKQLSSAIFPTNANHNVFSLQSSPKPCRKNSAVSPTRQTSPDLTLYLLVTN ALQSSQLLLMFDVNLGFFVSAFLIVTAFSTFCTGNV AFLA_093000 MSTSAFGALLQLESSPPIANPAAAVHRDKHGLANASFDLDYGIG GIIGPLIATALASHGVQWSFFYCISLALALFNAALAAWTFRGYEKELPVQLLATFQQS TSQQDHDHGVASKTQSLKQAVKNRTTLLGALFIFAYQGAEVSISGWVVSFLISSRDGN PSQVGYVSAGFWAGITLGRFALSHPAHMIGEKLSVVLLVIGSVAFQVMTWLIPNVIGD AVAVSIVGLLLGPVYPCATAVFSKLLPRSMQMSSLSFISALGSSGGAVSPFFTGLLAQ NVGTFVLHPICIGLYGVMLTGWACHPRISKRSE AFLA_093010 MAPPRRKVLATAEETMTPPDELQQGQQIARVIKATGNNLYVVEL PSKDSVLVELPSRFRSRIWMKRNSYVVVDTNALEDRDNKLAGEIINIVRDEKVWRKAP FWPKEFVKQSTVVASDSEDEEESNVGKMPPSDDSDA AFLA_093020 MPPHLHPRSRSTSSLFAATLLASLFVVGLPHLFPCPAPRRTLAD SEMLITADGQQIPRIRRKKRKDAEMLGSEGNALAQTQQTPDEVSTFLQLEEEAERLAK AGRECPVPKPGGVLDTEIDWTTYMQQIALYISGERDYTLIKGSTGPLVYPAAHVYSYM ALYHLTDEGRDILFGQILFAVLYLVTLAVVMVCYRQSGAPPYLFPLLVLSKRLHSVFV LRLFNDGLAVCAMWIAILLFQNKKWTAGVTAWTVGVGIKMTLLLLAPAIAVVTVLSLS LVPSIRLGILALLIQVLLAIPFLQGNPIGYVARAFELTRQFMFKWTVNWRFVGEDLFL SKQFSLALLGLHIFLLGLFVTTGWLRPSGSNVPDFLRSLLQGRQRTVVLSKSFIMTVM LTSLAIGLLCARSLHYQFFAYLSWATPCLLWRARLHPILIYAIWALQEWAWNVYPSTN ASSSVVVFSLAVQVFGVLLNSRNALSDAPPRRKGKEHIQ AFLA_093030 MSDLDRAIAQLRACRLIPETQVRELCYKARELLIEEGNVVCVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALVLGTSSEL EPTGPVMNDSTQSTMPIDDAELETEVLNSKGEVTYSSYRPRQSGSSTENRNMSPSGDI PSQAPLRTGAPGTGASGAGAGSYSSRTGAVLCVHGGLSPLIDTVDKIRLIDRKQEVPH EGAMCDLLWSDPDEIDGWGLSPRGAGFLFGGDIVKQFNYRNDLSLIARAHQLVMEGYK EMFDGGIVTVWSAPNYCYRCGNVASILELGEDTSNGGTVTRSNGDYGRSNGIMTVDRP GVRSPGRRYRVFEAAAQDTRGMPAKKPVADYFLVSLPFLPT AFLA_093040 MNEGSAEKRPELAMESSTFKGPRGKPSARPQISNTDGGSTSKRR CVSTACIACRRRKSKCDGNLPSCAACSSVYHTTCVYDPNSDHRRKGVYKKDTDTLRTK NATLLTLIQAILNYEEEDAFDLVRQIRSCDNLEDVAQSIMGQERGSTSTSRDPVPNGD YDPTQADQFESELAGKMSGLMLDGSRKFIGGTSNLIFLPPGSELYEYNADLEGQSVSH THDRSITQWTRVTADAQLISHLMTMYFTWHYPFFTILSKDLFYRDYMHNVSGQYCSSL LVNAMLALGCHFSSWDGAREDPQNPATAGDHFFKEAKRLVLENDEHANAKLCTVQALA LMSVREAGCGREGKGWVYSGMSFRMALDLGLNFDSTSLGARNLDEEEVDARRITFWGC FIIDKCWSNYLGRLPQLAATSVSVPKIDILPNEEAELWSSYTDAGASIENTQPSRTRT VALQICKLCEISGDLLVSFYDPTPRDKPLSKQVELKRLSEIHTRLEAWKKDLPKELEP KERLLPQALLMHMFYQLLFIHLYRPFLKYTKSNSPLPQHVSPRKLCTQAASAISKLLR IYKRNYGFKQICNVAVYMAHTACTIHLLNLPEKNAQRDVVHCLRHLEEMGESWLCARR TLRILDISASKWQVELPPEAVMVLEQTHLKWGSWGSWDQAASPSTSEQSPPSVAAQPP ATISNSLPSPGQYTSTHEPGDPSIQGPPNALGSLGTQYATGMPIPTSLSAMRAAQRYS SAQLPRTDAQLPEPTYLRPVSHAYGSVHSVPLSQHDSWYDPKDAQGRSLGTGQDISST SSASPITGFGTPENLVEESQDWWFRDANAFNPGYENWKEGWNPAMTGMAPDFRYIGQA SGPDNTSRPQPVRYSVGVPPSITQSDENPAIPRYDEAVFPRNYPP AFLA_093050 MYLAQKLDQSASSGLGRLANQLYFGYKLAFLFSSVPPLHHGKKS QLSKSEIDFIAVQDVPGLELHDKESPHTSPVIIPPKRGPRVTPVQRDSVRKGPKTPRC AKTVHRTSSAASGDRPIPSSIASILEATAIPVPRRKRNAREPRRIPQVNHVQDFSKLL LEGVKSRDDSLTEGTGNTMLDILLSPPEDNDRFASGSNCDSDSEAPSLSAHSLSIESV PSLDAEFESPFGSPIPSTPSSQRSPCERRYLRLSQYESCASDHPLLEEDELSDTEWEP VQQPAFLDSTPTKSSPSRSFPRLGSTFKSNLTASLRAIKSAAQTVSTFATPSVQPDDF LTRSLLTITPKMTDDRRPPPMNEPPSPALRRYFNPVTVSPAEIYAYQDHPHENLDTNN CPVSVQMQTYHRSGKRGSRKSRFHLSGSKGRGRYSPFDPEVPPMSRQREPRENSDFLR MVVMEMNMRRSGKLRDDIPTRARVWLPPRKGNQDRNSPYDYYEDEAEHAIPSRWVGIS ADSM AFLA_093060 MDSDDDYNGPADTNPRLEDEESDLDENEIKKKPAGPARKKVGPH GLSSVNLTPLEKRRDIIDRFISRWRKEVGDDIYPAFRLILPDKDRDRAMYGMKEKAIG KLLIRIMKIDKNSEDALNLLNWKLPGQTTTSSMAGDFAGRCFGVLSKRPMRTEVGDMT IEEVNEKLDHLSAASKENQQLPILTEFYRRMNPEELMWLIRIILRQMKVGATERTFFD VFHPDAENLYSISSSLRRVCWELHDPNIRLEAEDRGITLMQCFQPQLAQFQMHSLDRM ISRMRLTEDDPVFWIEEKLDGERMQLHMDSNDSVPGGRTFRFWSRKAKDYTYLYGNGI QDENGALTRYLNDAFADGVESLILDGEMITWDTEQDAIAPFGTLKTAALSEQRNPYSS TTRPLFRIFDILYLNGRDLTRYTLRDRRNALQKSIKPVYRRFEIHPYEEATGKTEIEE ALRRVVEEASEGLVLKNPRSPYRLNERHDDWMKVKPEYMTEFGESLDVVVIGGYYGSG HRGGGLSSFLCGLRVDDAHSSQGMVASKCYSFCKVGGGFTAADYANIRHHTDGKWHEW KSRKPPTTYIELAGGDAQYERPDMWIKPEDSVVLCVKAASVAVSDQFRIGLTLRFPRF KKLRMDKDWKSALSVQEFLDLKANAERERKEKEFNVDNSRKKRAKRDNKKPLAIAGYS AEAEAQYTGPSGNIFEGLNFYITTDSNTPVKKSKAELEQLVKANGGKFFQTSNAAPST ICIADRRTVKAASLQKSGNVNIIRPSWILDCIRQSEIDAGLPDSLLPLEPRHVFFATQ DKKEEIAASVDRFNDSYARNTTNDELKEILKQMSKDHHFHASQNPKIVRKLNERIQEK VNAGWEMPSGWLFKGLTILFPQNDKVDDAEVDETSQTHQQYRLNLARNTVRFAGANVV DSKSSSVTHIVVAPGSSSSDVSSIRKSHSAKPGKKVPHLVTAEWIEECWKQRTLLGEE GFQPSRGT AFLA_093070 MLAYHFESRLLLSLDDGQAPLPSGESLWRASSADAWARLYEKTT GREEVSLYNAVLTLYIEKKLVANIGEFGHILLIHALYHRMWEVGDYFRRPLSFWNPTA KKQPREAAIPSGSVWLPGIPSYSRWRNSACDCLDILHWAANSTIAKASGLEHPTVLHL HEARIILLVPFHEIKTLVTSLATEKVQWSARQQTIEWHYILRWIKHDQYKARLAVIHA GASLWHVRRYSTDAFHEPVAVFLAILTLWAYGMCHSQVFPDIKSRGGPDENLPSEPTF FHIDRPCDDELVQIFVRGGQGMKGNVTGVGDICAPEGPKRILQVGCETLAGLTSWGIS KRFIAILTRLGDLMSCDK AFLA_093080 MHLSPLLSYGDLFFYPRCHAKFSSTVCLKEQSSVRRHVPGEQRR WPRYEFGYIFLPSRASRSYLVKDVRTHRRTTLSDRNNYDNEIQPTSTGSSQTKCSICH STFRRPEHLKRHFRSHTKEKPFECTQCGRHFSRTYTFRACFKCAVARVRCSGGTPCIR CDNRSLECQYPTERRSKAKARKQSSRNQDSVDGSPQEQVSRHARSPSAANTDITLALN GGKPSSQIPGYQLGQFQIQMSGQSSSKTTSSSREHLSEHLNDPQDSRQASEPENLDLS NNSGVIDITRFPFDSYAGVNLQQLYPQMPTSDIRATLSGDQGARLNHQVQPEIPGSNI NMGMVVAHNQQLQLGFTQPYLDPAMASTINWLSNDLLLNTTGERPTGDRPPPHSSQGG TIDSSLGQSSWLPPVISAEQTSPSLPEHIYQTPSGNTSLGTDVDSPRHFPRDAAQSST PQSRPCNASQRSADSYIDGGGARLPKYRRKQDPGLRPASIVDVRFQLCPTGGPSKFSF PTIQGLREEISSEEVAFKFQIEPAMYDNIYQGFIQLCCTENFLYSKFENKNFPPREAL SHFIGLYFDSFQTVYPILHGPTFNPNSCHWLLTMAVSAIGSYAAGIDEQDNCSAAFSE FLRRAIHTEV AFLA_093090 MANSTPAPNQRVAPHSSPGHVHNAVTALQGATTAFRTSASRSSS PAVAHRTGGAADVNRHDLGPGPHPDVEAEEPPEVGSVKDKIGRYTAHSHNALESVRKS PATFRPESPQQIAARFAAEHLPVHRKNAATTTGRDVIRGTNGPRPKNYNSVQDVKASS PSSIESAATNINSIGRRSRCDEAGPTRDLSIRRKPTTQPPNTSLASTQQVVEKPRPVP PAPRKSRPVTGGPGSAEPATRSGQPRELKGSLEPLNSCSIGLSNDLSTSSNEKAPELP PRPGGSPTANGGLSNHRAILAKNDGPRRPLSPSASSIYDRRRNNSTPSLLDDSASLSE GALSDAIVASSLASSRAPPTRKGPPPPPPQRQARSRSILRLHNNGRELSQSRSPSSPL RHTLRNPAKSDDEDDSHHRHRKHVIRKHPHKHHEGDRKRWRSELTEKERKRYEGVWAA NKGLLVPTREEVDMQCSGHDPLRDIWPSDASEMVVNIVVRDIWSRSRLHSFVLEQIWD LVDTQKIGLLTREEFVVGMWLIDQQLKGHKLPVKVPDSVWASVKRVPGISLRDIDFHS AFLA_093100 MVLENFQKFHDNGTTTVTQSKVARPTVVRKKFAKPPVKVACLSW YVILLLYGSGHPGRTTPGFWDLDYDHAPCETKSLSYYKSCFKDAL AFLA_093110 MTYYCVLQGSIVSTTPLAIVANDPQFVTPYPRFAADPDGWAVLM QAQQVLVSATQFVIDLNKCISTRTNMPYIYERMQQLDTWASSALAQSNLLPIVPPSMN CGDGMEFTTAQSIRSISRIKLSSAQIKTHRFRAFSDIPIFIKKHCDLTAANSNNSAAC NSAKDSRMQNGITDIRCSCGGLEPFQQSSSEYTPSTASSTSSDYQSISQYSFTSGFPF SIQHSAKVCLRAALLISRMFPSLPIPQPIINEPKSPQGMTLQPPNQLPRTMPSFACCL MQGSYAMLMIFYKARVEKQLSPDYGNKTTPSDRLIEELRQGLERIIATVTNYAAAFEA LDGMRDEIEGAYQTAFPQL AFLA_093120 MAPTGSRKRVKSIPSVADSQSSNDGTTLPSKDRGRSGSLKQRGS GNWHPGAWPVSKSSKAAPVTEVARESISVAQNVASSLTSSPVSQLASPKHPRNPSLQL TRKVGASTRSLPANATTTRINIASDGTASSPAIEAQPNPTASATESTNKESSNDEGAG TGQTIDKDQSDVTTETNAGSGEVEASQEQTPSQPTPVDQTAENQTSKEEDIAPANQDQ ADETKPPVTAEIDDTVQKRSWLQMWYGSSSSKGLEEPHRENPPATDESNPPADIPPTS NENVDEPTADSSAGAPSSADVPTKTAKPSGWSFWFRETSQDTSQVKSQEVQSVEASTT QDSIIKKPKDDPESEPEQKAELSKKGTLKIKSPKSSSALPEQIVSGLESSSASPAVNT SEATASKHLQKILPNQVLPRFRDTFALQEKPSLFQTIGRFLHYNKEPDNRHVYMIKDP PPIKRALAIGIHGYFPAPLIRTVLGQPTGTSIRFSNMAADALQTWADDHAYTCDIEKI ALEGEGRIAERVDLLWKLLLNWMEKIRRADFILIACHSQGVPVAIMLVAKLISFGCLN AARVGVCAMAGVNMGPFSDYRSRWISGSAGELFEFALPHSQVSKDYEAALKTHHCFHR WLIRMSTEQYLLTVEYMLQASAADVSRSLSHLVGFALKLRNLGLPDHGLIRELSSPLA GSLYSGEGHSRLYDDEAVYRLAIEFALETSNVQDATLNIKRSPPASSVNPYILPFAMR GLLEEEYVRRELYEETMELLRQFDDWKPTSKVLKDVKFRLEGIRSKL AFLA_093130 MAIQKKHGKGRLDKWYRLAKEKGYRARAAFKLIQLNKKYGFLEK SKVVLDLCAAPGSWCQVAAECMPAQSIIIGVDLAPIKPIPRVITFQSDITTEKCRTTI RQHLKHWKADTVLHDGAPNVGTAWVQDAFSQAELVLQSMKLATEFLVEGGTFVTKVFR SKDYNALLWVFKQLFTSVEATKPPSSRNVSAEIFVVCRGFKAPKRLDPKFLDPKHVFA ELADPTPNNEARVFDPEKKKRKRDGYEEGDWTQFKEIPVTEFINTTDPIAILGQYNKL SFQQPLNGDIALSTLNRLEETTDEIRKCCEDLKVLGKKEFRNLLRWRLKVREKFGLVV KKGGQAKKDEPEEVAEIAPMDEELAIQEELQRLREKESSKAKKERRKENEKKRKEIVR MQMHMTTPMDIGMEQIGPLGDDAPFSLKRVEREGARDTIAAGKEVTVESESEDSESDV DAESDDEGDLLERELDSLYEQYQERKEDKDTKLRAKKARKDFEADEWEGFSESGNEES DNEDSEFGAANATSVAPPKNGTLSNNAALFFDQDIFQGLEDIDDVEDEDEDEDSVIEM DEDDNDYTESKNSVAKKQKAKDAKAVTQMVLDSSDEEPEELDEPRKENGQLDIDIITA EAMALAQQMASGEKKSHDVADDGFNRYAFRDVDGLPEWFLDDETKHSKPNRPITKAAA AAIKEKLRAINARPIKKVMEAKGRKKFKAAQKLEKLRKKSALLADDEALSERDKSQAI SKLMSKATKKKPKQQVKLVVAKGNNRGISGRPRGVKGKYKIVDSRMKKDVRAQKRLAK KKQK AFLA_093140 MGFFDHLQKGGGFSLQPKKPQIRKVVQARPAPPSRASSLTPGTS SRASPSDKPKKTQGSGSRSVSKDPDLTSSKRRLNAPSQNRKRQTPEQRLSSDDDSSDT DTSFEVRKRARTGDSAEPDFERRLRSLKAFSEGGVKSLPIVHAADITSVQKAGCFKPA FGGTGRPTEIFLQYPSASPKERYNLVVPRDKDDFRPIDDIFQVIETVSQNYIPEDEAD YFDNESTGIKRRLRRALAHASEAEFRNVVTDYNDAIERLRRDGSIAQKLDSTHRLNLP LVERILTQIYSRTVSPRVESLRQYENGTDNVYGELLPRFISTIFKETGLKSGQVFVDL GSGVGNVVLQAALEIGCESWGCEMMQNACELAELQQTEFRARCRLWGIAPGKTHLVRG DFLKEQSIIDVLKRADVILINNQAFTPQLNNELINHFLDMKEGCQIVSLKSFVPAAHK IQSRNLNSPINLLKVKQREYWSNSVSWTDVGGTYFIATKDSSRLRAFAESMG AFLA_093150 MAHWREEYSAALAARDRREKANVAILNAYTQLADRTGRIATAVK SAQSSTPTESHNEIPQSLVPDHKKQAVVSSELSLQDALAAARTDLSEAQRSRSELQDR LTRTTAELEKLRKRSSQDSRRIGVLEKEAAQLQLRLKDRDEELKGKAKLLDDFQDELA SLNLQLNMAEERSNRLQKENQELVDRWMARMGKEAEAMNKASRFS AFLA_093160 MSVTSVQEDHVKYRIPLTTISAKQVNKKFSASYKATIGADFLTK EVLVDDRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFEALDSWRDEF LIQASPRDPENFPFVVIGNKIDVEESKRMISSKRAMTFCQSKGNIPYFETSAKEAVNV EQAFEVIARSALAQEEAEEFSGEFSDPINIHLDSERDGCAC AFLA_093170 MDTFTRLSTGAARRTLGIGLLLIVVVLWTASNFLASTIFADDTY SKPFFVTYVNTSLFMLPLFTIIFGRTWRLWRSGKLSQIHSFQSFLWHIDSHDPDAETT GRDNAYEPADPETWNTAMLDSRGKEEESVKLGLRATAKLSLQFCMLWFLANYFAMACL QYTTVGSTTILTSTSGVWTLIFGTLIGVEKFTVRKLAGVVASLVGIILISRVDLSASE APPADDGSGGRFPNKSSAEIALGDAMAGFSAVMYGVYTIVLKKQVGDESRVNMQLFFG LVGLINMLLLWPGFIIMHFTGIETFALPDTGTVWTIILVNSVSSLLSDICWAYAMLLT TPLVVTVGLSLTIPLSLVGQIFLQGVTSSALYWVGAAIVFLSFLVVNHESKEKSNEET SVGDYDAVPGEETER AFLA_093180 MDEEEEAFLALSMKGNNTATSKQQIDDTTDFSDVEHIARASSPE FGRASSPGGRLTAHQNFSGHDITSYSEWSDGPASNSSVRPVSRTSTIPNLSVSTPASA QPASARDTDRTQESGARRDPERVICNGYLQCLRIKGGVRQWKRLWVVLRPKSLGFYKD EQEYSAVKILPMDQVFDAAEIDPISRSKLYCLQVIAEEKSYRLCTDNEESLAKWLGSL KSVLVARKKLESETVPGAAAATAAAAAAA AFLA_093190 MRYVLLLRSTMTYRAYRADTTCYLSLNVRRVKSVDAPGPTLTSR APLIQSQPR AFLA_093200 MYGGMESYHHMCRFYSGFFYKHPLLMKYEWYWRLEPEISYFCDI TYDPFVKMAEANKTYGFTIAVKELRETVPNIFRYASAYKRKHNLESKGLWEMFLERPP EEEPKPEEGKQDKLPEEILQNEPGENTVPDVDPEAMEGEKYNMCHFWSNFEIARLDWF RSKEYEEFFEMMDRSGGFWMERWGDAPIHSLAAGVLLSPSDIHYFRDFGYRHTTIQHC PANAPARQLPRIPWLEMTTEDEKARFEEDEYWANADPVKENGVGCRCRCDTDIVDVEG KQGSCLAEWVEVAGGWASP AFLA_093210 MTSSHLADYTPRPLSESWATLSASDVHSEDGSRSEQTDVGSLID QAGPDDVASIDEQYSGSEVDGNEDDDYDSKSNVFGSQELPALFPQIRGSIDDSNITTK TAFRQSTESIEFAEPEKWPEVEQVELKHTIRMFEGIEADELKSQFSINLRDSILTATV QQTMTKKSLDTDKPFRVLYIGDPEFRNIILDKIVSISTKKSDNITLETTGNFQRKSLF AVEKWTTKMPFIPYLNDDLTDVQNFGNQLQGHSQLDNLMSGLLSPPKKQEFSGFEIQA VGDCHLVIKPPNRTLTGKKQPKFEVQVSRGVKPLEYELSRLFDGVYTLKLDRGDAYGI VNVTVTMASRPPLHQTTSVDFGTPWLKIANWRRAAQVISSQFMGDFNTAQVGLSEVYS RICTDLQVLMGDVVKKAHLLRGEANVLRHGTGQLSETKDVVITRSKQLSEVVRRTAVQ PFLAVSSVLQEKTNKVNQETREIIGGTWHRISNQAHGFELKSVKDHIRNARKSYTLDK AQRRAKRLMTRKCRHSECL AFLA_093220 MSDVPETKPDPTTSAPEAAEKPEETTTSTEAPKTEEDKSATETV VETAKEAATKTTDSVFSMFGGGPKKEKKEEPEDNNDEPSGSSKAQKTEEEDEAPESPD VHFEPVIRLTEKVETKTNEELEEQTFKMRAKLFRFDGESKEWKERGTGDVRLLKHKEN QKTRLVMRRDKTLKVCANHYIVPAMKLSPNVGSDRSWVWNAAADVSEGEPEAQTLAIR FANSENANLFKEAFEKAQQENEKLLVKRNVRLVTEQHVVNKDSGVEGFPLRAWSIEIY LVNDHGEQVPANVFDKVTYTLHPSFGDRAIQTFKNPPFRISEEGWGEFDMQITLHADK DHYVTHDLNFAQTRYESKHVITFKNPKPALLAALRESGPVPGDENGVKSKRSAASEEG SKKKKRTEKSVDMDKLADGLQRLGEDDLLQVVQMVHDNKAPDSYTKNDVEQGEFHVDL YTLPDTLIKMLWDFTQEKGAL AFLA_093230 MSSAQDEFNLLVQSNREKYSTHPEDRDNDSGHDSNPSEDEQDHP QFSDSEDHDPTMRTGSSTYRVPNIVFDANTGPKGVIADAQAFERARASSFRKTLHHND HAKYVADDSHLLHHTPPPDGSLSDEDEEHFLRQWREERIQELRNRSFRRPSPRRRMYG SVDTVDAVGYLDAIEKVTSDTVVVVCIYNPESKTSALVEDCLEGVARRNPTVRFVKLH HEIAEMDYIRAPALLAYRGGDVFETIVEIVRQIPKGRDCTSHTLEDLLKLYRVL AFLA_093240 MAADMSGEQMQAKITAARREAEGLKDRIKRRKDELADTTLRQVA QNQTDTLPRIGMKPRRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVH AIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSREGPTRVARELSGHSGYLSCC RFINDRRIITSSGDMTCMLWDIESGSKVTEFADHLGDVMSISINPTNQNIFVSGACDA FAKLWDIRTGKAVQTFAGHESDINAIQFFPDGNAFGTGSDDTSCRLFDIRADRELNIY QSDQILCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGDKVGSLSGHENRVSCLGVSN DGISLCTGSWDSLLKVWAW AFLA_093250 MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSRDLMEMWKWSLAFQCVVNIGVRGSESV RTRVVEADMVPVIATILDNYIKVVDKARARADSENQRHSSRHHPKAAPAAGDVTGRPS FPDQSSNSEQRTSRRQAPPPSIEIPAFLHQNTNAPDTNAMDVTSSPRAPMTSPPERST FGQEAHIHRSHDGRHLHTGHRHRAMQPLATALPPMDTADGFGLRPVRDTERLPSMLPT LHNGITSQPDSPTTPNGPVQPRSHAQTSAARQRPTLRQQQSASGDSDDGNGEGSTLGD NAGSAETSEPIVGLQNEMEIDEVSDRQTMIDGVSNSHDLTVTDPSESQEAETFNISHR STVDGSIINNDTTQTNTALGLSPTQAANNANSPALVPSPYTLYFRDRSAVPQNVLTTM PRDEDVLMSLQLLAYVSKYCNLRSYFQHSHLVPKLKVDRELQMLEEGASPIEPPEEEE EYMLPDDVNIFPLVEKFTVRHHSKDMQYWACVVMRNLCRKDESRGGIRQCAYYKCGKW EEFQRQFAKCRRCRRTKYCSKDCQKAAWVYHRHWCHTTP AFLA_093260 MAGDNTPVGPLIQLPILDISNPNDPAVGKAMLDAAAKYGFLYVS SKGTDFTVSDVEKAFDLSRQFFKSPVEEKAACRIQPNNYGWSGMHVETLDPEHQREIP IITRNQTKIIPRAFNFGEFKDGKAQHPLPRSLTSHEPEIAHFADLCNKTCDRILKLLA LGLEIPEDFFTARHDPSQGPTGCNLRYLYYPSIASPATSSYQHGTDVRAGAHSDYGSV TLLFQRPGQPGLEILTPEGTWAPVPVQPGQTAEEDRDAAFPFPPILVNIGDLLSYWTD GLLKSTVHRVVFPLSEQQSPNPQDRYSIVFFCHPLDKTELVPVPSDIVTAHRQKCKES GVQDEKVGFGGGAGSLEPGKRTLTAQEHLEARLAATYKFDK AFLA_093270 MPIRPLDEWAAARTQSLPLSALKGAVVGIDASHYIKQHLLHPST REPLLVALGGFPFALRNNIERELQTFKDSGVTCVFVFDGLDFGTKNQRPHVSPESVRA FEQAWDLYDQQQADQVVDAFSGAGSNPLVDAVCGPSEVLMFDVDKLITRIDADPAQFC WITKQTCQEELGRLSNEQFLDFCLLLGSLFLPTFPIFENPAFPGKGATIRDALPMFNS AGRSALSLCAQFEEDRRMQELQYTDRYKRAFMTTRKDGWARWTQRTPLLTCTS AFLA_093280 MARLSYLLVSCLSVVSAASAVVDLVPKNFDNVVLKSGKPALVEF FAPWCGHCKNLAPVYEELGQAFAHAEDKVTIGKVDADEHRDLGKKFGIQGFPTLKWFD GKSDKPVDYNGGRDLESLSSFVSEKTGIKPRGPKQEPSEVEMLTDSSFKTTIGGDKDV LVAFTAPWCGHCKNLAPTWESLAKDFVLEPNVVIAKVDAEAENAKATAREQGVTGYPT IKFFPKGSKEGIAYSGARSEEAFVEFVNEKAGTHRAVGGGLDDRAGTIASLDELVAKY TSSQNVEELLGEVKKAAKGLQDKYAQYYVKVAEKLSQNKEYADKEFARVKKIIAKGGS APEKVDDLISRSNVLRQFLSQEKADMDMKDEL AFLA_093290 MTEDDNKSVSTSEAVTPPTGEQATDAQGQLAQAVDDLLDQLQHK FDNVSREMFGKLDDMARRLDELEASLTTVGDPSTPTGPGSPTK AFLA_093300 MPKRSKLLQALDDHRGRDYEAEKQKKLVKAAEKRKAAKKAAAGE DGVQVKDKAEDLKSKKREAEEEVEEEGSSDEEEEEEKEETSDKEEEEDDNDEEEEEEE EEEEEDIPLSDLTDDEREDVVPHQRLTINNSAAINASLKRISFITPKTPFSEHNSLVS QEPIDVPDPNDDLARELAFYKVCQAAASTARGLLKKEGIPFTRPGDYFAEMVKTDEHM GKIKKKLYDEAAGKKAAAEARKQRDLKKFGKQVQVAKLQQRAKEKRETLEKINDLKKK RKANPSGPTDNDNDMFDIAIDNSESKGRKRGREDGGGPNLKRQKKNEKYGFGGKKRHA KSGDAMSSGDLRSFSVKKMKGGAKRPGKSKRAAAKGRM AFLA_093310 MTSREDSVLAARDPSLADENDWEEFSLSEVRVLVPGKSRYANLL TASPDNPVQVTGCLDEVEEEQESLVLDQDYLTKRIVLENITHYAYGQHDDGEVGIWVA GRAGWFSISPARGYRPMFNDVVEAIDLLYFLADRHQKRRRSKRRNRTPSVEYLCEEYV SHTHGICEDNDDSAEVFYKHHHFLLTRMLKGEEGIQWVDTDIFAHLREKFPDYEQIKA ELESPKAESEPEEDKADDEPEEATHEPDPTAVSKTQTEAIYQVILDLKEAGHLAKRQL NLDLVASTIVGRFEIDSADYAQDLIASKADAIIELMDEAKTYNFDWSRKVIYRELKAA AKKHDVQQIAFTPLRPRLFNEAESSPEDSEHEEEQPRPRRRRVRKSVLRPKSTIASKK IGKRTRSAAAADDEYLSDDNPDAMDEFETPSKVRGHELVRDPLSTRAKRRTRSMLSNP ETTPLQKVPALQEILQSRNTSVSIGDQAPSEPDTLNGNESTSDTWFCQARGCDKVIHR SNTKHGKELIRVHSLEHADDAKVKMDLVLSEHQLNIGFRVDNLLDHIRGMGCLDIAAM DGTTNGTNGIGA AFLA_093320 MSGEKIYEGVFAVHKPQGVTSADVIRTLQHHFNPSKLFKPWLET ERARRDRESKNQRRRRRTQRLDVKIGHGGTLDPLATGVLVTGVGKGTKQLNDFLGCTK TYETIVLFGAETDTYDRLGKIVRRAPYEHVTREVVEKALEQFRGKIMQRPPIFSALKV KGKPLYEYAREGKEPPIEIQERPVEVTDLRILEWYEPGTHDFKGPEQEAAAEEKAVAE KLLAKEDALPIAPSSEAAGSAEKTAEQDTSASTKRKTPPPADSPKAAEDTAPAAAEGE KSPAAKKQKAAEGEAVPAQTEQSSSNAPETAEEKSDALPEKAESAPQPQSAAVKIIMT VSSGFYVRSLAHDLGKAVGSCAMMSELIRTRQADFELGADKVLEYRDLEAGEEVWGPK VQQFLEQWEKKRAAEATVDQN AFLA_093330 MSLNGLDNPAVIEAYQTALSEAGGWFLLQYVSRDEVALLDRGTG GVPDVRNAIDGYEEKSPLYGFLQYRRRKVVLSYLPEGISRLVQGISLLPITLTSTLTD EILHPPLARTTVQFQSILDKFSPHDTVFYLSQPSELTESALSSACLLHTASGSITSSS NSLRRRRLMEIAEDAEETPGSKDASPPPISTKDFRQRSFSQLSEATIVASPVASDAHS IRHGTETSSPTKTPDDTQDNDRPPSIAGDHHSERPTSRKTSRDELSYSEPRRSTQSAR PSLKDLERTGVYKQKVKLGPRPSVDESGRPRTAGNLSRSAEQRPVASLPAGMRSSSLR RSNPSPARPRSQGSTVASMSGRMVPPVPPLLVPPLSMPISRPKLSPGAKSLSALSSSG TSQERERLMKALQLRKTQMEKRAQEGKKIHRAVEERKESHIDPIEDKENISHVHDNMK RVQADENKASVLEHSKGPLSETQPTVVPEPVEVHDEHIKAVSDAVISDSTPDLSINDL QDSSETSAEILDDAQEPSETDQCQTAQSASVLEDDVVEEDLTKSINEETKSGPGSPSA AKEVPLEEKSLNIPDEEIAVRSPPAENPLAPVEQETDATGEPAESALPQSIPVLSTPD SRTSLTSDSPTTDETQVTETQNDLTPEVSVTEQKDVDTRKEKRRLHLEPIQVPTPDYS DDDNLSDDSFMEELKSATLEEAKPISVGNSPLSPGYSNNGNDRSPPDAWKNSRAVSNP SAIGRQSPNLHALAVGRSVSTPYNEADNSVLVAKKINVSSGISKRIAALEKFSSRGDA QPTSNQNLAAPSSASFEALRKRASVSLNGNPDSAPGSRHGSFTPESFSRASSVRRPDS QSSASVKRTNSVSVTARIIRDSNTTPGDSKVDPSENSVLNLHASPLTVEHDTCESPSP DPSPEPMASKSKERSMSPSSVGSSNQPSALSMPRSESRMSISSASKNEDTSKPVVDAP LSPEGKKESRASRLLRRMSSITSTSRKSPFSSLGPVVKEESTPLDTGAEIAQAVDIGE VNVQFPDTLLWKRRFVRVDDKGYLVLTPGNIDSSNRNMVKRYHLSEFRTPALPDEDRQ ELPNSILLDFLDGSTLQCACESRQGQAFVLQTLVDAHNAHQQLSQ AFLA_093340 MSPSLPSLSSLASLPQEQQFQVLDTLFEPSPELHALMAPILANQ TFSSYASLIDAVGGRMSALSAANSPTDKAVLVGILGSHPRLGRPKVAQSEHISELSKK EQAQLNTGAEELAERLRLLNAEYEEKFPGLRFVTFVNGRSRDVIMVEMRQRIDRGDAE KEIEETIQAMCDIAKDRARKLEQTSRI AFLA_093350 MCVVGAPVADQTCIMQHIIKRTNTEKSIPLKLLGRASYEAEAGG TDGPPEQGPIRGDAALHRKPSKEKKKGVSFLSRIIGGKKKDQILDDDDDVSEPDTARM DTAQQIGFFPRFPRPPKYIRVRAHYKKEKTFSRIFVAQELEGADNVSNSSEKDGSSVA GARSSKTTGKAVWALVFSNDGKYLAAAGQDGKVRVWAVITSPEERHESEPEEDGSQDG EELPQLKAPVFKVKPVQVYEGHTGSVLDLSWSKNNFLLSSSMDKTVRLWHVSRPECLC IFQHSDFVTSIQFHPRDDRFFLAGSLDTKLRLWSIPDKSVAFVVTVPDMITSVAFTPD GRHSIAGCLNGMCNIYETDGLKPITQFHVRSARGRNAKGSKITGIDTAIFPKGDPQGE VKLLITSNDSRIRMYNFRDRTLEAKFRGNENTCSQIRASFSDDGKHVICGSEDRRAYL WPTAPIERDSDKRAVEVVEPQSAMVTAAIMAPSKTKQILGFSEDPIYDLCNPPPVTLG SSKENGPHRNSVASKQAQESPGYLARSNHPGGNIIIVADYSGKIKVLRQDCAYLKRRF ESWDTHSTISRRLLRRTNSARHSIASSIGKESSHKTPSERIISWRNSVIGHTERKDGN HPGTRTRSPSPQRLANRSQYSSPRRGGSRSGYTISPPPSAYKTSTEYKTSLDSAGSST EVPGRNGAANAPKTLQPAPSLVANKRQDQDNPLWLQGDHSYAFYKRIARDALAARNRD SPHHLDPNRLSVPGRRQPSVGSVLSSDYASSNGEGEESEDVLRCDNCEGTNFRATKGR NGKQKLICVRCSQPID AFLA_093360 MPYLPTSQAYLEQSALLLEAYPNDTRITTKYNFPSSQKPKPTEE STTPTAPIASLTLKTYNPTSGICLKYRTNKAAEVGRLITSLGKLAGGANVAALGLGNA AAAPVAQAGGDVEMTDAPEEGTGSAPAAKTEGSKGGKGKKKGGKGKR AFLA_093370 MSAQVTPSKQAASSFENLKMSDSPVKKLNFEAAGKENAPSSLQI IDVPATKTTVEKPTEVSSKIASIKEMEANEPLLQENPHRFVLFPIKYHEIWQMYKKAE ASFWTAEEIDLSKDLHDWHNRLNDDERFFISRVLAFFAASDGIVNENLLERFSGEVQI PEARCFYGFQIMIENIHAETYSLLIDTYIKEPKQRTYLFDAIDTIPCIRKKADWAIRW IQDQESTFGQRLVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTD FACLLFSHLNNRPDPQIVEDVIVEAVSIEKEFLTDALPCALLGMNSNLMCQYIEFVAD RLLVALGNNKYFNATNPFDFMDNISLAGKTNFFEKRVGDYQKAGVMASTKKEAKQEES SGSAGGLSFDEDF AFLA_093380 MSTTTGAFIAGGIAACGAVTVTHSFETVKIRLQLQGELQAKSEA VKKYKGVFHGVKVILQNEGPRGLFRGIGSAYIYQVLLNGCRLGFYEPLRKTLTTTIYQ DPQVQSLGINVFAGAASGIIGAAAGSPFFLVKTRLQSFSPFLPVGTQHNYHNSFDGLR KIYTSEGVGGLYRGVNAAMVRTGFGSSVQLPTYFFAKRRLVKHLGMEDGPALHLASST ASGFVVCCVMHPPDTIMSRMYNQTGNLYKGALDCLYQTVRKEGLLAIYKGYFAHLARI LPHTILTLSLAEQTNKLMRRVEDRFLSDSMKEAL AFLA_093390 MAEAQQQQQPPPPPPPQSQSQQQTPSLCQKVSDILRSFRPTKAF KPSKQDSSNYVTSLDFDDQGDYLVSSGDDETIQIFDIKEGKTTKSVPSKKYGAHLARF THHSRQVLHASTKVDDSLRLLDLHNEGYVRYFSGHTDKVTCLAVSPGSDSFVSCSKDN TVAIWDLSSRNAQGKLKLATPYLAAFDPSASVIAIASQSTSSVLLYDFRNYDKSPFST FDLAPYEERYTPSTRGRAWTRLEFSNDGKHLLVGTDYHGHFVLDAFEGTMKAFLVGKN GSPGRAAPVSTTGKPLGQGDACFTPDGRFVLGGSGDHPDILAWDLQQQPDSNNVLQPM CKLPHRGRTALIEYNPRYNVVATADKDIVFWLPEEGSRAPEK AFLA_093400 MTNEDRYSPTSETYERSEALALSLVERCRDLINELDAFRALLEK TQRNPQLVEIRSLRSNVVSELRTLEKVSGQIRALADAAVAAADGEEEEQEVEPRLMHA LRSTNLPFYETVWQIARRSCTGLVAFGKRFYWDGDGVVEDEGKKRPNKDKRKSVFVDI VADDGLEWVKVSTISETRLLFEMAKKGWEADSDAESGEERTVLRNFDDDGGSDDEDED EIELVKLASDMRKAANRTRVRYRHPRLRLVIPKIEEGESREIDDLLKVLRNYDITVEC GESALSSRVGGNDDDATSATAEGHLHHLLPAPFKSFTSTLNVDCTLLLALVSDVSHFK NIEPSPEYHRAIIRQLEFERERPLLATELWPAMEGHDLVCTDEATRRMREIVNTIGTE TEKRRTEILFGDTPSESRGTKSVIQEFQELQSKLSL AFLA_093410 MDRRAILQAYFRHETIGLWDCWLVPGLIHYQWSRNPRTLQDPTN KAIAIVTNALLWVSSVWYFRTGIKENGWVVGLGAALQAWAVGRASLR AFLA_093420 MNPEILQNSPNLERETISEAEALLSRFSSLSDIEQDRERATYVS TIFPLLFGKDSVVQGSNGYESQRQAPWSTNCWLQPCVIVSPGSAQQVATALALCRFFN VKFSIRSGGHLQNPGFTSNHGGVVISLSALKQLELSKDKSTVGLGPGLRWLDVYGDLD AHGVTVTGGRVTTVGVSGLLLGGGISFHSHQYGVSAMGICNYEVVLANSQIVNANAHE NADLFWALKGGGPNFGVVTKFDMMTIPSKHWSEVRVYPITATDQILEAMMQYHAAIEA DDKSSLIYNATHEVILLVFFYGEPVEHPPIFQPFYDIPHVATFVAPGIRTVYDLMNAV DSVQEAGPALHDFRTMTSLPSLEVYRAIEKTHAEQVDVLKDVEGLTLTTVIQPMSSSA MKATLKSPLGLSPVGQQWFLVRADWKNTKDEERVREAVHKIVDVAESEAKQAGVHLPY LYSNYASRDQDPLASYGVENAKRLKEIALKYDPDGVFQKLQNGGWLLSSMGLEACELM SI AFLA_093430 MRLFPTRFIQVAGKSLLLFIAVFTISGTLALVFQCRPVQAAYDK TIPDASCYPTETSYAILMMQGVIMFVLDVMILVLPMRPIWQLQMPLKKRLLVIGLLCI DSASTSLIWMEIEFNLGLMSGSLSSLRKLFKVHAPSSSADASQGESSQPANLELPRSR ASRHSRLRGGIMKKTEIVRVYETSESQEHIAPMNALGETTNTTKAYEARAKSTNL AFLA_093440 MYSRKAWGGDVDPFILTKFVRDGDAGDSDPLVSLVIFEWSDEAL IGRTVSDDADEKETICDEKSVNDKLCEPGDIGSFILAPNASESEFTIVTQAVHLNNPA AINYPVKKTGFYCVSTYGYSGRDYKAVVEFRNAYGELPAAQIAKLPFYGGLTIVYALI GVFWAFLYVQNRHDILPVQNYITAILVFLIVEQLMTWGFYDYQNRHGLNVGAKVLMVI VAVLNAGRNAFSFFLLLIVCMGYGVVKPSLGRAMIYVRILAIGHFIFAVIYAVASLSI TPDSAGPLVLLIVLPLAGTLTAFYVWTLNSLNATMKDLIDRKQKVKALMYKKLWWCIL GSIIVIFGFFFINSIAFAGRSEASFVPEHWKSRWFVLDGWLNLVYLFDIGFVAYLWRP TANNRRFAMSDEIAQDDDGFEIRSFNSELDEEDALGGIPESSAHEQRRDLSPVPPKPV PAAPRQRESLDGETIFAVGEDGDKWSDDEDDLSRDSGERKRLTGKDA AFLA_093450 MPGKELSDPCVDCRDAEAILTLRRRRLCKDCYIKFVSYKVFKRM ENYRLNRGFAKDKPCKLLFPLSYGLSSSVLLHMLHDQLEVQRSKVHGSPGFDLHVLII EPSTISPSNPAHDEGFELAQKCFPLCSFTKVPFHSIFALVPDIKETMSQFAGKGFEDD PSLSDAERLNAFRSCIATSTSKADVDHILMNRLIVAFAKQMDCQAIIWGDSDSRLAAK TLANVAKGRGSSVIWQVSDGMSPFGLEFNFPLRDLFTAELRDYASFFPELTKLIVPDE PLPANTLTKNLSIDELMMRYVLTQGEKYPGVMLNVTRTANKLDVSQMPANLSHCTFCA APLMNEVGGGHTQFCYACARSRPSTSS AFLA_093460 MSGPLVSLVGKRILAESARNHFGTEDPYFEEVPASRLHRAFGKK TQKRRKAVPPGLSENDQKVLTQVKRRAYRLDLCLFSLCGIRFGWGSVIGLIPFAGDAV DAALAIMVVNTCGKIDGGLPTRLRMMMLINVIIDFAIGLVPFVGDLADAMYKCNTRNA VMLEKHLREKGAKALSKQRRRQENDTDPSLPDEFDRYDQTIVDGPSRRESHRHGSRHG SRHRSSTRRTTNEPAHHSHDNRNHTKWFGGSSHREHDLENGVVDNFQDRR AFLA_093470 MHLEDWLDDLCVRFIINLPREELESVERICFQVEEAQWFYEDFI RPLDPALPSLSLKAFALRIFQHCPLMSQWSHYHHITAFSEFLAYKTRVPVRGAIMLNQ DMDEVVLVKGWKKGANWSFPRGKINKDEKDLDCAIREVYEETGFDIHEAGLVKNEKDV KFIEITMREQHMRLYVFRGVPRDAHFEPRTRKEISKIEWYNLSELPTLKKSKQQDQGF TVTNANKFYMVAPFMHPLKKWIAQQKKLDAKMQVGATQVLPNEGEMSMDEGFAAPSQS LGLASSSDLPEVAPSQDASAHLKRLLNINDAFHTPSTAPSVDTLPANAFKSNALLELL RSGSSREPNPQTPRNEQTSPTHAITAAVPPQHPAYPAPTLFPGFPVQGQSSQPGYLSQ FSTRQPPSASSHIPHTNNHVLDQHMSQRPLAQSSPAMNQHGHPTGYGGMDAYHISTQP YNDAHLPSGPHATPANQAPAAPYQRTGDPFSQPAQPPQVQGASVRVPPASKLPPPKLT SHSLALLSVFKDEASKTPKTSHASLTPQPDQVPMNGRKSSQHQDQLLSLLRGSPVTSG STPAELAGHSNSPTRKQILQRPRDSSPTQQALAYANPNPLRESNHLILRWHHPRGLHP VPARIASRRALNPRSLSSHKFSAVLKIWTIYFLYGPRNRKIQNSQMVLRFQALNKRQA ILIADQVNPLLKKRLFSPFLANNRHHRAFRPQESSMSTFQDQSQ AFLA_093480 MMRPSTSVRTICANCSNHTRLFSTTVRSRSQQKSDATPASPPQA GYARLTNRGLISITGVDSTTFLQGLITQNMLITNDQNRATRQTGSYTAFLNSQGRVLN DAFLYPLPQADLTSPDEPAWLIEVDRNEVASLMKHLKKHKLRAKLKLRALEDGERTVW ASWKDHEQPRWAAYNLESSSSSPFSPSSSIAGCIDTRAPGFGSRLITPGAEDLRTHVP DETQIAGSEVSLGAYTVRRMLHGIAEGQSEIIRESALPLECNMDMMKGIDFRKGCYVG QELTIRTHHTGVVRKRILPVQLYTGDQDALESAGAPVYDPTAELPLPPSAANMYKISA RRARSTGKFLGGVGNIGLALCRLEMMTDVTLTGERTQYSPEQEFKVSWDAAEEGSSEH QEPGEVKVKAFVPSWTRDFILNGGVKKNTRGREAEGHRAREFLEQLEEEESLRQKE AFLA_093490 MSSNDDLKAHAASNQDFYALLDISPAAAENEIRRAYRKTALKYH PDKIANPTPADIDKFHTLQIAYDVLSDPSVRQLYDNAREARQRKQRERDMMDAAKRKM REDLEARERAGAAAVGGAPRGVKRTWMSGTGDDDAEEKLQREIERIAEDGRRRRREAE ERLKQKVDEEEKQMRQEEEEAQKAADKSSQRVNRSQEGGANVPELERAVKARWVREGR GVDLDKDRLTSLFTPFGKVESVVVLKDKRQRIGDKREKKTVATGVVVFTSIVGAHAAV LDSEKKIRQSAGQAGSDWGLIDSVFWASGNQPDLGAGSNNRPLSPAEKSEPTMPAAPP KPSFGFPGVKTTGSDGKRPGKAPSFGSFASAAAAAGSAKSTSSSSTNGPSAPSMEEIT LMRLKTAQREKERRALEEQLRKEDEAADAAEAAAAEANA AFLA_093500 MPSIENVPYFEYQPIEGVERLERYRPGGYHPIHIGDVLKGRYRV VHKLGHGAYSTIWLSRDEHQAVFDRLSVEQLYQTFGEPYTEAVMRLDGQPLPAGTPAR GTVPVWLGKKANEVTLAEAHLLLSDFGEAFSPTDSQQKRRGDQCHAPLSVLPPDAYFE PDKPLSFPTDIWTLACAIWSIFSSRPLFDATLATHDDISSQQVDILGPLPLEWWDSWE VRHEYFEERGEPKKDRFIFPSLEHCFEKEIQAPRDKIGMGGFDRDEMVAILTMLRSML AFKPEERATAKAVLGSDWMVTWGLPEFEKIRQT AFLA_093510 MLSYSAGGPYKPDQPPRSKTFSHTASYKPPKKMKSLTIFLFSLL VLIATTAADEITKRKRLIECANKELDKENAGWKLQGDELEKLKKIIDDEVLKEPLKSH TAEEQAKVLKEIEDAGKKDLPKVSVKQLDKIMAKLKEHSMHCAKEMRKYILRIRQHIK DTRDADKSYTQDKDRFFDSVSFWQQAYEKSEAEQSKLLDRIYDLERRNEALSAKLQVR NTQFEEEQSSTKRKATVGEKAFGGATTRKRAKTQMVNGALGHASAPWNGLVRLDHTEE C AFLA_093520 MSPLIVDIHTHVYPPAYMEMLRARKSVPYVHDPANGTDPRLIIL SSDDDPSIPLDERGRPVDSSYWNIEVKLAFMRRHGINCSVISLANPWLDFLEPEEAQT WAQRINDDLENTCARVNKAGDPDKSLALHEKESLFAFGALPLSAPRADIVVDEIKRLK TLPHLRGVIMGTSGLGKGLDDTQLDPVWGALQDTESVLFLHPHYGLPDEAFGGSDAMN RYGHVLPLALGFPLETTIAVTRMLLAGVFDRFPRLKILLAHSGGTLPFLAGRIESCIH HERKFVANGGDVPGPQRNVIRAKNSLEQGALQSFLDFCRKYYASVTIPRCR AFLA_093530 MEGNLPQQSCHPATTAVDKDDIVIPVIDFGPFLNGTPADKHAVA VSIVEAFKTSGFLYLKEHGLPPSVVSRVFGSSARFFARPQDQKDSLCWTTPQANRGYV KTGQEKLSNVDDPTAPEVLRTTPDLKETMEIGRDNLDNQPNRWPDQIDDEGKDFREVM TSFFNMCRSLHTEVMRAIALGMNLPEHYFDSYVDAGDNNLRLLHYPAVSKEVFKKNPS QVRAGEHSDYGSITLLFQDRRGGLQVRSPKDTYVDVTPIADTVVVNAGDLLARWSNDL IKSTRHRVVEPPTPIGEEDNSDTYPDRYSIAYFCNPNNNRLIEAIPGTYGDDIKEAKY PGIVAGDYLVQRLTATY AFLA_093540 MSHLQPSSLFSVKGLVVVLTGGGSGLGRMMAHTLDANGASKIFI IGRRQEALQETITQSPNGSSSAIIPIQADISSKASLEAAYQTISAQTDHVDLLIANSG ILGPNSSPPPPKPDGSLPSLAEVRDALWSVPMEDFTKVLDVNVTGAYYTAVAFLPLLE AANKRRPAPVKNQIATPTAQVIITTSIAGFNRKVPISAAYNLSKSAANHLVKLLSTTL ASYDIRVNGIAPGIYLSEMSTRNFQEGDKGISDGSFKREVIPLTRAGGEEDIAGLILY MASAAGGYLNGNITITDGGRLSTAVATY AFLA_093550 MPWNRFIALSCLSWMPAILAVPQAKVRSTTIPPVVSHPTSLTHT PFSGTPTTTGALHASSIGTGIRSLGIPPTATTYPSDGQLHDPQPGPYIPAGGIGTGGE TPVYNPKSDFDYESLALALYQEYTELDLFHDGLARFSVEKFTAAGLTAEDRFLIEFMA DQEVGHATMVTNILGAEAPRMCTYNYPYTTVHEFVDFCQKLTRFGESGVYGFLPHLNS REAAILLLQSITTEARQQMIFRQFEGLFPMPVWFEVGVPQSWAWTLLAPYISSCPENQ TRLAWQNFPALYIENQPNPVRINGSSAFNETVGGGVNSLNSTAVPSDASCVNSTTVGF SCYPAITHNRTLPLSWPGREVFLHWDEPGRPVGPNNSYITSTSAGDPKYVVWVTQLNV TYSPLEITGDNCGLTIQPDVQTYAGDPAVNGTMFIAITDSDPYLSPFNLSMINPHVVA GPALYQSG AFLA_093560 MVSMEAPRNRKQRRAAAAASKSDTDSTFDPSSIPMAHPPRNTTK NPKEKTLVELIAEREKELLGLGQDRSSTGTGGSTPGMETRFVSIDPTSGEISNLDASK LPNEQQGTKGSIMRSASDENSGSEEDEGAPIPPFIDTVLLSVPLTTLHMTLAYLAAHQ YAEYIVLDKLIRESVFVAFPILTFAIHLAHGHIVSLGNAQSSEHVSLIPFSRDKLSIA FLRKLLFPPSLRTMVFLPLAIYLGAKLMAMTNEEPYYAVMKRAPSIGTLWVWSILEIP VGAAVLGALGPMIWGVWWKGYGIF AFLA_093570 MGLWESSLAPPQFGDNRSYTDLLTFAFGGPRPYNEHRPLFIDAE HPSCSLNALQFRLLVRTLIAGLKAHQVQKGDCVLVHMGNSILYPALFYSIIGAGGVFM GSNARSQPQELDHILELGNPKLIITTRDALPTVLSVSADRGMLPSQVCLVDEAATSHV AQLLLSGPLAYAAAISPYLPHGGDNYYLNFAHLLGYGETGWLFFQDEAIAKSTPAAMF STSGTGGLPKAAILSHHAIVSHHLSIYYDVPYEASRLMSLPMFHLFGALWTHIFPIRY GQPLYILPRFDIAQYVAAVYQYQITEAYMVPAMIHAFNRCAFPVADYLQSLRYVGVAG APIDGASMQQFQELLHVDANASQLWGMTEVGVVFQNRYGQPGNSGSIGRLVPGYEIRL VGQDGNLVLDDNKPGELFVRGNGLLTGYKGRDDAKDAQGWFRTGDVAYVNNGLYYIVG RTKELIKVRGWQVAPAELEAVLLKHPGIQDAAVTGVTSKDGSTEVPRAFVVRTRQPAG MQLTSEEVYMYCRRQLASYKALDGGVIFVEEIPRTASGKIQRFKLTQMNTYREIVSSL LARFKGTSGLQTVGLLHQGRITV AFLA_093580 MSLSEKSVISVPPPAKCASGSTSPRSTLVDVETAMPNCISEKAD RTLTQSPDSFDLEAQAPKKKPFSSSRFTLLNIYRRLFTLVFCANVAVFIYIMTADRKL LALVNATAANLLACGLARQPLVVNAIFLIVCSIPRSAPLRLRRIAAKVYHYGGVHSGC GVASFIWYAGFVGLMSKEYWSPSTGRSTISVAPVVVAYIILVLLLAIIVVAYPGFRFK KHDYFELTHRFSGWLVVALFVVLLMLFVNDFSRAAHQSFGRFLIELPAFWFLMVTVAA IVHPWLLLRKVSVRPEYLSNHAVRLHFNHTTTTFGKGIQLARHPLHDWHGFATFPDPE GKTFSSLVSKAGDWTTACINDQPTHLWKRGVLIYGFAYAMRVFKRVVVVTTGSGIGPC LSFLGDDNRPDLRVVWQTRTPLKTYGQGILDLVSKMDTNPYIINTRESGRIDMVPIIR QLYKEFDAEAVCVISNPFVTKKVVYELESRGIPAFGPIFDS AFLA_093590 MPGRLLSSLVRPHVHHHTSFSSHSTSSSSTSVNEIHTHTVAHKK PSANVLERARSPERRLSFAVDHLIHPHRDHSKEKRRSHGRSSGSKERPGDHGVSATAK LDVVVESPPLVCYGSPANSTGALFSGRLKITVSEAVDSITLDKFDMRLMTRMTTKKPV SRECPNCASRTEELNHWNFLTEPLHLKPGDHEFPFSYLFPGHLPASCNGSLGKIEYYL SAHAQNTTGEEYDFQMPLHIRRAILPGNDKSSIRIFPPTNLTGRIVLPSVVHPIGTFP VQMTLSGVVDKGEETQTRWRLRKMMWRIEEHQKIVSTACGKHAHKIGGEGKGVLHQET RIIGHNEEKEGWKTDFDTAGGEISMQFEASINPTCNPVCDLEASGGLEAKHNLVIELI VAEEFCPNRNTRLITPTGAARVLRMQFHLHVTERSGLGISWDEEMPPMYEDVPASPPG YTNPDASSVMEDYHGSPLPLPEYEDLERMDSLRLDSDSTHSSARSILSTRGRSRFTTD DLTAEPMTQQNRNRAPSADSQVSRASE AFLA_093600 MSSQPLLARYRQLAPSASVRVSPLCLGAMTFGTASKERYGECSK DAAFEILDYFVSQGGNFIDTANAYREEQSEIWLGEWLASRQNRDQMVIATKYTTGWQG HHKDKIQANYGGNGTKSMRISLEASLRKLQTTYIDLFYVHWWDYTVSIPELMHSLNDL VVSGKVLYLGISDTPAWVVAKANQYARDHGLRQFTVYQGMWNAAMRDFERDIIPMCRD EGMGLCPYGVLNQGRFQTEEGFKEREKRNDGRNFIPLSEHDKKVSRVLEDVANAKGVE LLQVALAYVLQKTPYVFPIVGARKVEHIKGVVPAVGITLTTEEIEKIESAYTFDPGFP HTFLSGTLFGDGAPQGAYGPGDVWLTKPLGTFDWVGYPEAIVPTERK AFLA_093610 MHIQTFTQKRFPFCIHLRETPRSRNILIPDTVVSRIFPIKNTNK LPIFDYDIAQIQITMRHPEEIVSQEDREE AFLA_093620 MSPSSFISLGFAITAVFIFWTLSATSSSPFARNFPRLYNKRICL LIAHPDDEAMFFAPTVLALTKPELGNHLKILCLSSGDADGLGHIRKKELKKSAVHLGL RSESDVLIIDDPTRFPDSMSATWSESDVSSLLASAFAPEIGDAQSGSRKRGATRDKPP VATIDVLLTFDRHGISNHPNHRSLYHGAVHFLRTLMKDKPGYTCPVSLYTLTTTNILR KYIGVLDAPLSMARGAVDSLFSGLKGSSRSSKEDAPARLLFVSSVGEWMTAQSAMVKA HQSQMVWFRYGWITLGRYMAVNDLKREKV AFLA_093630 MDALLDPRNEELVGSMPQTVFVEVFHLLSPAYFVVPYREIHRPI HPSAAKLKRFESLDSIFDDFATKLATVVSIRRSAGQALGLAEYTHLLDCARSIGDALM ADYIWHSMTEDEVVPDVTCYNYYMEAKVWDKAYTGRERYHTRMTPYAYRHRRFFHPNV GWQGYRTAEKSVRKEVLQIFNRMTEQGYHGDEVTFINVMLASSRVGHVQGMKNVLKVA WNVDIDALATLDPSELPPATSYDRSSPLYPSSRLLFAIAHAFGTNNDISGALRAIELI SNSYDIPVPETVWLELLERSFVLSRNRFGPDAKRNSLGKVPPEFVKGVFETMTSSNFN VRPTIDVHRILAKTAWDQARLSEFQQHMCAAYDLLREARQKRRTARDIIEAYLGGASR RGSRIDTTLLQSRGFAEAVHTYDVLRLRTAQQTITMERFARLLVTHKRWTGRDNPVWE RCLLPQMLEEWQDFLPQSFQYRVRDGQVNLLGATHWGQHRLTLHNRVAVRRPTAENGV VLDEEAVEMDDDFVWAQYLRSNPDLDLNAAPLKRLFSGVVERTRRPRPSTLDRGYVSE PQKLDSEADELSDLPEEEYAGTQWTAQRQKGREAPGVIPGTFDMIFS AFLA_093640 MADTEDAGTTSVSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNLVMGDVEETIYVVEEDENEEEIIRTIKRQEEMLFVRGDSVVLISPQA AFLA_093650 MAPAGPKLTPEERRRGEIALSDFAEYAEKQQSHRSVAPSDSGYS TASVSRVHEDHAELEILDQLGLSDTPKPTRLKDLLLNTGDQQEDSLQILGSIIQTRID EGHGEAIFDVGIEDGGESMGFDLTQWETALQRVQEAAGTLPAHCRILVTYNVGGPEES QVRNDRMKGSCGKLLIRQPAETVEEMAELRIAVVGNVDAGKSTMLGVLVKGNLDDGRG KARVNLFRHKHEIESGRTSSVGMEIMGFDSRGEIVTSAQGRKLSWEEIGKRSAKVISF SDLAGHERYLRTTVFGMLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMIIVT KIDICPPQILQETLSQLNKILKSPGARKIPIFVKDMDQTINTATQFVSQRICPIFQVS NVTGENLELVRTFLNILPHRGHYNADAPFELLINDTFSVPHVGTVVSGVAKSGVIHAG DTVLVGPDSLGQFTTTTIKSIERKRISVNACFAGQSGSFALKRVRRKEVRKGMVVLKK LDQPPKVYREFVAEVLILSHATTIKPRYQAMLHVGAVSQTCSVIDIDRPFIRTGDRAL VAFRFVQRPEFLAPGDRVLFREGKTKGLGIVKSVGYDPNNPLNPEAKEANTN AFLA_093660 MEPFDKAWHTAADSLRNDYRSSEQQWTQHGEEPISGIQGRGTAT DPYDAGNRSEQPGAPQTKKNTALVPEALSSITPGDRSSNLKSSTNKTASGPAAMQPEL NPIGETAAKYGSQPHRVPEQRNLGSNLDHHSQTSGLSHGHHDRTSGLNKGSLTTGAEA AAAGVAGAGSDYKRSAGGLGEATSAQSSALPDDSHTPGLRHTGTLGGATSAQTSALGG DTTHPTGLRHPSTQKSGLEKGVEASGLGHTTSTGQTSPMKSALEKDAQATGLRQPAST THKPVFEKDTTHTPSSGIEGSRNLGSTTSYGGSETQIPDRSSQPIGTHTSTSGLERSQ NPYGGSETQVPNRSSQPIGTHTSTTGIEGSGNLGSTTSHGSQSQIPDRSSQSIGTGTK ARHPRSSIAEEATSTEARHPSSGIADSTPQESKSTTEQRTPSTTAAEGQSSTQKESTS KPSKKDDAPENVGFPPKTDHVSKEALRGPSVAEPREHWKQDEKVAKAEDNDKPAAAAG EPGASNKADSSEKKHTKDSSHSGGTLSHIKEKVEKVIHPHKS AFLA_093670 MESGDINDRPLKRRRFFVDEEEDTKPSPIDSTAASLDASTPRST HDDEPPVIQSHGVQLGDAAISVNGEGNGYIRDDDQQTTSYTSPQEREGEISGDTIGQG PTSTIQNGALPGIQEERVTTENVPAQEDTDGFDTEVFISIIGERLSSDTIRKIRSVAG GDLERAINVYFDGSWKSPSGSARNQTTLISQPTLSTRSTPVNQTSMFGNGPARKGSGA PADIAPRNTSQPSIRYVGAFGVGAWATRSGVGFIKHGDRVNIERARSQPVSKRGRGGR VFTNNRGDVLTRFTNQAGQEIGRLPQETAAWVSTLLDQKVCKLEGVCVFAPDRVRVND TIYLQLWCYLRIEAFQSGMLDSSIDDNRSTNIFEEKESTEEKRLRLRQVALVKLFDEI GLQPTSANDMTLKHKKEGLLRAVEIAEQYDKNKKENKSNNDSSEDEESPELEEGQLDT LYKKAQTFDFSMPEADPPPTFSLSLRKYQKQALHWMLAKEKDNKSARGPSMNPLWEEY AWPAKDVEDNNLPTIEGLDHFYVNPYSGELSLDFPAQEQHCLGGILADEMGLGKTIEM LSLVHSHRNVPPGQAADGPSSVSGLARLPSSSSGVVPAPYTTLVVAPTSLLSQWESES LKASEPGSMKVLMYYGNEKYVNLRELCAAGNPTAPNVIVTSYGVILSEYRQMLSSATF SAAAPGGLFSVEFFRVILDEAHLIKNRLSKTARACYELKATHRWVLTGTPIVNRLEDL FSLVRFLKVEPWNNFSFWKTFITVPFESKDYVRALNVVQTVLEPLVLRRTKTMKTPEG EPLVPLPRRTITIEEVELPEQEREIYDYIYTRAKRTFNDNIEAGTLLKSFTTIFAQIL RLRQTCCHPILTRNKTIVADEEDAAAAANDGNGFKDDMDLQELIDRFTTTTESADSNQ GQESSNKFTTYALKQIQNESSGECPICSEEPMIDPAVTTCWHSACKKCLEDYIRHQQD KGDSPRCFSCRAPISSRDIFEVIRHQSPTTTPTENDLYSSTPPSSTQPAPRISLRRIN PLSPSAHTSAKIHSLINHLHRVPSNTKSVVFSQFTSFLDLIAPQLDKAGITYVRLDGT MAQKARAEVLAQFNKTETFDQEEIEDAEREDDINSPFTRKPLPTRNGHASASPSPRVL LISLRAGGVGLNLTAASNVFMMDPWWSFAIEAQAIDRVHRMGQLRDVAVTRFVVKDSI EARMLRVQERKMNIAGSLGLRVGGDGNEDKKERIEDIKMLFE AFLA_093680 MSPLKEMLQPTRGASRQINRLSLGCRQIQTSQRRHFSHSRPTAS PATAAVNEIQAAQKYCSDLLIKYDRPSYTLHTFIPRHAQQFYLALRALNVSLSMIPET TSSHTIGLMRLQFWRESIAKILAGTPPKEPIAILLSSAIADLHERTNGRARISKGWLT RLISARERGLTNDPYPDIAALESYAENTYSTLMYLTLSALPMASVTADHVASHVGKAA GIVAVLRGLPLVAFPAARAAGQQGGQQAGGAARQGAVMLPLDVMAQAGVKEEEVFRLG AEAPGLRDAVFTVATRASDHLITVQQMLSNLRAGQDVGHDFEHEGEEGHEYEVLGEQR QESPLDEVNRAFGVFMPAVGTRLWLDRLQSVDFDIFRPELLRSDWKLPWKAYMAYKRK SL AFLA_093690 MSRVSLERTETTELPSGSHDIEAHPQTSPTNAEPAGLESFPTVT KGPSNDTVRNRARRSNTARSYHPDNVTHDPNWHPGTEPGIDPTKPLPPYNADWATAVP SDLFTRCEIIVVDFSQHEMRQYELDNDTLEQFLAREREPWVQCRWINVNGLSWDVIRA LGNQKGIHRLAIEDLINTTNRTKVDWYSDHAYIVLTLQKLVRIYEETSSDSDEESEHG QWENDRRGSTTSSKSVSLKRATTLSLITEALKDLFRLKSRKRDPSNEPNLGANIRPSM KKAESQTMFDNASNAGRTARSMQRYRGGPNEDRIEFMERHAVLAAKGLSVALEQVSIF LHADNTVTSFFETSADDIESPIVKRLTSPETILRQSCDASMLVQAILDAIIDLAIPVT TAYQDAIGDLELDVLTDPDIDQSKDLYILTSEISILRNSMQPIVTIINALRDHRSEPI NTPGIGVLKGYFGPSNSSDPAPYIGTATPNLKSVGGSSVSISTMCHTYLGDALDHCIT IVEGYDQMRRAADNMIDLIFNTIGAYQNESMKQLTLVSCFFLPLTFLSGYFGMNFESF DGIKHSDAYFWQIACPFVFAITVFLM AFLA_093700 MNRSSSTRGPLPSSSHTIQASHPRRRRPSDPAESSETQGEGRKR RRLSSSNVATRSAQSALDHHDDDIESIDLTEVEGPSALAKVLAKQREDAVRAQESVEP EKGQSILNSYKCPVCMDTPEDATSTICGHLFCHKCIIDTLKFSEEQRADTSSKGPRGT CPVCRKPLARNDAPGSKRNLVPLQLKLVTKKRNTTVPSSG AFLA_093710 MPPLQGQTLDEHFFKLGMDASEPYITYAKDYIKVNSPSIPRKWI KRSGWTKYHSDGSWEAVDAPNESMLTFDTEVMWKEHSFAVMACAVSPTAWYAWLSPWL LGESDNHIQLIPLGDISQPRIVVGHNIGYDRARVLEEYDMKQTRNFFLDTMSLHVAVN GMCSQQRPTWMRHKKNRDLRDKIANENNSVELAALLESKMLSDEEEELWVGRSSVNSL RDVAKFHCDVTIDKAQRDDFGELSREGILEKLDELLDYCAADVAITHRVYKKVFPNFL EVCPHPVSFGALRHLSSVILPVNQTWKDYLTNAEATYHQRLDDVQRKLVELCDEALKV KDQPDVYMDDPWLRQLDWSGQEIKMAKGKKKGDPPRPAARQKKPGMPKWYKDLFATNT SDINLTVRSRTAPILLKLSWDGYPLTWSDKYGWTFKVPRDQVKKFENQPVVLCDMTEE KIAELRDDRRHVYFKLPHKDGPQARCVNPLAKGYMQYFERGTLSSQYALAKEALEMNA SCSYWISARDRIMGQMVVYKDEVQKSASNNAESRTGFILPQVIPMGTITRRAVENTWL TASNAKENRVGSELKAMIKAPPGYVFVGADVDSQELWIASLIGDAQFQIHGGNAIGFM TLEGSKAAGTDMHSRTAKILGISRNDAKVFNYGRIYGAGVKFAASLLRQFNPSMSEKQ TQEVATKLYQETKGARTTRRILSDSPFWRGGTESFVFNKLEEFADQERPRTPTLGAGI TEALMRRFINRGSFMTSRINWAIQSSGVDYLHLLIIAMDYLIRRFNIAARLAITVHDE IRYLVKDEDKYRAALALQVANVWTRAMFSQQVGINDLPQSCAYFSAVDIDHVLRKEVD MDCVTPSHPHKIPHGESLDIVQLLEKNEAACLDPSIVPNSPPSPQDYTYIPRESVMST LQSTNNPAFIRAQITKDDKELREIIKEVTKAKSAPGPSSNARSQSDRAKSANSYTAQP QKAILVDVGSGLYSDFQDLPPGSRHPQVNLNRQTWKSRPSARA AFLA_093720 MHKFKRNIFPGHYTGRATHIHVVTHTANETRILPNGTIAGIYNS RSSHVEQIFFDQDL AFLA_093730 MILTREPSRHAFDWKAITDKIISQYSSALQDIAGDYGDYYNITS TVERCQEDFIPISAPSNTLASKAVRHVTGQICSTLTSTLLDFDLQLEDVVNNIVGLIM YLEWTALAHRRN AFLA_093740 MSTTPTPNRGIAVFSGGSAANNLVEVFEEVRDTKNCPLSYIIPI SDNGGSSSELIRIFGGPGIGDVRSRLVRLIPESPPNSERGAIKTLFNHRLSADAATAH AEWLSIVDGTSNIWHAITPAKKELIRSFFNLLNLEILKRARPPSSTFDFTSASVGNLF LTGARLFSGSFESAIYLLGSICGVPSDTVRVIPAINSNFSHHISASLANGSVIVGQNS ISHPSEATALQPRPRRPSLLLADGADDFTDTDTSDTLSYEDDHPPGSLPTLRNKNIKF SKAENEDLPSRITRIWYINPYGQEIRPPANPRVLEAIRDSQAIIYSIGSLYTSLIPSL ILRGVGQAIVTSPARHKILILNGSLDRETGPPSEPFTAVNFVEAITRAGEESRGRMTL SSSNSGAHRSIPSLGLPYSSYVTHILHLEGPGTPQVDRDRLAEMGIETLRLYGRKIVT TGAGGVEMPIGMKYDATALVQALEVVLGKKGDAMLRGGEKNGLSRRNTIGRVPLAPDN TQVDFERAILGCQLADTEWEGRVDGILRTQEVQEIILCYFERDLELTQVLRTKLQDDG VRSSKGKEKKWECKATQKIKV AFLA_093750 MDLDDTLFNSKDRLAEVTSIVKSNREALPLFQEFSSFQRCITIQ LSVLQKTNAFLRNHDQHSSRALVEYLIDLDEVDILAASNSLPYVYRAISGMDRTSLLD ILEDLAEKCLQTYELERCEASHLLCIHMMHSFVKAWVSSEADSLSGSASDIYTWFRDV LLAKDMASPSVLVVFSELLVDVINTNASYSSGESNTSPRTSLLKILEKGSIPVKFDVG NLIPRLFGHYSLNDHDAIFNDVLRHLPKESDWVEGIALRLFILGQLASRWHTLLRRSI YHMFETPAHVPHSLQYAEKCICDVANKLGLKDAKELFRLFSSQILYTWTEQESITAMP FSIFSYASIKDMLGDVQDELVGQIIMRAREDEEIEMSKYMGKPFVDLLATSFYKAEAY SIARDISTPPGQGSQPKGVETRLKKILGTEHFMELIDQQFPQTIAAFFGSLDQYEQVE RALSKRAKFHDALDGLRCILGKSASKIVLPANQQPSFRARYLIDELEFLCKRSGYELE TIWTPTLASYVCRTLLESIHPALGSFHACSVIRKIRVLLCVAGSVMLRDYPFEMTLHA MLPFLVDIYCSEDALGIFWYLLEAGQPYLAETPGLMAGVAVSTLLSLKKFLASPPVDT AQQGQSKIVTANIERFLQWFGEYINTYESSLDAETQESFRRVVKSSQATSTVESHSDD SNERDVILEILDDRSSERSLLSKTVSDHVIALLCADSEEPLGNYHKLNESDRDATANI VAIYQTLQSFNTGSGYRLWAAKVIGRAFATTGKVCDALLREQDLSLFKSQLSDLRLEV HCYSKASILQELCNMLQNNSHLEVGLVERTLQLIISNLARYPDFEQCAGVVPLYLMKA FTWDPYQCPPIPTLAPETERDDAKVNWQTSNSLSQWARGIALFLSKSAAEDPVIGSLS HIIYVIPELAVRILPYMLHDVLLAELKGEANIRQKVSQIFKQALCDVHDTTISHARLA IDCILYLRNQPKPNEATIVERDEWLEIDFAEASLAASRCRLPKTALIFLEIHASRVIF GSRRSSLAKYEAPPDMLHDIFKDIDDPDFFYGIQQSPSLDSVMERLQHESSGFKNLLF QSAQYDSEIQMSADQNAYGVLKALNSTNLQGIANSIFSASGGGFVDTSSSFDSMLQAA TNLRQWDIPVSPLNPSPPATVFRAFQSLNTSGSLAEASKSINECLLTTLESLTSASRS AMSLRTAMRVLGVITEVSDVLDARSTEEIDHEWQKIAARDSWLKTTSVHEIGEILNSH EALFSSINRKSYLRSSTNISDHDAQLLEVKAIRQSLHITRTQGIQQASLKSAVYLSKL AHQCSALGINIEGAAKFDLANVLWDQGEMTASIRMLHQLKDQNDLHKQAVPISRAELL VTLVSSLHIDSLRTKPCSFRTNSTSCKGHHVAEARLEKPETIIQDYLLTAVKELKGRS GGEEAGRVYHGFATFCDQQLQNPDGLEDFTRVEQLRNRKEKEVRALEDMMKAAEGRER EALKFHRGRTKQWFDLDDREYQRLRRSREAFLQQCLENYLLCLRESETYNNDVLRFCA LWLDKSDSDIANAAVSKHLGQVPSRKFAPLMNQLTSRLLDVPDEFQKMLFSLITRICV EHPFHGMYQIFASSKSKGGKDETALSRNRAAGRLVEGLKNDKRIGPTWVAVHNTNINY VRFAIDRPDEKLKSGARVPLRKLQTGGRLEQDAATQKLPPPTMNIEIRVDCDYRDVPK LVKYHPEFTIASGVSAPKIVSAFASNGLRYKQLFKGGNDDLRQDAIMEQVFEQVSNLL KDHQATRQRNLGIRTYKVLPLTSNAGIIEFVPHTIPLHDYLMPAHQKYYPKDMKPNVC RKHISDVQTRSFEQRVRTYRQVTEHFHPVMKYFFMEKFNNPDDWFSKRLSYTRSTAAI SILGHVLGLGDRHGHNILLDERTGEVVHIDLGVAFEQGRVLPVPEVVPFRLTRDLVDG MGVTKTEGVFRRCCEFTLETLRRESYSIMTILDVLRYDPLYSWTVSPLRMKKMQDASE AGGGPPMLPGAADQRPSNEPSEADRALTVVAKKLGKTLSVTATVNELIQQATDEKNLA VLYCGWAAYA AFLA_093760 MDLQSTYFNIVRPLIKSQTGGSRTSVANSSLSAFEDSVLSNLSI VPALCLYCSTAHQQLTITSMVLLEKLSSSTKLNKVSSPGLAKWRSSNKIVEVLSTEVE VDSVARPLVSQMQPEVRELDHGSQSSGYIIRESLLALLNSCLRMITDRPTIAHLLLGF SSVGNMLDISSDGLLANGMSLLHAIITFLQSYPDQVDGNILSWMVHLKRMALEVLKHL WSSRISSYFTLTEMRASRFLQSLLASQPIIGPNTPWDGFPIMTEEFWISDSASALAEF LLFRSYLYAYATTEVRSAAKLRSPTLQADIMSTLFGNSTSETGDAVLNPTVFDLFDFA DLDIGRQLQPPMLVLLDGIMLEACAKEADDSLVLYSEAELEELIQLRKEELLSSGHLR PQDEEQFLAEAEGLKIFVHATNQSRKINNNRYLALRSWTELITTMLTCSEIEGGRKST FILHTIQLILPKLEAAVEEDLPEATELARLAEVLVTKLESSATKANSARRSGDVIDEK LHQLFQICVRGIALATGNVNLRETFYNICSSYIARIIQPDTGHESIKQHSHQIVKMAG TTLIEAICDDAYAGQETCRVSALLFLNLLAALDKQGDSILAESISQSNYLSLFLDAIR TLPIELRNAQANDTPLLLSYYESLLSLLQQLCQTKAGATHVLKTGLFEAVRGSQLFAA DPDLGIDIDNPDALRRYYDLLDSVLRVIVSAAFSRGLHNEQMMEQTRAFLAENRQSMV GIFKRFAKIGGGGAADHHNALTNLTKSYMALVAATDFLEFEDNEVRELAQPKLFS AFLA_093770 MQQNSRGATEQRSGKRKSTGKRKLSDQEEALQQPQHQQATISEL LSRNHTTHGKEHHHHLHQLSSPTSKRPRLSPSPSGLTPAQGSRGPASSNTMYNFSNKE TKGSGSFGQVTPGTNPGNTAARPRSFNAPVRQSNFTPHTGAKKLVVKNLRVGSRLNQD SYFEKIWGQLDAALTAIFDGGKPEISLEELYKGAENVCRQGRASALARQLQERCRGHV SGKLRDTLVVKAAGGNNIDTLRAVVDSWTTWQSKLVTVRWIFYYLDQSFLLHSKEYPV IREMGLIQFRQHIFNDTVLQPQVLQGACDLVEADRDEGRSISADSSLLRNAIEFFHGL DVYTTGFEPLLVSESKKFFALWAQHEASGYLATFAENSHRLIEQEVDRCTLFSLNRST KQKLSELLDQELVAEQENVLLNQNDILGLLRAGNKTALEKLYTLLQRRDLGAKLKTAF SSYIVEEGTSIVFDDDKEAEMVVRLLDFKQQLDETWNNSFHRHEELGHALREAFETFM NKGRKSGASGGTDNPKTGEMIAKYVDRLLKGGWKLPPGRKAEDVPLADEDAEINRQLD QVLDLFRFVHGKAVFEAFYKNDLARRLLMGRSASDDAEKSMLARLKTECGSSFTHNLE SMFKDMDVARDEMAAYNSIQRERKHRLPVDLNVSVLSAAAWPSYPDVQVRIPPEIATA VSDFEKFYYSKYNGRKLNWKHQLAHCQLRARFPKGDKELVVSSFQAIVLLLFNDISEK GTLSYLQIQEATKLSDQELKRTLQSLACAKYRVLAKKPKGREVNTTDEFSYNEGFSDV KMRIKINQIQLKETKEENKTTHERVAADRHYETQAAIVRIMKSRKTITHPELVAEVIK ATRSRGVLEPADIKKNIEKLIEKDYMEREEGNRYQYVA AFLA_093780 MYDQTTGNRSMTSIPDWLAFGCGEKTGSLGGEGDQVKIATKNTL GQEGIRLLISASSSLRL AFLA_093790 MSAQTKHFFSDPNHLVVTALHSLTLTNPSLAFDPENKIIFRRPD SLKKKKVAIVSGGGSGHEPAFAGFVGQGFLDASVAGTIFASPSAVQIRKAALDCVDNE QGVLIIPMNYTGDVLNFGMAAEKARAAGIKTEFFAINDDAGVGKKKGGKVGRRGIGGG ILVLKIVSALAETGASLEEIYRVAQQANSLLATVGSSLEHVHIPGRPASEDTIPVGEV EVGMGIHNEPGSHRMKFTLPELVKTMLFQILDHNDPDRAFITREPGDEFVLLINNLGG VSSLELSGITDEVYRQLERDYSIKPVRVIQGTFLTSLNGLGFSASLLKLADNGLGAGK SFLELLDAPAEAVGWSAPIPTSTWDRRTDAPVELKKTKLAEQQPSNLKLDPATIRKVL GAGLRRIIDAEPTVTRYDTIVGDGDCGVGLKRGAEAVLALLEDNFSSLDEDVVKTVNR IVTIVENTMDGTSGAIYAIFLNALVHGLREQDKGKSTPATAEVWGEALKYSLGALGKY TPAKPGDRTMIDALVPFCTTLRDTKDVHAAAKAAQEGTEATKSMKASLGRSVYVGGED EWVGKVPDPGAYGLSEFFTGLVEAIPKQA AFLA_093800 MSTDPKIQDLLNKPKSELTEYEVSLVEEHELTAGPLSLLQTATR THTQVLIACRSNRRLLARVKAFDRHCNMVLENVKEMWTEKPKGGKGKGVNKDRFISKM FLRGDSVILVLLS AFLA_093810 MRLKVLYTFDDASKTNCLARWPHLLDIQTAALDEKTQIGVIELK TCIQAIVSASPELVAKLGQDYTVYAYDYSEYETPLVGQGMLSWVLASASTTPNAPAHQ SETMVTGRVCKNVLGLFSKGAQETLEVKLRLVPVPTVMQSEYLESMRKYRELSTVIPH EFDAQTWTNFLRQNPGLMTPSITQQPERTESPMDHSAIERFHQLLSEGSTPREFPPVS ANGYRSVSPSQSALAAGSRVSTPGGQRSLYQQDQVSQPTQQQSQQPRLDRAQSDIIRP SSSASMRDSDFAIQSRYASRRESIQSGYGSCEESGESQPRKRAKLYRAECPGKSDFNI ERQPSSLRVAASTAASVRIHRPTPINPAIAAAQNSHEEPVRPPTPISNTNDLPRRART MPSLLRESSVQSNNYESPYNASDDQTPIECNTHSPEESRYQGLFEPSFSMPSSPPVLD CSFAARSSPVLPPMVTDPDSGFMSGGVEELLDEDIGTPLEDYTRPVTNDAGSEKRRDH PAVQASSPVGARPNQETRNENTVVGDESQGQPAKESAPAPPRAPASAAGSRPSSRASF RQAPKPLAPAPMSQSEIEQLISAIPASDPVMPSQSQGQNSAWAAGPMSDFTAAETPAP QRVAEDGKVRSGAGARRLRQVQARLDKCIRDGQVPPYCENCGAIETPTWRRAWSKEII GNEQEADEMKKDSGMLFWQSLERNDQDKVTKFKIYKKSLADIDNDFAQVLLCNPCGLW LHKFKSMRPENKWNKSSNGKRKRPPRNRRGGGPLSTNGAATRSQSRAELTKVDGSSPV ASDASSPAAEDGITPRDTNNNENENEDDSQEPPSKRRRATSLEPRKSSDTAGSRWQED NAIEALRRAIQSSPARNLESRNSATAGENSLTPKSVRRVLFPNSQAEGGPLKALGESV LNSPRRSPRVASHESAKQPQDKENGTSDLDCLFESPSFELDLPTSPTPRRRNPRTGVL GEKSLSLPGHSPSSSKVRKDINTTPTKLTAQRLQRIQNSPGSSPRQGKTPKQSRLHMP ELPSLPDDAFSADAFDGMDKVIVDIFSDAPATNADSLFGFDPTKCPSSSNWPDWLPSD YVSPNGSEEEQNNGDDDSGNLINALLSNSDLHKENHFDIFNLDSNILDSGFFSSDALD TDVMALNVKAKSAEGSSHKEQAQNNTPNAS AFLA_093820 MSLQTPRVLPAHLHAFHPSNANPHVTNTVRILGTVTALRGDTAT ITCGDNGDVTLILKPDSHLQMGKLVEVVGKVTDVEGQGLGVRVLGSFDWGNPSDCDYK IYENVVNATHRFKSLFYESAE AFLA_093830 MGPKDKHYISRGWRERKSPHSLTHSPSHTSRSLNNMAQTIPLPL PGIEHGKRILASVVETRARDGPESTWVSVPIDNEDLSRGFKDISFQQLNNAANHAARW LGEHLPGTSEPFQCFAYAGPKDFRYPILAVAAAKIQKVMVLPSPLVTAEAQLRILENK RCTVYLRPSSMASQVDAILREAPRIQAVEVPEIDEFMREDEAVPYSYGKTWEEGKDDP WLVFHTSGTTGYPKPVTYTHSMMTFPDIAASLPDVELGYIHQYAYRRWYTPVPSLHFV GTVMTLGMPTFLHMITVVGPPVPPSAEIITKTLQYGQVEGALLPPALIDVLCQTSPGL DALRQLKFVHYAGAPLSKKTGDQLASHVRIVPCIGSTEAGGYFTKIHDHRDAWDYISF QDYAGAVFEKRLDDLYELVFTRRPGDSPQQIFKLYPDIDRFETKDLWTEHPVHKGLWK IIGRTDDYVYLSHADGLHASLLEPEIEAHPRVRSALIGGYGRPAPVLIC AFLA_093840 MTSLISFFLFLSLAVLMQGCQALPTRAANAAPTFSAPFGAAIGL GIILGVPLAAWGFIHLRRHINNRRDRQRWRRQMTVALKAEMAKEEATVARIPRFGGGQ EVTKPQSCQRAKSKRASCPNDPRTSIALGYIPGINHCVEGATCRELCQRVSKEYDNSV EDPSIDTISSLYVWQNTCRLSVRAIGLMIAVHGDNRGLVIPPRVAKTQVLVVTQWLRS VEESQNILVQVESLASRLSSVGVHVVVDTRDVVSSAWKYNRFLLQGSQALPISTDQTS Q AFLA_093850 MPPPLPSHHRGMTANPLKPSRYRPGKPIAEEPSSSEEEEEEDEE EQIKEQERRRLEQQRRQRAQAPKATSFPGGNITKGVKNVQIEEDEDEEGFVTEEEEEE SKPPPRVAGDNGGAAAAAPVQAAGEPVSKEESEEEEEEEEESSEEESSSEEETPRRVL LRPTFIKKDKRNNAANQTQNGQGASPNTAAADSAAEAEARRVQRQEKADMLVREQLEK EAIARSAANKQWDDDELEAVEENGIDDKDGIDPEAEYAAWKLRELKRVKREREAIEAA EKEREEVERRRNLTAEEREREDREFIAKQQEEKEATRGQGGFMQRYFHKGAFFRDDLE REGLDRRELMGARFVDDVSRETLPEYMQIRDMTKLGKKGRTRYKDLKTEDTGRFGDGF DNRRRRDAPVGVRDERFLPDRPDDRPKGPTGANAGPVRERRRSRSRSYSPRRDRRPDR RERRESPDRDRSRDRYRPDSRNRRKRSPSPYDDREKRRRLGSVS AFLA_093860 MAHPARHSAMVSVSNSAANHSNASDKQQPPQQIQHIANTGLRVP SNRKTIYDRHLNRSRNAESSRASFAFLFAEMVIYAQRRVTGIQDLEKRLNEQGYPLGL RLLDLLYYRTITSSTSSSISSSSTSAAPPNRPLRILPLLHLIHGPLWRLLFNRPADAL EHSVSPDTPNEYMITDNDPLVNTYISVPKEMSMLNCAAFVAGIIEGVCDGCGFEAKVT AHNQPTEMWPGRTIFLVRFGESVMEREKVLERAGIK AFLA_093870 MANEPGKPRLDRADDKTACFVHSLIAGEWICPPGREKDARTARD ALDDAMGFDDTAIDTDGVTVTDMDDWTPKQSETIVESRQLTKKQLSDMAWNVRKLSKK LDSIKLKLSVKNVFVVTKAGDDSVIAFTRKLTQWLLSKDRDTEYNVYVERRLEAAADF GASQLLEDEPSAAGRLKFWDNKFVYENAYLFDFVITLGGDGTVLYTSWLFQQVVPPVL SFSLGSLGFLTKFDFNDYQKTLGSAFKDGVAVSLRLRFECTIMRSNGREDGSLTHAKK RDLVEELIGEEVEGTLTHKPEKVVQILNDVVLDRGPNPTMSSIELFGDDEHFTTLLAD GVCIATPTGSTAYNLAAGGSLCHPDNPVILVTAICAHTLSFRPIILPDTIVLRMGVPY DARTSSWASFDGRERVELHPGDYVTVSASRYPFANVLPQDRRNNDWVHSISKTLNWNS RQKQKALKG AFLA_093880 MRSRACATVAAWKLRGNLPHPVEATALLTDAILHDDAKKNSIFS IRATYSAAFCRFVTGLVDSKLHGQRKTMFQRAIDLGLPASFVELRHEATHRELPSLTV LRNAAQRSLEWLWDYYWAKIDLDAGFAPDGVEDDIEPVKAAARACLAQVTGETGASEP PRKKRRVQVLSGVATQLVSVCKSSGKGAAAVSEVLVEDEVLVSGERKLGTSLDDEFSR WDYFLQVIVEGYPAFLTGLVEAMVDVLAFARSATKEDAHCEGVYMWLDHILCSSQWES KRRLLSFAYALSACEQNSNHWTDMLKERIQEVDNDLSSSPGGQGELSGKDKNAPQSLH TGTSHDLDSLKNMGWEVVDTWDSRPLGIV AFLA_093890 MANYIQPQAGSYWLQADQPCVPSSNFRDMMYAFPRPRHTGRVMK PRSAGNSPSSAGRWRAAASHGSPIYQPVQAQPYQAQVNPALLASALRSRRATATRPIS WHPASLETAAYTSPPYFQTTAAENLGVMAPSTQPMGPATTTLADAGMLPSYDSQEFSA PTEVFSFSAMPDPASMQQSSFLDMSGSQIDPVTWDNSAPNMTTMAQPMSDNWPFDMMS MNNSIPSADVEASGYASAPSSGYLTGPSTPDFLPIQHPDSTSKSLHESTSDEPAGDEL VGMGLYNHPDPFLDTSFHEVSGKGLKLEETFTPSSDDEADDSKDAESEDDNQETTEQA SASSSAPVQKQPANPPANMMHKSFFFDDDDIEQQTMSAPQQLFNLGSQPCMNYGYGWI AFLA_093900 MGLIFSWKYGYIRFFFFLPLKAQKHSGHWLVELIIYYSVDKPGW EIQNQNQENGKG AFLA_093910 MHIQSIPMWTGKGNNYAYLVTDEPTKKSVIIDPANPPEVAPVLK SQIEDGKIDLTAIVNTHHHWDHAGGNDELLKIFGNKLPVIGGKNCQSVTQTPAHGETF KIGERISVKALHTPCHTQDSICYFMQDGEERVVFTGDTLFIGGCGRFFEGTAPEMHKA LNETLASLPDDTKVFPGHEYTKGNVKFCLAVSQSEPIKKLEAFAEQNQQTQGKFTIGD EKLHNVFMRVNDPEIQKKTGKTDPVEVMAALREMKNAM AFLA_093920 MTTQNDPPGDPKTLKSQILETGAAAVQDFTPVKQICAHLNAFHV YVDDPTRCVEANHYCSHITEDLRQCLLYSSPDRNAKLLGVEYMITPRLFATLPPEEKK LWHTHEYEVKSGMLVMPAPSGMPSTVWETAETAEMRDILPLYGKTYHFWQVDRGDPVP LGAPMLMGSFTTDEDVKRVHEGGLEGLCKDRDGRFGVDVKHKREVRKGIERPEGWVVD SAADAAWKK AFLA_093930 MSRILRETLRRATPGGVFRLTLDGVGLFCACTLIWEHLITVQLS EGPSMYPTFNPRGDYLLISRVHKHGRGIEVGDVVRFYHPTFLGVNGAKRVIGMPGDFV CRDLPFSTEVGKSQEMIQVPEGHVYVGGDNLPWSRDSRNYGPIPMGLINGKIIARVWP LSKAQWVQNTMQPAQLDD AFLA_093940 MAHCERAAKPLLQCLQKSYPRALPTLQVQSTRAFQTTAFAREEA QAEPKSQPFHKAPDPALVSSPRLERRLIRQGVSPIGSRRRRAALQSSPNVPFEQLPYQ CFQEARKVLLADREEKLKEIVSMREKIARLQAVPTEEAGGEQVKKSRLVAMELHLERL KILADINDPLVKKKFEDGQGDMSKPIYRYLADRKWREYRRKILVQRITQMKVIPDVLP HCDPVVDTKLYFGRSPVQPGEFVNSRVSTSAPKLDVQLFDRGEKLVTIAVVDSDVPNV EKDGFDYRMHYLAVNVPISAVSTKVDLSKLSSDSQVVLPWLPPVAQKGSPYHRLSVFI MEQKDSKPLDFAAVKAKETTRDNKLLRTLQARYHLKAIGAHLFRTEWDSTMAEVMKEN GYAEVDMELRRKRVEPLPYKRRNPSTFR AFLA_093950 MPGGDALRALRWLSRSTGGLLSGSETTTQRCLTKSFSRSMATES QATTEHLTREAPNSVWTTEPARATTYSFPSMEPLRFVEYPRNHLLMPLRKDILHRAVI YEGDMTRQGSANTKWRDDVHGSGRKLHAQKGTGKARVGDKKSPIRKGGGVAHGPHPRD FSTSLPQKIYDQAWRIALSYRYRRGQLIIIDNDISIPEDATPYLIKEIFKVNNWGREF GRSTLITDQPNEGLFATVREVGEHAKILDRKDVDVKDLLETGRLIIEKQALDRILANH SRDLAAKPAKALY AFLA_093960 MLLRHNISRLSTPSSWISRVSPATMPLRAKVTNPAFKAATMSTS TNLPKELPGDEPDDVLFNSLYGVRLVELNRPKKLNSLNGSMARKILPRLKEWEKSQLA NVVMVAGAGSKALCAGGDVAALALQNEQGPEGQQKSTDFFGLEYQLDHTIATYSKPFI SVMDGITMGGGVGLSVHAPFRIATERTVFAMPETTIGFFPDVGGSFFLPRLDGEIGTY LALTSERLTGVQALYAGIATHYFHSSVLSNLTNRLAELVFQDQATPQERMDLVNNTMA EFSTGLPSLAEEPMLIAGGLRSAIDRCFKYNTVEEIFQALEKETEQKEWAQKTLETLS IRSPTSLRVTLRQMRLGKKWSISETFQREHHIASKFMKHPDFVEGVKARLMSKPPRQA SWQPATLEEVSQADVDAFFEIPEEESRLPLLSETTYSEYPHAHYGLPTENDIAKFVRD NTENKQQTSVTSSEMGTRKVSVRRLRKYWPDELFRLPRVCVGNK AFLA_093970 MRLTAASWELLSRNAKAATAAAKQVNCQFRNHNPDRMGPILFMM NGAAHVPDSSAITAPIRMPLTCRELKPRHIPAMEQVRF AFLA_093980 MGEKNRWRAAPVYHRQASSEIQSCVTESMDDSLLKRALTAVFLL MLSEVISSPDLGDSNTSYLHSAYLLLQRFHHRTKSWTGFGHLIVSWISLLDVRALIAG RDGDPLIELGMLDQAGTSEMDQRSEDELLSKPGYLIHNAIVGPAYSFLFKAQQVIRRI VCLDMHHRRRGTVSDEFEVLQVAHQIGADLEGLWNKRPRVLDVYDKPEELYNTLQPVV ADEVSRTFRQYIANFLAIFIYLHRVAFVIYPRTDRVHRAVDQIIQLATVESGSENHRL PISFTWPLFVAGLEGSLEQRGWIIQEMQRMADLPSDHSPVAQRHPNAKKILQLLEEMT KRQDASRTWADSRLVRRELFVDPFVLI AFLA_093990 MASKRPNFLVVVADDLGFSDIGCFGGEIRTPNLDRIAKQGVRFT DFHAAAACSPTRAMIMTGTDHHIAGLGNLIEWTNISGQNGPKGSAMSTAPQRGMPGYE GYLNERVVALPEVLRDAGYHTLMSGKWHLGLTPERSPFNRGFDRSLAHLPACSNHYAY EPQLQGTDETPTFLEASYIALHMEDDKYVKKLPEGWYSSDGYGDKMLQYLREWHDRSD ERPFFAYLPFTAPHWPLQAPREYIDHYRGVYDDGPEALRQKRLQRLKELGMIRSDVEA HPVVADEVKPWSEFTPEEKKLSCTAMEVFAGMVECIDTNVGKVVDYLASIDELDNTFV CFMSDNGAEGAAYEAYPMVQSGVMPHLQKYYDNSLENLGNGNSFIWYGPRWAQAATAP SRLYKAFTTEGGVRVPFLARFPSSVPVGDHVRNGSITDQFATVMDLAPSILQMAGATH PAPSYKGREVVSMRGRSFYPWATGDAPRIHEQEFIQGWETCGRAALRFGDWKIVYIPK PKGPERWQLYNLAEDPGEIHDLVEQHPDRLKQLLKLWDQYVIETGVIPLSPDLGEFLE ATEAQMPENAWMEYDYWKKGARDEPEKFMRNPPRFQRVVKQF AFLA_094000 MRSYAQIPQWDHTESATVQPELLSRQGVFRIYWLTAIVCCGGIL FGYDSGVIGGVLTFDSFLRDFHCTPDVQTRVSAIAVGIQQAGALAGCLAIWPVTNRHG RRRAMMYCSAIFCLGVIFEVINSHSLPVFYLGRVICGLGIGGSATVIPIYLSEMSPTD MRARLGSCYQFTFTVGILVSYWVDYGIQFRAPTAAQWQIPLALQLVPGALMGLGMLSL DESVRWLLSQGDSPRAWTSLTWIRASSGPSIAAEFAQIKEGIEADRHATADFHVRELL ERPNARRFLLGISLFLAQQSTGATAMAYFGPQFFSLLVGGSGTNQSLTLLLTGVFGAL KVISCLAFIIWVAERFGRRPLLILGALAMSLCMASTAFVLRSDPSTTPTQTTSNSIKS TGILTISLIYLDIIAYNFSWGPLPWPCTAELFNTRIREPGVAAGVAAQWLGNFVWSAS TPYILAGMGWATFLLFGVLDLAIAGFVWGCLPETGGKSLEEIEVLFEQIVPDEEGEAC LGKSDDRCSISSSSSRHRDREGGERYGSIEE AFLA_094010 MSVDQTLYSRTPAAMADPTCAGPAAFTAAGAFSQPDLMAFSLRE EEPIWGFDTIAPSMASWQGKMEQQTFCNPNMERGLKNTHVRNGQPTPPPFDDKKLQTP MGEMYPVAQYAFNSSPPEYAPPKHRSSLSEQSQTDGYGVSTRRRKASAIDQCEQQQER EKREKFLERNRLAASKCRQKKKEHTKLLETRFREVSNKKGELESEIEHLRSEVLNLKN EMLRHAQCGDEAIKIHLAQMVRLITSKDTPNRDLVSPMRSPEQMAASTPHGLSFGFDG PMQLPSEMGSPLDQRRDSEQSIMTESSYTFSTDDSFEELINV AFLA_094020 MAADNCPIGKPGRPSDDDHSQLSIDAAPTADQHRHHPLILNLSL DGPECILPTVSPVWVQEWPKNMAEALHTQHETTSANVAAEFIDFTRAFGLVQEHCTEP EEGADEIQLHWRGERQDRETDCLHFRVTWGRETTTTAAITLVTLRDTPVANANEYTVQ ACRPQDSAGQSGQQSLEHPANTENLRRAFTGIIMAENSDQIDGHFTTPDRHLNPIMHI LSGQHLSRKVIVNPGGRLQVTRVTEHFWRGQPWPLR AFLA_094030 MPMADKNPTGRIRLPPRPATTTDDTTVAAPPSASPITATTPITT SISTTNNLTSTPSRHPVFSHEGNFVQPSSYLRPRGLSHPMPPAQPERAIDREERQGLC AIRNFLKVRNSYDVLPLSFRLIIFDTSLSVKESLNILIQNGIVSAPLWDSKTSTFAGL LTTSDYINVIQYYFQNPAALGEIDQFRLDSLREVEKALGVAPPETISIDPERPLYEAC RRMLDSRARRIPLVTNDSQTDRAHVLSVVTQYRILKFVAVNVSDTQKLRRPLGEILLG SYENVATASMDTPVIDVIHILVERSISSVPIVNSEGVVYNVFESVDVITLIKGGVYDD LSLTVGEALKKRSPDFPGIYTCSLNDGLDTIFDTIRKSRVHRLVVVDENFRLKGVLTL SDILQYILLEGENDESS AFLA_094040 MSAASEPTVPAASAHSGEAVDSQTSPPSATAENSSNTVDQAQPA TNGRDIESELPSSAADGLIQKPFPRPLDSAKPTPPAELTSDQQEKYNSVLKAVSAWTT VPTTSAKNAPTEPLTDNERMFLTRECLLRYLRATKWNVSEAIARLERTLTWRREYGVE KLTADFISVENETGKQVILGYDIHARPCLYLLPSNQNTEKSDRQIQHLVFMLERVIDL MGPDQETLALIVNYNETKSGQNASVGQAKQTLNFLQNHYPERMGRALVINMPFMIMGF FKLITPFIDPLTRQKLKFNEDLRQHVPAAQLMKSMGGDVEFRYDHATYWSTLNQLADQ RKAAYRERWIQGGKRIGEYENYLKTGTSPSLAQTEASNGAPAE AFLA_094050 MEDIVGELNELFAASSPDGLPKDVLAELQSLLRVHSIAPQELFY KWESFCLKMGSEETKLNLETVRLFKRDVQESLERETRSKPGRQLPTATPRAAAATDVF GILDGLTPNASHGRTPNSAKRKADFASPSASKVGKVDSPIGPKALRKPINGAATGDGA QSVPFSGRQNPGQTLETLNAHLSMPETPMAPFSEARIRPTANTDLKKFGYKPMAMRLS EASEILDDRIDEFMTIFQKEYETEDLPFGSAATQSTSEIVAVGRIASDSMEGKLNPAS LVLETSRRTGAGMRVPLKVDTLPSVNFFPGQIVALRGINASGNYFSVKEVLSTPLLPP AASSVPTMEGINERLEEAGSSPLNIMISSGPYTADDNLDFEPLNKICQKAAESYADGL VLMGPFLDIEHPLVASGDFDLPETNGYDPDTATLTTVFRHCITTPLQRLVAAVPSITI VMVPSVRDAVSKHVSWPQEQLPKKELGLPKQVRMVSNPVTLSLNETVIGLCSHDVLYE LRREEALHGKPKEGNLLTRLSKYLVEQRHFNPVFPPSSRDALPKPGIENGLATGATLD VSYMKLGEWWNVRPDVLIVPSMLPPFVKVVDSVLVINPGTLSKRRAPGTYAQMAIHPR EITEEEREQKHISHKLYERTRVDVIRI AFLA_094060 MSKDSTPTHLHMHHPRLADYFEDFTRPHTSATPSTTTSSHLYNN HTVTYGSSSPTLVPSFLPIEDIYVAPQYQPPNPEDEDDVVPDQHAAFGISRAMERRRE AVWRDLGLEALVNSGSGKSAVRVKEVGRRMGGKRVVCLR AFLA_094070 MANPVDPAQTSPPSTSPSPAPATQQSTDMSSQQPPSQPPQPSNG ASKPAKPPKQPKSKDAPPAAGGAPGEEKLSPAELKKKAKAEKAARRAKERAEREASGG AGAGPGPNAAPPKKGSTGGGAGGKEAPGQPYKSQRHRRGSATQASATEQKKKQEDKNV AVFGHLYGQPRRTTIAGAGKEVHPAVLALGLQMRDYVVCGSSARCVATLLAFKRVIEA YTTPLGTSLSRHLTTHLSHQITYLSTCRPLSISQGNAIRALKLAISSIDPSVPEASAK ATLSDFIDNFIREKITVADQVIATSAAEKIQDGDVIVTFAGSSIVKQTLLTAYKQGKK FRVSIIDSRPLFEGKNLARTLANAGLEVQYSLVNGISHAIKDATKVFLGAHAMTSNGR LYSRVGTALVAMSAKERAGGVEVPVIVCCETVKFTDRVALDSIVVNEIADADELVPSQ PLKQVTGLPDPADEADTKKGDSKKGGNKAAANAPPAESTPLPEGASPLTNWKETPNLQ LLNIMYDVTPAEYVDMVVTEMGSLPPSAVPIVHRMSTNL AFLA_094080 MSLDPRVYHHVGHGYGPGSQSSVSSSDTSALTSITSPSIRSTTA SAAALRSNASSPSLRAREGGAMHGTYLDGASSPTPGGNVRVVVRVRKFLPREIERNAE CLISMDPRTQTTKLRAPRLDPEDEGKPKSQARGKVLEDKSFVFDNSFWSHDIDDDHYA HQEDVYNCLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPDQPGLIPRTCEDLFQ RIESVQSPDISFNVRVSYFEVYNEHVRDLLVPRTDPPHYLRIRESPSEGPYVKDLTEA TVKNFAELMKFMRKGDVSRTVASTKMNDTSSRSHAVFTITLKQIHHDLSTDETTERTA RIRLVDLAGSERAKSTEATGQRLREGSNINKSLTTLGRVIAALADPKQGRSGKRKGKD VVPYRDSILTWLLKDSLGGNSKTAMIACISPSDYEETLSTLRYADQAKRIRTRARVNQ DHLSAAERDKQIAEMAETIRTLQLSVSLATANRRESEIQNEKLEEYQQKVEKLQRLME ETKMVSECKIKQLQTENEALRNHLKLALDSLRNPIPPVTVEKRRGSLSPVTEYNKENR PGSPISDVETEPDLIWEDEDTIRIKGRELEAHDMQTDMEDLLMDINLFKRKLASDHER FRATQKQGGQKRRRALGDVWTNNR AFLA_094090 MSMFSKAQETVQKAVSSATGSNKPDLSKWNTEEMLETTVDEHGN PVPDASYTDGDKLSRGILGRDEADAYVAATGTFHHSTKDDTLKPDFSKWNTEEMLETT LDEHGNPVPNASYTDYEKLSRGRLGDDEADAYIAATKNFRKPAKDFVDFD AFLA_094100 MSGNSSSGNPPDLEAHQPPAKASFSTWWTQSVDVKYTDLICLLL CFTTGLCDSSAYNAWSCFLAMQTGNTIFLGLGASGQPTSKPWGWLKSLCSIAFFFIGA FTLANVTRRAGARRRGTLFLSFVFQSLLVIIAVALIEGDLIPHTSDDASLTGGPLFLE LIPIALLAFQSAGSITSSRALGYNEIPTVVLTSVYFDVASDPNLAAVPTTNLKRNRRI GSVVMLLVGAIVGGWLSRSSGGMQSALWMAAGFKMVIAFAWLAWKKEAAPSK AFLA_094110 MSALYKLAAVNNGPETSWDDNHMRLLTRLNDEEIEILQLPSPKC SAKRISAELCQMPMKKDQIMGSPWRLGICAMEDKALSKANQEIFRRLQVDGLIEVILF GDKTLLSKRPEEWPICDFLIAFYSDGFPLEKVISK AFLA_094120 MKHYKPTFRCEIKGVAETQNLSIEQDTKEVKAGIVTTAGEVTGY KVKASPRLLDRWLDTVVRIAGSAPIFCFIVGALLVWAFLGIRFGKSAKWTAVISDVQA ILCYVFDSFLMRQLLREYSEQQEAMAEMKSRRNSHERMLGKLKNKLGPEGVHRVSQIS GNRPLDALDQGTRTQGWFARMIIFSAWAFGHIITVGMYWVCIFIWLGFGHYCGWTDQW QLYINSATSALMVLVFAFLDCLRECYADYVNNCLDAIFRLDATLEKELRCITDDHLPN EPEVIQPPRENPLQVAVFYYADIIGTLVGIMILIAVIVAWAAVGPVFHFNDNWWLLIG TYAGLIGLFDSFILRNIQGKVKEYTVCQIQSLEKRDMMLFAKTQMAVPPKDNFDTSSV THRISIAMGNVCSHLLMVVAGFLLTIGCVIGSSAMRWSTTGQLISNIPPSIIETFFML ILITGQNDADASARVDMTNIYHRRQRLLWFVKDAREYLAVRPESADLAEKGDN AFLA_094130 MYDQWIGFNIVNNSGSFLKISNAYLRDGKFYPWDDKDNEISFDS VTNSRILPGVQDLSFGSCGRAYVPVGTAGEISFEADGKVVAKVEWDCPALAGSQNTVK SS AFLA_094140 MHISSTLLTLALLATAMAHAGPHDILSRSEISRRSAMGLKCREN VSQYHERRWKRNIDRRWHGHNTTFSVHTEAPYFETIQNDTCVLTPEVTEGPYVYPPSQ TLRRDMTENQPGVPLWLDIGVLDMATCEPLEDVLIDMWHCNATGSYSSFTHLSPNTPF VELLNELGINGSDYKIGTTDLHTDNTTFLRGMWPTDKHGMMEMKTIFPGFYVERTIHI HVQVHTDWTLRENGTIVTGNVVSTGQLYFDEALAEKIMSLEPYASHKQINRTTNAEDS IFPYDTAGGFNPVVDIVPMDGKDVTKGMIGYITLGVDTSAIEHGDNYVGVL AFLA_094150 MTSPPTSPHYAYYATSVANPYGSPRPSSKRHSRKASYAGTPKEA AGWQYSGYGTPFYDAMPEYSTPPRKHENVSAFFGGSSSYHRRRSTMGYAPADPWDNAS PRPSHSTRKRPIFVDVVDDGFDDAPRFASREEASQPRRFSSTTPRKPKPPTDQYCYST QVPVHDDDSPKRSRARRQSTSTRTPSKPKPTTSSKPPPKATEEDAAKAGIPAGYSIKN WDPTETPIILLGSVFDANSLGKWIYDWTVFHHGASTPMADVAGELWLLLIKLAGKIKR ADECLDRIKQPEHQEVVEDFLESGERLWARFKKLLKTCEQFMWKAAKREGGKSVSMGR NAGCEFVETIFGRDRELESTEKLMNSVRLWNMRFDANCEDILRRPLA AFLA_094160 MEPASKAQTRKATSATDQTPRKRRKRTVVTGAAEDCFTCARQGF ACDRRRPYCSQCLDHGRECSGYKTKLTWGVGVASRGKLRGLSLPVSGSQPAATPSTAP CPAGPQESPSISPSPYKSTPSHTTSSTTPPVPQDQQRPPLASKAHRNIIWTTNASNTQ PWPTGTPLSVHHRPWSKMGTHETAVSKGIPNLDVRPPFQERPDLPTGCHRAISTTDMW SSQPQVLSTIPPWPCSGNAPEAEATEVVEEQDERESTDSPPLRAETCHSPSLSQLLLA RSVGRTPRLRYLISYYAEVIAPMIVAFDGPTNPFRTYFLHLAQESVSLQEAIATLSTC NIRQRHERRMRPTERSLPARLSSLAHRALTDEAFRDEYGGSRPEGFTREEQYHRGMAV KALNMELADPRERLSDSVLATLLVLCLFHMCDTGVAQFKTQFAGVTKLLAIRMRSSPR MSEELKWFIRVFTWFDTMTATTNDRETQLRGACLDIAAVSDGEWGLENLAGCDPSLFK FIAQLGRLNLLSQDHKIKAPGLADVFVPSSAPPPSMTYHYSPSSLMSDIPAVSNTYSL PLPCLPQSSDPTRRPSSPTFWAEWHSLRQKLESWRLPRQDSQHIGAVPASTTNAYISP PSSPSSHAVVTHQNLEDVFHISESFRHSAILYTERLAYPDLPSTHLRIQSLVHRAMHH ISLVKSDVYLLWPLFITGAECVLERHRTFIRERCKDLSKDSGFLNNLSCLELLEKIWA EIPAAADVGDNTDRASRGTYSGPSGDDVSTFGDNSPPYSEMSAFPIQALAARPHGFRW HRVMQAKRAEGEYMVV AFLA_094170 MSIFRPGRLRTVLSATAALILICTFYLYWTPPPASTIPSTAFEV PLTERQVAFWKVLRSILDAHAPNCPSPTLATSVSATHFNATTVDPRPDLIVFGENELD VLTEAHANYLDDIKTAKKLRPVHSPGTRGIVTTAGGSYLPVFLSSLRMLRRTGSTLPV EVYMKDASEYEKKICDNVLPDMGARCLILSDVVGKNVIQHYQLKVFAVLFSSFEEVVW MDADCFPMDKPEILLNHEPFMSTGLVTWPDFWASSVSPAYYNISQQPMPPMTERQSSE TGIFLVSKKTHYLTLLLAAYYNYYGPSHYFRLLSQGAPGEGDKETFLQAATAVGEPFY AVSERVQALGHQKPDGLSGSAMAQSDPIGDHALTSQGKWRVQDPSVDKPPRVFFIHAN YPKFNPAENVFGYHWETTPTLRPDGTEGRAWTAPEDVLRRFGIDIERAYWEEIKWVSC NPDIEFRTWEGKPGVCEKVESYWNTVFAEPHEDDPKFVDEG AFLA_094180 MSGQSSVGTNSLYEAGDQRNQPQSVLNEQDRYNEGQKNSHKNLD SKDNRSIANKLAAREKQPDPSHHHNNDVDPEAEVSKKDSTKPAKLHGNEPSKGAKIDQ ELQEEDEQRLREKGIKK AFLA_094190 MSSTSTPLTTPPTPQPDPRYAQLFHDLSTRFAQTSLPPEKWYIL AISTIVASPDPERCDQLYLHLINQAPYSTPSARQELIRRLREALFKSIIIVGVCKPIE AILAISKYEREEDKDYTFTRENWQCDQANHDRGVAWLEKLYARNTTGTLDLFRAHQDF GWLSKEITYGLFLSDRGVLDDLDTQMVVLPAIMSQNLKNETHWHIRGTRRLGVCMEDV KVVWECIQRVAGFYGTVLDKVPTVEEVESDV AFLA_094200 MGKRKEIKDNDVEMGGTDPRVDGDESDEDMDIVNVDFEWFDPQP IDFHGLKILLRQLFDSDAQIFDMSALSDMILAQPLLGSTVKVDGNESDPYAFLTVLNL QEHKDKPVIKDLISYLQRKASSNPDLAPLSQLLSQTPVPPIGLILTERLINMPAEVVP PMYTMLMEEIAWAIQDKEPYKFSHYLIVSKNYEEVQSKLDMEDSRPQKKKKKSGDKVE KFYFHPEDEILEKHTRCFGSIEYTHKHDEGHSDSKRAFQELGIRTNGSLMLFDADKLE GAINEMKEFLQPPV AFLA_094210 MDTAIDLSDASKALDLANIRFQLIRLEDTITFHLIERVQFPLNK PIYVPGGVKIPGDDIPLMDYLLREQERIQSRVRRYQSPDEYPFFPDVLEEPILAPLEY PKILHDNDVNVNDTIKRRYVEDILPAVCPQFGREDRGETQENYGSAATADVSCLQALS RRIHFGKFVAESKFQKEPERFVKMIKANDRVGIDDAITDAKVERKVLERLALKAKTYG TDPAFPTETGSKINVEAVVAMYKEYVIPLTKVVEVEYLMQRLKGTQWE AFLA_094220 MADIQRELRRPNTQRRVFALTQTTPTDLVRSKLSTSEIQSRALS SLPDDLLANIPDDSSSYSLFEGFQASQDDIEYRKAHRRRASKSKKLLKDGENRAALPS TPAELKKERDLLSRRMELMGVRKNMCSSEIHDIDNKIANLHNMRKIVLDRLAGLEMEE AELEHERGWTWLDYRSLILTLENSD AFLA_094230 MPILHEHFSPGSLIKEMEAHTDMVTAIDFDYPFGTMITAALDDT VRVWDLNVGRCTGFLEGHNASVRCLQIEDNIVATGSMDASVKLWDLSRARSRPRSSRI NKHEDEEDAADDASQVSHSTTLEDCHVFSLDSHVGEVTALHFRGDNLISGSADKTLRQ WDLVKGRCVQTLDVLWAAAQADTLNGDSTWRPSGRVPDASADFVGALQCFDAALACGT ADGMVRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSMDRSIRIWDLRMGSIYDA FAYDKPVTSMKFDAKRIVAAAGESVVKVYDKADGNHWDCGAGVGADEQGPLPATVDRV CLKDGFLVEGRQDGIVGAWTC AFLA_094240 MPPKRASTRRAGAVTRTSERGTPSYIPNMSSPDARNPALPDIPT KQSFAYGSSTTPILPRELSAKPRMNLAEMAANIDEGRRVAQDRDFDRPHMNTRSRRQS ISASLSPVRRSRREPTPDQLQLLDSLREATMSPNPNGQDHAEQSTPTPTPPIPHTLST ASSPATESLTNPKYPVLTTDQLYPSPLLRYGSPARNAISLSSPNFATSIDNESVVSWN VERDIHEDDLQRTRPNGTIIPSNPIREASFDESTHESTSPLRERVKSNVRSVGNAAVG LQKGLPIKPVSLVVLAVVSILTACFFGDQISSISSSIGSRLPLYGSPFRDLNATALQA VHGLSNQVVRLGEEVSSLSKEVDVIKSEVEHIPAPSTIVQPIPAQETPKTNFLSIGMG VLVDPYNTSPTSGRSAGFLQKLHSRFLPSSSQQQPEPPLAALTPWQDVGECWCSKPRS GMSQLAMHLGREIVPEEVVIEHIPKGASIRPEVAPRDMELWAQFQIVDESNPDSPPSP NPSRTSGILSEELSLHNHIIDTLRLAYKDEPEGAYSNDELLGPSFYRVGQWTYDLHAS NHIQKFELDAIIDVPAIRVNKVAFRVKSNWGGNDTCLYRLKLYGHI AFLA_094250 MIGSLIGTDARMEGTVQGYCIENARERFDEIDDNENGSISLSGM SPPLEFPIQY AFLA_094260 MACVLESGVDQSTADLIVQLQLEDAGCYFESSKGKSREPTDEEL AFQLQNEELESVSQFLLDRRMAMSFAAAVQADGNILDDSVLEEENAVKDRNIACRWTE DGCSLAPGDHQAHPEESTTLDAETLDKLRILYMSGLEGYKDNHGVGTAREETEQAESS AWAAQRGRRSIPLHRCVACRDEVEFVNIARVPCCHEYCRSCLEDLFNASMTDESLFPP RCCRQPINVNIARIFLKSDLIQRYEKKKIEFETPNRTYCYAPECSTFINTSHIEGEVA TCPSCSRTTCTSCKGRAHLGYCPNDGDMQHLLALAQENGWQHCYSCWRLVELVHGCNH MTCRCGAQFCYNCGERWKSCSCEQWDEHRLLARAYQIIDREANPPLDDAASDISEPES NVAVVGAAGAWPQQVLDTGHLPETYETQEEEPRAAAAAETPAFIEERPALRAQTERDL LVAQTIQELRENHECTHDRWKFVRGPHRCEECYHRLPEYIFECRQCRLQACNRCRRNR L AFLA_094270 MRVSLTTIFSSLLCTVLVSSQDLDTFDKKCVENYGIPSADPVPG SFSNDDCTNVDGTRGAIQTAVDKLGDMNIYAVTKQIVNGINYVIFVTRNERTYRVPVY QDLTGTYSLQEEEICYIGRSAACTNQAVGLRLLIPSKLPFNDSTVCRRVARYRPP AFLA_094280 MLVTTVSLLALSAVASAHGKHQTPMSGPHQKLWYNTLPGDGGTQ ADSVFSGISTFARLPYFPCLSSEDEKYDIAFIGAPFDTGTSYRPGARFGPSGIRQGSR RLNLYGGYNVPLEANPFVSDLKVIDCGDIPVTSYDNAWAIQQIEEGHNSILMRKPFTD ANEYGLSRAGKTLPRVITLGGDHTITLPLLRSINKAYGPVSVIHFDSHLDSWKPKVFG GSPSQVASVNHGTYFYHAAMEGLLKNDTNIHAGIRTTLSGPSDYENDGYCGFEIVEAR EIDTIGTDGIIKRIRDRVGTENPVYLSIDIDTLDPAYAPATGTPETGGWSTRELRTII RGLDGLNLIGADIVEVAPAYDTNAELSTMAAADVLYEILTMMVKKGPLTVDSDGSVDL AFLA_094290 MYRQRSPRYGDTPNIEEEPTEYTSLLPKPTDLDSSEDPGCYESH DSDDEGWRQYLTELWILFKGSIPVILAYTLQNSLQTVSVLIVGRSSPENLATTAFSLM FAMITAWMIALGGTTALDTLASSTFTGSSNKHDLGILLQRGFFVLSLFYIPVAILWAC SEPVFLLLGQDPQLSRDSARFLTCLIPGGLGYIYFEVMKKYLQAQGIMRPGTYVLMIT SPFNALLNYLFCYTFGMGLLGAPFATGISYWLSFILLVLYARFIAGSECWGGWSREAF QNLGTFARLAFLGVIHVGTEWWAFEIVALAAGRLGTIALAAQSVIMTADQVLNTIPFG VGVATSARVGNLLGSRNAPGAAKAANTAAWLSILLGGVVLAVLMGTRHDFAKIFNSDE RVVRLTADVLPYVALFQIADGLNGSCGGSLRGMGRQHVGAMVNLVSYYCGALPLGIWL AFHGWGLKGLWVGQCIALYLVGALEWMIVALSNWNKEVDKAFSRMDVHERLEDGL AFLA_094300 MPETLYPRTRILQHMPKDPSPYSTDIEQPQEKREATLQTTETDK LPRTKALKFFNIRPIPGLRHPKPWDATLRFFLTFQFPVVVLAVIGYSFLWYWWVLSVI TMVPAAYATYSPLIQGLLFLGLFIGTLVSEVCCSGRLSDYIVKRLARKNEGVRVPEMR LWLAYPAILITAISSGIQIGNTVTSSYIIDSYPLQSTSVVIFYAVFLNLSAFINPVHT PVSHTYLPINHDANKIQFFIANWQASSGWTWTFTAQALIVLVAGTGVFAVLQRYGAFL RSRAKVPGWVNPEFDS AFLA_094310 MGNGAKAASKRERNAKDAKGTAKSQLKVNEAAKDIICIVCRQTF LKTTRGPALTEHAANKHSKTLQDCFPNYVETPKK AFLA_094320 MALLELPNELLLQIIENLESEKDILSILLTSPRIYAIQQAGPLY KHNIQFSGSSALGWYSARGRKSAVEALLEKGADLESRCDVGGTPIVYAAKYGHETAVR LLPEKGSIVNRPTLSWPRWTPLSWAVHNEHKDVVRLLLEKGSDPKFKGTEYDEIQLLG AAQFGDAKFVNLLLERGTDLECNHYLGRTPLSVAACHGQEAIVRMLLEKGADIESKDF FGRTPLIYAAGKGHESVARLLLENGADIESKNEDGCAPLISAVNVGQEGMIRLLLEEG ADIESQTHDGWTPLTVAASHGYENIAKLLLEKGSNIEAKDDDGWTPLICAAEKGHEGV VKLLLEQGSNIESEDHDGWTPLRCAVEKGRKGVVKLLLDKGADLERAGLTTEQVADVI RLSK AFLA_094330 MSFTIAATSNLGRFAIEWLAQMARTSGLSLLDWTYSEGLCRASK RSTGVVNELGEGKTGTLELFGSDIPSFFLCQGRSVYDMYNGW AFLA_094340 MTASPPGSPSGAPQRLSGIMRTPRSNSRLSMSSKQGGGSRASDE DGKTAVKVAVRVRPPLQPDDPNYELIPQRFQRSMVHVTTPTSLAVDVPQGRKLFVFDR VFPETVDQAGIWEYLNDSVTSFLQGYNVSILAYGQSGAGKSYTMGTSGPSEQTSSQGM GIIPRAAQYLFEKLEGPPKHNRNSSTGLRTPARYSISSTSSFSKVNTEKTWQMKATYV EIYNEQLRDLLIPESTPASERSTVTIREDTKGRIIPTGLHQVNINSIDDLLGALNFGS TIRQTDSTAVNAKSSRSHAVFSLNLVQRKSANGITSTKDKRMSMPADMSSGSDVSVTV ESKFHFVDLAGSERLKNTGASGERAKEGISINAGLAALGKVISQLSSRQAGSHVSYRD SKLTRLLQDSLGGNAYTYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQVHDE GDKHAVIERLKAEVAFLRQQLRNAEDNERRSVGPQDRSERQNEREADLQNQLLDVQES YNALSQRHAKLISELARDSETEEGTDPNDAASYIGKNSIERLKRSHSFAESVEQVVLE YEKTIQSLESSLSNTRSSLSATESTLLERETRCTYVETVNTQLQARIQKLLERESGTE NYLHELESRLDGQSSGEERQAAIISELRKELTRARESEANCEDYITTLEERLAEADQD MELMQREVDRLEHVIERQRSLGKLDNLLYDLDHSQQNGSATRDHDEPEMETPVKRAYH PQRRAASLDVLTEAVETAIPESDEDLGEPAPEVEGDTLISEPPAEASEDLKALENSTD RLQIDRTPSPTQSRVVADKLDTVTQELIDLRMQHESTVSEYEILEAKYEQAMKELADL RQDAADEARHSSPDARHLISPAPTSRPVSFLEDAKAPDSMTGTQQSSSRSLSSELSLV GEPAASHDSSNAKSAPETENYVDAVSESDEAKAQEVEHMRRLLSEHQEGVSIMTQKYA ELQAEHAETLHLIESLKSELQKPRVSPPTTPGYKPVIRRKSSQTLIGNVDRAHRSLAA LRNIAVEEFDSRPDTMQNFEVHLDSAMHELHNRMERIQALEAENQSVKKEMETKSTII SGLTRERSSLQGGGSSVDMGLVSQLRDQVVQQENIINEMKEAHEAREKHLLAEIEELK ALLKTQEEAAKAYDAGAEEQDKKIGLLEGELTQWKTKHQSAVESLQSSEQQLSTTLAE LNSALATLDSMHAERAAAGETSSAEKEAAAKALENERSQQQELVEGLKRDIEQHKSTA AAHVDTIASLEKSHSAAQQQLSELIASKDVSGNEIEARQTRVSELEQEIETHKSHADS YKKDLDSLQESHKAELVELEARAKAAAQAEYELQLAEKDTEHDNALKALRTEITESRD ELVKLLKMVSNLLNSDVTADNLADQIQEILTQKQHFSDKYAELMDTNEDLRKQIELKS NDTDRVDELTKSNTEKEAKVNELAVLVATLEDTLNQRNEQIKKKEALVEEISAEKEKS VRLVEELEEQITNSFDQHHNRLSIIQQERDQALEDAKAKIVTYESDIETYKVRIEQLE VSCPTITYGIQPLTDLQLQIKNSSGQDSTSHDRSSSITSNLRKSSSATSLPSPPPAIP LPPLPTIASATNGASGSISPPSSRHASKELANTQVVEDQEARIRTIEKHLYAEKQLTA TLEEALGDLEAQSNKVKSDCEAWKKKAMQLDEELITLRKERNSQRLSLQAVEEERNAR REAEAARAQLEERMNALNKKKKKSTLNCF AFLA_094350 MAACRILNQSASTQYQLQKCSFALPPRKDPQFHPESAMPGLKHS NVIIIHPDLGIGGAERLIIDVALALQNRGHKVTIYTSHRDKSHCFEEARDGTLDVKVR GNTIFPAHVFRRFHVLMAILRQLHLTISVLGETSRASDTNEKSKESEVLEDDIFIVDQ VPACVPFLKTFARQRQRILFYCHFPDQLLAFRGEAGSLLSFVKTLYRYPFDWFEGWAM SASDKVVANSRFTRGVVKEVFGGEKLGDVSVVYPCVDTEVAALRDPVVVKDGETLWGG KKILLSINRFERKKDMALAIRAYHGLGAEKRRGTRLVIAGGYDNRVQENVQYHRELDE LATSLGLQTATSKTVISALSVPDSIDVLFLLSVPSAFRDMLLEHANLLLYTPINEHFG IVPVEAMRAGIPVLASNTGGPLETIVEGETGWLRDAKKDADWTAVMDKVLYGMDQKEF DRMSIAAKERVEREFSLTAMGDRLEAEISDMLARERRPFAGLQQILVLLALSGVTLSL LAAFALKMI AFLA_094360 MPEVINLLSSTPPPPPPSYQPRRPSLPSSPIPPPPRPFTLPILS SDWDLPESTYNNDDHNNDNNNNPPKRPRLSEELPSPDKPPSPKLTHIPASRNPLFLFS DDILPSSDGIRPCGGGREEEDPIVFTSSAPGRENETGVRGGVVREGGGCGVDTITIDD DDDYDLVSCNGNGNKNVIRNGGAGRGNMRDQIEGFSDDIAMSDLNELLGVDATTNKRP GLSSRTASLLASLDRSKSGPSGGVSSGRRGRVDVEEEVDEVEEVVPSRKTKAPRRTAT KATSADKEAKAREREAMKAQREREKQLEKERKQKAKEEKAREKQLAADLAEVNKLKVD KKESTPEMIIDLAREFEGLSVGNQTVEFMKRLKVEQKFFDSEIPNVVKWRRKVMAKYN DTLGHWEPCALHIREEEHVLVLVTAQEFVDMVIDTSSNTNDLDHHVHRLKSAYANCKP IYLIEGLTAWMRKKNNSRNRAYQAEVRRQYSQSQTQDQPTTTTSRRKKTTTVNKPETA PPVSDDIIEDALLSLQVTHSCLIHHTNAPPESAEWIKNFTEHLSTVPYRRERMEGNDS AFCMDGGQVKPGENKSDTFIKMLQEVNRVTASMAYGIATQYPSAVDLVRGMRRHGPAM LEDVKVCYNVT AFLA_094370 MPPSNGRDSSIDKRDFDTNRPLLAIYDSGGDTEGRDLHSRPESR ISARRYSDGEDGLLNDVVEEIVERDRRRLAKEVVRICSFALGIVTCLGAGSITAFSLY GPLFLTRLHYTQLRVNAVSIAAGVSMYLPVSIFGYLCDRYTPSPLALFSGTVFGIGYL LAAIVYKNGPPPDAGGNGYPFWVMVVAFVCVGTATSCMYLAAVTTCAKNFGRGKHKGI MLAIPIAAFGLSGMWQSQVGTYLLYERLEDGSHGDVDVFRYFIFLAALLFGIGVIGTF GLRIVDEDEEKYIDEAVEELERSGLLEESEFFRSRSEVRAAYGTFSQSDNADDEERTL SLTQTEEEREAARLEKEREEEERRKKNWLLNWETKVFLKDHTMWWLALGFFLVTGPGE AYINNLGTVVGALGPESSSSNAPSPAGKPSTHVTTIALTSTIARLLTGSLSDFFAPPA THLFPTNSEAVRPSSPSGSKRVTLSRLTFLLPSAVLLSLGYLLLASPFPVQHPELSHI TTGLIGFGYGSAFSLCPIIISVVWGVENFGTNWGIVAMFPAAGAALWGLIYSRFYQDA TDGGNGSTDGQCHGWKCFGYWALGCALSVWVAIAVWSVAWRSWKRRGVSV AFLA_094380 MGTRQYGIEANEKKKKSQRRKCQSTTSQQLGVRLCGMQSWNVKK QEYTFEDKYFGRDLKSGREFQDALTRFLYDGVSYTSVAKKIPVILEKLSKLENMIRKL KRYRLYASSLLILYDGEQSSFEKVSQADKSVDNKRIPLQRRTSDEGHNNIDVQLKIVD FANCVTGEDELSPDAPCPPHHPDDIDRGYLRGLRSLRMYFQRILRELTQDEYIERGEG ETIALRSQVSGQEDLSDRYWDEGVMESDPGEVSY AFLA_094390 MWWYSLEALKVLPSVVLGIQPSGTVSEEKREERVKLRLQGGRNR LDPKGRSVGE AFLA_094400 MEDMAVQCGLESRATVLILLRAIVWNKKGFVFIVRGRTTSTTKK NRVTEEAV AFLA_094410 MHRQLRLDTSVGGNQRYSFIETPLEMHASGLRNGQQQQEIPPSQ PLTVPNPDTAPAQAAAEQEQPQRLPLLNEKAQYVRQEAVAPGNLGGPNPEEHPAISAP YADAVPQPVQQHDAVVPDYSYAVPPPNSPGPLPTKTYPETPAQVAQIHTMAIAPDTNP LQSPQVPYFPGPPTASGTSYTPLADDVAAYHQPGQVSHPNQHIVGGTWSHDMCDCSSI WTCCLGMRWLSCVVVNGSWRQFNIDEPAKRMGFEETLHRTVLERPVVHAALSYRTKKR SRNVRKSVRMQPELQEQPSYHRTWRLRRWHTVLCRDKRDDLDIAIFVRRGRDDNALTL VWTAASVETHYLLAFVFIHPLR AFLA_094420 MLDTRDPFYASVTPQLYAIGCATVVSYLLVIILLITPRTFYVGG PGGGANFLGRHGMISGSYSGNSSVVGVGGRPWLQKVAALLVAISLTIATADSFKVAED QYIHGYSDADALASEVIDGMEIRVIRVISSTFLWLAQVQTLIRLFPRHKEKVMIKWAG FALIVLDTIFSILDKFLVQSNTTRPRLYEDAIPALSYLFELALNLLYAAWVIFYSLSK HRFAFFHPKMRNICLVALLSLCAVLIPVVFFVLDIAKPEVAGWGTYIRWVGSAAASVV VWEWVERIEALERDERKDGILGREIFDGDEMLEVTPSEEVDWPRQNNRNDRGGGTGTS SGWGGMMGLTQRPLRTRVGHPGGRNRQTRADTQNPAISNDPRHRGAARPTPPPAAVTP VSRADTTSAASTVYNVHYHPVSSPTPPVAMPHMEEENEADDYGDVAVKELETAVEEHR SNSAHERVSAEQTREESPQIINVDDRWRTILNPFRRRRASLPKEVASAQAEEEQEDPS RDGDELYHGETSEQESSRPVVNRLFALNRKPRPGSGRQGSDTPLPVTVIPARRRGQHT WSPEWFTESSLLEPSPGQRPRPNRTDLPVRVIQPQARTAAPWATPNEGEFGYGEYELR YDPEAAALVGPGESHPYPLSTDNAYYDTNVDPERPSVAERYRPTQELDQSAPERLQSP QHPQPTNSPNDSQATNENSRPR AFLA_094430 MAAVNRNMFDASVTTDRPRGASLMVLPLNLIASIISHLEDPGDL ARLCRTCRVLNYMTLPQLYKSITLTSYDRIRYRGDQPEGMGSASPFSMGLNAIITRPY ASLVRSLTLRGNWRESELEEHARIGRVTDSSMMLNIVVRAAIDKMKELESFSWELNTK MLETVYLGLAQQPKLTSLTIRFPSSRHPRPTIVIPPMPHLRRLEITDIDPLCYPDDIS TLLWKSKKLQELNLHWSPRMREEQEPSVMVHDYFRKCISAKQPLRVKKIGLRNFAPAY TPDTTPSCSPGLQASIRDAYLNNIIINHAATLRHLLLPSYWPLSSNTIARIVHSSPQL EQLAFATEFSSMETLGLLLPFLRNLVAVRLLVPTGATSSPQTPRPPKASLGRLSSCPA EDVFASALSLADIVEADDDILIEKFSESLADPQVFSQLRFFGFGWKAFELLDYYTIPA VPPLERTVESQSQSPADLVGEEVNGCREPYQNGLSHKDDGTGASSILTPAPVCQTPPL GPAPRTSQTPSLLGKRRREHELNPGAPPKKGMSRENPIEVSEFNTCLPTTLTNGRVWR RRVRRVGWDVVKRYEIWGLDTQEL AFLA_094440 MLFNNPSTILVGALGCMSLLSQRAYAAHIPYRHRHSQHSPGGVY DSAPPVSACGTGAPTATTTVTVTETIDASSTELNVITPTVVPASYTTVDISSSSSSST FTSVVAVSSTSTSTSTSTSVSESTSVSTSASASSSGNSTSKAKVIIPYYLYPSTGAWT PLEELDGLGPDNYIVTNPGAVPDEAYLDIPDSTVIFESAYSEFQSAYSANEFEKVKGL DLGRFATMVYGIPSDADLSTLITQLRSISSHTYMSNLDTYLAYDSLWSTVVSLLSA AFLA_094450 MVILSKVAAVAVGLSTVASALPTGPSHSPHARRGFTINQITRQT ARVGPKTASFPAIYSRALAKYGGTVPAHLKSAVASGHGTVVTSPEPNDIEYLTPVNIG GTTLNLDFDTGSADLWVFSEELPKSEQTGHDVYKPSGNASKIAGASWDISYGDGSSAS GDVYQDTVTVGGVTAQGQAVEAASKISDQFVQDKNNDGLLGLAFSSINTVKPKPQTTF FDTVKDQLDAPLFAVTLKYHAPGSYDFGFIDKSKFTGELAYADVDDSQGFWQFTADGY SVGKGDAQKAPITGIADTGTTLVMLDDEIVDAYYKQVQGAKNDASAGGYVFPCETELP EFTVVIGSYNAVIPGKHINYAPLQEGSSTCVGGIQSNSGLGLSILGDVFLKSQYVVFD SQGPRLGFAAQA AFLA_094460 MMAASNAQPDTGLYTQNSQNQKSPSPQAQHRRGYQACDPCRKRK VKCDLGSVDNPRPPPCVRCRRESKRCEFSATRRKRKPSEVEETVDGVLRRDKRMMIGD PASNGSPSDGSSSYAQPEPASFESNSAIPQQKWSAERSPTTTTQVPQPTTTTTTATTN HRFTPNTPSSTTHFPDARNTRLVYPLGDRTTSYGLDGGQTMMNRTAVELLSPAISNSH DALHLLSEAAGRTEDLNRQSLENRYAARQSVSSFNSPMSPLTQAGTPRSAGGSFSRPA RSGMQMNNYFPTTGSSSIDHQTSDGRGQSGPSEGLQDPGFADAVKAWSRLRFVRAGWL SVEEAMAYVAYYYKHLAHLSPIVIPDFSHHSTHRTLLTDEPVLAVTILTTASRHMKPK GDGAYTRSFYIHDRLWSYLRGMIERLFWGQEKFGGDSMGISKPRSFDLAPTSAKVNLK GNLRSLGTIEALLVLTDWHPRNLHFPPGDDENTLLDLDAQGQTRPEKDSEMDPDNILN RNPNASAEGRLAFQKWLEPAWRSDRMSWMLLSTAQALAFELGVFDQKNDAKAASEYPV EHTRKRRLRRLILVYNTQSSGRIGIPSMLPLPQYANDIQPTNVANVKGGDANIDKMHD CWIGITKIMYQSNQLLFASNEQTSELIRSGRYRDQIDRFQPFLREWRQNIDTLDLAPP MRMLLMIEYEYTRLYVNSLALQAVVDRWTTMSNEATQSARPGSGATSANSWFNVLMEL YRVNEQYIQEVVDASRRILQTVLEELIPGDHLKHAPVRTYFRILSGMIFILKTFTLGA REDDVRVSLDLQDRTVEALRTHVVDDVHLSHAIARLLELLTTSIRTRFLRFAPMDRGN DVEHDRASAPTSRPQSPRARENRRDGTSNGWTPGQNTTQNLSYVDSNGHSTGTPMTSV HDPLAGIPAQPINSSNINVSFMPPPPSVYHNYYDPNATPPAGDLDGSNVPSQSMQDSG ALPDWFALPLDQFFNSSTLVDQGLGGTGPMVGEFDMLEVLLNEQYDGHTDNLDSTGGG TIPSQFLQSS AFLA_094470 MSLTITSEGIGHDDAGNRRERCWLFPSNRKLRHLQGISIRNLVI DPPSRTRGKTIDDEDIPNSFQSPSKILAQDASRPLNHSRSFTNLKSVNAAGDKCITRE PPPRRQPQRRNTLPWSDPNPRTRQVKLEDITRSRMADTWFSMHCDKIEEPVYVSEVVK DATNPSFRSFDLNMCGPLVSRSDNLTLKLWAKTAAMEEYMLLVELQLHLQSLQFLGKS LDSFHQPLPSNSILFHFADGVYGNLTDLPPVWVPLPAKPSKASDRNALPTSSYDALMR LANLDECIQDALITREKLEAQISSILERNQKALAVTSEVSRARDRVALTKQAVVSERK QLRLTSKRKEELIASLRARREAMARGRQTQERARSHLPDAQEKLHSSAKLLEKETEDT KGQIRRISEDLLAIYPIEPIPDKPLAFTIAGIALPNSNFTDIHRDAVAAALGYTAHLV YLLSFYLSVSMPYPINPYLSNSQIQDPVSVSLPQRTYPLYPVNVHYRFEYGVFLLNKN IEFLLNKQGVRVLDIRHTLPNLKYLLYVLTAGTAEIPARKAGGIRGLLPGRLTPSMSR RGSEDSVAYSESNLPRKMMSSLVKSNGDVALDKGKKSIPVLNTTSASQVA AFLA_094480 MASQSVTKPFQKILDPTKIGTWNVVRRPPIENHSVIQGKAREQN SNAFKTHQWKEGVRLRKAINAITHGKNIFVYHNIRTNQVVYSLTRYLEKNNVLRQLVY HGKKTVPATLRKDMWVPYYSVHFNEPKVGLRAYHLLREFAMQRQLSPPREMITISERF LDQKRPKDPEGAKKFDEKYADKVGWLMEKKHRARALMDQKATSVADVSAVLSIQEEEI ANGFADGKRGYLTRTARRRRREARAKEEAKAAEQAERVAELEKTLSTSEVEYKVQEIE STNGLEGNGVKILWTDIHDARLAESWPERVRHGELDLSRDHVMPGQKRNYGVEVLADE TFKEKQPEQKA AFLA_094490 MFSGSSSPPKDKSNSVPHSGAVDTQSLSVHPEEGSAAQTSKSLP SGGFFTRRTSEDQAPAGEKKRRSSTVTKAATFFTNAKNSLSLSSSPRESSSFNYTVRS PQTLQSLGSMDPALSVPQGSLNNSAGDSLPTPRSSFKVGVTEDRNRKCRRTMEDTHAY LYNFLGTPAPLARADGENEAGSSLAPDEASSVVETDNGYFAIFDGHAGTFAAEWCGKK LHLILEDIMKKNPNTPVPELLDQTFTTVDQQLEKLPVKNSGCTAVIALLRWEDRIPSS HSATGSSALAPAAAAAAAAKGDSNSEADDTPTQATSSGPSILPKLQEKAIRQRVLYTA NVGDARIILCRNGKALRLSYDHKGSDENEGKRIANAGGLILNNRVNGVLAVTRALGDA YLKDLVTGHPYTTETVVQPDSDEFIILACDGLWDVCTDQEAVDLVRNVPDAQEASKIL VDYALARFSTDNLSCMVIRLDTNRVKEVINKTAEPIGVAGDPSMDVEHGVSEADKIIE GARKSMANADIADDGETAEKGKNDILHKMVDGEPGPEMSLDDSNDAPTVSHLNKTNAN NGIP AFLA_094500 MPEQYIMSCGAASGRIYEDSTLCLVGTDKLYITHSEWASGDSYS ASAGAGGGKGGDNAPFKRLPFNFCSLSLQPFAHPVCTPSGTIFDLTNILPWIKKHGKN PVDGTPLKNSDLIKLNIAKNESGDYVDPVTYKVLTDNTHIVALRNTGNVFAWDTVERL NIKGKLWRDLVTDEEFGRKDIITLQDPQNIESRNLSSFNYLKEGESVPGQKEEESNVN ASALGSSAKILKAKEAVAKARSERAQRADSSAVTKKADGSTTTSTQSKTASFQSGKPT PYNAAKYTTGMAAASFTSTGLTPHTSAELALLSDEEYMLKRGRVKQKGYARISTTSGD INLELQTEYAPKAVWNFIKLAKKGYYKDVTFHRNIKGFMIQGGDPSGTGRGGESIWGK YFNDEFEGPLKHDSRGTLSMANKGKNTNSSQFFIAYRALPHLNNKHTIFGHVIDDPTP SSTTLNNLETHPVNSSTNRPTPDIRITDVTIFVDPFEEFLNQKKAEEASGKNKKVDPT EEDRETQQEDDDQVTWTGKRVRGPGSTAAGGDAGSGVGKYLKAALANQTTQEEDEIVE FVDEEPEPEPMRKKFKSRGGFGDFSSWD AFLA_094510 MASEFIGYNVLVTLRTPPNATVQGVVANVIGQRLMLRDVALSWS PQKFPTYFIEAADIADLSLGPNPSAPSHNQQAGREIHNIARVPPAIPAQQSFVDPAIL SFSKPSSEQFSQAARTGGPQLQHAPNDRLSSPASQNIQPPPQVHRDQSAVLTEPFSNL ELNVEGRATEPRNAPQENELHGSVPAGKDDFTTAPRSATQVNPKGGRRVGQNKSQRAG LTPVNEHDGAANTNPKTRGWRQTAFVQPASPQKYREREPNSRRRKKKDRGSYAEDPSG WATEDATDIQELGDFDFQSNLSKFDKRRVFEEIRNDDTTADEARLVSFNRRVPKPGTN GGKNLHWTENVLDSPLGSETGDTDQEPSDAKLSSGNYSGRELSRGSARAQSRKGSGIL GQPLVPPQISTVGRGQLSASRTTSPRPGSKASVSASPISGPGVPGASLRLTTTNRSCP TVSPLQALEVEQIAVAELGLTEDMITENAGRSIAEAAVGLLSNDAAAPTMLVLTGNHR TGARAVSAARHLRNRGHRVTVCMLGIEHENELLESCRKQIDVFKKIGGRVHRWEDLST RLSTSEFSPDLVLDALFGIHIAFDDLRTDDQAVAFEMIAWANRSNLEVLSVDVPSGFS ASSGEVTVMEGGRVCVSSKSVVCLGAPKTGIINALLAGEGLTWSLSVADIGIPQIVWR KYGTRRRHGIDFGNRWVVPLRYQPSSA AFLA_094520 MANASGSALGSLHSAVYFDENDFDDDLDLDSEESEPVIPPPKIV RPNNEQQIPFHGTNPTTLATHTNKPAQVSTPAMKNDDLEIKYPELPPIPDDDPVPSSS IPVPWSSSPPSHFQKPTSSRTLPWLKKEEPTPAVQYKKPETPVRSKSTAIWNKSASAI KEEQKELRRQYKSQKSESVQHRPRAKIAPLFLSDEQKHVLNAVVEQGKSIFFTGSAGT GKSVLMREIIKKLRDKYRREPDRVAVTASTGLAACNIEGVTLHSFAGIGLGKEPVPEL VKKVKRNPKGRNRWLRTKVLIIDEVSMVDGDLFDKLEELARRIRNNGRPFGGIQLVVT GDFFQLPPVPDGSTREAKFAFSAATWNTSIQHTILLTTVFRQADPDFANMLNEMRLGK LSQRTIDTFQQLQRPLDFHDSLEATELFPTRQEVEHANGARMQRLSGEVMTFNAADSG TIQDVQHREKLLANCMAPQVIHLKKGAQVMLIKNMEDTLVNGSIGRVVAFMDEATFDF YRENESDFAGDQGAQTDEEMMDRARKKLKSLAHKEGGVVVSKKWPLVCFVQPDGTERH LLCQPETWKIELPNGEVQAQRVQVPLILAWALSIHKAQGQTLPRVKVDLGRVFEKGQA YVALSRATSKEGLQVTRFDARKVMVHPKVTEFYSKLISITDVLKPKGGPRTIPGHYPE DDFEDDYLDYL AFLA_094530 MAEKGASNKEHPLAGVVLCFTSILPEQRTELATIARQMGATLKL DLTSDVTHLIVGEINTAKYKFVARERADVTVLKPEWVEAVRQSWMQGEDTDVRGLEEQ YKFPTFAGLCICITGFEDTIVHGAEFRKDLTKQVTHLVARDTESQKYKFATQWNIKVV TVKWFTDSIERGMVLEETLYHPLVPEEQQGAGAWNRAQPTPREKDPGNESSSNPRPRK LRRIASAKLVDQNEGIWGDIVGTGSRGSQQKDDSQLLAKRASMVQEAKSFASETTFAE AFEQGNEKIQKPRQKSSETTSHRDGFLDGCYFFIHGFSSKQTNVLRQHLSFNGAQLVG SLSEFSRPDIPKRGHGLYTIVPYKMPRAQVPSTDDLAFECEIVTDMWLERCLDAKALV PPESHIANTPIPRIPIPDLLHLSKLVGLIESSRDPEKRAGSRPEQKEQSQEPNKRNNA IKSQSHPNHLQTNKLIRQTSRESDKAPSRHSTPLQEKSEILQKEPTIRESPTKEPSQQ HAAYISPRKRPASEQTTDPTSLTAIETTLSGFLQQARAANSRSTSDTGENGDRPRSRR RKPLLGRAPSHASVRSTEHKGFSRASSIDTLNEDGCGSAIDSVNTDGIPSLVNSGRFD FLEGDRINEEEESETPPMTQLNYEDPDAVAMREKFLNQAGKLVEKKPANQDLIVGEVK ELENVGWGSGRRTRNAGKVAEDNTF AFLA_094540 MSQEYFPGNGGSSAGEGSSRHPPQQEASEYPFFPNAPPAYMTVG NGSTSESATALMASLNRDSGYGDSIASGSVRDGDAGGDWRTDMMVDRPTPMHTPTLPG QWNPAAEHERQVVASHVHQLLYNSNRTKLGRAITRTLETLKDLQDMNRQWPAHYPSVR DAPDYPSDRPALRQAQSFFDDGDIQQVSNSGDLAAAESSAAAERRPAPEPRLMTPQIA QEFSILKLDLKLGALSQVELVHSLEKASIASLLDGKISQSVKHLLSLRDRIEDTSSKV LITGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDARENSGVEEVHAVHKDVDY NRNDESTYDVYPLTELENIVIDNSKYMQCKVYVKDVRTIDESLLNNGVVDIALIDAPG LNSDSLKTTAVFARQEEIDVVVFVVSAANHFTLSAKDFIHNAAKEKAYMFIVVNGFDQ IRDKQRCERMILDQINKLSPRTYKESAELVHFVSSNAVPVAPPMSVESSGSGGGGGFD SDGDDDDDDSKPKGKGKDKGKEKQKIQDFENLESSLRRFVLEKQELAELEPAYEGGQK KKIELDVQVEKNIDDSCEDVYNHTRSTLSDTIARVSEADLGVEYPGIFSAFQYAEDLK LAMLEQISAAASACEDYARLKTVDGVEAIQKIGLLHVGDKFAPLNFRADMMFRRSRRH TFAKQVDTEVELLDFFDIAGIWERQEKVAGTGMAMTAVTVLGGRLFGGISWVDSAFSA AKFLGPNNLRRLLVPGIVAAAALTAAYVLSTIPTTLPPRLSRKIAAALEEKDYVHSNA TRISTEVRRVLRIPANNLQASLAQDIEDLGRRKQEVSKTKVESENASKYFSNLFRESG ENRRSVENIDLDGPLPGAMGSHEP AFLA_094550 MTPAERLRKHQRALDRTQRELDRERTKLENQEKKLVQDIKKSAK NGQIGACKIQAKDLVRTRRYIQKFYQMRTQLQAISLRIQTVRSNEQMMQSMKGATMLL GSMNRQMNLPALQRIAMEFERENDIMDQRQEMMDDAIDEATGMEGEDEDSEDIVKEVL DEIGVDLSQALGETPTDIQKTAVGETRVAQPVGAGGSSADDDLQARLDSLRR AFLA_094560 MARPIVFFDIAVNGTPQGRIEFELFSDVTPRTAENFRALCTGEK GFGYKGSSFHRVIPQFMLQGGDFTRGDGTGGRSIYGETFPDENFQLKHDRPGLLSMAN AGPGTNGSQFFITTVVTDWLDGAHVVFGQVTKGFELVKLIESFGTPQGTPRARVQITE SGQLQ AFLA_094570 MNFSLGLAYAQEQKHLETQTSEEFFRVVIRYFRVEFLIIQSENL EISKAAKGGAFSYLFKGHHKLDHIKRIGTQVIHVSRLIFYLQKFGQYCIKC AFLA_094580 MPEPNIIPFPYPLGVGTDICHIPRIRRILTHENPNHVHRFVKRI FCPSELDAFQQRHEKVLQLKKGLDTGICENEESSLQQDKYDRALWRLSSWVAGRFAAK EAAMKAVSPQRLGWHHAEVLVPPGQIKPLLVIHSFKHADTAERNGENPVRKHAAQLSI SHDGEYAIATVLAAIH AFLA_094590 MLSLTNPNNVSIITGAPPSIHGIAGIFFLDRSTGKETMICDDSL LRGSTLLEQMSRHGVRVAAITAKDKLRKILAHGLKGDAVCFSAEKASECTLAENGIAD VEQYCKEDKSDFLYLMLSGYVQHKHGPGSPEADDFVKAMDDRLAKLAVMAIVGVVGDH GMSRKSNDAGEPDVLFLEDVLDAKFGENAARVICPITDPFVRHHGARGSFVRVYLRDQ SLLESVLALCQALPETEVALSGEDAARKYDTPLDREGDIVVISTSSTVIGRCESEHGL STVKDFPLRSHGGLSEQVVPVIMSRAVEDVQIVSLRAWKDYDVFDLVLNWSK AFLA_094600 MKFLHTIALIATFTVASATPAGSTPSQCTAAQANKCCTGLTNGI LNLNVLPALCLPLVGSCNNQAACCETNGIGLLNCLTVQV AFLA_094610 MEFRLNYHVGAGKSGEPRYKPCQVFSFHQIPHAIWFEDALVHYG VPTVVFDLYILVPDIDVAADLLAKAGWTFDMQKPHLIGNAKVDLVTFPQQRLISPDGV TRTVLLPAADWKYPLAADTRLEFAPLEDGSSHQVPFPPLAGLLDALIESWLDLPSDDA IYEHRQFHFDVQSGMETGTVPFWEHQRTIRDALLQGQYELRECSASRDNKDLFDPLGD IVRKRAEEEKQKLDAPELTETECYRGVLHGAV AFLA_094620 MGKRNASLIPVGITLRSGIFPAVGRAVIKAKDDQAELTTGLTHR LSAPATGGVLREMAIINQKPTPPAPPAHHAPVQQPVNPALYQAVYQGLPAGLYHPSAY PGTYPFAHSASRQASYPTPHPGSHSTAHQASHPAPHPAGHPVIHPVGHHGGHLGVHPG GHQVGHQGGPQGGQQEGYPGASRAIHAAIPRSIDPAKVAEAMTRLNVNPERAASGNRQ KAPDSTPAKDSNAAKVTDSRPYFYIGYTFFKKDATPGHKPTWGQVEKSQMHLTQAELI GMVQKRAKKLPGVQQYQSLSKAKRTHVDQLINELKKGDSHLEWTCAYVKEEERLMKGK NNKRGDYETVSMDVVIMGKPITSSRPKVAQVEFDLPGRPKEKIEPRAEPKAENPVVID DPRPLDTEVDNAPQWARPNMGHAQQPPMVQVHNIPRQLNPEFTQQAPPPPPPPPQPQA QQAGGRPLVNRGQLPQEVPDHFSFGVHPHAAMPHVARAAPEKGPAIDVLKEHARGVAS ASVQQHMPHVSRAAPENGPSAEVLKEHVRGVPMGAHQRPQVAMPHTARAAPENGPAIE VLKEHTRGVPSTGAQHPGVNNVYNPTGVSVTNNNPRKEPFHPYESRSFENVTQGGSVK PPNMAEPELELAPDSSSIGDDDSEIFDFEDVSSVTDDSEHEGETRKEAQPWRGSLFRR HSSTSRRPGPSRYRSHYRKQPSGASDNRHGRTKYPSDYVDVIPADSRDSDKQVWRVHS REVARQTRDRPKIIHAPVSSEDLDAPEFDERYRGPRARNDIRTRILDDREARLERREK QLDYRTRMLDVLDERLDDALRRRMSLRDAGPYYSRQYYENY AFLA_094630 MPRQFFVGGNFKMNGTAESITAIIKNLNEAKLDETTEVVVSPPA LYLTLAQQVADEKKKVAVSSQNVFDKPNGAFTGEISVSQLQDAKIPWTIIGHSERRVI LKETDEFIARKVKAAIDGGISVIFCIGETLEEREADKTIEVVTKQLNAAAKELTKEQW SKVVIAYEPVWAIGTGKVATTQQAQEVHAAIRKWLADAISPEASENTRIIYGGSVSEK NCRELAQERDVDGFLVGGASLKPAFVDIINARL AFLA_094640 MLDFMDYIQLAFAEGTNWNRDNSYSSLTATAQSLLDFSTPERLR VHLSSLSTPNFATSYTLGTVGLIDGSVSYLYSTVPFTNTPSRSALIPLRKLSPGYRQV TPPSAPIEDWNLDSILDNPQNVQPSFASKPTLLHATLHLPPPTTLNALFLRRISPTMH LTLAVCSTRGPPLSKSAPQASLLTQLSHDTGKYSNEYLFSTDNALFGWRGLWNFGPDP RSATDTAAPRLSLLSAGAEAYYSPVSSLIGMSTGLRFSTLPAATDVPASTATGSATAN QSTPISTFPYTLTLTLTPLTGSLSTTYSLRASPNLAFSSRFGFNVYSWESEMVAGCEL WRKSRKQDDGLEWARRKMRASEPLSLPSLPAESTERQEEEEATDSVLKIRVDQSWNVR VLWEGRVKELLVSAGVGLGPSSFSSSSWAAAAAGSGQSSGGGVPSYWRGVGVSVLYSS AFLA_094650 MPRSKRARVVHETKTAKKSHKEQTRRLYANIRESVEKYDHLFVF GVDNMRNTYLKDVRTEFADSRLFFGKTKVMAVALGHNPESEAATNLHKLVPYLTGAVG LLFTSRDPESVTNYFETFRPLDFARAGTVSTRSFSIPNGLVYSRAGEIPASEDEPVSH TIEPELRKLGVPTRLVKGKVMLELTGGQEAFPVCREGEVLDSRQTTLLKMFGVATSEF HVALKACWTRESGEVKILEKEQGGMEVEQ AFLA_094660 MTDKLPPPLLALFQPRPPLRYVTPIDRAPEDCKKSTLGGVAQYL PDLKEYEEEYPYNATESWIQRKLRQKQEKKENIEKHLTEGIHTFDPSNDPQARGDPFK TLFVSRLSYDVKESDLEREFGRFGPIERIRIVKDTVTPKGSKKPHRGYAFIVYEREKD MKAAYKETDGIRIKDRRVLVDVERGRTVKGWKPRRFGGGLGGRGYTKALPSRPIGPGS FGAPSGPGGYGGGFRGGFGGGRGRGGFRNERFGGPRGGVGYQGGRNGFGGGGQAPPNA PSGPGGGRSGGFGGRFGDRDRGATGSNREPVRPRDGFSDRDRRDDRDRDGGDRHRDRD RDSYRYRDRDRDRDRGDRYGGREDYGRKRYHEDDSYDDPRAKRRY AFLA_094670 MPVQGIRAVTTARNGVGAFILPCKRLDFHYCDWAGSSRGMVAFL KNTLPSFAKANPQIEIRVSPRPHKHPVIKSHYINGREKAVCVRNLEPEQIFQKANLLK EASGEKLKRTKKPVTSINESVRGIWSPYHGDLKSV AFLA_094680 MSRTSHSPNRRFYSQNGEPSSSRAPTNQLPPMRYAGDGLDMRRP VVSASPQTDEVIDLTNEPDSPPQQRDRSARATSRRPRQPRFGRDIMADVVDLEDEPDN TIDLDSPSSPEVQFVGASVRPQLPRPSPPRPRGFDFGSGLGSWMENQGAPSPATRCGD ILDRREIRWSS AFLA_094690 MLCAISGEAPQVPVVSPKSGSVFEKRLIEAYIAENGKDPVNGEE LSTDDLIEVKSQRVVRPRPPTLTSIPSLLNVFQEEWDALALETYTLRQTLAQTRQELS TALYQNDAAVRVIARLTKERDEARDALSKVSVGATRAAGGEAMQVDSNGLPDAVLSRV ESTQAALSKTRRKRAVPEGWATSDAISTYKPAQSTEPLYPGGKALSVNASGELALVGG ADGVVGVFSLSQKQVVQSLQANGPVTDAIWAGDKAVVASSTGSVKVFENGNEVADFSS HAGAATALALHPTGDIVASVGADKSYVLYDLTTNSVITQIFSDASLLSVKFHPDGHLI AAGGVDGQIKIFDVKNGSPAANFALSGPVKCLFFSENGTFMAAVAESSTVVSIWDLRS ASEVKALETGNQIDSISWDYTGQFLLTGGPSGLTVQQYTKSTKSWSEPLRSAVPAAAV SWGVAAQSIVALNRDGGITVLGAQ AFLA_094700 MSFKSKNLEYEAKEPAFLQRLRNQYGDTSGRLERPIARPRKLKD ADDDDEPTYVDEESNEVISKEEYEALVRDSNKEAEDTGKGEPDQEQPTSQDKGEDKAS TAQEVPISKQNMAEIGGPKKRKQAKVIGEEEPSAEKEETLPRDPGSRKPKQKKKKIKL SFDEE AFLA_094710 MPVVNVEDLVRLQRKPDDIRNICILAHVDHGKTSLTDSLIATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLFFSMMRRPAPDAAPVAKEYLINLID SPGHIDFSSEVSTASRLCDGAIVLVDAVEGVCSQTVTVLRQSWVEQLKPILVINKIDR LVTELKMSPSEAYSHMSKLLEQVNAVIGSFYQGERMEEDLQWRERMEERANAAAEKDR TKKQTQDDESTQGGADTADYVERDDEDLYFAPEKNNVIFCSAVDGWAFTVRQFAAIYE KKLGIKRAILEKVLWGDYYLDPKTKRVLGQKHLKGRALKPMFVQLVLDSIWAAYEATT GGGKGKGYDITYT AFLA_094720 MIRRLTSDSDPALLEKITKSLNITIPAYILRSRDPRNIMTTLFS MWLPLSTAVLVSVIEYLPSPPAAQEARLPALIEESPGADFVDPKVKDAMIKFKTGSDE PVVAYVSKMAAIPESELSSSKKRSGATMSADEAREIARKKREEIAKMQAEANGEQQDD GYARITSAFETVTIDDNDQAPEEEKDDPEHLIGFARLYSGTLSVGDSIYVLAPKFSPE TPNATPVPQKVTVTDLYLLMGRSLEPLKSVPAGVVFGIGGLAGHVLKTGTLCSQLEGS INLAGVSLNAPPIVRVALEPANPADLGKMVTGLRLLEQSDPCAQYDVLPNGEHVILTA GELHLERCLKDLRERFAKCDIQTGQTIVPYRETIVSVPEMAAPKNPDLGRGGVLTVSA SKQLSIRLRVVPLPEAVTEYFTKQVGTIKRLQSQRHAAADDKATNGTPDSTQQVETSD ATDEAREGSVLSLKDFREELNKIFDEEVKEDKELWKDVVDRITAFGPRRVGPNILVDA TAVNTCEKLLTRNSLLEDPKQQPTVNTETSSREALIVRDFCDKITYAFQLATGQGPLC QEPMQGTAVFLEEITVNATEEELDLGRLTGEAIRLVRESISQGFLDWSPRIMLAMYSC EIQASTEVLGRVYGVITRRRGRILSETMKEGTPFFTILALLPVAESFGFAEEIRKRTS GAAQPQLIFAGFEALDEDPFWVPATEEELEDLGELADRENVAKRYMDAVRSRKGLVVQ GRKLIDAEKQKTLKK AFLA_094730 MASTLPALAIPGQRLGPVTSYSAGPGTHVQQSNVYASIAGPVVV EPAHPGSKGKATLSVSRSIRAPGTGNASKPVTTAPGAKPAATSATPKPKVKYNTLPAV DSVVLARVTRVQKRQATVSILVVLDESGSTQGVTPSQTTSDNDNIEAILSSAANPENH SSSDELRFQALIRKEDVRAVEKDRVVMDEMFRVGDIVRGTVISLGDQSFYYLTTARND LGVVMARSEAGNMMFPVSWKEMRDSVTGQAEMRKVARPF AFLA_094740 MSLNQAAWFDAEGQPFRVGPAPMPKPGPKQLVVKNQAVAINPAD WKIQAGAPFIKTWPIVIGIDFAGIIEEVGEEVTRFKKGQRVISHSQSLRDQDPAKGAF QLYPLAEEVFTSVIPDSMSFEQAVVLPVAVSTATAGLYLPKYLGLPYLPSSDPKPTEK ALLVWGGASSVGAVTIQFAVASGLKVISTASPANHEFVKALGASAVFDYRSPSVVEDV VKELEGSDLAGVFDAISEEPSFEPITEILKRVGRQVKVAAVQTRQKPSEGFDPIFVFC YEIATTPNEEMGEAIWGKFVPEALASGQLQAKPDPVVVGHGLSEIEHGLKVQKAGVSA KKIVVTL AFLA_094750 MPRCAIIKRIGIGYETWKYVPLYPLRIHGGVMHSRDEHRLAAAT VVDGV AFLA_094760 MEPRQTGYQSIGNASQASGSSIPHRGQSPDDLETISAAYQVLFY IKSSASFQQLLDSLPPATPGFLMKPLVCSFLAYFTQLQSHTFLGQVSTWGFDTVERVF RNSKVSPRWLANDIGPDGHTRQDLDDQDCLRWDAIGIVYATVALSLTVRNGSSGFDSD VSRRDSRARETILKDLTHAVNTCIRFQEMTGTKTELYLWLLIQDIILLIRIYGGKSE AFLA_094770 MFTGPALRWEALGLLFTWAALALLNSPADDSLAAKLIAAHEANK AGEYAPASEVLLWLLHESLTLTLQFYGDCSHQAWQRLSDLATEVLDPTIVKLGARIPF IFTQTRRKIIASAYWIDKSLASLHGQVPRITCLPQDFETPLDIDDDELLMKGFDLLHP HSSDWNQSGYTCPATYIRARYILGAFRDELFQLSLIASTADRPPKLE AFLA_094780 MKYTRSSAIPMFPLQAWSGNAASLRSSPSEASANTDPVAFSFDT TWDGGSPISTPAAPPPTPQDSLLDITPRKCSFSTAFGMSNACAFPSWPNRPALISTDT EVSTGSAYISDEELCFDLGPQSESAVEEESAVEDAVRPGDLTTEQQIQMLRAAAEEEA QRARFLAQVQAHARAQQAMRVAQLASQEKENAKRKKRQAMPQKKRRAPSATKVVIRA AFLA_094790 MFLLGKSIPDYIRNLPQTFLSTPFGQMIKPQIEAALRPVTQAPS TDVPSQPPAQIQPANKVIQVTNLTQLNRELNQASHSAAIIFFTSATCPPCKALYPLYD SLAEEAGSKATLIKVDISSAYDVAMKYGIKATPTFKTYLKGQETETWSGANASQLQGN IKLLIEMANPAHPHRKLDLPTFQRPIPASSYIQYKNTPPLDKLIQKLPQETRDNKTLT SITTFITNSIKSNDKIETPLPQDLPTYPTYIETLLKETPSAAHFAIIDLARLLTLDPR VASYFASQNPPSTLLSLLSLSQSTQDTQDKKETPYNQTLTTLHLLTNLLTTPLPTHTI LNTPSLFSQTLNTLTTSLFHPNPKIRCAATSLAYNLTAQNHNSRIEGKGDIISEEDQV SLVAGLIEAIANEEESGEALRGFLMALGLVVYECAVGGEVEEVCRVLGSRETVLGKKK GGLFGGGEEGRKLEGLIGEVVGLVGFCS AFLA_094800 MAKVVFHAVEHDGCVVDGINVRAREREGPLDYIANQDPSLRLNL RNKLSRHQQPQLSTATPQHHQGEMPPKKRSAPSAPKKARQSKLAKAHDISATEENEIK EVFQLFATSAADFPNEKEGVIAREDVRKALVALGLAPADSRELHDILAAVDPTTTGYV LYEPFVAVAAAKLRSRDEDAMAAEVDAAYQLFTRNTGGPITLGHLRRIARELKEDGLG DELLRDMILEANGGAGLEAGVSLEQFHDVMTRAGVF AFLA_094810 MHSHRGANGVLTQSPLNHAKNNHYDTVFQYLQDHPSIASTLAIA ILVFVALTQSGSIPATVARTLWDVIVYMTPSRVVAALDKKANSTPIDESAGPMTFEAK SEAMKRILGLDNSSLSSFFPRAPTLPGFGTALLGSKDSLPPGLGNWDNSCYQNSIIQG FASLESLATFLGRNIDLFGAKGAFSTHQALKGIIERLNSPDSYGQRLWTPADLKSMSS WQQQDAQEYFSKVVDQIDYEIQQATRKQTRNLGLKVASPQENVIGAGSTSGTSADASV GARIAEIRSFGNPLEGLLAQRVGCMQCGWTEGLSLIPFNCLTLPLGAGYEHDVRDCLQ DYMDLEPIEGVECAKCTLLHAQKQLQNLLKQIEEDKSLSNTPDSPSVSEALKNSAQER LKAVEEALEEEDFAEKTLSKKCNIPSKNRVSSTKSRQAVIARPPKCLVVHINRSLFDE MTGMLRKNYAALKFPKILDMGEWCLGAAAGQAGQNSEMWDMDPRESMLAQADKIADGH GQLYELRAVITHYGRHENGHYICYRKYPSETFPANVPESVIEQDGEKQTTERWFRLSD EDVQMVSESNVMAQGGAFMLFYEAVDSSSLPAETGEPDLAEVDNSVSTSSAVTPEDMS TTSAATDDTRTSQATSVSTPEKAELPVANARPASEVD AFLA_094820 MAKARVQSKHSRAARRAASPSLDVDKSLTTLPRAEDTVIQRESI LSDRANAGVAKKKSKAKALTKAQRARQQKGIERAENILDQLETKVEKSVKRGKTVKAR RAEWEDLNRKAGATMFQNLNDEADNDDDDAMADVSAAPKTSKPQLQPAQNLVADQHAD IDVDDDIS AFLA_094830 MAPSNPLANWERLGDSFYRKVPIYDAIFDEDVELENYIVAGAPY GGALALHRDDTKPYRFRDAQTAKSSIGIYSSSGKLINRLNWEHGTIRGLGWSDKEELL VITEDGTVRRYFGLYGDFTSFSLGNGAEEYGVRACRFWTSGFVALLSNNQLVAVSNYD EPRPKLLAHCPEGEVSSWSLIPPAFTLSRSVEVLLAVDKTVYLVDPTEAEDKVLQNGP FKHASVSPTGRFVALITAEGKVWVVSSDFQSKYSEYDPESRVTPRTVDWCGDDAVVIA WEDEVHLIGPNGVAARYYYDGIVHVVPEFDGVRLITHDTCEFLHKVTDVTEAIFRLGS TSPASVLLDSIDLLEKKSPKADENIQRIRSSLPEAVDICVRAAGHEFDAYWQKRLLKA ASFGKSVLDLYNSDDFVEMTEKLRVLKAVRDYQIGLPISYDQYMRLTPEKLIERLVNR HEYLLAIKISEYLQIPADRIYVHWASQKVKVSTVDDEAVCKLIVQRLDGKPGISFELI AQAAYDEGRAHLATQLLNHEPRAGKQVPLLLNMEEDEIALDKAIESGDNDLVNYVLLR LKSKLPLASFFRMINTRPMASALVETTARGDDTELLKDLYYQDDRPIDGSNVLLSEAL SQTELPSKTEKLHLASRLLVDSKDATVVLQQKLLSEASQLLKVQEALDKDIADRSEFV GLSLNETIYRLIRSGYGKRAQKLQSEFKMPDKTYWWLRLRALVAKRDWGELEEIGRNK KSPIGWEPFYNEILGAGNTKLASFFVPKCTNLPVEDKVEMWVKCGMIVKAGEEAFRAK DFNTLELLRTRASGPAVADIDRMINQLRPRK AFLA_094840 MLKQSKDKVLSKMTTCQEPNVGANNVLQSTKRDDEEKCTLDEAL EELLDEVTDALECLLSVAFPLLGGVVDLVSNILGGIVNLASEILDLD AFLA_094850 MDSAADFIVIGGGPAGSTVASQLANSPKHPKVLLLEAGGLNAEH DLRVDGQRWLTFQNKHMNWGYKTTPQEHCNNREIDYSRGRGMGGSSAINFGVYTVGAR DDYEEWARVVGDDAFRWEQIQPRFKALETFHGDLPAGVDPKYAAPRAEDHGSSGSLHV GFASEWEKDLPPLLDVFEQEGFPFNPDHNSGNPIGMSVLINSAYKGVRSTAADLLKPK PENLTIVTDAPVQRLVFDGNKAVGVESNGKKYLASKEVIMCAGSLEGPRILMHSGIGP AQQLEKFNIPVKLDVPSIGQGLRDHTFVPIVNTRVENSTQRREFYGDEKAMAEALEQW KKDGSGPWSRFACELGIGWFKLDKVTSSEEFQTLPEEEKKYLLQETVPHYEILTHFPI HWFIPDFAKEALNYSCLLVFMFNAQGRGEVTLQSSDPNVPLLFDPKFLSHPFDRRVAI ESLRDAFRIAKSDGYTKDNVMELAGPKSDSDEDLLEYWKQNISSSWHMTGTIKMGKKG DTDAAVDNDFRFMGIDGLRVADMSVVPVLANCHVQAVAYVTGATCAEKLIKEYDLA AFLA_094860 MATADPEAFTLLSLGLVFIIIRIYVRWTSVGPANFQVDDYLMPL AGVMYTAETVAAYLVGAKFGGLTNSYMTPEERAALDPNSREYYDRQWGSKIQVIGWSF YACILWLVKACVAVFYSRLTTGLQNLPGRVRFAYILLGVTYLAVALSILLGCQPMHKY WQINPDPGNICQPTKSTLYVLIVVIPNVLTDLYLMSIPLPLLWTVNIGIRRKITLMAL FSGASFVIMAAIIRAVTIMTSGPEGAVSGSKWACRETFVSIVVANLPIIQPLIRRGAQ KVGLSALFSSSGGPSSYGRHRTQSFPLSSRNQPSKRSRHPLSIPNNTTAWGSDEHILG DGSDKGAGKKNDITVTQEMIIERKLGYGSYSTVWLCRDQSINKYVAVKVLTRTYDRSE PAWSRELGVYEHLSRLNSSHVGNAYIRGLYDIFELSNPCGVYRCLVHPAMHLSINTLR MRARSCRLSEPLLKQTLICLLQALDFLHSEINIVHTDIKASNIMLSIEDEAILTEFEK DEQEHPSWRKIVDKNHWQCESDSRDNYGDTSLSYSAGVERKTMN AFLA_094870 MPFRLPAPLFNFEWPLDSPKLASPPSTRKRRSNSSPEAPQRSTE AIFNEIIHKIPTIREFTELVYEYIPPEQGSLICRTFLESGIFEASNARANFNARTGTL WIRVRLTELHGVQIRWVGYTSGVWVRKGLMNEAESDIFDIGAGTTLDGFTGQYTHSSK EPDLFLCPATDDLPSIVVESGFSESWPRLHADKDLWMYGSTTVNVVILLKWSKCVRTR CKGKVEVWTRNPAGGLMMCEKPIFPRPVPAPDPDTDVVQFTKLDLFGKHIVAGQNPMT VLSLGLSELRDHARHRMSLMGLTPA AFLA_094880 MAHTRISCPIDRSREGTYRATGTGSGLIEGFFRWPLVFRFIKGA IHGAIIVPVLFHALFTAFVVYLDLYIFDTVGLPSSIIPSLSIVVGLMLVFRNQTSYNR FWDGRSSLTTLTTCIRNLVRTILTNGYSTSRPLRQDEKEDIERTIRILMAIPYAVKNH LRAEYGAAFYSFGDDVGEDGVAAYNPDYANLLPKGLEGHEDEGLTLPFQLSFFIDGFI KRGVERGWYHAPGASQMQAQLNSLLDAVGKMEMIRLTPIPVAHLIHQKQVLALFGCVL PFGMVDDLGWWTVPIVSLVIFTLYGIEGIGSQLEDPFGYDRNDIKMDAIVGDSKMEID VVLSEWRKLMSFVEAETPRTESRCVEDHSDRGKFPPEMFIKHRPVTGRV AFLA_094890 MSASVSASASSNFPSDARSGPLRRLSQLRAYTQNHFSSQSSSSS SSTSNTNSQQPIARRHTFSSRVSWFSPASSASTGTESAHPPVGTNNPAPCPDSEPSSS TLARYSSVLFPGYRGFDLDLRSQNRSSESSTSPPSSHEPRRTQTQGRMARLRGLSQTP DTRPEVDTTPASAPTNLQNGLLDCPDPVTNENSSSSPRPKQKATIRFFPHQDSHGNSR PSLPFIPISRTLPSESSVIKVGRYSERDGLPVANPTEPSDAPVGFKSKVVSRKHCEFL YLNGQWHIKDVGSSSGTFLNHMRLSQPNMASRLYTVKDGDIVQLGIDFRGGEEMIFRC VRIRIECNRSWQQQPNEFKYGPHTERPYQCLFMAACAHVWHYKCVSRLIHTPDYPMFQ CPNCRAYTDLSAEVDDSNDYEEVEQKPTSTEEQRDSSQDRRSETRTPQLETNPTPEAS NSSQDSVDALPNSLSAAAGLTHDVGTMHLNENRTSRESDDETPRVADPSPVVTGSIGI PVPTASALQCRQAQLRADTPVRSESSDDNPLTPRNDSGPLVFDGRASMS AFLA_094900 MSGIASACLSCLSTVDRWCHITACLGPIGGRSRDGIYETTLADN EREAVSDLLGYLENRAETDFFRGEPLSALSTLVYSDNVDLQRSASLTFAEITERDVRE VDRDTLEPILFLLQSSDIEVQRAASAALGNLAVNADNKVLIVALGGLAPLIRQMMSPN VEVQCNAVGCITNLATHEDNKAKIARSGALGPLIRLAKSKDMRVQRNATGALLNMTHS DDNRQQLVNAGAIPVLVQLLSSSDVDVQYYCTTALSNIAVDASNRKRLAQTESRLVQS LVHLMDSSTPKVQCQAALALRNLASDEKYQLEIVRAKGLPPLLRLLQSSYLPLILSAV ACIRNISIHPLNESPIIDAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKEL VLQAGAVQKCKDLVLKVPLSVQSEMTAAIAVLALSDELKPHLLNLGVFDVLIPLTESE SIEVQGNSAAALGNLSSKVGDYSIFVRDWADPNGGIHGYLKRFLASGDPTFQHIAIWT LLQLLESEDKRLISYIAKSDDVVHMVKSISDKNIESDEEDQEDGEGEVIALARRCLEF LGNGPRQTLVEA AFLA_094910 MPATLPLELIFEIAGILHQAGDCLVSYTTVCRRWQAAFEPFIYS NLIVYSDDAYKGDQKGISLAHFQKATTGSGLFRRAWIKRLQYDVLVPFELLDWTTHKK EGYSVDNPVREANDQAFQTAITALFETLSSWNQSHRLSLELGLLGRQMGEEPEPHTWG YEDAGEYRYDYRNGREMSVPPYRARFHNNDASVLAYVPCVDKLSFTNEPSDGESDLRH RFHQIWAGAVAQIVQHCPTITQLHLNLDEWVRPDHIEYIRGRRDDFLWPLDKAGRPLP NSGTLQWPNLTIIELNDVPPFLPSGMEYPLCSPPYTNHAFQTPGKWLALPTPEEQAGL DEPDEEISSPDDVIWDYDRGYASRKMVDDEEYHRFFTSMGRAARHMPRLKSIKFHLQH STRLNFSFQPDSDPITAEWHSRAIPAYHPDERVAEAWGFPIEDFHFDPEEFDTVVNFD CWPPGDV AFLA_094920 MANSVREANDWAFQTAIIDIFRKLSLWEQNHRLSLDLGLRGRRV GEKPTPEPNTSYSSIAGDYRHDFTTGRTDAVPPYRARLHNNDASVLAYASCVGKLSFT NKRVAYNALGECRHRYHQIWAGTVAQIFQHCPAVTELYLNLDEWVRPDHLDYIQARRE AVSELFSNAPRSLRVLHYTNQSENPWKDSLPALNVLSTEVDTLAINLQGLSISLRELK LEYTSLTLDFLWPLDNVNGSPYPHPMTKNK AFLA_094930 MGTFEAKGNWDDIGKALRLPILCWKYYYFDEIKETLVKQGLVAE WKDWVRSEYQRAETQVKTFLLEWAQKSYDLNRPDDPNVSLQPGQARQKDHKIVRMFET YLDAVHNLQPWNSDWDMQIDDGDGMDTGSDGGGSDDGDGMDID AFLA_094940 MPIFYESLSDNLRDWALRQPLFFVSSAPYRGRHINVSPKGLPDS SFAVLSPSKVAYVDSTGSGCETICHLRENGRATVMFCSFDATPRIMRLFCTGSVIEWN DPRYAGYVKRMGVKSLVGARAVIILDIFKVQISCGFGVPLLDLTVDPETNEPKPCFTN RPRLGKFAEYTINRGELPEYQMQWNSRSLDGLPGLHSAMRDKGEFIWWAHVTNWASYY HFQLDIIKTGMALMFLVMVVAQWVGYVLYQW AFLA_094950 MTVSQVRRVAVIGAGISGVVSTAHLVAAGFEVTVFERNQQTGGI CINRPCYKNLTTNVSTPLMRIKLRAWPENTPDFVHHSVVNEYIRDIALSTGVDERTIY GARVEHVYKDGGKWHVNWSVLDDNGSIDGLEERRLISTFDAVVVASGHYHSPHIPDIP GLSEVKKRWPSRVIHSKRYRTPEVYRDENVLMIGGGVSSMDISRDLGPFAKMIFQSTR NGDADPPALMLPDNADANDTILVTNGTQVHNIHRDIFYIPDPTLAFVGIPYFNTTFTL FEFQAIAVTAVWSRTACLPSTTEMRREYLVKQKQTGGGRKFHSLKDKEKEYVRDLMAW INDGRNAHGLVPIEGHTAAWFEAMDKLWDEARAAMKERKEQQEKIIKRIPFSADCTSV ELPHLN AFLA_094960 MSPFIFAVTLTFAILALGILRRRYFHPLSRFPGPFLGSVTSLYQ TYWHVHPNKTLHDTELHRKYGPIVRYSPNGLIVNDPALLPVIYNRRANKTDFYAPVFD THSTFTRKDYREHVASRKAISHAVGFLAFFRTEYSYGLVLLMIIFKQYSVTNTRLFEP QVDGILSELAGSSVTPSQLARVIFHISRNFKVQEKLYEELVAAEQDGRIPPLSAIISD EQAHRLPFLSACIREAQRYAPTMSQLPRYAPEGTGLELHEQYVPPGTSVSTSPWIIGR NKDLYGEDANSFRPERWLEASPEEERRWDHFSFHFGYGARKCLANNFGLMQLYKVAAE VCAYPIRCLLRYRAHHECRYFVVLKLKLKGRTRIQSVEGRLRVPGFALIAEQDPGHKH NGYINMDLFRA AFLA_094970 MRWRGRMEFKTRGATVWRDGPLTLALRRLAMTSSVVICSHWPRV TCELKINLAPVWEDSCCLLLCAELLMEGRLLGAQFNSASSQTCLYLIDG AFLA_094980 MKLILTLLVSGLCALAAPAAKRDGVEDYAIGIDKRNSVEDYAIG IDKRNSVEDYAIGIDKRNSVEDYAIGIDKRNSVEDYAIGIDKRNTVEDYAIGIDKRNS VEDYAIGIDKRNTVEDYAIGIDKRNSVEDYAIGIDKRNSVEDYAIGIDKRGGSVEDYA IGIDKRNSVEDYAIGIDKRNSVEDYAIGIDKRGSVEDYAIGIDKKRGTVEDYAIGIDK RGGSVEDYAIGIDKRHGGH AFLA_094990 MAERSSNGYKEVPVRQSEESTIAEEEKDTLLEARSYSRRDRKRS RSKAVWFLIALLLLSNIGLLGGLIHYFRKTHHKEKDVPWLPPKTVGRGFVNINNDTAL PDQPGLDQSLPHQRAMISVFHQLHCIYMTREGYYAAREGNLDQVNAAHLMHCWDYLRQ AIMCHADTTLEWIPAPPNDKGSTGWGVEHTCGDFDAIARWAEDNRLKTTYGIH AFLA_095000 MGFSWYGVLLFVQLISSTIVYASDPCAQIDHYVAWGKKQGRNKI SGIPGHLAYDVSSMPFRSDLAVKL AFLA_095010 MANVQSRYNHLFPSPAAAFSGMYTGGLWTNNLGSWPGKANQTVE FSNGTKMTVETTASVMLDRGLDFSSGESLFQTACMPNKKSRPPDPRPSLAVGKPPYSI PLGGPSMYPDPIIHHKKDFVRGYYLHEERLEDVAVLQLPTFRLIGESPVSLARVAVQF LERARKDGKEKLIIDLSNNMGGDINLGFNLFRILFPDKPIYTATRFPSTELIGLMGRV FSTSQGNEAVEHDNTLDLPLVFQNAVTPDHRHSFGSWEKLFGPVEIAGQNMSHLHATY NFTTASTEDNPISGYGGIEFGPSTQLFHAENIIIMTNGICASTCTILARLLKQQGVRS IVFGGRPRAAPMQLLGGSKGGQYWSLVTISHYIKKAREIAVNASGAGSPILSEDELAR FLELAPPPLTGFPIRIDSRGGSGVNFRNEYDEKDPTTPLQFVYEAADCRLFWTAENYV FPESSWVAAADAMFGDASCVEESDGHHITP AFLA_095020 MPSDGNHLTRSTGGNGFIAVNQTERYTLPPPIKQLALEGQDPRT DNPGTDGTGAVHICKDFDGILAWADSRRLVDAKHN AFLA_095030 MASKWIEEQPLVHRRDIRISSKSRIAAGLLVLLVLWRYGLPSSI HFGFSSEEPKQLGAVASEHALCSRYGADMLERGGNAADAMVATMFCIGVVGMYHSGIG GGGFMLIKSPDGDFEFVDFRETAPAAIVALGKNTSAGLRSGVPGEVRGLEYLHRKYGV LPWSVVLEPAIRTARDGFLVQEDLVNYIDMAVEETGEDFLSKHPSWAVDFSPSGSRVR LGDTMTRRRLAATLERISVDGPDAFYSGPIAEDMVASLRNVGGIMTLEDLANYTVVTR DTSHIDYRGYQITSTTAPSSGTIAMNILKVLDTYDEFFTPGTTELSTHRMIEAMKFAF GLRTRLGDPSFVHGMEEYENHILSAEMIDHIRQSISDSHTQDTSAYNPDGLEVVNSTG TAHIATVDHQGLAISATTTINRLFGNQIMCDRTGIIMNNEMDDFSVPTSSPPTFGHTP SSTNFAEPGKRPLSAISPAIILHPDGSLFLIAGSAGSNWITTTTVQNIISGIDQNLAA QEILATPRVHHQLIPNHAIFETTYDNGTVDFLSQLGHEVTWYPPAASMAHLIRVNADG GFDPAGDPRLKNSGGVVALQRRKFW AFLA_095040 MKSVATSSLDDVDKDSVPLGSSINGTAQAETPLENVIDVESVRS HFPVLGGETAAFNNASGTVVLKEAIESTSNFMYSFPFPPGVDAKSMEAITAYTGNKGK VAAFINALPDEITFGQSTTCLFRLLGLSLKPMLNNDCEIVCSTLCHEAAASAWIHLSR ELGITIKWWSPTTTPNSPDDPVLTTDSLKPLLSPKTRLVTCNHVSNVVGTIHPIREIA DVVHTIPGCMLIVDGVACVPHRPVDVKELDVDFYCFSWYKLFGPHLGTLYASRKAQDR YMTSINHYFVSSSSLDGKLALGMPSFELQLMCSPIVSYLQDTVGWDRIVRQETVLVTI LLEYLLSKPSVYRVFGRRNSDPSQRVAIVTFEVVGRSSGDVAMRVNTRNRFRITSGIC LAPRPTWDVLKPKSSDGLVRVSFVHYNTVEEVRAFCSELDEIVTRDT AFLA_095050 MANPQTTRVAVVGAGISGVLAAGHLLATGLEVTVFERNAAPGGV WYAIPFSGLLATREADAWARLYDERTPIEPSYPAMKPSKADPPATNEQETSRFMLQHA PPGPCYYNLQNNVPTPLLEVSLKPWPDGTPDTVRHDVIQRFIQDMSIEAKVHDVTRYE ARVKKVVKDGAEWKITWSTPQVGLQSETSEFEQVSPFDVVIVASGHYHAPRVPDIPGL SDTKRKYGSRILHSKEYRRPENFRNKNILMIGGGVSSIDIANDISPFANTIYQSTRNS KFDLVESMLPENGVRVHEISHFEIQSHSDEPLSDDEPLPLTIHFESGQNLHGIHMIML CTGYHITFPYLEEYHSDETTLQDADENILITDGTQVHNLYQDIFYIPDPTLVFVGLPY YTFTFSIFDFQAIVVAQVLSGTVQLPTETEMRSEYNAKVERVGLGKVFHSILGTEENY VHDLLTWVNTSRAAQELVAIKGFSPRWYEAKEALRQKYRAQVNK AFLA_095060 MAVEYFQEKLNKWRYSPVAGSPDEEGGNATVKPKASFVPVYAGL TIISLITVTVSLVHLLSGTGTTTTFPPCKNPAVRREWRSLTSSEKQNFTQAVICLASI PSTWQPNGTIYDDFAILHGGIGSWCHRSASFLPWHRYTLVVFEKALREHCGFTGQVPY WDWTLDWMNLANSSIFNSVDGFGGDGDRTGQEVVGGGRCVIDGPFAGLQPILYNHTYV RHCIARGFRDGDQAGRISGEYYRPESIGGILRKQSYVELVREVEIYLHNPLHQGVNGD FLAMTAANDPLFYVHHAQLDRLWWRWQQESPDLRLKEYHGKHMYNSTGNATLDDILMY GGFAEDIPVSRVMDTKGGFLCYTY AFLA_095070 MSGTSPPTPKDHITMVDHDYSDCSEDVSLIGADREHRRSSTPDG LYQHKINRHYNPLYIAVVASLTFLITDIAGQIIVAPRLAIFEHIICKAYYTQVSGAAG TGMGDCKVEPVQSELALINGWREMFDNIPGTSYTLDRFGRKKVLLIAMVGCLLSDIWV GVVTWFPDTFPLRAVWFSGIWQLIGGGGASISSMAFAMIADSCPADLRTTAFSQVHAA VLVAELVSVPAGAALANFNPWIPVFGAAIFMVLGILFAYVVVPDVRPAGSKREGGSDG DFLSSAQESHPTWLMSIHHRWRKIVDEFRKDSSWIRDVNVLLIMASFFVCQLGRMISG ITLQYAAAKFHWKFDKASLLVSLRAGVNLFVLAAIIPALSYILVKRFKLNDVVKDKRI TQINGVCLIIGSFVMFLAASPGTLVFGQTVFALGFAFSVTARSFLTGMVDPMHIGTVF TGVTTMLYGGLVIGSPMLAKTLQWGLQLGGIWVGLPFLLAAVLFTLALGAISAARSY AFLA_095080 MSGAQRRKTGCWTCRLRRKKCNEDGQPCSNCEARGVFCHGYGPK PSWKDRGEREREEAQRLQLISRARTRRARATPTNSINGEPRRPSIDMNTSDMGSPIQM GLGSSDSSIFETSSLDLLDIPELGSSLWEPTLDIIQVQPPPSDAPSALQFPSILGDGL EEKEIDLIMYYVVEVFPRQHSSYQGTSVMERSWLLCVLKRSSSFYYTSLSLSAHYRLM SMPEGGQGRTALLQEYERYKTCSLFRFQELVSSATRPQSPISTGVVGESVICGVQIAM LEVRMIIIVFSFWHIFGNRNS AFLA_095090 MQSSYLHLSSAALSLAQLLDNTSALDSSSISTNTTPPSSVLTTD LYHAGSMEYKALRCFSIILIWNDILHCSAQQTIPAAAKTYQKLLADESFIPLFADIVG CEGWVLVPILEAMLLASWKKDQEAKGQLSIRELLTKVDHIESILGDRMKRLAPAVLRQ KEAGISSQSPEQIRLVHTYIFAHASFVHLHTVVSGAQPSVPEIRQSIDKSLAAWQLLP PSLINFKTMAWPFCVCGSMAVGSQRELFQKIMSENFQNQSTSSNLHCLKSVVEECWKN FDKRVPEQSPSSYNWKVVMEKLNLSILFI AFLA_095100 MTIRTCQSVYDLFRTLAVDAQAPRVYLCEYSYEISLEEQNVHAL AAQTQRLFYRYRRPRAPGDLEQNVRAGLDQASILEAARGAGYRVVRDGKVTPDPSFLE GHFEVQYVEGEKFMRRVKEEALTEAQESEILASLARLREVHEEWKRAGHETVRNLDIW WAVLELGA AFLA_095110 MVLNYKGIPYTQSFHSYPDIAPLLQSLSVPPHKQGRFKYTLPAI CHPSSVKSSPSGAMMDSLPIACHLDETYPDPPLFPSGEASYALALAIGKLMVPAALKT CDLLLPKAEEVLDDRGKEYFVRTRTEIFGKPLSELRPKTEEGVRAIVDGMKADMEVFI SMLRGRGEGKKSGPFLEGEKPGYADFILVTFLSWSHRFDMELWREIMDMGNGEFRALW HASVQWLEGQGEEKEWAVPQLSTVD AFLA_095120 MTASETTRLLPENPPDLVSATKGTEDPSRSSRPQLLITIGLCLS IFCVSLDQTVLATAIPRITDEFQSLKNVGWYGSSFLFVFTATQMMWGKLYQGYTTKRM FLLGLAIFELGSLLCGIAPSSATLVAGRSIAGLGAGSINSGALFIITDTIPLNKRPVY MGFLGCVHAVTKVTGPLLGGLLTDHASWRWCFYINLPMGLVTAIVILFLVPTNQPKMA HSSLKDKIKSMDLPGSLLLICGTVALLLALQWGGSEYAWDDWRIRGLFMLSGIMLAAF AGVQVWAGDKATIPLRILCNRDMLSITLWGIFNGGAMIVFIYYLPIWYQAVEGFSATK SGLMTLPTQLGLVFCSLAGGIFVSLVGYYTPFLIASSVISTLGAALLSTLHPSSNLIS SLIFQVLLSLGIGLGSQNSTLVPQVAVQKEDVVIAISSLTFVQALSSSVSLVIGQSVF HNRLVENLRVSAPSIDPSMVEKGVTMLRDTIPPEMLADVLGAFSRAITDTFHVAVVMC AFSLVGSASLRWKSIREKTVEE AFLA_095130 MSTMQATLSFWGRWPAYESQFYRPEDGEAYRLQVYSTTPIQPEE LNKLYEYLCFDLSQRPFLEIYSYNPPDGLACVEHQRREVAHRKRLQAEQRHGEYDESL APLIPTMRTGFDDQFMSGFCFLLTSKSYLQGSFRDNDHGTGPLWISFDRSLPSAVKKL DMIKRLGSPATNLRTFAEWGILVNPETRDINVKTTADQSEMGSDLKELMTGIYSTYVY GEIDYGLHEPPPPALSETLTFHHTQQILEQQRQMIEGQSVDLNVLHLTWGPEHKTVTV TNYSLDSEYDLQYVIYVQFLADIEQDKTALLETVARTFTAGIISHLPASKTIYFEFRI PGSSSLSSLLSAPPNGFDVGASHEFEPGTTMRALPLINRDFSIRPLPHHFFTVVLDKP SCIQEPGVLFYTLWTDPRQYIESQTADIIIETRRSAGIHEAARRLAMLAVEENNQDSA RKLTREEHMELLSLSPEEYEQKMIF AFLA_095140 MLYDLGRCIYRYWIAPRFLRLWFQILSRYPIRQVGHAILLGCYI KSAVDYIFGDAAAWFGECVSWYVQNKFFDNRIPVGPLLKNSQITAAAGAIVDGKVFLG RPWRPLARVIYQYSTLTGVVHPEGWAPMAEGATPYVLIFMEYENTGAGSNTSVRLYET PASAAMAKSQLWGGDTGWYDKAY AFLA_095150 MYPRRGCLLHGQLQLPVLPRHPHSQVQGFDQLGTDRERYQSALS NLPQSSNNKDNNASRRELFTGGIHAPTIRYHNGVFYIVCTNLTDTANMPSNTDFHSSN FILTAIDLSDPNSYSKLIYFDFHGIDLSLFFDKNGKVYVQGSWIYGYDQNPATVIRQA EIDVATGQLLTGARDIWSGATGKVPEGPHVYYKDGWYYLLIAEGGTHARHKITMARSR SIWGPFESDLANPVLTAEGSSGVVQCVGHGDLVYDKDGQWWCVMLARREYGNFYPLGR ETFLTSVEWVDGEFPVFKDVKIKQRTKRQVARKTDTKWPPGPLTLLGESNSPHNASTN SVHVRWVAATEQGIYTDNVYTSVLIVAPTLNASLTGSGPEGLAVPDGTPFGCSDFRTY NIDFRNVYAEQSAGPANALSFSRANGGFYYSGFYSYQDTVYVGKLGNAYFHSSIVAKQ TDFLYGFGTAWLELCDLVLQGYGGGITAWKGTNMTYPNKFGVYVHASSINAANASVVV EQKGRCALGRPWNSGHRSIFAETYEDGTIQDSGYVLWQAPITEQTLMGVYENIGPGWN VGARREYIYSVILDKETWEECNSPAKVFLTEEGTPGNVQWIDQRIRWW AFLA_095160 MTLRSISGDINPHKFRVVIVGGSIAGLTLAHALAAKKIDFVILE AREEIAPNVGASIGFTGNAHRVLDQLGVWDELAELATPIIHNYAWNDKGHQLGYTEAF KLSQVRHGYPVIFLTRQQALHVLWDKLPDKSRVLTGKKVVKMEQSTTEATVQCLDGST YTGDIVVGADGVHSIIHKEMSRQMETIQLKDSLRSENKGMVMQYRGVFGISYSVTGIR EGEMHNVFVKGASILVIGCKDHVFWIVGVKMERTYYASEALRFDPSQLEDSLAFLMNK YVCAGVQFKEVYQRTIRCNQLPLEEGMFKRWNSGRVACIGDSVHKMTPNLGQGGCCAI EDAATLANTILEIVETPEKQQVPNIETRLEFWATASKPRTRLICTLSESVIRMQSLDN VVYEITGPLFSKYYMDTFADLISDMGVGGECISFLPLPERQHTGTMPFGKRHYIGAPI IPSGRLFWTIPLLICFFLGIITSPGKAPASSSWDIYSVIADLGIFQAIWTMESARFCN VITFMSL AFLA_095170 MFMASRGARSQELSELHKKSPVIRTGPNTLSYGDVRAIKDIYGH NTKASKDPSYIVSAGTHYHLADVVDRADHARKRKVLSSAYALKNLETWEYKVSDKIER LVKHLDKCCTAAPVSGGRAFVPSAEDLTVDIRAWINFFTLDAMADIGLSEKLGFLDKG NDICVAERKDGSTFECGLRDALYPLAIKQCMVLWNYEWFPIINKLVDVFPYFRNLQKK GDAWEHIIWRRSSERLRRYEAGEKLNDFFQALMEDKNGRPNNLEFGEIAAEVNIMMNA GTVTTAIAITNVLYQLIRHPEAMAKLREEIDGVLGPDEIVASYDTVKHLPYLRACLDE SLRILPPTPHGLPRQTPPEGMEILGEWVPGNTSVSISAYVAHHDESVFPQPHKFIPER FLGEAGKELGPYFITFSAGARSCIGRNISYLEQTKALATLVHRYDFALPYPDWEPKRF ESMNHILGEMPIKIWRRSFDG AFLA_095180 MVSACINMPPVDPVGRRCLLVSTACGMAAGLLAVAISFVYIPMS LDTLEVQDNTITPTAIVVLVFIIWFVAFYGVSFGNTAWMSADFFSTEVRAIGTMFMTC CCWGSDLIASSAFLSMMKGITPSGAFGFYACLCFIGWILIIFFYPEMSGLTLEESQEV FQHGFGVRYARQLRKERRRGGE AFLA_095190 MMDGMKNKNTKTGNQAWRRKPRKWAPKSRLGCKTCKIRRVKCDL SQPSCLKCLSTGRTCDGYGEMPLAVKPEKTEMDSTHYQNSCKSDHPSTTISAYESKQW HSKYHGIMLQNLGSFLVLPVTGPTQAEAMCFFNDISIKHLNGYRPCEPWRNTLMFFSQ TVPSARHAAIALALIHRNYLECHSNGRMYQPPALKDRLPDKAPLLHYNRAIQLLLNPE SDDSAEITAVTLLVCYLFTCFDHLAGDDVQAMKHLRGGVALSRNTTLNCCTYGDAQSS GVHTTICQVTQQIRRLDMQAAMFLVDWTPANIQETSLSDSTFWSLDQAADHLQVLVAQ VMRLRNTEQQISPTGTMSPLPSSPKDIVLAQLGTWSSLFENLLQQGSPSESDVAIHLL VSLLRLQHTIAWTLLRGYGPGREMDYDNFLPQFQQCVALAGEVAAAHQRYSGSSRPTF TPEIGFIPVLYIIGVKCRHPKVRREVLTILRRQPIREASWDSISTARVVERVIEIEEG AAGEQQMVPRPRIHNNSLGHALSASEKAITSLCSAGPFLGAIIADLTADKYGRKGPMY VGFALFTVEAILQAPGYSVPQMAVGRLTVGLGVGSAAMIVPAYIAEIAPMKYRGHMVG LNNVSITGGQVISYAIGAAFASVPHGWRYMVGLGGVPSIFLAILPPLCPESPCQLISY GKVEEAVNVRHWIFSNASEEQISAKIQLISETVQELSYQPRGAAGGI AFLA_095200 MNRLVNPLILFMSLGLKAHSLHDRGMVYGFDNVSLGLYANLTWT PCFDAFTCSRLEVPFDYSNRSLGTTSIAFIKLAGKNATVASPSIVLNPGGPGGSGVDL LLTYQDLIGQMLGEQYNFVSFDPRGVNNSGLHLDCFSGDTKARSAFYRLHRTGATNIS STSLEEQYYSSSIYGEWCNNAVESKSAHSYYVTTPAVAHDLLTFTEAEAEWAGQSPSN AKLWCYSISYGTVIGSTFASLFPDRVGRMILDGVLNAEQYYNNYWTDNVDQMDEAMET FSTFCHSAGPEKCSFWGPTPANITARMDGIIRQLQNHPVPLSGVGGPDLPTMVTVSDL KTLFLNALYTPLALFPRMADILHQAEGGNVSALAGMYDQSNSITDARLAITCTDSYRR NRLTTIEEFEIWVEYTTSKSKYIGDLYPIFLEDEISALNVPTSFPILFASNTIDPITP LESARKMSSRFAGSVLLMQEAVGHTVINQGASDCYFGHIQAYLQGTVPSSNTTCARQY IPFIDASPF AFLA_095210 MPSKRKCLGVDCHNEAEALQCPTCLKLGMKDSYFCSQDCFKKNW AEHKTVHKTAEGKTQNGNLRLMIHSKIVTEPDPATGLYNPFPTYSFTGSVRPVYPLSP MRTLPTSIKRPDWSETGIPKGERRLHRSKIDILDAKGQEAMRKVCRLAREVLDITAAE VRPGITTDYLDDVCHKACIERNAYPSPLNYNHFPKSLCTSPNEVVCHGIPDQRILLDG DILNLDISIYHGGYHADVNETYYVGDRAKADPDSVRVVETTRECLDMAIELVKPGTLL RDFGKVIEKHAKSRNCSVHTTWGGHGINTEFHPPPWIPHYANSKVPGVCKSGMTFTIE PILTLGKPREIYWPDDWTNVTVDGKRTAQFEHTLLVTETGVEVLTARLEDSPGGPIPI PTTDNGNSA AFLA_095220 MPSSAEQTFLIYELTEHIILQLDDPVKILRAQRVCRRWRDIIQT SPILRVACWYQSSSKLKHAQTRPISDEKAWRLNPAFDQIGVPLLKAPGEDAELIDELQ TKGHFMFEANLDDTPVSWMTMLATQPPCQQMLIECHPDYSGYQTM AFLA_095230 MIVYGPAAYLTKLCLLWIMTRVFHPFRKAVILIYIFLGLMLAYY IPALIVKICICNPISKFWAPTTPGSCLNQSKITLADAVISVVSDLIILIIPVAIVSTL HLPTRKKVRVIALLSAGGLACASSIARLVLIVSTAKSQDITMSFMRINMLGNAEISLG IICACLPALSALTTHIYHQHLHSQHTCKCSLGSSEHSRRRGFWRRASDQDALFQNAQA DPQIRAIIYGQGDNFESTGNAIEIFRTVEISTEVTSA AFLA_095240 MPEEHIDQTVQHWNTALQILCISATTLCVALRVYTRMFVFSGLA KEDAACLGAWSLGVGYSALALAMGYHGGGLHIDEVSDHDQRIFHKVCRITYLV AFLA_095250 MRTWHWVLGIMPRGLSMKLVFEKSDKFIARRIEAGKVLSSQSEQ LEKCLGIDWGSTPIRLSTPYLDNNLQDAAGELDTDIGVALRMGGEAGAIVSLMAGSGT TCLFLAGDEEHA AFLA_095260 MGLPDPNQFTPITLQIPAKLNLYLHAGALRKDGYHDIIMVYQAI SLYDTMTILPSRDPQEFTMTVSGMDSDRILADARNLVMKAAKRLADFAGISG AFLA_095270 MRGVSWSWKSWVAWEADKDKARWHATELPVSEVAPLGPLSSTHP TFGTVLVAAGTGTRARKAKCSKVYRELAGETVISRVVRAFRAWDPGHPIVIVRHENDA ALLANAIEGADAQVYETVGGATRQASVLEGLRFLSSLEQPPSHVLIHDAARPFISPSL LDKIRDGMREQPDVGIILAIPVSDTIKSVDENGLISRTVPQKGLYRAQTPQAFSLPTA LNIHDQLAYDTSVEYTDDASLFEQAGLSVRVIQGEEKNMKLTYPTDFEQAG AFLA_095280 MEEQERKIQAAISDVKEGKFSSVREAARKYGVPSTTLRNRMNGV TFRPKKWANCHRMTQEEEDALVQWTLSAIERNQTAPSRAQVEAMANTILAKRGTPINE TVGGTWVYSRLVYDTVVGSANAENGSPSANPDSETGQPGPQRLALPPPRGHSTHPYLE ATENLKRLETLLSTFKELETEQSETGSSSTQTAVDKLIKGCEMIVESGYSLLKENRDL RAALAEKS AFLA_095290 MLGVAANSVQRPVVEYEQSSVSSSFFRVLLSSMTNVTDRRELAI FENTSRQNKEHKSPEVQNYFIAKGRRSDF AFLA_095300 MCATTDLELFQWLLQREPPLASLSGQDFQGRTALFSAAVGLGDV ENEEEETEEEQARRVCILASAGEASSDRASTIWVTYTTRVTPLHIAGLFWNADAIRVL LDHCGGVDRTAMASLATGRLETVTLLVEADPAAVKVPHPEGPPPDQPLDVDLIDRVLE QVRVNDPAPVDGSTALHYLVRHWDQLDLLRYLVQCGATVNATNHRGKTPLHDVMRGGL FVFDRRGCWRKLEPVDWDRSIQARDAMLGVLIEAGGCMEQRNCAGDTPTQVWDQVTER QRRRLQQRDVARQLLARGRGAGIIGGRDNNLL AFLA_095310 MSTPQRSSPPNEEERRVQLPLNPQRGIGLATLTYTRNGPVGEDR RFYFQDDRIIPAEMVSRMNRSQETRSQDLEGISSQCSLSDDGESQEEPTEACHCYRDT EIDESSHVFNGDMVDGMKAPRVRKHHMRGGSVKGKSKLVNGDLDRHTFLAFFCQD AFLA_095320 MPRLANLRTGSTDYEYGQLPYNHTPDGERDVANCKFPSIPKKMD ERWKRNATWGIIGTAFFLMVSFTGVAIAFTVVFAVKSPNVGGYRSDSPEYALYKNTRF EECYRAPGSTTNCTAMRVALNSSTITGFGYLRSSAVLNENNSSEWCEAVSCFNDYKVI PSTPRDSAMWPTLLTSWATCAGFLVGSLFQLLLQQKALYSPRNKPCKGLGEVHWYSWL FIGYDLVSFIWWWVSFGKLAAAPESAATPFIVGWVIPWKYAGLFRYHPFSCAFGTNRC GKNVARGIFYILAVIQWIASWYVIHINLPSLTAPGPGWGLRAPDPSYDCVQSQIDAAP GASTCSATQICSRNWLFVDFGFHLLYLNANPLIAIGLIFLALTLLALSPLAMMIFACF LRDKSPSLSPRSMLRWADPGPIAIISLLSVFEIITGCILVVDMVKRLNGTPDATVAFD WECQAIHVALSPWRYYIDLDYERGWRIAKLWFNS AFLA_095330 MLQEHRKFVATITSFPYDLGGNTFVLGDAETIVPFTNMHSMCRA ILHNLIDSEGDAGNGRSGTKGPSCLYRFLIRGQYGYGLVYFQYWTSLQLMNCIIDHGA AFLA_095340 MRFLRAGALAASLSATSALKNNTYDYIVVGGGPSGIITAERFAE AGKNVLLLERGVGPTVSTGNNETLSWNRTLTPIDVPGLSADIANLDVWNQYMCTDTDG TAACVLGGGVTVNYMVFVHPPDHDFDDKWPQGWKWEDVKPASERLYQRNPGTTQPSAD GNRYDQGLYKVLSKFFNQLGWKSVDMIAAPNEKHQIYSYPAWNIVNEKRAGPVRTYLP LVKDADNFTLRLNSKVNRLVRSGSEVTGVEVVDAVTGKTEVVTLAPHGRVVLAAGALS TPRVLFNSGIGPKKQIETAKKSGVAVPPQEEWIDLPVGVGLKDHPIFTINVDTGADFG LLDYDSIVNGSDTTDINLYRQNSGVLTQGKHRMIFFTSNQVNGHTRYYQGSCAPAGEG VVSITAYMTHGLTSEGLLGLDEKGNTVIEQSPYLTTAEDRTAAKLFIDQILYDITDSS TGFKLQGNTNTSAILASPSVGVHYVGTAKMGTDDGRKNGTSVVDTNTKVYGMDNLYVV DGSMHPDLPTGNIQATIMVAAEHAAAKILAQH AFLA_095350 MIVRYLESPVGPYDEILWAPGVFQDPRQDKSVKRYRITRIYVSS LDSIYNGRKNWNIPKTLAKFEFIPSDANHPPYRQIKVSLPDTPEEPFVSLDLQPIPLI SRPLLPISTAYVPMNLEIVMPPIPQSENWKENGLVGSDNNEWRSVRVDIAGKTGVIKV RGELGDGISFPELNWNGLWFWVDDAKMSCMNVGE AFLA_095360 MGFGDQPMGQPDRINGTWQLRRISSVVVNGDTENVHTLYQKDTH KGIILSTPLLLPLKKGENTITVGGLWNGFDYKGADLDRIVVYPPEGVKKRWFW AFLA_095370 MAGLSVTSLLTAVESTVTSTALPTISRDLHAGGYNVWFASAVFL LRLVPASPSSFTDRITMALPAPRFNRLTDSLPTFLVNDGPAVALPASGSGISGGASSS RKRQSAGQYYLLLPYYFTPTSASDSAYLHSVSVSSSIGPFIGGALTQHVT AFLA_095380 MSGSPSNGMPKAVVPSQIEPVVSAVEDTEQNAGVDRIVQEPSRD GVSISDKDSTLFQGGVQRVRAITSLWSKNTMWLMFVLLYLVSFVDMLLVSVQTSLNPY ITSSFHKHGLLTVVSIMSTILGGSSKLTLAKIIDIWGRVEGFLFMLLIVVIGLIMKAT CKNIETYVAAHTLYWVGHIGMMYVVDIMLADMTTLKNRMIMLGINGTPSIASTFAGPR IATLFYINLDFRWAFGAFAIMITGTSIPVVGVMLYMQRRAQKVGIYEKTVSERSWWQS IIHYFIEFDGV AFLA_095390 MFISCFTWNSYFSSYLQVVHRLDITTANYVLNAFSLTSYIFSPI FGLLIRYTGEFKWTVFTGIPILLLGTALLIPFRQPTTHVGIITMTQILVGLGTCIFTV CGQLAIMAPVTHQEIAVVVAIWGLFGSIGAAVGSAIAGGMWNNILPSELYKRLPDESR NISATIFSDMVMQMSYADGTPEREAIVGAYADVQRKMVIAGCIIRESNTGLIDGNDEF YH AFLA_095400 MQLYKVFAVLAALQPALAKSLVDFSAARGDNPSILGLRNLESVR NTKLDENTKDLYIKLDKDPKGTPALHFHRKKDYIRAEYHSLKNQIEVDKTYYIGYKFS LGAIQQSLMIWQFKEYSANSHGGANIPLSLEFKSGKLNLQYQASGDAKRVSQWSKELK TDTVYSIGLVINTSRPGWVELYFDGEQQTLSSGSTRLKANTFPGQADPKFGAYRGEEV QIDTYVYNIQIGTTIDDIKEAAGLGSSPKPTATSNPTPVPTCAWEGHCEGATCTTEND CSDELVCKNGKCTADGAVPCSWEGHCEGATCSSENDCSGELVCKNGKCTADSAVTCSW EGHCAGAKCSSHDDCSDELACTDGVCA AFLA_095410 MGDSLVGALAKNLNLGGGGGNPPTTLTTTSIPEPTGGSGSCSWP GHCAGATCSSNDDCSDDLACQNGKCASDGSAETCSWEGHCKGATCSSNDDCSDELACI SGICSVDNGVETCEWEGHCEGASCSSHDDCDGSLACKNGKCSA AFLA_095420 MASTEEQSDKTAKSQITTNVEVEQGHLDELSEDIDEKVEYDYES ERSPFPEVRAVVPETDDPDLPVNTVRMWFLGIVFTILGSGINQFFSLRYPSVHIVSLV AELLAYPCGVFLAKVLPVVTINLGPLGSICLNPDHHFNIKEHAMIVIMSNVSFGYGSA DSTNIIQAASPKFYNFGLKAGFSVLVVLCAQLLGFGVAGLAAPWLVEPASIIWPQVLS NCAMLETLHSRANSVANGWKISRLRFFLYVTAGGFIWYFFPGLMFTALSYFTWICWIV PRNAVVNQLFGMQTGLGLSPITFDWSQVAYNTNPLLSPSWAAVNVFAGFAAFFWIVVP GIFYTNTWFTAYLPLMTSDVYDRTGAVYDTARVISPQSTLDLEAYKSYSPLYLGATFA FVYGLSFASITGVLTHIGVWHYKDIWAAFRGKNRLDVHARLMKSYKKTPWYWYAAIIV AMTAISIAMVEVYKTKLPVYGIFLALAIPAIYMIPCGIVQGITNVNANQLNVLAEFIG GYMFEGKPLANMIFKILSTDVVGQGIYFAMDMKLGHYLKIPPRSLFLAQGLATILGAL TQAGVTIWMLGHISDICSEDQPDGFSCPNGRTVYSSSVIWGLVGPRRLYSVGQIYSSL LHFFWIGAIAPLVTYALYKITKKEYWKYVSWPLIFTGTANVPPATGINYSSWALVNFI FNHFIKRRFFAWWTKYNYILAAALDTGLALSGIVIFFCISYPGAVFPNWWGNTVYENT ADADGVPYKPMPKIGYFGPTNGTWS AFLA_095430 MEPVSFAFGAVSFLEICIRLGKALYKRCQAYQHADRELQEANLC IQGHWIKIEHQLSALRDVWPALGNDLQVHQNLVLQVLQGRLQTAVSRLDSLIDPQRLS GFDRAIARVADPARLRYAARAKPALVAIIDDLERWQRAFDPSWFFLARISVPLIDEEL TRERADQSDVVSTVVHLRQAHKTNRTDSEPPNSMFLSGNYAIDKQELITSSVSMGYHA DQKIIVDHVPLKEYMDVTQTTKDVRSLVRVLSTVGPDHFGLLSALGVIKTTEKETLTG FNIIFAVPPHLSQSQPRSLRSVLTAGNEYNLDERFKLAIYLACSLVYLHSSSIIHKNI SPENIILFPTPGRLGVPFLVGFERFRPSEGRTYMTGDAKWERNLYRHPRRQGLHPEEE YSMQHDIYSLGVCLLEVGLWTSFVQYKGSRPLLGPGLPIGEFLCDRDGRRSAVQIRQT LLEIAESRLPVLMGKIYANVVVSCLTCLDRDGSFGNKAELEDEDGILVGVRYIEKRML RAILFEALESRLKSF AFLA_095440 MYGALDLVNDSKLSVRFYEDFFYNEVFDFNSKKPGGPLHRRKKE QKWIRERRLGRGTFADVWLYKCDEDVGTKFQAVKVIPRLSHSTKAIDYTRELEAMFKF SHRKYKGLFVEALGWFDNPRSVFVTMEYIELGDLEQHLDPPLGEAAAQQISSQLLEGL EHIHSNGFVHRDLKPANIFVVQKDPHWLVKIGDFGVSKRTREGDSAFQTVAGTPGFWA PEVNMTLGRDDVQYTQMVDIWSLGVMLHYILTGCLPFSQHLQLRKYIQDGQFPTALLD ARGVSSECKTFLQKLLVVEPTARLSAKDALLHSWIQSLSHNSHVNGAELESAYLGDRI REANETPSLSTPIPQPSPSQDGIEGTTAEQTSAKSMRKENGSRRGEQDTTIFERECAY LHSRGVVAYRRLWASKAERIFRSAHNKRKLGLGADHPLTLESLHWQGKSLFRLGVVNN AEKVLRKAYEKRKATLGPLHPDTLESLYQIGSGLLRQGMEKKALDPLWKVYHARKKSI DSDGGGAVKSVERLTECLQKLGKHDQALKLLLRYYEELKARPDPYSGAFLGIAGCLAP CLRELKMYAEAANVYREIAEFWRLVGVSFLRFESMIASPEFSFLRFESMIASGNCLLA GSLFKEAEVLFTEVYEQKVREYGVDHWKTLYSAHGPGACFYGLGASFYGQGEMIKAHE MFRKAYECRQEYLGDNHTLTRESLQRMIEAE AFLA_095450 MDIVAETGIPVRSFSVYAHPSSSGFAFNNVDPRCLQFDILKDPA FINSWAQVEKLKFHHNFVPGNIGEWVASVITLAPRLKTLEIGFDYTPDAQVITTRLSS GPVVLSELRELALTEASEIEWDELLTLLRFTCRTLRCLTLQRLQLKRGTWIPLLAQLY QFPLLEDLTLFWLSTSQKRVLHFVSLPKSLRGTLQGGKLKLDHKQFRGIWRTVYVAYR GHDMQVLLNALLQSARDD AFLA_095460 MPYSLQRLKEKWEASIETGHWPSARAQGNAHGDDVALNSELLHF SPDFAPLFKHYQNEYNFATDRWQLIRLLEDRRWLACSRCLKLHMVASFPQRERKKRPE DRTCRLGDLAGVVELCPCIKLSFRGKMDLVDLLRTRQQTLTALATQVGASSLERFCWH SCVMNYGSSEMEIRVFPELDKTDMLKVKVEYRLTIEAGQLGKEESMIPLLGCAHRAVD LWLASLTTGDPQYYNLQVRINNQTTISTLKRVKGETVAHTLVPADGSWTPEQIRQALL ANTLISMTGILGQMYTAFPEEGGYRRDTWNLLLECFQ AFLA_095470 MPGRPLKELWRSLSFSAKTSLVGEFAAYSSCLFRNQLQGIGNLY TSPSILKDSGLPEATWPAGNPSYSKKSSRSEKEPMPAALSDVGRIVSMHFFWGSHILQ DVHRGPFMSSSDWITSRLSLSEKDCQSTLDNLPSGDLDSDDEADADDATRTLEIIGKL KTLLPSIFPLNGDSPEPSILVHDDLSSRNILVHDNGELAAILDWECVSALPLWNACNY PAFLQGRPRHLEPDLGRYKLEANGEPCDLYWEHLWDYEVTLLRDVFLDRMKSLEPEWV EVFHKSQPQRDFDLAVQNCDNEFLARRIRAWIDDFTAGVDNPRSLHDRIYVN AFLA_095480 MKEGKKVVLDCLAKTMVEMRDKEDLDDPDMAILASTFMIGGAET TAAIMQWFSALIPVYPEIQNQPRKNSILLLDVTISLVSKMNKICLTAMLSLKRSREFT IHSGWVRLMWLVRTASTVKSIFPRKLLLFSIPGPCTMIQHVTLTLRLSTRRICPGMLV AGREIWLSISRMLWAFDMYEIPDEPIDLK AFLA_095490 MNYLSSPIPSTTHHAIYTNMWDVANRRAIVPADSDYRLTLTTIQ DLARVVDEALGFEDEWPEVGGITGSSTTSSEIIRIAESIRGPFVIERVSKENLEAGKL ATSWTPMLPEFPHLNFDMDWAVFSEQVIAEIMKGGLQGAWDVSDEWNKLLPDLKLTTL GEFLTRYWKSPS AFLA_095500 MKGVQFVQVDYNNKEDLKKNLKRIHTVLCFIWDTSLQMSLIDCC IEAGVRRFAPNEWAARCNAGIFQYADKDIVHAYLQNVNREKKARRHFEL AFLA_095510 MVLTGSCMCGLIKYEADAEPAVKAVCHCLHCQKFSGSAFTTNFI IPRSSFKILRTPKSGKYTADSGSTYNTFFCGDCGSSLYGQPDSMPEMMSIKAGSLDGD SANLSTGNIDAEAFIERRVAYLKPIEGANQVTGMIKP AFLA_095520 MMKQWVVDHPEKDMGGMDLREAPLPTVGTNEVMVKFEAVALNYR DCAIAKTLLIKLAELQGTFPFAHKYPIVPVSDGAGVVVEVGEKVREFKKGDSVITIFN QGHQYGDIDPYAASTGVGGTIDGCFRKFGVFNETGLVKAPKNLTPLESSTLPGAALTS WNALYGLKPVKAGQWVLVQGTGGVSIFGLQLAKAAGASVIATTSSDAKAEELKKLGAD HVINYRAVPNWGEVARSLTPDHAGVDHILDIGGTETLEQSLHCIKMEGIINLIGFLGA SEKPQPGLLDALNHICTIRGIYVGSRSMLQDMVRAFESNNIHPVIDPQVFQFEQGKEA FEYLAAQRHFGKVVVQFNHSD AFLA_095530 MALLLKILLAALPAVVAGLPPTATVKNGTYEGKYVALYDQDLFL GIPFAQPPVGELRFQNPQSLNSTFGTRNATEYADSCVGYGNSAAWPYTLSEDCLTLNI VRPAKSGQTKDDELLPVGFFTHGGGWSMDYSANGVYNLSFIVEESVKMGKPFIAISAD YRMSFWGFMASQDILDAGVANLGLKDQRIAMQWINENIEAFGGDPAKVTIWGESAGGG NVCYHATAYGGRDDGLFRGVIAESGADGSHMKNLTEPQQIYDTIVGIVGCDGSSDKLA CLRTVPFEKLNATITEISGSFYPIVDGDIIPDLPSVLLDAGKFTKTPILLGTNADEAT MFAGTGVNTDEDIASLIQSSGLDANTTEILMALYPDIDSLGLPANYRVQPDGPVGKQF KRSVALLTDQMFLSWRRLRTDAWSKYGAPAYSYLFESPTSSTAYKGTSHFTEIGYVFY NRIGLGYAAGQSPLANATQDVLDLAKLTTRMWISFITDLDPNNHGVSGVDHWPVYNAT GGYGQNFYLHRSDGGVRPDTFRLAGTAFMNSLAEQYGR AFLA_095540 MRVTGFFTLLSTFPFLSGSWPTMPQRRSRLLARADEPPTLNGLV RDFDKLSPTEIDTFTPHLSRRDLEKLHSCVVSEGACTGHLHDLAAHADEHGFLKSFKN SH AFLA_095550 MRGEKNSVESGLILCLPGKDKETNGIYSSHWRQGRNIDNEGSWA YQCKRTDGCLTFLSTFALLAASPVATVEGSNAARGLPWVDNIVSEVSGGLPSMMKGTW DT AFLA_095560 MRVTSLLTLVSTFALLAAAARPAAMVRRQSNAVDAPLSLDDLVT KFDSLTAEQQDEAVKKLSPKEILRLKNCLYFKEIPEILKPTCPESLTRVAELADVLDL FNAHNLFEKDPRIWNINFGRHSPGFNINIECVELEHVQLQPESQKNKGIQKDVDVPKD LAIIHDPYKDLRLG AFLA_095570 MSGKLDKSLDEILVNRRQGARRRNRRSTQSKAAPAAVGGVKKST KAAKPSGKAAQAGHPMSTESKIMVSGLPSDVNEANIKEYFSKSAGPVKRVMLTYNQNG TSRGIASIVFSKPDTAAKAAKDLNGLLVDGRPMKIEVVVDANHAPEVPAAKPLGERVA QTKSQPKPATASKATDSTRGRGRRGGRRGPGPKSNRPKPKTVEELDAEMVDYFSNENA GPAEGNAPANAAAPQPANGGEDLGMAEIS AFLA_095580 METLKAVFFGPDPQAQMRKCNALIRANTRQLDRDIAQLRTLENK TRQYIMNASRRAERNPSQAKQATQEAKTFARELVRIRKQSTRLHTSRAQLQSVQMQVN EAFSVRKIQGSLKKSTGIMKDVNTLVRLPELNATMRQLSTELVRAGIIEEMVDDAMPD NELYEDELDEAEEEVSKVLQEILQGKLAQVDTVKAEEPLEETPAVEEQFEDQEATLEQ MRGRLEALKS AFLA_095590 MPELSKVHPEVTWAQRSSADEAERNYLYVNIKAPDVDRKEATLK ITPTNVTFAGDSKKGVRYEVSLDLYAEIDPENSKVNHSDREVELVLRKKELKQEYWPR LLKDSQKVHFLKTDFDKWVDEDEQDEAPEDDYANNFGGLDALGGGEGGLGNIDFSKLG AGLEGMGGPGGPGGPGGFGAEGESVGPVNLANRFVNVKRLQLLTRYSRMTRRCPSLRR LTRMLRSRLSPLKSRRSLNYMQKII AFLA_095600 MDSSDNISQLRVIYNKGDHIVLQDEERKTIHKCGKEGFKIYEAL TLIYINDNTNISVPKIRSIQYEDGRELNVRYDEAGKSTNIRYEDDKVYEVKYDEQGKS TYTPCGNDDVVQITMGFVEGDTLEKVWKDFTYDQKEVLAGDLKRYVSELRKLKGEKIA ALNDGKVRVLSGSRYAQEGGPFESREEFNQFLELIGVDMMLHASLDDNSS AFLA_095610 MIENANTTIPVPKLHDVHWEDGKVTKIVMDFMPGKPLQEAWKGM DSTRKQRVAEELHGHISELRKLKGSYIGALGRGKAIIGKFSSLEGGPFDSEQEFNEFI LVDMVRTAPDLHRHYTKHALSGDHEIVSTHGDFAPRNILVDEEGYVTAILDWESAGWY PEYWEYIRAFQ AFLA_095620 MPPKKQNNEPKKKKASVEDKTFGMKNKKGAQAKKQIEQLRTQEK SNKSADAKRKEAEKARREAEKKAAEQAKKEAAELFKPVQVQKIPFGVDPKTVLCVFFK QGNCEKGKKCKFSHDPNVERKAAKKDLYTDSRDVKATEEENKKKDTMDDWDEEKLRNV VLSKHGNPKTTTDKVCKFFIEAVENQKYGWFWVCPNGGDACKYKHSLPPGFVLKTKEQ RAAEKALMDKSPLNTLTLEDWLESERHKLTGNLTPVTPQTFAEWKKQRLDKKQAEEQA RKAKEATGRTLFESGNWRAEDESSDEEGDDDDTFNLAALRRETERIREQKEEERLARL HGAPVPISNDETIAQEGEG AFLA_095630 MPEKETIVVIGAGVIGLTTALRIQETLHRNQTIQLIARDFPNTT SLNYASPWAGAHYRPVPGSTPQALREETQAKQTYSYLKQLAKSDPSSGVAIIEGIEHL ENPPSEYLDERSIKECYGHLDGFRILGKDECPEGVKWGARYETAVINSPVYCAYLLRK FVLGGGVTREYTVTDPREAFYLAPNVRTVVNCSALGFGDERSFIIRGQTCLVRNPCSQ TVTRQNSDGSWSFCIPRPLSGGTIIGGTKQPRDYDPNPSVETREKLLANAAKWFPFEP GSEGKFDVIRDIVGRRPAREGGMRIEVEKVGEGRFVVHAYGAGGRGFELSYGVAGDVV KLMVGNKLVGERASL AFLA_095640 MGVQKKTRKFAQVKRAIKKHDDRAKKDNNAPKQDKAKGDEVVRA IPQAPSNMFFAANTALGPPYHVLVDTNFVSHSIRAKTDMLKSMMDLLYAKCIPTFTDC TIAELEKLGDKFRLALRVAKDPRWARVRCDHPGTYADDCLVDRITKHRIYIVATNDKD LVRRIRKIPGVPIMKVARAKYVIERLPDHFE AFLA_095650 MQSLTSLPTEILHKIIRFAEPESLKTLRQVCRRSLGEIVKERHF ESITVYAKEESCDKFTDFLENEDRDCLHLVTKVYLDLSAFEYDGYRVYNYGIWEGPRE KTFRRLVRLFPRLKELPRLRSIVLGFYPESPGGVDGVLDVPYTLGIRSAAIKEFLSAI TTLPQVPQELAFRELLNVNESNQDEVEKIEKVLQNLRSLRLNITNPHNWGDPETTLHL DLRGVHFPRLRTLALGKYAFVHDSQLDWILSHRGTLTELYLDDCTILWAVAPSNKERT YLGEDSYTTHPNLVGRGYATYDTRWHHYFQSFHELRHLRHFRYGRSEYWRGNTIPFER ETEIIIGMHEESYLTFSDSYAQELSYDYGAWLRIKWEEGGPLPWIEEDQTSLEELLAK IGQRYVIDEATEHQIALARELRRPRAAVAPIDE AFLA_095660 MKLPASLILLLLGGAIAAPTDLGGKEPEYEVCQLPSDHKGKVHL GEDGVLREFDLEKKVTAFWPLSPKQIKEFHDRFPKEEREKLQKAFEGVDGWNVKDVEK LLYPDEKYWPKFEEEEEEKKEKKEKYRRGDGGWWEKDEHEKEGHEKEGHEKEDHEKEK WRKEEEEKEREKHENDEKEKYEKEKHEKYEKDEKEKWEKEKHEEGEKEKEGHGKENWE KEKAHKEKKERERLEKEKYEKEKAEKERQKHEKEEAEERHRQEEQRERERAKHEKEEK EHKGFEKDEIERQREEIERQKHEKEEKEKWGKEKHEKEYEKEEYGKEEKKEHEEKPGW PQWPKWPKKEEHEKEHEKEKDY AFLA_095670 MSFTNDHGSGDMFNSHMSTQNNNTGNGNQFSGSTFHGPVNFPQN TQNERPLQSRQMDVLKRLNVSKYRDQKDRNPVRVRGTCDWFVTHPTFRAWQETQTSQM LWVTADPGCGKSVLAKYLADSVLTSHAGRIVGYFFFKDDFEDQRSIVKALCCILHQLF YQNRDLLTAHILEQFEMDERIVDSFGSLWNVLISAAKQTDTTEIICLLDAFDECEPDG RSQLTVALERLYTDESRHNFNLKFLITSRLYGDIRQGFQPMQMEGQSLIHLSGEGTEE MEDISREIKTFIKARVETIGARLKLTEEEESILLKSVTRVPNTTYLWVYLTLNLIESI KDIDKTRILDATSDLPKTVDDAYERILSRSEDCQKARKLLHIVVGATRPLTLQEMNLA LILSGKHQSYRELELRSEDRIRENIRDLCGLFITVVEGRIYLLHQTAREFLIEKNKPP LQGNTSDSKLPVLHHAAWRFLFGDTPKGNVDSIPSPTWKHSLTLQESHHIIATICIQY LLLSDFRKTLPRGIDIGELTNTYIFLDYSTKSWATHFLKANDKTDNMKQSLVTLCDLI EDCCPAWFQVYWASLGTEFPTGFNSLMIGAYFGLVPVVQHWIMKKTDFDAKDEVYGRS ALSWASGNGHTAMAQVDLRDRHNRTPLSWAILNGQVEAVGLLLKAGAEIDLEDDIGGT PFEYAICSGNNGVWNIVKKKGSQNHHLPIDRIQTKLLISAARKGNEAIVRHLLENNAD IESIDENGQTPLSRAAENGHEAVVRLLLENKADIESMDRLSRTPLFWAVENCHKAVVR LLLENKADIESKDIFSRTPLFWAAIKGHEAAVTLLLENKADIESRDNKYRRTPLCEAA KNGHEAVVRLLLENKADVESTDDIFGRTPLAWAAENGHEAVVRLLLKNNADIQSKDIF SRTPLSYAEISGNKAVVRLVSRLDSHLMSWPVSLRYPQCHMPCARLTFSDT AFLA_095680 MINNYGGKASYYHYEGKPLVSTFEGPANSDDWLIIKQSTGCFFV PDWSSLGAKPAVELGTADGLFNWAAWPWGGQEMNTYVDASGDNLWYDRWQEIWYLKPP FVQIISWNDYGESHYIGPLRDYAMEAFDIGRAPYNYVTDMPHDGWRLFLPFLIDTYKY GKATIDKEGLTLQIEFHPTEIVQDHIFFSALLSAPATVTVSVGGVSQQASWTWQPDGG VGIYHGSVPFGSSLGDVVVTLSRSGKQIAQVHGSSISTNCVKGLTNWNAWVGSASASE SVNAKPETNLFNQKCINGTGANNFAGLCEFGCKYGYCPLGACYCQLQGEPRETPNATG PMGYPQAGLDASYSGLCAFDCPHGFCPDTACSTVSAPLSTPTVSPFLPPACIGGTGEG NLAGLCDFACNLGFCPINACTCTAQGALHTLPEATDKVGEAGPGMDETVYGPLCEFTC KYEYCPEGACAVSTSGGEGDDKGSGDVYVDPGIFLKPSPVVGFTTTAMSYFNMPVGPG QTRPFSFYLTPSYSPEPVTLEADGTSTTIFLPPVSVQSITPGGGVTQTPTSLGTTEYY TNGQTYTYSEAQFPSLSDLATSTITTTTLPVQTSPATSATSTTVVPVWVQAGGFYWSP VPQPTSKPEDIPVPPLPSFPPIPKAPRFKLFDLFSIDCPPNRFLPTTTFTSHAPFPSC TDINSPGCGHRCTSNCGSSSSEESTAQTATNYWVTCSDTSCSTTKTATFTGCSVTNSA TTTGNISEIAVVGGDPYTVTGGTINVDGTTIRVPNVDGNEQVSTTIDNVPMVIIPGYS GTVAVPVFPTAKPTSDSGSHSTTSSTTTSTTTTTTSSAKPAPTSADGCDLMKKQGVCW NKCDPITGKAVGETSQPVKEEWKAGDPWCWLKTDQAGAFCNNDKDCPADLECQPSSWA KGGCSASTPIAAQLLSFHTNGASAGPLGLGPGLY AFLA_095690 MRQALGETDDFKDWDKYTPMNTDGRTFRPGSDEYYALLYCPNGR GIGWLLTQHKAHLTVSSITVFGQDGEPMLYFKIDPVEKSD AFLA_095700 MLSSFNTIKFIYLRLCFPLFHNLQMIMVQSNRPQLLAVDSQYEG LPSGREDEAMLNPHPILFLVVCGVYQA AFLA_095710 MQTRDSKLLAHTGWAFVPEQNETNFVFVSGNVVIDFEEPFKAHP DFKPVRKLPSTRYHRGLFLRVLDYYSGILFFTTNRVGTMDEAFKFRIYIRLYYPYLDL DQSEKIWEVRLDRLATIREEHGGGRKPLSIDREAFDEKRERQRQVEWKKIRNAFLIAS ALAHNERTRGIKNGGNPCDLNGRHLKTVVKAGTGFEKYLLETRGMTDQEAAYLNSTRA DDLQSQKAVNASRAAAPASAQPAKPLAYPGWVNQYPSQPSFVPPQAQAAYKLATSPSP ANRLYPQQLQQPHYVFPGPSLQNTYGQPLIAEGYHQPQALTPVPQVQLMNRFRF AFLA_095720 MRPASSICVRWRPPKQEHPGVAKGSLAIWDYALSKGSPEEEGRE EPQIVIKSSATQDAGERSPSESTHSGSSTHLEVEDFYVLHDIYMFGLTFAKDFQQALE YCYRHSADLLDETFAAISSCLSWARFGLLTADQVDVRSGAASVEKLRNAVIVDTHDAL AVLMLGQALAAFDSLVTSTGEISILRCSLSLVRPWYPDIARNRLLEPIAIAPIFWDTV WCLLHREVPVIRPLFNRAGVVDRVAGLCTSLLSILYDLCVVSKQLADGSVQETILEEV EHRIRTWSPDDSGLCLETYSELEILSMRTQATMYRTAALLLIHRLRHPLTFEDTTATA LASDILDARSQFFADAGTGAKLQNTTFPLFLALLEIPISLEGLWESSTWLRTRPACVD RLFVFNQYYWDQRRSGFDGSLFDLIESGPEFVPGP AFLA_095730 MSANHLLQLFLDKAKILFSQLPPNAQNYLSRPFVHKAIALVAAI QSLRIANSYLSQRAQNNWVRSRPWDASKELVLLTGGSSGIGKQIMQDLAKLNVKVIIC DIQEPKFSLPSNVFFYKVDLTSSAAIKEIATKIRRDHGHPTVLINNAGVGFGGTILDE PEEKIRLTVEVNTLAHFWTVKEFLPSMIKNDHGHIVNIASMASFVALGEMADYACSKA GALAFHESLTQEIKHWYGSRRVRTSVIHPLWVQTPMINDLAQYRSQFGQPIMTPEKVS QAVMKQLVNGNGGQVVVPSSQGLAAMIRGLPNWIQERLRDRSSQSFVRLRRMEQELAG SQVQQRAMT AFLA_095740 MVTTSFDVLIIGAGISGINAAHRLQTDFPNYRFAILEARNNIGG TWDLFRYPGIRSDSDLYTFGFKWFPWNQSNPIAEGGDILRYLSDAATAHGIKQHIRLN HRVNAAKWDGHEWSLEVQCENTSEELNARFIIFATGYYDYHNPLEATIPGLQNFKGEV IHPQFWPAKFDALGKRIVVIGSGATAVTLIPSLAEDSESVTMLQRSPTYLTSITNARL NTIWNRLLPNSALYYLRRLWYLVVPQLFYRFCHTFPNAARSMLQKGMGAQLPLGVPVD PHFKPRYNPWEQRLCICPNGDFFRALNSTKAKVETGVIDTVDEGGIQLTSGKRLDADV IVTATGLRMKFFGGIPIYIKGEKLNTSEKYTWNGLMLQDLPNAALVLGFVNASWTLGA DIAMQLICRILKHMENKGYSTAIPTRENQCPLANKAVMDLKSTYVKRAAKELPKASDQ RPWVSRTGYFSDLYFATFGNLRKGLVFS AFLA_095750 MVQPGYSAYLVLAAFLLNADEKIAMEVEALHVIRKKTSLPVPEV SAWGLARENQLCLGPFILLNFKNGICLGEVLGGGSSRLIREDIRDTDIEFVYRQMAHF MFQFYRIDFSQIGSLPTLKTKFPAPGHPLTWKAHEILRVGGVDTWFVVRVARYWRQLP LQPNSIAGPHSARSRYASLKILESLIPELTHPTYDRGPFKLICDDFGLGNVIVRSKDD LTITGVVDMEWVYAGPAQLFGSAPWWLLMDRPLNEEWDFEEDDAPEATDRYLKCLEIF IRVLSDEEGKMTGNGRKELTELVKRSKDS AFLA_095760 MLEHTGLAPRFLGHIHEHGRVVGFVLEKLNGRHGGIEDLSVCQA LLQHFHGLGLLYGDVNRYNFVIQQGCAKLIDFERRRYCPGEIEAMNAEMNSLRDQLSE ETGCGAGIIFKEIEPMVIDEL AFLA_095770 MVELSWSAATVPDSRPMRMKTSVPPITSEAQTPESTFVPCASTA SLFLYAEGSAIVCLHHDTLAVERRFENHTEDIGFISVDNVSERGAGRLVVSYDVSQTA VVWDLFTGSVIARFASFEQLKVAAWMRNGNVAFGNVKGDVILFEPSTSEHISCRTIFD PITALAPSSDCRTYAIGYQNGSILIATLHPTFTILHTMSTSRGPSRIVSLAWHASSSK QKSDMLATLSANGDLRVWSVAKPAGKDAPRVIRVLKRSDTSSTTEPKWMAWSKNGRIV QYLEGETWAWDVRTKHITSEPVPTIDNPRGFANYGPTATLFTLGPRHTVQQYDLESPG LVANVQHPPGGALPASEEARPRGASARMLQDPPEMKESGAMFGTRRAPFDPSGIEAVR QQRAELTSPVSSRSQANTVSSKASSGNYRIVPFSSPSRSGHTATSFSLTSASGRDTPQ ASGASYAYASSVSMSSAKSSRAGSRLRNEVHPSPADKPMDLFPFTRERLNDVPYSRQQ PLDESHLTPDDLRRQMLSVVFGWHDDIDELINDELSRHTPGTQSAILLAQWLGESDTD QMVSMLSPGSASTADWMLLAFSQMRCESQANKVGQAFVQKLLEIGDVHTAATILLGLG DKNDAIEVYVSQSYYMEAILMACLVTPTDWQRQSYLVRRWGEHVVSHSQQQLAIRCFM CTGVEPSEPWTSPAAQQAASFAEVMSRRSPANSPEPPYPNPLGLLPPGSQTKPSNSRQ AKTPALKLITSFDSQPSQKLRFPGLKSDDRTPTNAPGVTPIAESAVADSALSPGGFGS YKLNNIQSLNNAMNSRTGTPAFGRGRLPSIGETPVDVQPPTFPSSGSKRLVDYGSTSE NDDQEDQSGNEKEEQIGLLPSSTYHPDDAFKPSPQTAVQTNADKFAGIKGIPSPAAGL FEALKDRSDSRNGSRDRKPDGLQLHLYPTEYSGTDAADQCDSGNFRSPASTLNSFSSA KSPSVSGRSIDQYISSLDEANYHSKKHHNYRSNGVGQRTTDETASQTSERRHRSRNTS QQARGRHEKRYIPPAKRSPSSPVPMSPEEIARYQAAQEDHDNARRMKQRSKTRSASRV RKPRSRNSSERRNNRSSSRHTASRVGTEKHDRGRSADRKGSYNRSPSPPLPTSTTDDA FRLVASDRERRSQQRSSSRRPDKGKAEPRARSRSRHDHEPTMGTEHGQYDVGATPRGL VVEIVPQSDHDMLTEESRAIHSATVPPAVPHIGLSEHRRKELAAAELEARRLSLARNP SAPNIPFPGELQHSRIPESPPFSVNSLGPRTPGRRRASSSKASPEHRNSSDSNSSQSG PSGLATTPRAMRHPRYNQETAPSVPNVPDSTILLSDARYQADAQKIGRSMSVPVPELK QPGTVPSDLPMHPRFNPCLPRSRSTSRTRNMGHRRENSKEQGGYSYGGSPVDISIEEG IENAMELKYYETPPVLPELQHLNALPPPPPPAPVMDCASPRESSGTIDIAIDNENMGK LLPRAMTAGPAVNMETQPTLHRRRMSFEHRRGKSVNESFSSKIRNLARMGSVNRAPDS WAETHFPYESIPVADGRI AFLA_095780 MVLENAQTDPIPPTGAEPTNDSDNTPNTKYQYHSLYIKRDLIAN PDPFTLYFGFFGRWNYDRKVTANVLRRVENARVLIQRLPTQDELDAMVTHSSRSLYHE RIGAPLGGLVGSALLWNQARKSELYPAYFPKASGPEGKMPSPGEIIQAAKRFAVAEPT VARRAAFVMCFKMLFYSVAGATFSSVYAVYKETTNTMGDSRLKEFLADLKKQNPDEIR KRKMESRGRRSVGEQHGVVEMDQGGEYASEYSGGDVQSTGVSVPDRRPVLEPVGGGGL GADQGRGGDFFDEDDASPTAPEYRTSRAAQAGYSQGSAWERIRQQNTSAPAQSSRQDA SSQQSPQWDSWSSPSSESDKQREREQARAEFDRLLDAERNIGQESASEGRNKGWGKWN AFLA_095790 MKPVTLLSLASLAAAKNLIPTTCFDSYTSLEEYFSYLYPWGSDH NGSARMVGNSTDHDYISVESGTLTLVAKPTSGQPATSGGQEINYLSGAVHSKNTFTVE AGSGFDIEAEFQATTDKGTWPAFWLNSAATWPPEIDMAEWKGTGQLTFNVFNTSSEVM NHNTDYPSPSDFHKVKTQIRAENDADIMVKYFLDDVEVTTQYGADYVGKPLYLIINLQ MEGSSGSPGPSTDTYYRVKNLSFDQI AFLA_095800 MVSLKIVQASNASLRAIPNITALFVGGTSGIGQSTLRQFAKHTD NPTAYIIGRSESRAKPFLCELQQLNPEGRFNFIESDVSLIRSVDAACKQILQQEKHLN FLFMTPGGISLGGRNETSEGIDYLFALRYYARMRFVQNLLPLLESAGPSRVVSVYGGG FEFGIKTEDLDLKHNFSLLNAYKHSITMTSLSMEHLAKTHPAVSFIHVYPGLVGTNIY TNSFPPPISTFYNYGMWPLMWPFSVGLQESGERHLFHLSSARYPAQNGIMAQGVPVKS GDVAKGTTGETGSGAYLLNWDGEVRPSRKIMEEYREQRVPELVWRHTQDLLDRAVCR AFLA_095820 MAPTFPAVVFPPGTTAADVRKDNPILLLAILDVASSGFCELEIQ RRLRKLVVQTTSMSLRAPNVMRWTHCMDECLEFLETSPDAFPSDKVLCQYIRLQRITE EAAMQLSLKDFSASRSSRAIQIQTSYALSKRQLSDWRNSIREDGFDGNSHAEAPSGNQ TSATITIPADIFSECVETIDHIFRVFTSLDMSAIRVLPAVHLIRMIYTALILVKLHFA AITSSNADAQPQIDRLQVSKPLACIIQMFAGWEPLWPATKLMAVFRRIRSWFEDDDMM KRDGSWLNVWRLGPPSLSPQDTQSSTDLLGPDDGAFLSPRSQDPSWMVSVDPSILDTI PLSLNPPLELSNSGFTPTTSDCMISNMIETRDTDATLNMDLELEQLPTIYFDSHSPQL PLSHDSNAGIYESSGAENTRTND AFLA_095830 MASKPFAIIAGVGPGTGASIARKFAQAYSVVLLARNPANFTPVV DEINANGGQAAGISADLSDTNSVKSAFEKINEKYGGSTLAAAVFNSGGGFVRKPFLEL TEEEFASGFESQGKGGFNFAQRTLPLLQKATGLQHPPTLIFTGATASLKGSASFSAFA SGKFALRALAQSLAREFGPKGVHVSHVIIDGVIDIPRTKAWTFEHEDAKLDPAAVSFL FFFLPFFLRLLCGLGFE AFLA_095840 MSITIDTSVDIDLPTPPQSNGSQKRNLLLAPPSVAAHEEKLRDV FSTFDRSSTDLQMLDRLSAGFVSLPPNTYDLVLVLTDAQSDEAVRLLTRDVYTALVPA MKAGARLQLQQGSLGASEGLEAILAGLVEKDGGFEKPVQEAAVPLKLGGRKKKDKTNG VNGVQNGVATNGASTNGVGMFDPAQNNDDELIDEDALLSDDDLKRPLPRPQNCVPETA KKRRRPCKDCTCGLASQLEEEDRAREAKAAQDLNILKLNTDDLNDELDFTVQGKTSSC NSCSLGDAFRCSSCPYIGLPPFKPGEEVKIMNDMVQL AFLA_095850 MIKTCKWLDQPYEEVLANATSGAARSKNQLYAARSDENLKGYCE DPEEPEIEEAPTKKRRRASSSQKPSEISRRSAEQGSEYSSAIASSATCNERDNNTCVL TGFREPLEVAHIHPYSIEQKEEDLKDFWMILRMFWPREKAETWKKQVSGPAGTESCSN MMCMVNVAQNLWGKARFALKPLSISEDQKVLKVQFYWLPRHSYSREMPAIRTPSPFPG NLSSSTVNGQHSAKLFNIATDTKLCSGDIITFETNDPVGHPLPSMELLNMQWVLHRVL ALSGVADATDEDLEPESYQEDTESDTEEE AFLA_095860 MPKNKCLNWTVEWIAPDGERRHRLCLELLTLAEAYDRSFPLSKE EREQQKKDQESKSEQVDVDSSEQLPDTHDLTPSTAEATPHETVPQGDVLPNPGNDTKP DIAPEISKDQPTHSTDEITSHRDLYFYLHRPRTSTKQPVLVPLSPTATLTSALRGRTV LEFPTIYILSDSPNTLSSEDENTKFLLEKDYLRTQPQGEIESGETSETDGDQPAPGSV DISNLDEKKVLEVLQKDLLEPV AFLA_095870 MNVGGVDFVETRFIHDLSPTSYPYLPYSVPFHHTTMTATLERSL SRTSSMSMPISSPRLSLIHETTPPSLSDPALSHIHDRLTILDTSVFQLRSTVLTKDGY VERRNREDDHIRREFEAHRSISNRIDLNVVALRTDVDQLKSGVFQLKTSIGQTGNETV FLRSDVDRLSKNIDQIQSDIENVQTDVCQCRVEISKLHATISQLRTDLITLQHETSRH LNSVFDRFSLIESRMKHSERVRFNSLAHTTHAPITPVPVVEDDGTLQWPEYFPRTVWR FWCLKKRSRINRLVQLAEFYQLGGYQYWGRMHQTEAMFASDSDSSDSSDYPSNLTRAE AVRLYPEAAHQALAATLGLVYYKIRNEVGEGPNTHIPRPPKRQQEDLPSVSSGSRPKP VKMARRPNNTSPTALHRLVTGPSLESKSIVSDESDKLGWNAHSEVSDEAMSKLRNIVS EEVGTLLRALERGRIRLKPGRSEREKMSPIESKTSIRNGRYGGDGAQDDDARTFPNTV PTEILSLSDKTDKTEEHEPELPATESDATSPE AFLA_095880 MPRRRENIECVHCGRFHPQYYGAALKNHARVHQDRRQAVQRQRE PSTETEDDSVSIPQVAALAGRVRLLKEEQLTAGNVAQKVLEVWGHDDTRMALLRRLMT PHLPPGRGANSLLATARSAILTLVGPVVRAGLMSPTYFQPGPLQDPTWPEQEPSSYPV HLSLVRTSIGLALLRDDVRAVEMLVTLEMNPNGMLLCGYSILAVAVLISATEVLDYLL SLGDEIRIDQQANAYGEQEETAISLAWKVGNKDAFRKLLKHNGGEAPGRSLFLICAYE HHDAFDEVLQLDLDGRELLRAQHPVNQETVLHAAVLNTDHAVLDAVLQLAVRVGDSEN DTYPQYLQLRNRQGQTALMYAIEHKRYRAVVRLLEDQDIDLNERAWGGQTALWYAARV MDLELVILLLVLGCDAGNPLPLQFPTKGTPLNALLYAYEDILQRYTHELLEGVQGAQR RFNEGKEDILEIAQTLLEYGCQSNVGDDRWRMPMTERLDAFPEWETLFT AFLA_095890 MGCPPNNQYYYTLHQTLVSVIIPSLTMKLNNITLLPSLSSIPTI IPSPTPISPPKNNTLCDCYIISGPDPGYFTNYRFWDFRNIPLANATQPPAPDLLALDT TLLLSNTPFINDWLPQTWTKTGTTELLPITNAETNVFIAPNPDPQSYVSPNPTYLLLQ TTRHADHVSTAEIEFLRWNILHCSLRVRMRLMSNETALGPRIIPPSPRKPEDKHWGKG RRRKVRHNIVPKGACVGLFTYHSKTCESDIEILTSDPPNVIHYSNQPDYDPVSDTAIP GAGSMVNLSVPWTAWSTHRLDWFPDMSRWYAGEALQAVKAYGVPREPSTLVLNLWSDG GNWTGNLRTGESVFLGVEWIEMAFNVSSVAGGSVGPGESLTRSRGRAVRRADLGPVDS DVSRARSKTVDGEIPKQENIKKGDKVGCRKACYICNRHLYNGDTVPLQVFTLL AFLA_095900 MAGVFATTQRVVMDAEDTSMGSEEQYYDPMDISPLPSKEQSRNI TQPIDVNSDQNVSRPDYWVHFTLPKSGGVPKATRLDGKPATRAPIIRPLTRFSPQARI DPSTGRFKQQFIAQPFLRNTFTSNSIIGHLNSKTNAITSTSRYTSHSNNILKSLPHKP VTIGISVPTTRDSSFNSISTTKSIWDRKTPSFESTTSITTATSFNSRKRSVDGGFNEP THGAMPETDSPSSKYRRVSEEGFPNSAIISKQDTQRAEAPSTHAAHIQKQPTVPTATS PVPRDASTQSQYLTAPVAFAAETTRENISHCADVIPGGWPEQSPHDNLSPTAASNAPS FNSVLRLGEEPLMTGALIVPSSTTSLPGPSGSITTSDESDNADHQRIQAIDTLDGNFY NGQISHMLRPYWATCYTLVQGAYAASGTVKNVLTKTWNLIGQPVIDYVQRRRRPQPSR RSPGLRASPSRVAALRRLPEEQRRMIRDHERRRQRGYPTVQNLPFPDLTLDTPQSLAI GSSLERPSDSFVMSHAANSARDPRKRSSRSPDHWAIGGIKKPTGVQKSPQVKSMSPNL KRRLWPGRNLERGKRELALQKAWKTGDFSEVLKDQGTRVSTHIELSERTERLGQLAPK ERPKPKQKKRVRFKDPIEQFIPEPTLPDLAPYLRPTSPNVDRVSHKRASNEQKENVPP VSSSVVKTDTENKQIGFGGHWWEEQIEHPLGRPVSAVSLFYPEVKPLPPGRTESVYAE EWRKIEEEEKRKQIPARVRVEGPAVRPLSGEWMSRVSNAMATNSNRRIATTLSGDPLT KKDLSTCFTPMAWLNDEVINSYLALIVDYLRRTNHNNGRGDKPRFHAFNTFFFSNMRD KGYQSVRRWANRAKIGGASLLDVDTVFVPVHNSAHWTLIVIKPMERTIEHFDSLGSLS HRHVGVMKDWLRNELGPRYVEEEWRVLPSVSPQQDNGSDCGVFLLSTAKAVAIGLEPL SYCARDIVLLRKKIVAELMAGGLEGDFDPASGGEVLL AFLA_095910 MDKGPNGTPDAGLRSLNHYRNQLPSWRYWPRQKLLPLVRYETPY LAWLQEKVRTPALDSYFAFTANLGTHTFFMVFLPILFWNGYTSLGRGMVNLLASGVYF SGFIKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAVYALALLNLPDST LSPAVNVFLQGITYLYVTSIVFGRLYCGMHGFFDVVIGCLLGSLLAVIQYAYGTAFDE FVVSASGKQIMLVVLVILALIRLHPEPADDCPCFDDSVAFAGVILGLQVAYWHVVKTD ITWDDPVPATVPYRFEDLGVLKTAMRLILGVALIVVWREVMKPSLLRVLPPVFRGLEK LGLLLPRRFFTAASQYTKVPTQLKDHEVFPTFSEIPSIFSNIRHPRRRAISVGPQSEA DAYETLAYREKRRRESLSNSNRTSPLVEEDSRQDISEGHHPQLSRKRSKLHEYETMMG TGNPRLATGVDGAEAPPLTEPFPDLVLDPEPDEEEMFARIKRPRVRYDVEVVTKLVVY SGIAWIVMEGAPFVFDKVGLAPI AFLA_095920 MSSHMYDDQPYAVSRRHSVKTPPPSSTPRHSRGRSQSVRVSNGT ASTNTSISSGRMSEATNITQPPAYSKKFVVVGDGGCGKTCLLISYSQGYFPEKYVPTV FENYITQTVHRASGKTVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCPASLEN VMDKWYPEVLHFCPTTPIILVGLKSDLRNKRTCIELLKTQGLTPVTPEQGQTVARRMN ASYIECSSKEMRGVDGVFEMAVDTVVSAEEQFSWNNRQNHSASGKATGGGAGPKKVKK RTCKIL AFLA_095930 MSMTPGSKSRSSTPTVFEQQREELVREIAVGMEQVLQNINRLNR NLESIVAVGNEFSSVEALWSQFENFMGRPEEEKAESGVKKEELHEDHTELHEQELADE RSELDG AFLA_095940 MWRMNYCGTPAVYLVALIGYGANKRRVFTAACSATALFPAYVSI ESSLQRVCTIRNAQLLQAELDETGLSFFQLSIHGRIKYLTIGRNIFSTTEMAFGPSLR SLLPEFPPGDWNDGLIVKDKSTGKPYFARAVRNTFPSVKNQWHEYSVDYSDIKVGKRL QTGIYEAQCPGFDTVVVAKFARFHWEIRYMESETTAYEWIKDHDIGPRFLGHLVEDGR VIGFLMERISNARHAVLDDLEACWDTLSRLHALGIRHGDTSRFNFLMIDSRAVLIDYD TAQKCDDYNVLCEELDGLAESLASTSNRGGGALL AFLA_095950 MQTRLLCLAALLLPQYGLAVDDQVGAKIDYGTFENPAARVRPRF RYWLPDASVDPTIVQKNIKDAGANGAGGVEFLPYYDYGNVVPGADWVTYGFGTPAFVD IFKAALQAHKDAGLVMDFPLGPNQGQGVPASPGDEGLQWDLSTASVAVPLNGSYHGQI PGWGTGELIALVSAQVLSSRNISNPTESLIPGSEVQPTATQYVLRNSTLQEWSQNVTS TGELSLSFPTTGGQGYRLFAFYQHQTLHQNVPSTSNVSGTIFNGGSYVVDHFSAKGAE TVTRFWDEYILEDEIKQMLVAVGNYGWEDSMEITANVSWTPDLPSIFQRKHGYSIKKY LPLIIYKNNNIGLQTTAPGTIQCLLDTPDQGSGYINDYRAALGEGYRAYLEGLTQWVN AMDLQYSSQVGYNLNLDVLAHVPDVNAPECESLAFGDSIDGYRQFVGPAALASKRVIS NEMGAVNYKAFQHQVTALLWEIARAIAGGVNQFVLHGHTFSGNYVGTTWPGNTPFHFL FSELYSEKQPSWNHGFSEALNYVARLQYTQQKGQPKLDVAIYNKDSATDAQFGTIYNE TDLLEEGFTYVYLSPDSFALPQACVSNGTLAPDGPGFRAMVIPSSGNLTIDAVRDIKK FARNGLPVILSGGLPNAYYTGDGNTDALAMEISSLEEVQNVHIVSPGQASKMLQSLGI APRVQVQANGTWYSTWRSDADGVDYLFVLGDTVDTAGNLSVATTKRPYFFDPWTGSQS PVLEYQQSSGRTVVPLHLAANQTTILGFRGTTSNTSLHATQVPSSVIGYNYGNRANKV QLHVSSGSTDQTLSLSNGKTISQLTTKDPAPAYQLTNWTLTVEHWERPDNLSDASIIA AKHNTTHKLTSLISWQDIPSIANASGVGYYTTNITWPPTTNTADGAYLFLPQTPNAAR VYFNGHRLQAFDFQAPKLDLSAYLVSGENELTVEVPSTMWNYLRTMLDELYSAGSLPQ LEGSGPDPVHNGLIGVVSVVPFVNVNVDLF AFLA_095960 MVVTLPLPPQLTQNYPPEFVFFLHWFAFWTFSALLIIPWLFCVH RLVTSSIGRTQRIKEVLDDRTAPKTVIVMPVYKENPAVLIKAINSVVDSDYPTNCIHV FLSYDGVNIDEPYLRVIHHLGIPISLDTYPQSIDVTYREARITVSRFKHGGKRHCQKL TFKLISQVYEEYNRKHDDLFMLFIDSDCILDRLCLQNFMYDMELKPGSKHNMLAMTGI ITSTTEKNSLITVLQDLEYIHGQLFERSVESGCGAVTCLPGALTILRFSAFRKMAKHY FADKAEQCEDLFDYAKCHLGEDRWLTHLFMIGAKERYQIQMCTSAFCKTEAAQTFRTL LKQRRRWFLGYITNEACMLTDVRLWRRYPFLCLVRLMQNTIRTTALLFFVQVLALMTT SSRFVDLPVGFIAISLGLNYVLMFYFGIRLKRYKAWLYPLMFVLNPFFNWLYMVYGIF TAGQRTWGGPRADAAKADEHTSPEEAVEQARAQGDELNVLVDTFRAKADKKGCFNRAS EQIDRRLSGLPGHRGSHISNHDEAMRIERSVQRLSKRKSSQVFFNNSPRAPTMAISIL KAQRGYNLKVPRHILHQSNLKVPLPLPPSRKMTPFTASRSGSHKECYSLHTLTSQLS AFLA_095970 MSVVGIDFGAQSTKIGVARNKGIDIITNEVSNRSTPSLISFDNK CRYLGEAAKTRETSNLKNTVANLKRLIGRSFSDPDVQIEQSFNTATLCDVNGQAGVEV NFRQQKQKFSATQLVAMYLTKIRDTAANELQIPVSDVTISVPAWFTDVQRRAMLDAGE IAGLKVLRLINDTTATALGYGITKLDLPGPEEKPRRVMFVDIGHSDYTASIVEFRKGE LNVKATACDRHFGGRNFDLALTEHFAEEFKEKFKIDVRKNAKAWARTLAAAEKMKKVL SANPAAPMSIESLMEDVDVRAIVKREELETMVQPLLERVLVPIEQALAEAKLKPEDID SIEMVGGCTRVPSIKEAVSKFFGKNLSFTLNQDEAIARGCAFSCAILSPVFRVRDFSV HDIVNYPIEFTWEQSADIPDEDTSLTVFGRGNVMPSTKILTFYRKQPFDLEARYASPE ELPGKTDPWVGRFSVKGVKADANDDFMICKLKARLNLHGILNVESGYYVEDMEVEEPV EEDADAMDTDAKGDEQPKKTRKVKKQVRKGDLPIVAGTPAIEPSVKEAWIEGEKAMYL HDKTIAETDEKKNELETTIYDMRDRKYGRYARFLEDEAKKQAFDDKLDELENWLYDDE GGADTTLDVYAGKLQEIKKLVQPFEETLEDERQQALAEELAKKRAEEEAKRAAEEQAK KAAAAAMNFEERNETMPDAPAQEEAPAGDKQ AFLA_095980 MHLWGLLALTGAIWSARTEASSASSTGSAEQHYGKVVNQDDPDP LWDKYGLNKSEEFKYFHEPGHDDILGHYDSRYFTEPVADEERPQTMTYMVRAYLNFFE ENGLETWIAHGTLLGWWWNGKVMPWDWDMDTQVPDTTLRQLADRYNQTVVQYSTKNAD VQRTYLLDINPWARQRENGMGLNIIDARWIDMQTGLYIDITGLSKINPDKPNLWMDKH EHQYRTEDIYPLRKTTFEGVAAKVPFDYDAILIEEYGKNALTSTHFHNHTWIPESEEW IPDDQVADAIDDDKQYE AFLA_095990 MPGISKHAVSHASNPVRTGIPAVWMRAGTSKGLFIHEHDLPWSK DLWAPILLSALGSAEGGKRQLNGVGGATPTTSKVAVIRKSKIPVVDADYTFVQVAPDQ AQVDMTGNCGNMASGVGPFALDEELVRPTIQGQRTFHVLAPLLNWQMHITVFNTNTQQ ILVETVHVTPDGRFSEDGDYSIAGVRGTASPIRMNIIKPAGSMTGRLFPSGAKQEMLT VGSAHTPTPFIVRVSLVDAANPFALLSASTMPAAYHGSEPTSPLSLGIIEEIRVAGAV RFGLAEDTATAGRVIGTPKIAHLYPCRREVDVGRHIDEADIEVLPFSLGQPHPSLQPT GAVCVGTALSIPGTVAWDIQRQAPGVHHGDSQTRQAMMINLTMSTVKWLLKHPSGLMG VEVAWGCTRTGRHPWRVSVCSAPHDDCLSKRCFIACNHRSWVFR AFLA_096000 MPTPTMWNLLERQSNGSDSGTDGRMTSIRVYLLAIVTSMGAFLF GYDMAFIGTSIELNSFKKDFGLEHASKAVEDAFSANIVSLLQAGCFFGSLISAPLSDR FGRKLALGLAGLIFCVGSTLQVATLGREAVMFVGRFVGGLAVGAASMLVPLYTAECSP PHIRGRLVGIFEIGVQVGMCIGFWINYAVDQTMAPSTSQWLTPFAIQFIPGGLLIIGL LFLPESPRWTARVRGKRLARQTLSYLRGLPESHPSVESELNDIITQLEDERADNPGKR LWIEIKELTHPGIRARLFLGFMIMVFCQMAGSNAINHYSPLIFRSIGLTGRKTTLIST GLYGVVRLVAVCIAMYWTVDGFGRTRMLMWGGALMAFCMWFIGAFVKLHATTNHVDVK GHTSAGSYAAAVFIFIYAFGFCFSWAGVPWIICSEIYPLRVRSLCMAICTATHWLLNF VIARSFPYMIRNMKYGTYFFFASFLTLAIPFVWLMVPETKELKLEEVDGVFRNRTSWG RREPQLPCGDHADLCSLDAERGKTERVRDVKSAGVKEVEKIDTLKRYWLNTLPD AFLA_096010 MGWFSDDSEQAQHYERFNNYNGSEEHKASFTHELIAGAASFEAM KAYNDHCEKNGQPQSHETAKELLAGFAGAFIDREVETKGLDFIDREKAKRHAEQQLNE ASGRDW AFLA_096020 MFSRTIPAAARLFSTTGKPPAGIPVIVCGRTSQIGDVVREELQP EYEVTHLFLSPATAKSEIPALLRQTGSTTSTETDKSPAAIIMGGGYTQTDLQEIRAAS QGPDTKPVAWLKVDPAKTPSSIPVGPEYGRAVAKRTKDRLDELVRNGGIDRDEVHFI AFLA_096030 MAFIKIEIISDAICPWCYIGYRNLQKAISLYRKTYPGCSKNTIE VWWKPYFIDQEPPKESILIQDRMLRRMDPKMVAAAQTRLKRVGADAGIRFKLGGYIGS SRLAHQLLYLAAREGSELQCRVSELLFHYQFEEETDISQLDTVIAVGVQAGLREDDVR EWLASSAGVAEMEAEAKKARADGVTGVPHFVIGGKHHMEGAMDMSELFEAFVAVREGQ SS AFLA_096040 MRVATQLRVGIVGGGWNGCHLALELKKQGHRVSLFEQKPDIFQG VSGNFGIRLHKGPHYPRSKATRDSCREALVKFCETYPELVVHHESAIYAHGEADALGN PSKVSDEAFRDVCYESPECTAVDPKANGFQGLISAYNLDEPSVAIGDRLRNTFKEKLG RAGIYVHLNATVDRIIHTEDTNRIQTGDGQYVFDVVINATGYTSLLPQNIADALPVDI GITYQTCIALVYEDQQPQEKPLSFIVMDGWFPCVMPAIDTNEPLQKKYILTHGSYTIL GSFDRHEEGQELLDSLDEEAIAARIKPHCEREITRFWPGFLDRFQYRGWKGSVLAKLK TTSEFRSSLTFEKDGVIHIFPGKVSNVVTAAEEVVPLINDIARRRHGVVREWNGVRFT VSSAFHTHSKEIGDKPGLGEHHTSNLQTYVSLVTAN AFLA_096050 MSLNTDDSGRIRTRQRAKRACETCKLRKRKCDGHEPCTYCLRYE YQCTFKPHPRRKPAASKSSARPSEEEDSPKFLDRVDANQEHMEANSGTAFPHLLGMRL NPQGAPKVYGFSWNLGPRDEPLEPFTNLTDLISREEMEDLASHYLKKIHPVYAVLDPD TLRQKIVARWHDPATAASYDPILCSVAALGSLYSGHQEHPKEGALVQSAKEMLETTRI SKTTLLHHATAWILRTIYLRSTNCPHASWMASCSTMHIIEAIGAHQDPELVSLVYSDT ADVSVNDESQRRLFWVATVLNSWISYEYGRSRVILRGVSCKPPLPRTGDFTTDLISMY QISERLDPDQNNKLSDLEDALSRVERLTLSHDALILSQSNLALTIYRRLRVASSNISN DILTRIIRLGNDGLEAAVRLAEDRSPWWHVANIPFQFLCILLAIDTRESLSYVGPALR SFRAITRHYSTPTLHTALETIESLVRLSQNKKERDLTLLRDSMQQEDPGLTEQGSTTS QAFNDASWLGATGDLTLPDNFDWDWNVFLDTQVPFFDEGEAGGQRYR AFLA_096060 MQSFRQYRRMRRDLQESIKLHGPYAAAGDRHVQPTDDILEDADD ARLEKGIHSMNGHGQSPYSTPGIVLHDGQRVTVPGVNLRRASEICERVNTKTLFIVGF DGPDDQLNPKNWSIGRKWATLGIVGTTGMLVGWASSIDSTVIKQGQEAFGVSEVAESL ATALFLFAFGFGSLVAAPFSETVGRNPVYIATLSILMIFTMASGLAPNFGAQLAFRFL AGLFGCTPMTTFGGSMADIFDPMDRTYAFPVCCTLSFLGPFLAPMVGAFIGQSTHISW RWTEWCTLIMAALVTGAIFLFVPETYGPVLLQWKAKQLREITGDPRFMAEIELRQTSL VTRLMHSCSRPFHLFFREIMVALFTMYLVVVYIVLFGFLTGYEFIFGRTYGFTQGSVG LTFIGMNIGFLIAFAMVPHIYFSYKKRLQNAIENGHNGLPPEERLWFAMYGAPWLPIS LFWMGWTSYPSISYWSPLVASVAFGFSVQGIFISTYQYLIDTYELFAASALVSATFFR YIAAGAMVIVSIPMYGNLGVHWSLTLLGCISVLMTPVPYIFYKYGHVIRQRNKKTP AFLA_096070 MMQGEALRFAQIFLIVCPSFILFGYNQSGVGGLTDFPSWVRQFP EIDTVNTTGAQKSHNATVQGAVVASYTLGALFGSLICTWIGDILGRRRTIFAGACIAL IGQALECSAYSLAQFTVGRVILGWGVGMLSATVPVWQSECSPADKRGRNVVLTGMFIA FGFALTQWINFGFYHMQERSASWRGSLAIPALFSLTIMGSIFLLPESPRWLVLKNRSD TAQQTLACLRQKDAVSPEIMHELRGIEVSLEESSSGNMGLRDIFTMGEEKLFYRFVLC ILLQFFQQMTGGTLISVYIPIIFEDNLHLGSSLAKVLAACALTWKFICCFVGFYVVDR LGRRAAFMISGGGMSMCMLALTVSNSFSNNHTASIISALFIFIYNFFLPVGFLGANFV YPAEVAPVRLRVAMHSFSIANQWLWMFVVAMITPTAIADIGYRYYIVFTVVGGLIPPV VYLFYPETMNRSLEEVNQIFHDAPSIMSAVKMSRTLPIGGSVIGEIEGKKIVEEIE AFLA_096080 MSQALFSQGSSTVKLPVKSIKYHGLDEDTAMSKEIATDPAIKLI LSSAFARGRSYWNITFHINQISIDSLETHEDELVYTFKSKLDLMGEADTEDPGDLPNP FTYPLAAASLLNKVVVITLGVPSRKLWERQSGLVCQALHGKQFTLSEEEEEYIALNRN AINKDAEKEHNCLTVHGCQIKSVAVGERILLGIWPKKDRPFRIYSGCTLVLRGEHWFA KENAHAYRIPGEKGLTEDWMATVTENSPKWSALIDAPVHAVLLNDVHIKHVGEVNEDG VKVHHVANPMVQIEMLTTRKLSEHWGEENFDTMCREFEGQEATIPSGSKRKLLSSMFL ASTPWVATYDPWDTSLSNLNALVPRG AFLA_096090 MSPLHQTAPDTRIKKRDVGASNLLLGASLNMFEVTTLGQPLEVI KTTMAANRSDSFITALRRVWSRGGIAGYYQGLIPWAWIEASTKGAVLLFVASEVEYHS RTVGASEFLSGIAGGMVGGAAQAYATVGFCTCMKTAEITRQKQAAAGLKPPGALETFV TMFRTEGLRGINKGVNAVAIRQVTNWGSRFGFSRLVEDAIRRATNKGREERLGVVEKI LASGVGGALASWNQPIEVIRVEMQSQKADPNRPAKLSIGSTFRYIYAQSGIRGLYRGV TPRIGLGIWQTVCMVALGDV AFLA_096100 MLNDPTDGALSRLGASGVEPSSDQVQTSSTGNPKIRIPRLGTAP VSAHRQRTSRACAPCHQRKTKCDGQKPQCKQCRQLGIPCTYVGSKREQQKWALESVQA KIQSYESLLQRIITDSSEDPSKFKLIEELITVRMSVGQVYGQVLDVGNYINTRPATFS RHADDTGSASGARVFGGPKPVPVQTWLIIVPNACRNESPSYFYGGAPDEYGHLARSHK LVAWAVYDVQGLASQVYRKVPAWKEPPPVKFSPIEAAGLDAGVEWSPYPFATPISQPF FFTAACFRSDLVTIVHQIAKFALQFPDAVMNNDDWEYGRQLHQKLLQWKATLPPVLLL EHNTTPHALDDMGSLILLFKRCHGWKSLPVVMLHYFCVAGVHSVSKLNAHEPKWSYIL EDCVVGLWHMSLGWGRLCTAFLRTIELVLKQNNPDPSLVPSRVVEIFRKLNEGALWTV TDISSLAADYVVYTTTQSDSSSSPSSAYRYQGLQDLINDMDNLSINLSSESPGSLSSV KEPYDSRSSEDPDIRKT AFLA_096110 MTSPDPPTPSTPEIAFISGPLDIGPNNFYFHTHYIPQINAAIER GHHFVIGPVAGVDRAALDYLLAYPIPPSRITIFVTPTENILMGEEFRSRAVNVHVVDG GMNITTRDRDAAMTRASSYDILRWRPRKEAREFYGRMYREGYVTNTEMNWRRRRDIKE MEMVREEDVDIFRDEKHSVGKQAVDALCGSFRSGS AFLA_096120 MRILAINLILAASALALDQAPLQLQDSSSGNIPDIIRQNGTHGR PNIVFILVDDQDLQMDSLSYTPHTNHYIRDQGVFYKNHFVTTALCCPSRVSLWTGKQA HNTNVTEIYPPYGGYPKFVSEGHNENWLPLWLQDAGYNTYYTGKLFNAHTVDNYNLPF AKGFNTSDFVLDPYTYQYLHPVYQRNHDPPISYSGQHTIDVLRKKALGLLDDAVTESH ERPFFLTIAPIAPHSNFEMTNASDYTTFRFSAPIPLERHKDLFPEAKVPRTEHFNPDQ PSGVNWISTLPQQNQSSIDSNDEFYRARLRALQGVDEIVEQVVQRLEDAGVLDNTYIF YTSDNGYHIGQHRLHPGKECGFEEDIRVPMFIRGPGIPSGEEVDFVTTHIDLAPTIFE IAGLDLKEEFDGTPVPLTERDVEEEKLSKGRHEHVNVEYWGKAGFEGEMSRASDGGPI AFRNNTYKALRVLGEGYNLYYSVWCTNEHELYDLTTDPYELNNLHPSVSGNIEQKLLG YPIQKVISRLDALLLVLKSCKGLTCVKPWEVLHPAGDVQTLSDALDDVFDVFYDEQIK VEYGWCEEGYIVEAEGPQVPAIYQGTRWSDWW AFLA_096130 MQLTRLSAVLLLSGAYAAVAPEGPAPTEIPGGCNPAHPGSCPET ATEIPGGLGCNPAHPGSCPTPTEIPGGCNPAHPGSCPTPTEIPGGCNPAHPGSCPEST EIPGGLGCNPAHPGSCPESTQIPGGCNPAHPGSCPTPTEIPGGCNPAHPGSCPTPTGI PGGCNPAHPGSCPSVTVVSGSSTYLSPVAAVTPSGFSSIAGIPGGCNPAHPGSCPSVT VVSGSSTYMSPVPVATSSGKFSGNLTSSSLNPLFTGAAPNNQLHYGALLTGVIALAAR AFF AFLA_096140 MADSVISRGQAVLPSGTTPEASTFLQIGVFQNAILQLKEYYGSP ENACAQADWDAYLEESTQSVTPWLLNATKDTQYPLDRFSDGNGLFYQYERTDNETYKA ALDALQQSIYLQPKNKYGGYWYFKYPNWSYLDGMYSLIPFYSTYTARFAAENSSAVGK DLVYQLDLLWSHCHQNNTGLLVHGYDASKTAVWANPATGGSPIVWIRSLGWYMMALVD ILEISRQQGVLNQEQWNHVHQQFVALSNAVMAAADPETGCWWQVMTDPNRKGNYIESS GSAMFTYALYKGARLGLLHGIPEEALLPASLASKCYSHLLQDFVVDNKNGTLGYNGTV SVCSLSSNATYEVCFLCDTKSPDYYPANGSNNISITSISPSSTTVCMALPPLFSPAWS TSVPPMCLEIKCLP AFLA_096150 MLQQKAKLCRDTRIWESGKQSKPSNFAQLCASLWPSALLLMDIR LGQLKLRTPRVYVSRELTADTRINASIIANQGFVARFATEIGKDGKPALASPILAGWS SIMSCGQIVGMVSLPFLSSSYGRKPAMYTFWVILVCSVLAESLARSWQAWLVGKLLAG IGVGCLQSTVPAYIAEVAPNRIRGGLLMCYSFWWSLGSFFAQVALQHLAQDHPMNYLT PVYTQWAQIGLMFLIYILVPESPAWCIDAGKADRARKELLRLYRGVPGFNVDQQLSVL SLAVEHERAIAAEQRREKWYSIFRGTDGVRTVITLWTNTTQQLIGLTLFGTFGTYFFQ QAGLSDPFKIKVITTSIQIATVLILVAIADRLGRRWLACGGTTLSWLACVAIGIIGVV PQSNGSTYAFVFFACLWSVVMSNLVPYMTNVNKWDWGLKTGWFYAGVGFPFTLGMWFL IPETSGRSAAELDELFERKINVLSRSGGKGIAERRIVADMWDTYLV AFLA_096160 MNVNMFKAGDDILQDVDQSCKDRLPAVEELPLPPTFTWGTATAA YQVEGGAFQDGKGKSIWDTFTHLDPSRTNGENGDIACDHYNRMAEDVVLMASYGVDVY RFSIAWARILPLGGRGDPINEKGIAFYNNLIDCLLEHNIEPVVTLYHWDVPQGLYDRY GAFLDTTEFRADFEHFARLCFSRFGDRVKRWITFNEPYIIAIFGHHSGVLAPGRSSAT GGDSRTEPWRVGHTIILAHTAAVQAYATDFQPTQKGDISIVLNGHYYEPWDAGSEEHW LAAQRRLEFYIGWFGDPIFLGKDYPAPMRAQLGSRLPEFTSEELDLLRRSAPINSFYG MNHYTTKYARALPDPPAEDDCTGNVEEGPTNSEGKTMGPLSGMSWLRVTPAGFRKLLN WVWDRYRRPIVVTENGCPCPGESQMTKEQALDDQFRIRYFGLYLDAISRAIYDDGVKV EGYYVWSLMDNFEWSAGYGPRYGITHVDFTTLVRTPKQSAKYLHHSFNKRRATSLR AFLA_096170 MALVTNVQFEHYHPPNTLGVQERNPRVSWSFKNTPRNFRQEGYE IEILDSEHTVLSTAKRTSQQSYLVPWPSDQPLKSRQKISLRVKVWDGEGYISPWSEEA YLETGLLERSDWQCERIAAPWGLETTGPAPEDLYRKEFSLTGPVRQSRLYVTAQGVYE AEINGQRVGDYFMAPGWTTYDGRLQYQTYDVTSMLSADTNCIGVRVAEGWFCGRIGFE GGHRNIWGPHTALMAQLEVTYIDGSNLVGYVRLKNIKGPRGHIVRLSHAEVLEHKELG TRPLRICQAIDQYTLKGDSQGEHYEPRFTFHGFRYVQIDGWRGDLDLETSVEAVVCHT DMKQVGTFSCSESLLNQLYKNVCWGMRGNFLSVPTDCPQRDERLGWSGDLALFAPTAT LIYDCFNMLRNWLVDVEYDQNILGGVPAMVTPNATLPDPIWCRRIPCAIWHDVTILAP WALYEETGDESILVQQYASMMTWLKRLPRNQTGSTHLWDTTIFQLGDWLDPAAPPDAP WKGATDAKLVANAFLIRSLDYMSRIAGILGKDDDRGQFAAELKEVWKEFQDEYVTPNG RIASDSQTAYALAICFDLLTPNQRVHAGNRLVELVRKNEFKIGTGFAGTPYLCEALTL TGHVQVAYSMLLEKKCPSWLYPVTMGATTVWERWDSMLPDGSINPGEMTSFNHYAFGA IAKFLLERIAGLQRLEPGWKHFRVAPSIGAELTYASASHATPYGQASCSWETTQVEEG LDKIQLRVSVPHGTTCEVVYPSGSGEETETVGYGEWSFEAIFKRDYEWPIKPLPPKS AFLA_096180 MVVGGCFCGKIRVEYNGEPLISALCHCYDCRKLTGTLFTYSFVV HKADLKITGSPKEVAKRADSGNHIKNYFCSDCGTPIYGHKITASGTPEEITILRAGVF DDLEFLDRHRPKAEIYRLGRVKWMCPLEGADQFIGMPPLP AFLA_096190 MDGWVFVIANHHGPIWTYNVDDMALGKAPILESYMHKTQLNPLT EQEATAVKHIVDDGSLARTVGSTTSYTDRLVRVIAKLVDKGILPKFTLEEHEIIYRVR AFLA_096200 MELDSTTKALSLWEILHPILLNLDMRTLLHAQRVCRVWYHIITR SRSLQQALFFLPVEESQATGPVKRIDQINPLLKEILWPQLSWLATSARKLKSSERRRQ AKERGIPTLRSETASWRRMLIRQPPPITIGIQGEDEDDANSPAPTIYYNEDISTECLW VLTEISTFADHCFVQCIFSGDDGWKEIYPPEQVDLVSEQDLQKCDMLLVGYCPPNYLL RMIQWILRYAYYDPRLSLRVDIHPPMLDFYYRFN AFLA_096210 MMASHPLQALGEAVGAAGADPRIKQLNSLFQGANDGPARTAAKI TGVQQGGKREDDGPYFTNNEGIPFPDPAHTKTAGGLPLVSDTFLLQKQQHFNRSKNLE RMVHPCGSGAFGYFETTHDVSNLTKANFLRSPGVKTPVFARFSTVTLGREFPDLARNP RGFALKFYTGEGNYDIVGLNFPVFFCRDPIQGPDVIRSQSRNPQNFLLDHNSLFDLLA NTPEGNHAGMMFFSNHGTPKGWRNNHGYGCHTFKWVNKDGKFVYIKYHFLADNGQKQF NVDEAQYHGGADPDWSKRDLWQAIEKGEEITWTAHVQIMQPEEADPAKLGFDPFDVTK VWPKKQFPLHEFGKLRLNKNPENFHRDVEQAAFSPGSMVPGIEDSPDPLLQFRMFFYR DAQYHRIGINLHQVPVNCPFMASSYSSLNFDGQMRVDANHGMNPQYVPNSFVNKFRPD VAEAPYQLSDNNVGRKSHFYHEGKASEYDQPRALYREVMDERARRQLHDNTARLLRLV EFPVIQVKYLAQLFRIAPEYAKGVYDLLPEKSFPFSDVEKQADGAETAMKEPKFRPSA PTDKLVGMCPMKPVYNV AFLA_096220 MYSSRYLFKPFRAPTHYSLARTTLGASRGFRTTAPAATRVSEVI KNDHRELEDQYNRILSAKTKDEKEQWQNQFTWELARHSIGEELVVYPRMEKVLDNGKT MADHDRHEHQIVKEDLYKFQGLQPDDPEFIPTLKTLWANLAQHIKEEETQDLPALEHA LSDSDSDSMARSFGRTKKFIPTRSHPAAPDKPPYETVAGLMSAPMDRLGDLLRKFPDE ARS AFLA_096230 MRFSALGLVAALLSQVQASPVARASKTPYFFLIGDSTVAVNGGW GNGLLAYLKDPAKGENRAVSGTTTVSWKANGRWDDLIKSVESNAANYEPIVTVQFGHN DQKSLTLAQFTSNLESIATDIQGAGGTPIFITSLTRRNFDGDEVKQDLKDWRDATITA AQAVGIQYLDLNTASTNYVNAIGEENAIKYNLTPDDRTHLNPAGEAVFGRMTLDLLLQ ARGDLNAYFEPNEALSERIANGEYATGDE AFLA_096240 MPPFRVLIVGGSITGLSLALMLEKNTIDFLILEAYLDIAPQVGA SLGLQPNGLRILDQLGCCDELLEHAKGHTVQESIYRLPNGERIWDFRKLSDHLIERHG YPIAFMDRQTVLQTLYNKIQDKSKILTGKRVKAIDSSDPTVVKVITTDGSIYSGDIVV GADGIHSTVRQEMARLNVNTGRDYLEEKSFSATYSCVFGISHRTPGIDACTLQDVFNE KFSYLIADGPGDRTYFFLFEHMDRVRFGQDIPRLTETDRDEIVGRHLNDPITPDVRFR DIYERRIRSGITPLQEHVYKYWHYGRMITLGDASHKPHPLTGQGANCCLETAACFTNG LVKLLRSTPPETRVSEGDISNMFDTVQQTRQPRVRFLIEAAHKRQKLVAMDTPEHKSY VATRIPSLPIKVVHSEWLKIFPPAVSLDMVPLPARPHKIPYHDELGADDTKHSREGSK L AFLA_096250 MSPDPDPGLIQPKTGGVTKLQTYIITVFATIAWYNAVELVIICL TTFKRYRGCYFWSLLIASFGLIPLVLGWLFFIFYFGLTRWVSASIIIPSWYCVVAGHS LVLWSRLHLIMQAPKVLRALLILIIVDSVLLFIPPTVMFYGILIHDEGFRTSARFAAA YNVMERIQLVGFCLQELLISGIYIFETTKLLRLRPDPVHSRILIRLVVINVVVMILDV AVVAVQFAGYIAIQMMFKPVAYSIKLKLEYAVLSQLIQISKGPNSDPEQLCSCSQEHN STSTCRSDSGRNGTADSDMRQYSVDTITTVVSPRQTATY AFLA_096260 MMLGEESSSADYWKQCGDEALRNGIKGVILMGAHWDCFGDQIQV STNPNPGKSPVAYVHPSKYVDYKINNDLKTAQRCIDLLDEAGYNVSGNDTFDWIHDTY LILIRMFPNGCPPSTLISMNARYDPHFHMKVGSTLRQLRKENYLIIGTGGAVHNLYRN RWAPMLRFRDNFAQDSPPEHWALEFRQSVEDVFLKTSGPQLRRAMTRLMKHPEYRDAH ATDDHFMAAMFVAGAAGDFEDEGTPAILATETWELTNMCNSQFTIGSWPKVAA AFLA_096270 MDSKSDSPSHPRQFSAIIAGNIDGRTDNVRYRQRQFHRLQASIL QHLTELKEAISQDSGHSEEEVQTEVCLSLKEIRSHYSSLNFEKSVQVEYRVARGEDNV DRKKGAGIVYIIPSTHTIFYSVIAALSAALAAGNCIIVELPKTTSRVTALLRGVLTGA LDSDTFAISESRPDAEFLSTTRVLNQQSSDRLTPSILESPNTLRTVAVVDRTANLQEA AIDLVRARFAFNGSSPYAPDVVLVNQFFMQPFVELVIKHVAKHVGSDNRGSNSLPKRP RQSSVLDQIGSEKGAKVIVSGTGWGVALIQDRLNLTRHSDSPLLRTIISEKLLLLHPV SSLDDAINLCNAYVIWTVALSSSLKQLTSSGTHAATYTFASAGAGKYVSESIDAHTAW INHVPYDILVGPVVAHSHPVDLNTRYTTEHFEVPRPQVVNRTVNTTIARALLEGKLVQ SDRSLQEILSPLPAIQQRPGHKIGFFEQGIITGGLITLTSLITIVSAAGYWALRMR AFLA_096280 MSTTTTETPTTRTAVSVLTDYELHHSEPQASAPPNETLTQVSVQ QPANWPVDHLRVPPYRPINRNLDWNERTAGTHAAEFAFIQIMLHGVWINAVRRDMSQS LD AFLA_096290 MQSKPKGLKVLIVGAGIGGLAAAIALRQQGHEVEVFERSRFANE VGAAIHLTPNANGLLKRIGVDARKYGAVLTEQLRDYTFDGELQYTLDTSLISGSWQHE WVLIHRAHLHEALKDKAQAPGQGTPIVLHTSAKVADVDAQAATITLEDGQRFEGDLVL GADGAHSVTRRHVSGKEVNAFSSGRNAFRFMIPRKEALEDPETAPMVQTNGTVLMWHS ADSKVVIYPCVNNEILNFVCIHPDNLTNEYVTQGWNSGVGKDTLLNAFKDFEPGVLKM LNKADPETLKIWPLLDMETLPQWVNGRLALMGDAAHPFLPYRASGGAMAIEDGLSLAV MLPGDVSREDVPTRLELYAKARQERVLQIQEYTRESGRRHVGGKEAAIISSYIYDHDE WDHSSEVLRQHLWSQNQQVYYRQPTVFGPMPGPRQDFWGRSRAAASTKAKFCTASIRF KTSRTLLKNLLPSSSYSFTGMGSVAYATFSQTTLDGLDWLAGGGYNHFGLYIHGVQYK SADGQITEGSYLPVLFEDLADPILSGREELGFPKVFSSIDVNRRRHSYHVTASWRGGV WGRLNLTGLEEKSEEETQTNGSTKTPPNLLLHRYMPSVGKDRKGTPEAEYPVVVDSAE DLTVVPSRITRELRATDARLEIDGLDWNQLPTLHHIVSRLAEVPVYQVIEAKVVEGEG VADVSSARRIEP AFLA_096300 MPNPIQDITRVDATSFSYIYEENVTIPLKDNAGLIRCNVYRPKD VENSPVLVTYGPYGKDIHYKDFHSKSYSEVNPEHHSDHSAWETPDPGFWTQHGYAVVR ADERGLGQSPGVLDTMSRGTSEAFVDVVEWAAEQPWSSGKVGLLGISYYAGSQWRVAA RQPKGLACIIPWEGMSDYYRDRCRHGGILSNKFIKFWWDRQVITNQYGRPGRAARNWG PDTIEGDLSEEELLANRNDQTIDNATHKFRDEPYYASKEYNMEDIKVPLLSVANWGGI LLHLRGNVIGYMNAGSEHKYLRFITGRHDLPFYYHEEVELQRSFLDAFLKGEDREGWS TGQAPKVDVLLRKGNVGFDNAEAERAYTRRTENEWPIARTQYTKFFLTPDLQLQTQSP QSKLVKVDYRALGTLESPQLVQFSTPAFEQETEITGHIVAHLNVSVSPDKGGPLPSDI DLFLTLRYISPTGEEVYYTGTAGDPVPLAKGWLRVSMRKVNEKHLRHREYLPHRDYFS TDVLPVMPGEVYPVDVEIWPSNVVVEKGGKIVLEVSSGDTQGSGIFQHNDPNDRYVIP SLHSSLFRDFEANKVKLSRETARNQPHTFRAGVRELCDSSCDSKQIEAVSDSQFPIIN ILCYVNIDYMNDKTILRHRPIAPEGNDGGPEQEPEGRSTRRIS AFLA_096310 MSQHNKDIRPLQRFVTTHDDNGQAIFSNRLSEDMPVQNVDQVTF SLAYTSEQFPSQLSGDADIASYERRLSSPPGLSISTGTVCRIVDMPPNTISPMHRTVS LDYGVVLEGEVELLLDSGEKRLLKRGDVAVQRATNHAWRNVTPNEGWSRMLYVLTPCQ PVEVKNKGVLGEDLNGMGVKSSE AFLA_096320 MHTMIMHQTLIMHDQITFPIAAVFAEAQQCRVSTPHVGSPHFPI PDPVTSSPRTKIWGRQTPQYSVLLRYIAISVDMNSPSEKQDKSRTDFYQCGVCRKHYA RPDHLIRHKNSLQLLLSDTSDKPFVCDKCGKGFGRIDLLRRHARGHFSGQRDSRLSTA SAMRGRVSRACKNCALSKLKCDDQKPCRRCVKRNLECHWPQDERTPDAVDSQGKQVHF ISCEDGRKGLVVALALLLRNA AFLA_096330 MVEPERSVAESSDSGEQVDDTGIPQSQEEPAPQDFSVGLMDDFY SAAEEVIDQAFLENNRLPLMSPNEYDYFGGNISPELGLTEADLEFLASLNNHDLAHER PNTVAQYHTQRSVPEALGLMDKEAYHNSPLSNWTPRSEDNAYMDQQYLSVPKHLDRSI SSGTAEARVFSECLSKESRDKVFSIFVQVCQRRDFGRMMHYFPSAELLDSLIQDYFLH QRSEIDSWIHESTIDLNQESPEMIIALAAAGAVLSPVNAIQRLGYALLEIARLELSSK YENDNTYTRNLRQQQAYTLTLQIGLWSGDKRRVEIAESFAQPIVTMLRRASHLRSEGY PIISPSVVDDEETLNQKWHHWVESESHKRVVYHLFLHDVQSSFRLSTHALLSYGDLEL PFPCSRKLWNAKSAAEWGYIYVQEFPHAVEAIPSLAGVLRDPSTLGLLPCQVDFPLAA FISVHGMSLMVADCNRTRHSLQRPWTGLLFQSWQRELEQELDQFNIAIVEPLHGSVPA VSLIHQAACLSLYLPLGKLERYAGKEGEKRSADVYELFIQHINPSHLRQAAWHAGQIL RIARCIPPGLLTGFCATCLYFAALALWTYSTVTAPEESSGREVRTESALQHGTFLLDG EGSPGGALRRFVISGQGIPALSSGSGPAYLDNQAAVMRLFQQVLRSNYPSQAIPQQAQ TLYHAFSALGSIRRVKEHEVPSKKLPPE AFLA_096340 MTPRPKELYPPRDASDAEIDERTHNKPVTDPEDQSAESPSPRTS FTLPSPEEMDRLARSEDPAERARGQTLQCYQMQLMLLEQQYKRYKMVMERDGKDPESS SS AFLA_096350 MHILIIGGSGRTGQLTIEELLRRGHQVTALVRKPSAIKQQIGLR IVQGTPTSRDDVRAAFQADVPDVVIVTLSAPRASDSPFAAPISPPRLMADCNANVALA MKEFGVKKVVILQAFGVGNSWKNMNCALQLLMKKSNMIYQYEDHNHTDREVRASGVDF VLVRPARLVDTDAQNVKLWPQDGKGVPLMASISRVSVACWLVDAAERNDWDNTAPVIT N AFLA_096360 MNDISLRFTPSRRLNAPLHCTSRCVESPNTTFNAVTVGITVILV EWIVFQIAQLFTRKILRVQFHVKVVWIERFFHE AFLA_096370 MRLDLSVMEATSIQSLMEGPSSGQPRKRPRPVISCLRCREKKLK CDRVTPCENCTKAGCPADCVYNQCLNLNDNVRRVRLSSATIDQQSAPRGESGGGAGIG IIEDLQQRVIRLEERLALGSRVVNPDLAEDVSVPQISSDLRPYEVVSESDTPRPFLGT LVVKGTRTRYHGQNNRITLLNQFPKAKEFIAQCNENSTIVDLAREVRFLQGKLPGHLD SPASTVDGRSSPELVQLRVSLPAKTICDLLLRTYTNNFEKIFRIIHVPSFLREYAQFW AEPDQDFYQSSSAFIPQLTAICTISLALDGQRTKVNDSALWEYLSGPAITLIQLWLQN LTRKQRTELATLQAETLLLLSRRLRLVPAEELWKATGALIRSAMVTGLHLNLAKCTEL SVFQTQVRRRLWITIVEMDLEASIASGMPVMTSPHDVGPPPANLDDSDFDESTPQLPP EKGPSDLTDSLYQISLATSLADRLRAMSVVRIAREQIDLSELAQQGSRIVEHLQQIPR VLKPGNASTNNNDPAMLLNCVLLSVYTRRPLLCLYRPLVLGDPRDDPIFPVICRICLE SSLANLSYQDNFNPSVADLEVCNLGAYWDLFQTFCYNDILWDALSVCGYIRLSSQRNS FESQKSGRALGSSMHSKASLIRSVESTLDSLTARIGEAGSNLKDILLLAVVLQSVRAR GSTQVQGERMSQGATKALSACRQHLLPAVAEDSLALSLTDFAQMLQTTQPMFTSDGQG SFTSSAQLNLPEDFLAQSSALAMEFSNFQGDPFIFENNSFTWDV AFLA_096380 MSTPENVAIIGAGLSGLTLALALHRQSIPCTIYEARSAPLDIGG AIMLSPNALRILDILGVYQRIRPEGYEFDHLYFRSPDNKLKDTFEFGHLKYGYHGLRI YRHVLIKELSDMVAQANIPVHYNKKFLHVISETSSDVTWQFDDDTTATAACLVGADGI HSRVRKYLYPDLEPRFTNAVGVTAAVPTRQLEVPDEYGLPVTIMNPKHGAFVIAPQLK DGSEVLIGRQKHGPQLGREGWDRLLNDKQWCIDFLRDGASDFPEIVQRAVSQISPAKI NLWPFHVVPKLDRWSSHLCRVVILGDAAHAIPPTAGQGVNQAFEDVYTYSLIVARKSQ DISLEKALRLWQQGRQARVDKVLELNAQIDKRRMPKQDGHNEPDVHEAFDLDWLYSPD FDAMVDEWQQMHEDLA AFLA_096390 MGFFHDFLSRPTTYAILAVLVIPVTALAWDRLPPLLPSAKRLLV GKKNPSKITSLECPYSYIRQIYGTHHWAPFVDKLSPSLKTERPAKYHMILEIMDGIHL CLMLVDDISDGSDYRKGRPAAHHIYGPSETANRAYYRVTQLLNRTVHEFPELAPWLLQ CLEEILEGQDLSLLWRRDGLSAFPVQPEERVAAYRQMAYLKTGALFRLVGQLVLENQS YDDTLSTVAWYSQLQNDCKNVYSSDYAKAKGAIAEDLRNGELSYPIVVALNVPKGQYV VRALAFRSPHNIRQALRVIQSDQVRNICLTEMKKSAVSVQDWLALWGRNEKMDMKNEK AFLA_096400 MCDKDRFKVIIVGGSVAGLTLAHCLQRAGIDHVVLEKNSDLSPQ VGASIGIIPNGGRILDQLGLFDAVEKMTYPLSMATITYPDGYSFRNNYPKTVDERFGY PIAFLDRQKFLEILHTSYPDPSNIHTNCRVTHIRRHDSHMEVVTSSGQEYTGDLVVGA DGVHSVIRSEMWKLADALEPGRVSKREKRSMKVEYACVFGISSPVPGLKVGDQVNAFH DGLTIITIHGKNGRVFWFVIKKLDDMHTYPDTVRFSSADAVRTCENIAHFPLVNGATF GHVWENREVTSMTALEENIFNTWYADRIVCIGDSIHKVGLITLATIGELALTLYQMTP NIGQGANTAIEDATVLTNLLYDRLSKNGHKKLAQQELLQLLREFQFQRFRRVNKIYQD SRFLVRLHARDGIVKSLLARYIVPYMTELPADLASKSIADSPTIGFLPLPSRSGPGWL QWSRKQRRPATPWILVLLVIVVSFGLHSPELVIPTFWSNSLVSKTVE AFLA_096410 MFSGCMIGGSIYGTGRHLTELTNHQRTTAMEYWFLCDVAYCLSS ILCKISVGIFLLRVTVDKIQRIVIYAVTTLAAVFGLMFLILLLAQCKPVEFFWMRLST ENPVSGSCINMTVVIVALYIFSAVSFIFDLTVGVLPVFVVRNLQMRRDVKFAVAGLLG MACIASVAVLVRMAYVETLRNPDFLYATVGIAVWSNIETGLGIFAGSLATLRPLLRMI RPGTGRSYNKNTPSAPGSRTWPNSAFHRSNAVPLSSLMTTEEERQNRLKGNVRASTPI ETGPGMTSSSVGTEDELSDHELILPKDSDYQYQINVRRDFHITSTENPV AFLA_096420 MKGEALLATWQELKRWKVEEVAKSRAERMSVLGFGIAFSSQATP VLMKPPGNMTS AFLA_096430 MSDIPSIIGLTLLVAFLTSVVFFVQRRKLDPREPPLVSSAIPLV GHLASFLYYGLEYFAIASRKNRLPAFTMDMLYTKVYIIASPELVSAVRRSRNAMSFGP LFANVAENGGGINGRGMQLLRDKEYGGQGVGQQTADSMHPALLGSGLDQMNGKMIAVL KTFIDELASQPDNVVDLYEWCSHAVTVASTDAVYGPLNPYRSESNRRAFWAIESNLSL LMMNVVPWITARKPWKGREQLTQAFIQYYQADGHLDSSQLAYTRWKVQHEAGAAIEDI ARLEALTALGILSNTVPTCFYFLFDIFSRPDLLGKIRDEILDGAFSVDSAGVHTLDLA DIRERCPIFVSTFQETLRTRSNSGQLRVVQKDTLLDDHLLVKAGSIILMPAAVINKHP SVWGADAGTYDPERFSKIDPAQKRSKASGFMSFGSSPHICPGRHFASGEILALVAMIL VRFDVRPVRGTWVEPKGNTKAVAASLPPAVEKVEVKFSETSKFAGVKWEFRLTPGKGT FGLITG AFLA_096440 MVHQEVYHWHPYGWETSPQEERYKISTLDYLTGLCYTHFAIYFR LDDDRKPKAAAVLKEGLERTLGQVGHLCSTIEKDPGGGHSFVKRKESTAQFVIQWLDA TSDADRFPSLDDMESSSFAGITLGDFKYWNIEPMTYGEKPEAHPDSSPLTSAFLLNFV HGGLVLVTHMHHYANDVMGWRGFVQQLADNCYAVENQTPFPTWDPACNDVSIVSKPDP PVEQLVDGPPAPQQHPDQRPGQCLLFHLPKSKAAELKRLATPQDGTWISTYDAFAAFI WRTTTRLRQPVFGIPLETPMFWCEAVDMRRRMKNPPVHSQVQHNVLWAALSDQAPFPP LTHGDVISDKPLWELAAYIRKITNTQTQENLDAALTAISHIKDKTNLNIRINSKPPMS IITTDHRDAQVTNADFGFARPLCHRHLQQGTGVTVGVHLVYPPKLDENPDSDEGNMFA LMYEKELAQDLINDQEFAKFFEYRGVDSE AFLA_096450 MVSKNLLAILAAAVAVQGSPLDKRAVVNHDSITPFPETVPNTAT GNTYKKFEPYLHIAHGCQSYPAVAANGDVSGGLQDTGSATGGCRDQSKGQTYVRGGWH NGRYGIMYAWYMPKDMPNSGVSTGAHRHDWENVVIWVNNPANDNPTLLGGAASGHGSY KKTNNPQRVGDRPKVEYFTNFPTNHELQFTDTLGRDLPLIAWESLPEAARRGLESAEF GKATVPFKDSTFQGNLEKAAL AFLA_096460 MPGGTHLTRLHVTRSDFSILASYIRVRKQVGSAKDRGHLAKAGA LRDDGANTVFVAFHLQPHKVLCVRLAVKMGLLDSLPPSAPFALQDLIEYAGADLEFTG RVDSLIRTMPLYVAFLNTTIFVTPYDPMSSPYAFSEGVKNIDFFIILYQDPKAARTFN EARTSFKDRLRDFHSFSSLNPGEDGILLVDIVGGNGQSVQSIISTNPEIKGRFILQDL PVG AFLA_096470 MKFSVISILAVAFLTVGPAGAIPIDSIQDQTTADGSSKTDNVMP AGPSTTMASDKSNQVYTPAHDQGETEYANSTTADDISRTNDAGDSETPTSHKLDHDCT CHQGVEDAGVATTDATSHNGDTLPPGADKTLTSHNLVHNCTRAHAHQGVEDAGAVTTD ATSHTDIAIPAIPKAPLTSHNLDNNSTDGQAHEDGKDADPATAITAPKSDEQMKASVK RAPPQRGTPDSMEAQRASAPEISSSSQGNSPSPEVHHPQNAIEMPGTCTSDNICHVTL PGDTKEREVVCKEDSPCSWIGTCKVNILNPVAADCV AFLA_096480 MTSMTSSNESRYKPPRIPLRVISTPSADAWKVQQYDELVSKAKR ISQIDFRHIDFNPSDRPKPPPTAAPKTECVACFERLPSTYFPAESITETCAHENQTCK RCLRLYLTSQLDSISPDGTIACPVCGEGMSESNIQEWASQETFTRFLALRTQAERGST RGLQQEGLELSVGDYDTMSRRSSCTTNGKRPKLGRLWNRLVRKMSNGSEYEAWGVSTN LDQGRRTPTKQ AFLA_096490 MNRSRNPVTQLTSQELDQTQKYVIASFAAIVWYNSIELIALCLT TFKRYTGWYFWSLFIASCSLIPHGLGFIFFIYPLGISPYVSVTLIIISWVCMVTGHSL ILWSRLHLVVQARKLLRFLLVMILVDAVAFHVPTTVLLYGALHPNRARFANGYKVMEH IQLIGFAVQECVISSIYIWETSKLLRLRPDRLHYTILTQLLGINIIILILDVAVVGIE YAGLYALQVMFKPVAYSIKFKLEYAILGKLIQIARVSMSSEEPLSSNSQGPDIPSSSQ ISSHANTGLGHDFPVLRSCTFCAFCTGFRRHARHRSRV AFLA_096500 MSPISIFQDALGRSRTRDGSDSDEQISDENPITVTWDGEHDSAN PYNWSPAQKWTLTLLAVFTTYITMMNGTIITTAHAAITEEFHVSDDAFPHSYWPVTSW ALGGCCSSLFILPLMEDHGVRPIFLSAYVVFMCFLVPQAVAQNFATLVVTRFFAGSSV AVLANTSASVIGNIWETEKSRSIPVSLYIFSYLAGSSTGPVIGGAIFKGLTWRWIGYL QLIWFGALLPVYYFLFKESRGAVILATRAQALRKQGKPAFTLLEMEGQTGSAFSGFVQ SSTRPLVLVCTESVVLVSTLWSAFTVGTLFLFTQSAEQVFVDLYGWSNTQAGYVQAAI VIGEIIGWLINLFSARLYFGSASRNTESPGVPIPEARLYLAVVGGVFGIAGGMFTYAW TSFAHIPWIAPAIGLAMVGAGSVIVVAGVSDYVVDSYSKYAGSAMGAVATGENLFSAL LPLATMSMYTNLGFQWASTTLAFISLVLSLAPTLMFVWGKQIRARSPFIMEAAMSSEH KGAHSV AFLA_096510 MEGLHTQLPEQELGTATVTRCRNLWWSLYTMDRHVSSSLGLPMT TKDSDISTLINTPSMGFHDITFSLQVRLSQMLSFILSTIYKTEKTQLGRFLEITRNIL HAMAKHAEEIEKMMQISFQSSMDNVPQEMRHLILLYHQCVIVATRPLLLSVLKERLEK LGRAEEDWQKFLALPKSLISIGIKSAEKTLQILSDENGHLETFLPFDLEFTYAAALHL TMANTLYPPGTNDDTYSKSAHSILDEMIMCGNKVAEVRKDELRCIEGLFQEFAKRVQQ EGLQVLTLSGRGLAEAGPDENPSQECRGQTPATEPPTFTESSAQSPSINQSLTTSVDP LDNVIGISSYEFLSIVDQIGGSEMPYVLNAGLDWMDGGAIAYPFG AFLA_096520 MVMMTEKLDLNSTHNIPKFYLDGDIYPLAWDRATASEPFDTSGL PSVDYALYLFNIVKFHLGQMYRFFDEDTFVSQMHEFYASDAAEKASKPRFWFVQFLLV LALGNAFVSRPRNQSSPPGAKFFARAMSIMPNHTSTGKDSLLAIEALALAGLYLYAID HREAAHVQVRMGDRRDVPC AFLA_096530 MVANILDCHTTLAHSLRFVATTVGRDKLLRTAQYFSQFYIWHLH RRNYKRSAIDPYHALRKQLGTTRRILRIGNFLGNLQTVARLMSQKNSSEPVLKYLAIG GQLGFAGYLVFDNITSIKAIGIHELPSAERLDILADKCWAAGLIFSIMACLYILVHTQ PKKRAKPAERERYSDENKCAKYVRSLYILHAVQSANRSTCRERSDAWIQLISDLCDLT VPGKSLGCAAFNDGLVGLAGTMSSLIGAWSQWKKTA AFLA_096540 MAPAATNVPTTPPRVVGPATKKATRPTNQLPQSMIDEARMVRKE AFDPKVHLNYDPPRRIYTMKEIGLEGHGISPNAASEPFSLFTEEAIMQMRAEIFSEEA LKGCQYTSNFIKNMVRGMGPALAPFIYNAWNHPEVVAKISEVAGVDLIPSIDFEIGNV NISFGDGTTATWNRTTDSEDGTSAVAWHYDSFPFVCVTMLSDCNGMVGGETALRRPDG HIMKVRGPAMGTAMVLQGRYIEHQALKAVGGRERISMVTSFRPRSPIIKDETVLTGVR GISDLNTLYSQYTDYRLELLEERLRVMLKEERRRQIANRPFDIPKIRRFLVEQKEFLD SMLEELIEVHD AFLA_096550 MLDVLVIGAGFSGLQAAYSAQQAGLSTAVAEARDRVGGKIWSVP LASGRGYAELGGAWINNSLQPRVWKYVERFGLEVVTQRLEGTAVMQETQDSRLEFPFG VSPDVSHNLFPVAPMWMVCPNRSMQWSEAEKNNLAYIRDHIQAESLKPGLPSAQDDNV SLDQYVRNLGALPKVANMVNLWARVMHGVESTEESAAWFIDYCRRNKGLLAIRADDST GGQYMRFKDGAQSIAEGIARLVGAQNIHLGSPVASVNEHGSHVSVVTRDGRTFNARKC ILSIPSTMYKELNITPGLPQPVQEVTDGTVLGDYNKAIVCYDRPWWRSEGFNGYFASY AGPVILARDTSVDERNHFSLTCFVNGQPGRDWSKLYPHERRAVVIKQIAKIFKADANS EAFRPIEVFDQVWKHEEFSRGALAPIHALGHLTKYASVYGKPVGNLHFVGTEYSTEWK GYMEGALCSGERGAREVVESVKKVPSKL AFLA_096560 MSLLTGSADVRSEASVPSALAGPNNDLLLPIASPGIQSPREDER EPFLHELNPTQPNVVARILERLTDRWLQTRQFITSEEGIGVLKCGLAYLLGSLATFIP MIAALLGPQEGKHIVATITVYFHPARSKGSMYKALICAALAFLYAAFISLTSMYVTIY FHQRRMIELGHALVLIVFVGLGFGFLAWTKQKMSDPLVNVACSLASLALIVVLTKEGA IQRGSVSLAKVSQVLKMLLMGIGAVMTVSFLVFPVSAQKKLRSNLAVATRSMAIMQST ITEGFLRRTQDDFQGFDYTGASTRLKKAHGELDKLLYETKLEQYVAGWERAHFHEERL VQWAHGIVHTTGALHSSALLAFETLKRPKFADHPLDGPNADASMATRYEERSAIFAAD VPILEAVDLGMLETNASGNGQPVSGQLDRVSDGLVPESSLVTELFDSFVDRLGPSMRS LTLTLMNISAEISLGLSLDNRVAMSPGSPAILTQAIEEYREAQKEAFGRLYREKCDMS IDIFEKEAYFKEVAAICAHFSYSLLKYGEQLGELLTILTAFQVATAGYHRKKSWSWIK FWRRDPSRSQYLDRSNARYHTELPGASSFERPSRLQPFRDTISRHIWRTLNFFRKDET IFAFKVGVGAALFALPSFLSFTRPMYLYWKGEWGLVSYMLVCSMTIGASNTTGYARFL GTCIGALCSILVWSIAGSNAFGLAFLGFVMAICTFYISLLKGQGPLGRFIMLTYNLSV LYSFSLSQSSADEDPDERSGNNPDITKITLHRVAAVLLGCIWGIIVTRGVWPIRARKK LKSTLKLVWLRLGRIWESDPLARRITNPGVAALYMTPEDRRKMQSLLSDLESLRVAAR YEIELNAPFPDTAYGKIIQHTQSIVDDLHALDLQLQGIPPSEQQLSLLRYTSRERQNL AGQISHLLGAIASSIAYACPPSHVDLSKAKHSRDRFLATIFMYRSGNETSSSALEETY SLLYTYVLVIDQIIGKMAEILVNVRRLSTGVEQDVVN AFLA_096570 MHFYPKARHQPHPSIHDPAVRRRRWGLLKAAGLNFIVLQLLFLG LFCYLFGSLFQQTTHIHNLHIVYVDYDGGAVGSAIRTAYEQLKGPGFPTLSEQSVSAY SQPSTVRSAVCNIDYWGGLYTSADASIRLAAAFGGGSAAASYNNSDVLTLVWNEARYS TVVDSAISTNMQTLSEAARVAYFKINGMQALGDLNSTDPAAIAALTNPWTLASINIQP TTQGSRLVYNTLVIILILIQEFFYLGYINGLYQQFQLYVSIAAPRIATVRQLISGLYT LSGSLCTTGAIWAFRHGWHVNGGQFALTWMALWLFAHSNFLVLDVFTVWLPPPVVPMA LISWVVLNVTSILLPFELAPGFYQWGYALPAHSIFQVLVDIWSDGCNPQLDYALPVLF AYEVIGLTLSSIGVYRRAHYAVIAKETEEKTWKERVAAALSEQQQQQMLEESPSPSTP DSTLTESQQQQDRARRRSTAAGIADQEALTGQLWREMSRVDKAQTSRQSTGPCFDLPF TD AFLA_096580 MNAMALSWYDPTRFLLLYSKSDTGSQVPQPSDSPNDPLNVSWVR WPQWRKDFILLNVSLLSAIVGAYGPMLGPGFVEISEELDVSVNEISQATSYLVLAIGI ALLFSNPLAKCYGKRPVYLVSGILLFASSIGGALTHNYGSFLACRLVGGLGMGPFEVL VQCTIGDMYFVHERASRIAFWNLFLVSGISAGPIVSAYIIQYSGYRWAFGVCAIFYGV LTLALFFLAPETSYIRVPNTSHSSTEASSIDQPDAKAVPGEDVAQPRSETIDDIEKEP QAHNDQQQANHPPIITERKDSYWRSLRVYTGRYSTASVVKVISRPFILFLYPGILWAF LTWGTTITFTIAFSYVNGVIFNEPPYNFTTSQIGLINISPLVLSVVSEIISGPLCDKI CLYLTKRNNGYYEPEFRLVLMVVGFVLGVAGFYGFGATVHYKTHWTGPVITYGLVNAS LAFCSTCVFGYIIDAYTALGEEAFVAVNSRNFLSFGILYVINEWLEEDGTLKVFVVLG SLFIFTSLLTIPIWIFGKRCRGRIDKIVWLKNYMRDS AFLA_096590 MPFNRQAPTINGHRGELHQILFNYARDDLKIPIHLGCEVTGYFE STTGAGIQLVSGEKIYGDVVIGSDGVRSQARALVLGRETKLESSGYAIFRAWFSNEDI LADPLTRHLCENGDTFNGWIGPDVHLLVSSLKGGKDVCWVLTHKDTSAISDRWSFPGK LADVYKVLEGWDPICKRIVSKTPESSLVDWKLIWQDPLPTWVSKQGRIALAGDSAHAF LPTSAQGATQALEDGVTIAICLSRAGKSRIPDALRAFETIRYERVRKVQETGKTTRDK WHNARWDNVKRDPKAIELPREDWILNHDAEEYANKMCEEIFGASSNGRGRGESRL AFLA_096600 MFCKDINLDVRAYISHLGILNLWIVCSASQKPFDSVPLHISERL LTVICAALCAEAFQINSLISVDTQLQPMRTAIHNWKLAWNQRFAIQDSFGLPKEEDTM FVGIEDHWRRLGFFQNASEYWLLLNILIRRIDERQRNRDDLSVQSDVELTSSVIDRPY TPSRCDSPTMEDLNNLISEHHRQFKPQKPAQIIEHLNSVWMSSGGDFASLR AFLA_096610 METPHQGTVNEINSLPTKKYSLRSGGSHQHSIEEDDTEETETSG PCLLPDSSLAELRWSDRHFEDIQLLLDPILFDKVEHNHALQLPSAVGVPCIDIDPADT FSFLARISSKESASLQTRYDCSSLLKRGWEGQGMSGDSIEKQGVLPFPVPASSLTDTW PSGRCFFFFVNFMIITTANTPRGSWPGVSDNLAAVICDPWYHGWLSSAQVPQSLRPAY EIIHQIRTLSQNSTIMHTWSQQVEGDCIRFFSPSNLQRFISIYWTAWHPHYPVIHKPT FSITSAPAHLTAAMAVMGACFSQNANDNHNVKLWLNSVEEMVFSNRYFGDLMLQDPAT VNIRDIVQLLQAAYCVCTFQISEGSHISRRRIRRQRFSMVVSVSSCLGSCYFNR AFLA_096620 MSFLQRAPEPATPLGRLRPLSASASIRVSPLQLGGMSIGESWSS FMGSMPNSKAFELLDAYVEAGGNCIDTSNNYQDEQSERWIGEWMKARGNRDNMVIATK YTTAYRDYELGKASYVNYSGNSRRSLHMSVRDSLQKLQTDWIDILYVHWWDQTTSIEE VMDSLHILVQQGKVLYLGVSNTPAWVVSAANYYAKANGKTPFSIYQGRWNVLRRDLEK DILPMVRHFGMAIAPWDSIGGGKFQSKKQLEARKVTGEGLRAIGPATQSEDEARMSEA LEKVAAEHGVESVTTIALAYVLSKAPYVFPIIGGRKIEHLKDNIKALEIRLTEAQIEY LESIKPFDFGYPANYIGEDPGITGKASGVLATTGPLAFVKYPSPIKPSL AFLA_096630 MGEIYWDMASILVQPYGKEWLIRRRLLHSALTPRALDNYKPLQE AESSRLCYQLLESAHEWEPLFDRLASSIVFAVSYGHRVDSAQSPVIKQRLDFMQYASS LNVPGAYLVESFPVLKHLPDWIAPWKAEIKRRGRLEAEANMTLVRVVQQDVESAKESP GAEPLFNSLTKQLLETRDSDPTAFPLSERDFSYIPASLFGAGSDTTSSTLCSAMLAIV TNPRTMEVAQLELDSVVGRDRLPTFEDIPSLPYLRAFSKEVLRWRPVAVLGGTPHACS EDDYYRGYYIPQGTVMLGNSWAINMNPKYYPNPDQFNPLRFLDMDPHLLPYLPKEYTA SAEQEKGSGHPSKLGHSSFGWGRRICPGADLATNTLLITLSRLLWCFDIRPIPGQTYD TLDYTNGFNIRPRNLHLSLQVRSDQHRRVLEREYEVATKFLERLSPFDERMLQGNS AFLA_096640 MGPTSGKSELVDHELGFSTSPAFAALVNAASSHVVEQDDLHNRS IMHPATVIFPAALAVAQDLGANGRDFITACVVGYEVGCRVGEFLGKSHYARFHSTATG GVIGVAAATARLLGLDSAAMLSSIGTAGTQAAGLWQFLLDATHSKQVHTGKACFDGIF AAYAAKSGLLGPKDVLEGPKGMGVALVPDTPIPSAIDTDLGLDWAVLGSSFKWHASCR HTHPSVDALLQIISTYGIKFEDIDSVVTRTYQAALDVLGLSGRGETIHQSKFNMGFVL AVTAQKGQALITDFTEEALQDASLREFQDRVKMELDEEINAAFPQKWQGKVIVTCKSG ERYEQFVEYLKGDPQSPLTRYVQLNVPMRPDNYSQADRMVP AFLA_096650 MKFSIVALVLAATSAIATPIVTKRDGTFVISGLKARESLSNTMS FKLLDGGASIDCNLIWSAKEPEENARCNDGKHLIQFPDGFDFKKFTLAIERIEPNPIG GRAYLDATDGKWNCVDNPEDHVYTDCTYDGDYTIQL AFLA_096660 MQLSIFTVILPSLVAFASAAPSEKRQISSVSITFYTPDGEKWSQ TFPTDMTSHQVETKKTVSHIYNPGGAICGFSGVQGERVDVPIGDHKLETPQVLTTGLC AHL AFLA_096670 MSLKSASGVLEGATDQTTSTLTDSALPTAQRHTKHLGTTVTQPT NLTTPGEFPGEKTHDNAAAQDTSSGPWYAPMTAWFRDIIPNTMDWFESTVKWLLAWIF PPPRQAALFEAALRRPYATSFLVCQLICCGVPFFVFLAGTFVFAAVAVLLWAILSFVI LGPIFLVACMMGVYLWSWSLVLYAVIKWVDRTFLGGVISKFWLSQVPQEDAPQDHQAH GDRKEAGEETRRTD AFLA_096680 MIWKALYVIAALLTKVWGLPSSTELDPRQQQSKYVFAHFMVGIV KPYQLSDWIADMTAAQAIGIDAFALNCASIDSYTPTQLALAYQAAKQVNFKVFISFGF AYWSNGDTEKITAYMREYAAHPAQMQYNGAAIVSTFVGDSFNWGPVKQGTSHPIWALP NLQDPAEATSGASRAIDGAFSWYAWPTDGGNSIIPGPMTTVWDERFVKALAGRTYMAR LSLNLFTRIRLTLHSGITVVCNSLQLQKLGVHL AFLA_096690 MNLWLLTLWVTLVTAWVDNQGTTCTLYPESLTHQGQEVDDSPSI QQAFDMCGTNGTVIFSENVFHVNTVLNTTNLLNCDVHLRGELRFSANVPYWRTHAISV VLQDQVTAWLFGGHNVSFYGEGGFYNGNGQAWYNANRNESNQAGRPMSFTVYNSTDLR VDGLRVIQPQFWATFVWASKNVSFTNLFVNATSDSEWGTMNTDGFDSWQSDNLLVENA TIIMGDDCIAAKGNTTNLLAKNIYCEGGTGVTIGSIGQYPEMPDYNLNITFENVTIKD AMDGAYIKTWQGTRIFTPSNGDWGGGGTGLVKNVTFRDFVMDNVGLPIQVAQCVYSAG SNKSCNTSTLQIEDVKWENIRGTSRFNIASSIYCSDEVPCPNMSFENVNITSLNATRG QAYYDTDIQYELFQCTNVVGQNSSGIPCNQAAPSNFSQWIYGNVDSSGLATALSDHLG N AFLA_096700 MVIQALAAFPFSQLTTQRVLQQAWIDTLQLSDDKFGLEADFLSL GGDSIAAINLVSYLRCKHLKISVRDVLKYPVLGAMAGQLKRESDNTQQIKQETFVSPP EVDAAISATSLQPTEYEYIYPCPSGQAEFLTQGAHPEALWSLMTDKKVGPDFEPKRWI DLV AFLA_096710 MVEIYDNVNNNEQRNQIIKSLDQHRFVFGQPFIRYAILHLSTGK TEIVTKLDRGLYDGTLLRIFGEHFEAYQRNSALERFTLFKVFAFHIWQMDKSRTLSFW KQSAKRPITFGFPSASIKEPRINSVYVHTINLEFDAFAKSTGATVSIIFQSIFQLWLA LRSNQRDVAFDYLYTDRNVDLVGLQTINSIYTNFLPMRSTVNALIPVSEFLHQTQDKF WQYTENSTVGMDEIHKACETTREGFSNKTLFLFQPFEPVIATEKQYQKWIVMAKSQVT IPQPYALVFEVVKTADMNEYKLKFSFDNRMYERKTYKMRLE AFLA_096720 MQILEQAERLEEHMAEIRSCCLILVILNQLHLYELLRSRGGSLL LFRLLWFLNGKYGEFVQEHVKVRIAIFGGRSLSRLPII AFLA_096730 MDSGFLPNAVNPPALPVYGQYAHHDVSDHFKIFTTLTTAPSMAC RSNRSLVSSYVTGLAACGSAIEKVSIQLQERKPWLEPNTPQVLVHLLDLAAQLDQLFA SDTLLADRRWRIVKDRFRRLSMAREDTRTADASGWDAAFSGLPPVISTPAVLPLLGTM DGLPEVPENSLLWSP AFLA_096740 MSAYTEHETIQVLIVGAGIGGLTLANICKRLGLRYLVLERSAAV TPVGAGISLAPNCLRVLDQLGFLPEIEREGQRLRKIRIFRNTTQWNMLDFDSTEKTFG YPVYKIERHAFHSALYRVAGEEHVLLGAQVVDVVDDAEKKLVTVTLADGREISGQIVV GADGIRSATRRALAKRGGETIINSTIRFTGRTHMSGYTAPLEHLGPEEEGVGTWMLYD DSIFTTWPCKDKRQWFIGVKVRGKKDSQEPPGKSRIEANQALVIASGFKGRGPLSLEK RQQGHDQWIWPLSSRSAFCSLHSFVESDDLLTGLEVVGRSERVTASDVFEETSFPAMA AGRVALIGDAAHAMTSFFGQGACQAIEDAAELGNTLHEYFQCETAVDLSELLDRYRRQ RECRAKDLVHFSNIFALFHMGRILPLFGPLLRRMAYTYAPAWCWSWSLRWLYGYQPCV KALDRDLS AFLA_096750 MFLNKAANPGYFTHGRNLLGSINRGVNRSAALQSYGRLAGEEAH KSLQHWSQMPDVEIFESISRFVHRVIVRCMMGEDFYDHHVDELYDLLQQMESLVGHPF NLLLPSWVPHLPGRQLAQARNRFAEIFRERLAARQLESDVWRDSLDYINYTLNDPRTA QLADYYPSHHVVLMFAAHTSTVASIAWTIVELLRHPIYQEEIRESLATTSDIHQCAPL LACLREEGRRYSGVHMFRTTKRPVSLEGSDYTVPEKSVVLISPYLTHHDPAIYPEPHE YQPHRWLLPDGRLNPWNGSKEAAFLQFGAGNHRCPGENFAAIIAREFLAALLMKYAIE WGRDGAPADLSRLDFTKVGSPWLEGDAAVRIRPRVFGS AFLA_096760 MTGTLTGTLSIREKRPPSNEEKAHSPTPAASMEEDVYPRWRPPL VVVGGFLTYFCTYEMNGHLRCADRTGFMNAWGTFQFYYHEVLLAGMSNSTLAWIGALQ LFILLISGLVVGPLYDAWGATRIFVPGAVLYVLAIMFASVSSQYYQLILTQGILLGIG TAMLFFPTITAVSQWYGHSRGLALGIVVSGSSLGGICWPLMLERLIRQIGFPWTMRTA GFLCLALLAPSVFLVVSRPRIVREGMDDQDRCPPNVMHNLFKDLLYIAFVIGMFLVQW GMFIPFFFLPTYGSSNGMNTDEANNLVSYLNAGSFVGRIASGYVADVCGRLNVTFGCS AVCAVLVFCLHAITEKGSIIAFSVLYGVFSGGLISLQAACVSQITADTRILGLRIGAM MAACSFAGLTGSPIAGALISHDHGAYGDMINFSGIVLSAGAIVLAGARAMGAPGVKVF AFLA_096770 MSASITSSSLQSSGTNSPKSASPPRTSSWPSPASSIKGPDPAVM VGYSCRVAGADRPSKLWDNINAQVDMRKEIPADRFNINNFYHPDGTHKGTTNCKYGYF LDQDIGMFDRSFFRISPKEAEAMDPQQRLLLEVVYEALENARIPLEDIWGTRTSVFCG SFSNDYNAMTTKDLEYYPKYAVTGTGNAILANRISYLFNLQGPSVTIDTACSSSLVSF HLGAETIRDGESDVSIIVGSALHFDPNIFIMMTDLGMLSPEGRCRAFDARGQGYVRGE GICAVVLKRQSQAELNGDRIHAVVRASSVNHDGTKSGITLPSSTSQEALIRATYAKAG LDPAHTPYVEAHGTGTARGDPAEMRALQAVFSPAHRKDSLLVGSVKTNIGHTEGASGL AGVIKSAMALERGIIPPNMLFKYPNPEIKFEEWKVQVPTEATEFPSCPDGTRRASINS FGYGGANAHVILEAYQPMPLLTPPPVLPDTFLSAVKNRPFLIPLTSHTEKAGSIWADK LGSYVKEQPGNVADLACSLSSRRSIHAYRSFVVGKSRAAIAEQLECPAPWAKAAETPR PRLGFVFTGQDGPEWSIIEELSRTEEHSRLTETRLSQPICTALQLAIVDLLRSWGIEP TSAVGHSSGEIGSAYATGILSFDNALYAAYYRGLHMSSGGRGCASTDGAMLAVGRGPT ELNKELKAYEGQISLAAVNSPSSVTLSGDALAIDALQSQLEEQRVFTRRLQVAQAFHS HHMYPLAPAYTEALKNCSGFSASDSKARMFSSVTGRLADPSRMGPEYWAANMTGCVRF WDALVGILMNEEEEQNVDILVEIGPHPALRGPSRQIMKSLGMDLPYLGSLARQTADFD ALLTLAGQLFQHGYPVNLDAVNSDQFLVEPDIPCQAPNGKFLEDIPTYAWDHERYWAE TRLIREHRLRPHRHTLLGAKLPGSAYLAQLPSH AFLA_096780 MTIPYHFWGIGLAFMAAVCLTAAAPLTTESAIHTTSLHWNPNHF FFTNPIDADIAREVVKVGPVEVKATVQASNAQFDARQIMFPFAAVLGFSPVFSDDIKN ASIQSPFYQAYEAGAWNNTQTGFLYCYGTNRSPCNGHDGIQTLGGYRQDFVHGEIEWH DIIKFPLVNDIDFIYKPPIYNYWTLELSGFAIGDEELAVNKSAGGVFDHASYGRGAPV SQEGYKKLIETVNATKTCLKPSDQPNNAQQDFYKFPNSALDGLEPLKYRFGASNREWK IHPYDYVVPHPVEEEYSILNVRAFGWDDFVIGNFGETFLIDKYIILDFGNPGSSSIRV GLADANYDPYPWPVDRKGYGSGTGQQRLGSFGAADAYNPRGHSQMPLGAQG AFLA_012860 MVFYPASWLRRLGMRYGLNAMASYRLGSWKYGLRPVYAVPDNSL IFRFCETGNVEAVREMFLSGEASIYDTNSWGWSLLHIAALKGHSRLAKFLIAHGADKR AFAYEMVFVAHQHEHVAVMYLFADCLEFSQPTSNGWILLTHENDIHEDREPFAPVAHE YYSLLLRKYREDLTRTFDDHGLLYALRLASERDDLVSIKFLLDFVPDQQRYTEITSGY AFGEPFESILSDCFLVHEALIDRGADLRAFNGETPTARSLRSSLLFFRWSSTVRAWYP DINRLVSDELTERHPPSLNGWNRETLLDLWSIQPIQRPPNRRLDQGDFIYPDEVECCN CGLEARMLDIQNKGYMLEPWWEEVKHRIRIRTCLCSKQEWLRDGRPPESHTHANSEHM QDTLNEDEYDAYQTQNSEAAPSRQSAESRVGLCTDARDKRGNGEQPAAPHAAESVIAD TKSQPDADFSDSSIDETDSNLLYLPFELVLQDYYNLYGPWSGYYRSGEIFCLRCFANL ESWDSLLFPDDTRSQLTDNVEDGDSSQRSTDCAQDENHESDPVNEYFAYSEPEEETGA VEEEEYIDPLGID AFLA_012870 MPPEPHEFEFAIVCALPREFDTVEALLDERYNNITTLRHYGDSN YYQTGRMGVSNVVVVCLLDMGRASAAGAAASLRSSFPHISLTLVVGICGGVPFPNTRG AELILGDVIISHQVMKFDFGRQYPDRFERRGGIKETLDRSNWAVLSLLAGLSTRAMRK QFRDLHSQYLQTLRKDTVWAYPGVDKDRLFPASCEHKATGDLERPTDCDRVGCEGALI TRARLSSETNPRPCIHLGPIASSDTVMKSAYHRDRLAREEHIIGFEMEGAGVCEVLSS LVIKGVCDYADSHKNKEWQDYAAASGASCAKALLECLTCTTYEECELSKEFGNTVHGS LSGQGAFYTSSRTFPRSIGGYKEALTPRSSSNLYCQLDLSRERLRTRSW AFLA_012880 MPPQRRAGSTGQKNKSSGGAKKQKPNAKSAVASLERKRNADVVE DERPEPPRKEPKRRKGKGSRSPLQQPLLQNHVPTQLLDVYVFGTNCYGELGLGDLTKK SELVRPVLNQKLAAESVGVVHVTVGGVHSAALTHDNRILTWGVNDEGTLGRDTKQEKK KGETGQDGANDVNGETESDQESDADDITLNLNEATPSPVNPSLFPKGTVFAQLAASDS ATFALTTDGLVYGWGTFRGANGGIGFAPESKKEQRTPVQISVLSDVVKLAAGAQHVLA LTSNGTVFSWGCDEQHQLGRRRASRQHQSHPLVPDQCALPSGIEDIGVGSYHSFALHR SGAVYAWGSNNFGQTAVPTSAGHHDATVAFPTEVRGFRKYGKMVSICGGKDHSIAITA LGGCLTWGRIDNKALGMGTEDMPLSNIIHDGYGRPRILKQPHMLNGISDRIIFATAAS DHSFVITEAGKAYSWGFNVQSQAGQPGLDEVERPTLLQSKYVDGKKLVSAAAGGQFSI VTGKHLATTASSHQ AFLA_012890 MKSLNAAGRLYSQPWPEAALNLSQDVTGTGLPYLVQATNKPIVE LYRQVSELMSRLITVLGDFADSNKDVQPVRELLVTNLKSVNAPKTVSDSSVQEFEQAL QKLNDDHVDGAIRLLQVSLVTLQPASGSMLTTVVLSVVISLQSNVASIKRSVVAMTNN TNAGVGAVGGINSNYPPAPSGNTGKDGQADGARTAENLPFDGTEKDAAFSQAYAFLDQ CQMLLSKADKSFFANTTVEREGIRPVPADYCANGPLTTNAFKIATFTPLIEEKRADIE QRFSNVTVHSTSDPRMILEALATLTSIKPSFSSLVDLSTFGYDAYKSTTVATDVQGNK FNKAYVIYQLATCGNTLLSLSTPYETRKDKTIAVDDPSCIKILTSVDDIKSILNQFKG SIEEKYRKELSDALDDYVDTILMRNDAILEYNAAIQLLFEAESDRQYYLAQAEKLGEE GISVNPDLPAIVFWLRKTE AFLA_012900 MERLPLTDLVNHMKGHQTTNRWDVVVSYDEDKINELLQADSTKL EEILQIEPFTKEVDFWIIVAEGTFDLQLKNPRLQFLAGTTQVALVSELTGTYEFPTTG KGKEMIAAGTQLRLIVSLFNCAGQRIESNGGTTFVPDSKNGIVEGATKDYTIQLDPGN GRGNGLCLVFRNMEAKVISTDTTMKNLAAPIETGITEHFADAKEIYYYLRGLSKYTPS YVNKLLEPVAFNFSITPPDNDRRIPGVLTTWISVKGGERGGQQPSGQNPLNFRPDGQT RCPIPKASSASVIISSHTMANCFFIPSLLRNFKNVKQKDNTGELAFEGDMQAENIYVE ALDTKEKYFFWQWEYSKGEGVDLPVDTPPTEITVSQDVVTASSKPVTVSLTSDSKTSK WSYYRDPGVAGGKPILSGGNVTLNFAWNAVGTAHPNLLQLDFKGDDEYKTIQTAAEPT FWLNWAGASTGYSYFYKDIHSPKPNIDLSMDALDYVLTTNVFFPGKEIFKAHSPVANA DKSTGLAVPRDLILIGDVAIE AFLA_012910 MTSDTEKETSALELEAQPNSKPGPVYDGDEKVDYDRAGALEAEQ AEHNMTVLEAVKAYPAASWWAFVMSFTIVLESYCVFLMGQFIATQQFANDFGVWSDNT GKYIIEASWQSAFQCSGPVGAFIGVFIAGPITSRIGYRWATIGGLMFLNAFIFIFYFG NSNGMFFASQILEGLPWGIFIANAPAYCAEIVPMRLRAPATQMLQMFWAVGSIIVGGI TYHYQSRTDPSAYRVPIALQWMFPTPLAILLFIAPESPWWLVRKGRLAEAEKAVKRLG RASATDNPAAAVAMMRRTIELEKTEKKPSLIELWKGTDLYRTLIVCGVYASQNLTGNL IANQAVYFFKQAGMADNTAFALGLVTSALQLIMVMLSWILTTYLGRRTIYVYGQLINC GFLVALGIAASVGSSIAASNAQASLGLIVSVLFCLGPAPASWVIIGETSSVRLRPLTT GIGRGAYYVVNIPCIFLSSYMLNDDKWALGGKSGYVWAGTAFICTAGAWWWVPEMKNR SFREIDILFRRKVPARKWKQTVVDLRDDE AFLA_012920 MPRSAVKETYLVARAPVGLYVPHLPPKRRGAKACLECRAMKVRC SGATPRCHNCHRRGRQCTYASSTGPTRPALTRVEELPSPTTSASSTITSDDCTVSQSN PSIPIPSAPPSPSDEVVGSLLGDYFDRLHPLPSFNFLHKDTVVRRCSESTIDESLKLA ICAITALYFSQYRSEHGAWAQQSEQLILDRLERPSIFLIQASLLTIRYRAGVGQFPRA FILAGLAARWAVSLRLNYEHSRLGLIAQEVRRRTLWSLYLLEDSFCVGLKEFELFDPE TIHLQLPCEDEDFHNERPVLTGFLHPGKGLEPEFLGARAAFVKLAFIRRGIMRFVWRP RSILLDTDTQTD AFLA_012930 MSWHQCHCDLYRIFLSGYPESTPHPAVERMTLPERVLMKEKCLG HAEQIVKVLSDFVHHKDEQQMLDFDAAVCAYHAARLILFVTYTGRSDEALPMQLAIYK AQLCLDVITRYFGFSAQLKSMREILERAIQQHKKWLESSDHHPIATADPSPHPPAGIS RDAYMRQRLAIHSLLRQSDFVDDSCDAAPEPNRPLLTWTASTEDDQIPPPASDWDDRG TVDPVTEQIGSEPNLFCGLEYGLDLLGWPGEGTQEALGFIGGLDEQFMY AFLA_012940 MAVPVSRGRDRRPNIIFIMADDHASRAISCYGAGINHTPNIDRL ATEGMKFNHCYVTNSICTPSRAAILCGTYNHVNGVMTLNDHINKHIPNVAKHLRTGGY QTAMVGKWHLGESVDNQPAGFDYWSVLPGQGLYWDPDFIEPTGERVESGYVTDIITDK SLDWIKSRDRDRPFFLMCHHKAPHRSWECDDKHKHLYKDPVRLPDTFTDDYKNRAKAA KIAKMRVAEDLTYQDLGLVQPDGGRRVGEPVLQEFGSSERKVPVPGSIAELQSMRLID KDDGTVFTFKSHAELAEFKFQRYMQRYIRTIQSIDDNVGRMLDYLDSEPQLAENTIVV YTSDQGFFLGEHGWFDKRFMYEESFQMPFLIRYPKEIIAGSVCDDIICNVDFAPTWLD YANLPAPSYMQGTSFRPLLQGRTPESWQQVAYHRYWMHNDIIHHAYAHYGIRNQRYKL IYWYNEPLDVPGARPGGREHKEWELFDCDKDPLELFNVYHKGEYQGVVRQMTTLLEKK MAEIGDEPVHPKPQWLLGSL AFLA_012950 MVNLQLTLPAMALSSTVLAASVHSETSVGTLHRERAEALLSQMT WEEKVGQMGGIRRLLNTGPEIDEENYEYRQAEYQNGNIGFGATLNWADDILPLTNEVR QRQINESRLHIPFITVTDSINSLYLSGGTIFPSNLAMAATFNIPLFSEGVAALREEQI AIGVSWVLSPPLDIAWEPRYSRIGELFGEDSYLTGEFGHAYVQTMQDKDDSGNIKVAT TVKHFVYGESRGGINAASMYGGINHLYNDQLRPYLRALEADPAAVMVSYASVDLVPMS ANKYLVRDILRQRLGFEGIVMSDAGGIAHLYTESRLAGSYAEAALLALEAGLQMELSP QSPAVFPTLVAAAEDSHVGQLIDEAVLNILQLKFATGVFDKPLPDPAKVNETLRTPAH LEISRHVTRESIVLLQNDGILPTTPSKVALLGPFADIRNYGSYAPVNSSDSQYGNSLY QSLQAKLGTSNVTLVQGVDFIDTDTTNIATAVSAAKEAGLAIIVLGSLSVGTTDPLVT KRTDGEFFTHANLGFPGAQQQLLDAVLDASIPTILVLSGGQPFVLNNSTLRSNAILHS FLGGEFTGDALAEIIMGDVNPSGKLPISLPQDTSATPVFYDYLPSDDTGTADSILGFH STYQFPLLSRSPPMPFGFGLSYTDFTISAPRARASNSSVEVRVNITNVGPIAGKEVVQ LYHRPNTTTGIEVPVKRLVRFEKVDLHAGEGREVRFVIPHKDLGYYVDGELRVKRGVY SFWAGTSSRTEDLKGVNVTVL AFLA_012960 MAMLFTPIAAASLLAVVGTQPTGVFAQDAEGWYKAHPGMSRIKD VNQDTHQIVDEFGRTRFFHGTNVVMKEPPWHRPLEWVPGVSSFGEQDVQNLHDLGLNI VRLGHSWAGAEPMRGEYNQTFLDIMKKQTKMAEDHGLYVLVDVHQDCLARQFCGNGVP DWFAKKDWVSSGKMYPFPLKTTPFPVDENGFPSPQSLCGSVDWALSYTSVALGNAFGR LYNNYDGLGDAFAAYWKKLASEYGKTTNVVGYNLLNEPWVGDTWADPTLLVPGVADHK VLEGLWNRAAKQIRTVDNDTLIWFEGATLDVLSGFNNVPLGDGSKSVHSFHYYNPPQL GSISTTLSNRHKDNERLRTAGVLTELTFWMGDEKQMQGLADAMSATDANMVSWIGWAY ENLYNGTSGQPYPELAKHYSRAYPAAVAGTPKSFSFADSSATFKLQFTSDPNIQAPTE IILPPSTFPSGYSVQVSPDGSVLHTPSDSRTTAGPTYRSATRSAQSQKSNTSRSITKR GGYSHNTVDELIVPSLVEKPLAAQGVETLGFFIDATVPGLYYMYSTRLSVNWMNFARR HVESTLDPFIWSLRCLGTLHLGMKHQDQDTIASSRSMYSRGLQGLHSLLRRPRFVRSD MTLAIAVMLGIYEMMDPITPQSWLTHSSGIATLIRLRGPNAHRGGFGRTLLISFKSFI VADALIRGEACFLAEPAWQLALTDTLAAESGNGKGSQLCDLVELLFAEITKCPGLYAR ACAIIKNNETDHSMFETLRQEATQSKQRLQYLKDQLESVVPVSLDSEALRDKPDLIGL IPVSVAQKSRAFAGHGAQSALALLDQVIVLTESDHHRLFTDSTMPTLPVWDVLPAPAN CVTMDKEETLANRLPAWPDQLALSMGMLAVKDT AFLA_012970 MELSNRPRKACDLCYTRRIKCDGQKPRCSNCINYATDCTHTALS RKSKPRAQRRSELKKADEVQSLQAEIQRLETQLAQNRDHDQASHATQEPVRTTIAAHV ADQHIDEDGDHTISSMKLPPLHQAMAMVGIYLNTYNSVLPLFHADTLLRLVGECYARQ PRQRDPVVWAAINVVFALACQQVPENPRNGRSQHQTDQTTEYLNKVQSIISTVMLGET HLLNIQVLVGMVMLLQTAHDLTPSLLLISATMRLAHKLGLHSHAASTHLDPVERRQRA RVIWIAYILDKDLSLRTQQPSVQLDDDMDVELPSSLPTTNDDNDNTAGIVVTADGNAR MNYFLARVKLAKIEGRVYDSLYSTRAVNRSFEERRNARESVVSALDEWRASIPLEFSA SIVTSSTSNKPANGGFFCVLHATSLQCMALVSRAHAWDRQWLPPAWDTIVHQARNYML LLREVWSRDAWFRWMTSCPYTTALVLLTANTLGNPSHNNTQLDVELIDSALLWLNEAM RESQSDEARALRETCVEAVRTVKQKLAGDFTTPFGNYWLLNHPDSLEPF AFLA_012980 MKVGIVNAGNIGLRLGFAWIRLGHDVMLSKDTHPERLQKRIRQF AMEKGIGEDEIARFQYGSITDAAKFGDVIILSAYFPRLAHILKELQNDAITLSGKLVI DTMNPLNVDANFNHYHDLKYMERTSVTQEVQRAFPEAIVFKAFNSMPATLLEVQKWAP RRVPPIIFIGGNPSSIDTVRKLIKDTGFKPQFAGYDLNHSGLLERLGVLLHLVAENEY EGNFDVVFDVMEGKA AFLA_012990 MASIKKEQYAIAPGSRVLVTGANGFIGSHVVQQLLSLGYVVRGT VRSQKPWLDELFRSKYGPNSFESVVIPDLSNYDTLSKAMRDVSGVIHVASDVSFSPNA DEVIPKVVSATETVLEAAARQPEGSIKRVVLTSSASAAALPQPGVEGIVITEGKHSL AFLA_013000 MTVDTWNEATVKAAFDEGTPADAKPFTVYVASKTEGERAAWKWV KNNKPPFVFNAILPYYTLGQVLHPEIAGSTMKWAANLLDGDTTAFGFPGQFVDVADLA RVHVVGLLHPEVKSERLFAFASTFTWKEFVGILRKLRPGHTGIPGPPENELRDLSEIV PARRAKNLLQALFGGSGWVGLEESIRAGIDSLGY AFLA_013010 MTSRRQYDLILLGATGYTGMLTTQYIFKSLPLDLKWAIAGRNRG KLEQLAQSLMPENSSMQPPDILVVNLNENELDGLAKRTRLVISTVGPFLLYGSETFAA CARNGTHYLDCNGEIPWLKNMIQQYDRTAKETGSIMIPCCGFDCVPSDLSTWLAASYI RRHFNAQTGRVDVCIHGVQGCISGGTLTSVLQAFELHSLRHLYKAHAPYSLSPRQPSP TVPTKPTSIWTKLFGLLWIKRLGWMAYQPQGPVDRAIVHRSWGLLESTTVSYGQNFDF HAWFKIWGPVAAILWHFGGLMLAPLILLRPIRKLLPKLWYEPGGGAGQSDIEKNWFEY RCVAEADTPTKPKQKALVRMRYESDPYIFTAVALGEAARILLWQKDTWAHKFGGGVLT SATLGDHYVSRLRAAGVTMEVQADDPVYKGKDPFTKV AFLA_013020 MQQNQPQRSATSAQTIEPLNVESTPPDTEPNYPTGTKFWFTVIA LCVILILGGLDANIVATAVPSITNHFHTLADVGWYSSAFRLCTCAFQFGFAKLYTLFS IKIVFMTSNVIFLVGSVLCATAASSTMFIVGRAVTGLGFAGELAGCFAVLAHILPLNR RPVFAGLMACVESLAIIAAPIVGGALTQSLGWRWCFWINLPIGTVSLAVMFFLFSDPR SRQEDDLTLTQKIRELDLVSNCLFIPSLTALFIALSWAGTKYPWSDGKVIGLFAVFAV LLTVFLLNQYRRGDSAALPFRIIKSRNVIAGFIFTTCTNSMTNVLEWYLPTYYQVVRS RVSFHRQLSPSESGYLMIPILAGMMLGLLIQGIGTTTFGYYAPFMIFGSVCMPIAAGL MTTYNPHTSLAQIIFYSGLAGFGGGIGFQGPQAAIQTTLSSADLNLGIGVILFGQSMG PAVFIAIAQVIFTNQLSSTLEDVVPGLTPAYIAERGLGDIKNMVPMPRWDEVSRSIDR SLTHTWYLSVALGCTTIVGSLLIEWRSVKQKQS AFLA_013030 MWSAYLFIIAALAIQLETGEAADMRYRDASNCVDPESFMSCRHR ARESRIDCVSKRCYVAEDPCIKVCDGDDTCMREKCNALQNECHRPCECAEAQDIIDCT ATSCWNEVYSCEYGVSVSGLTNIRLIARRIWDTCPNTKPFLITADYWSKYLRGSLDWD ACAPYLQQYDCTGTLGFGAADAGGTQNFYEPGNIPKNGTGMLTNTGGVISTPVSGSSF TWTLGSTTHPITAVVSSTATPSTTRECTATACQGRQTTGGGESIAAGQSRPGILLAGT LLGLWAAWIGFCM AFLA_013040 MSDPERQQDGCLDVSLDTGGTTHSTNTDPHCVGNCQLWSIAAGL RSTDVTMHKINSLISSSIGQDAALGSVEYLSHALHYFLLSRIWRKIKARLHALLRVMQ RHRTTPCHGQSTHTQIKTPAWSPLLSLSSLMFDTRCTLRLLGLFSIWTWGSETAKAPP ADRIVRELTRLQLVATTVYQFLENVAFLMTKNVLPEKLWKRFDSEKLYAWSLLSLCVH MMLQLGKLWRESVLRKRADQKAVASTNGKIKMIDKEVESASEADDNDTETSARREEVH AARKSLVSSVTWGALCAHWGMPAGIGIPEPFIGALSFVADAWELRDTWISIEVP AFLA_013050 MVWRELCPASQGPRVKSGLSASRKPNSAIELLAQRPEPSAILVT DEVLTLPENRAVWKAVLEYVRRGGTAVIMGHFPSFVRPNHLKPFFSQAGLNWESGSYQ RTTLALNPAAVSVANAEKLPQRYSQKAVFVKNVAPGDMWYKTDDDSVVQSMVFPATKV NIAGETAVALAKVGTGKLGYVGDVNAEEGSNAVVLAMCGLL AFLA_013060 MMTDTKTAVPPSEEGPPPTSPTHITDQSQGVSMDAVPLWKRVWR HSLTQMMLLSVQAFCGPAMSDAITGLGGGGLATPQVSNISTAIRYATLAVVCFLGGPI VNKIGVKWALVIGSMSFPIQGSAYYCNSKFGNQWYLILSGAIGGIGTACWYVAEAGAI MTLAPSGARGKYLALWIVSRNLGQLVGGAINLAKNHEKGADGGVTPDTFIAFVIIECL ALPFALLITPFERVIRSDGTHIVTSETLSTKEELRRIAKTITSRLIVLSSLWALWSFF YTWVTSGTWTTYLGTYFSVRARALSSLISPFFCMLVQDIISCSIGCFGLGYILDMKGL SQRRRAQIGLYTVVILNVGVYIWSIIMQTKFNRHDPGHIDWDDRLYASSFLPYFFVQT TGPLSQSYMYWLLSSFATDAQENVRNGAAFRCIEAIGQAIAYGMNTQTTSDPLTGFCV TFALLGASLLPMIMLVNTTPDRIPADVIAEQQDVARDKLESA AFLA_013070 MRTWYGRGTSLQAAITACCLVAFVLFGYDQGVFSGIVGNDDWRK QFNYPDDSEEGIIVSCYNLGCLLGCLVNFFIGETFGRRKTIWLAMGVVIVGAVLQTTA FTVPHLIIGRLVTGAGTGLKTSTVPMYQAEMCEGKTRGRLISSEVLFTAVGIVVAYWF DFGMSFVGGPIAWRLPIAMQIVFAIFVIVLVFGLPESPRWLMNHGQEQEAMEVLCAVY NKEQDDEYIVNERRAILSAIELEDAVSKQSFWKIFRNDEVKTGQRVLLAWGIQLMNQV GGINLVVYFVPTVLRNNVGMSAQLSQILGGCIQIMFMLGSLLPAFMLDRMGRRKTMMI GSFGLGVCMLMVAALLSQVNEPNGKAYASASVTFFFLYMLIHGMSINSVPWVYVPEIL PLEARTKGTAIGVSSNWLWNFTVVMITPVIINRIQWKAYLIFMITNLLFIPIIYFFYP ETSNLRLEDIDLIFSRGGDPVKQARKMAAEIKAYGYIQTEQQSNEKEISGMEVERV AFLA_013080 MTRLGQRALGGMQRVAGRASQLSPSHKKGPAASTSSNCEVYNIG PFHSEESLEEVAEAAHDQQICADEAVAMTDHQLLFDFEDLDTSGFNNFVTDPMFQDID DQLGNFLNINIPKCPSDSAFINPDTMWNPDEFDFSCL AFLA_013090 MLPPESKPTIRVVYATAADYSLPGEPAEPKLQSTQQSSQVHMET QKNASQPSRRDTCVHRFIGDLNPVVLFVGDGSTRLMRGRAHQRDVGVWLDRENEFIEN GENAYHEELSSSSTRYQMSRQKRHSGRPGALLPPRQTQEALINIYFRRIHPVLPLVHE EDFQVQFRNGTVSPYLLQAICLVASKEGEAEPILRLGDRAETMSPCRFSNLLYDDLCH AITMRLERQRMTLIQILALASLHASGPKSFEDASLYLAQAIHHAHTVGLHFLKCGPER HEKPLVTLFWCLWSLDRWIAAIHGRPLVIHDRDLGQQLTDVIDLFDSPFRVWLSLASI MGEVMVVYRPTLDAPVDENKPEIPRFEELIAKCQAEDISLDQTCQSPYAFFLPVRSLN YPSVFRIGVPCNSSSRLTAMGPQGSTEVSRAVPTTGSSCISLSHPATNVYHQSVRAFT HRCLFHIPRVLNIL AFLA_013100 MLETIPGPETNYHSYQVHAMIERRLNRNIVVSEPLRIYKRFSVE ESLNWMSALNSIDKQWDNVAQYSISIPDVNIPFGATFPMKLRFAPLSKGIKLHALTID VIEQHEVKISAPAAYSAQFNVHFLSSKREHIIFNERHNLDDCIAPESEEPDLEWCITK TISLPQDLAACTQDVSSKMMTIKHQVAFTVELLGVGKGLSMIKGTIPFNIYMSPHVIS EHGTVRRVHIDGCHDDYVPPPPLYSKHQNDLLLPMGECPLNTKTLRLHCEQSLDNIFF NSAALDCAPSYETVIQNQPLIANYTQTTYS AFLA_013110 MSDRGSAREVAESAKVARFWLLMFNLAAVLILSSVDMNIVATAV PSITTYFHTVADVGWYSSAFKLSQCSFQFVFGKAYQLFSIKRVFLFANAISIAGSLLC GAATTSTMLIVGRTVAGLGSAGLLSGCFVILVQSTPLRRRPIFTGIMGAVEGLATLSA PLLGGAIVQSIGWRWCFYISAPLGAVALVLTMCCFSDLLKSSDISRLAIREKILQLYL VSNLLFIPAITALFLAIPWAGTKYSWGSGLVIGFIIAFAVLMAAFIYNQKR AFLA_013120 MASISETISKHEERLWQVNQEIHSNPELAFEEVHAHDTLCDLLE SLGYTVTRHAYGLKTSFEAEVGTGGGLIVYNAEFDALPEIGHACGHNLIATSSLAAFL ATAEAIKANQIEGRVRLLGTPAEEGGGGKIELIKAGAYKGVDACLMGHPGPGMGKDGV VAPRVMARRGATVTFHGVSAHAGNAPWLGHNALDAAVAAYSNIAMLRQQVAPNQRMHA IISKGGDKPNVIPHLTELQFFARAETDAELQETARRVTACCEGAATAAGCTVEFEWLE NYKELQCNNILIDTFYKHSQEQKQYYMKALPAVSGASTDQGNVSYELPSLHPGFLIEV ESPKIGPHHPGFEKSAGTRQAFESSLRFASIMAATGLEVLQDPELRSRLWAEHRERFG KAE AFLA_013130 MPVQSAVAPRLSRNQLPKEAMADPLKRPTTLLHFFFSLPFMQQH TRPSMKVMSGRNAPRSRTGCATCRTRKIKCDETHPSCVRCVNAQMQCEWIHKTPRKAS RSRPVLKASRVPGLQRTYHPLRPRNIDGSMHFTSLSLPDSHCLSSSEREYFAFFPHTS MVRWLGKPWQWASLHYVYSHIAPHSSVVTRMILAISATELEGIRHMERLRSDQMASYD QWPGEAGTSHYQSALREFQLILSNSQGSLSPHEVNEISTAFFLMVTYEYLFGQDSSAV EVHIQGIYTFLKAHDLVPRLGEPGQRVRLPVLTQQLLLFVM AFLA_013140 MLLAGCTMIALIGNIIVYACESTGVRLFGLYLFVAYAAGIPMTL SMVSSNVAGFTKKATVSAMMFIAYCTGNIVGPFLFFEREAPGYKYWGYHGGWKTHGEI GYMGQRLLHLRIVLKSPLKGLLWRGRI AFLA_013150 MKRQRQDLAWSGPSMRILLDLPGTNPDERRCFNIFQTYTVPMMV SWFDSDVWQQIVLRMSQAEPAIYHAVVALSAIHEDSEKAGLPPGAMDIRNTYHRFALA QYSKAASMLCRRLVSNDPQVRAVALMCCIMFICFDLCRGNYKAAFTHLQNGVQILEGQ ITKANRRHTSPQSIDSGSPTESALTRVLLHLDVQSAHFGDSGPLLHLHPVVLGVGSRD NLSLNSLREVKQRLDPIMNNILRFRRSCEPYVRGEISGPFLFDIAEASAEQRRIQAHL DDHIRAFEDYVLRQAPYLVNSKDARSIDLMRLQNEALTNILETSLVTSEMVYDDYLPV YKKITRRAEKIITSFQSDYGTHRPCIVMDMGVIPSLLWVCLKCRDFPTRHRAVKLLER WPHREGAYDSHLLVQIVKDHMVLEQPIAGDGATANVPEYARIDSVMRVNTSGEE AFLA_013160 MANRDEEILVDSGDDYDSHYVATDSEVTSMRSSIVDYVYENGRR YHAYHAGSYWGSNDEKAIDAMEICHHLYGLVLHGRLHLAPIKNPLRVLDVGTGTGSWA TGFADLHPGATVIGTDLSPIQPSFVPPNVYFEIDDCSDEWVYKSPFDFIHVRGLYGCV ADWGKFYQQALKNLHPGGYLEQAEMSVTPVSDDGSTAGTIYEIWGQKSLEVGDQFGKT LRIVDESKQRMIDAGFVNVSEHRYKLPIGPWPKDPHLKTLGRFFRIVMEESLEMWAMM LWTKILGWSREEVEVIFARMRDALNNPSIHAYLEISVVYGQKPEI AFLA_013170 MRNDSRILFLAIEVWIYVLRQHGESRRWTLVRWPQLDETLMAQI ADPHNVNGFDVATPFLENHNSRIFHANPREFHVSKGTT AFLA_013180 MATQVLTENTYLAGARNSLKKEDCMELYEKWAASYNEDLADASQ NYIAPLLVAQAALASSKDPEATVLDAGCGTGLVAEALAKGSKWTIDGMDLSPAMLKVA EQTGVYRSLFKVDLTQPIDQPDQKYDIVTCCGTFTRGHVGPDPALRELIRLLKPNGVI AATVLEEIWVSGGYKAEADKLEKEGLAKVVSRDLIDYRKGAGDKATLLLMKKTASA AFLA_013190 MYFSNAELAIHEVFIKAPIPTNSPDPQSLKSLHTCLLAAKSWLD VWLCVPPEHYMGVSFTIFFQFCRALVDLFMLSTLDDPTWDRKAVQDCVNLFHYLDLLQ TKFKRSSDQPGQDGEATIFSKGVSMILAIKERWGPSLMEARPYLPTTEMANPTVHDTL DNPGDLNLDGMDDAWIMELFGFL AFLA_013200 MPNPESRRTDARKVRKTIPAPYGQACINCARAKCKCLLLATGDR CDRCDRLGKECRPSLSLRRSRKGSSSTRIARVESRLDSLLSAMQSPGIPLQSSSDSER AREGETSLEGHAQESSSALSLYDIRTRTPVSVLPALNDASNFVHIPSNTSTRLLEQFR NENLNYLPCIHIPPHVTPQELMQEKPFFWYCLTAVLTPNLIERESLFTKVHDTIYQKL VVETTPSMDLLLGLMTFMSCHLLTGLVSELGINKAPRKDQSVLQGFNRAAGLKTEPAM KRTLEERRAVLGCFVITSSIASSLFKSDALRWTPHMEENLEILASTKECFGDELLVWL VRIQLVVDKSYHLRRDGENCHSSPLVTDVLQSQLELVKRQIPAYLKNNRMSDALPFQM GVVNSSV AFLA_013210 MRLTSPMSLVMPMAILGISTIQGAAAKCSDGLVNVVFNIGHGGY TKQRWEKIHSASNWLTFNFGFDEKQIPMLGNNKTAVDDAIDAVNGPNPPDFMLTFNEP DNLYGSHPRKVILQPEEAANLIKPLLRRRGNHTKFIAPVPAFEKLTWLPEFFGNCSCQ DAFSAYNVHIYNKTVDEAITRINAFHQKWNDKPLWITEIAPGQYTGACPNPVPWNHTT EFMRDIFAWGERTEWIHKIFWNSANEISCGDTNVAASFLLDFNDNPTPLLDPFNKLTC S AFLA_013220 MDSPASVCSYEELHISNPTTQMCQLTPNVTGSLQARLDEACADQ EKGIPGAVVVMVGKDGLEYFAHASGKRGYGSSEPMTLDNIFWIASCTKFITGIACMQL VEQGLLSLDDSAQVETICPELKRVQVLQDDGSLVDKRQGITLRMLLAHTAGFGYSFFN EKLRDYSKPVGYDEFSGSFYDMLQPLVNQPGERWEYGVNIDWAGLLVERVTGLSLNDY FHQNIFEPLDLHNISMFPNASMKSRLAHMNSRAPDGQLSPRDHLLRRPLIVEDARDIA CCFNSGGAGCFAKPQEYCQILATLLNDGISPTTNKQILRKATVDLMFENQIPQFPNFA AQEIPPAKADLTNRIAHLYPSATPPGWGLTCMLTGGSTGRSAQTGHWAGLPNLWWWCD RPKGIAGMVCTQILPFADAQVLGLWSDMESLVYKGLDL AFLA_013230 MPVRRELADNATRGPTDGNYADELDVDVLIVGAGFGGIYSLYEM RKLGLKAVIYEAGNDIGGTWRWNCYPGAGVDSEVPEYQLSIPETWKDWTWSTNYPNYE DLRKYFDHVDKVLDIKKDCAFNSVVVGAHFHTVEGRWHIRTADGRTARAKYFIIAAGF AAKRYIPEWPGIEKFKGIVHHSSFWPDEKIDVRGKRCAIIGTGASGVQVTQAWGPEAG ELKVFQRTPNLAVPMRKRSLTVEEQEGAKAFYPELFRYREKCFAGFLYTWCERGVFED SEEEREQFLEKLWSDGGFRYWVANYKDYLYDAKANRVVYDFWRKKVRERINDPKDQEL LAPSEPPHPWGVKRPCLEYDYYEQFNRPNVDLVDIKDNSIVDFTEKGIKLQDGTEYEF DVVCIATGFDITTGGMTSMGLHSIHGDSLKEEWKSGAFTYLGMTVSGYPNMFHLYGPH GPTLLSNGPTTVEIQGRWIADAIKQMERQGIKYINPTAKAAKEWKAKINELSDKTLFP TTKSTYMGGSMPGKVFEQVNYAGGEYPYSKEIRAVLPNFNGFDIVKR AFLA_013240 MSTQWNSVGAGQAWQSIGQTSLLDTMNSSITSSQFKDTPDYRSF ETWDISSELPLHGLPPTEGRGVSMPTNTTLARGACSSIPGRRLTSVEGRTATQTLHAV IMAFASQWLERPSADKDIPIPSSSAHHESGMREGLWNEARHALENSRAIPSFRVAFAN VLFSLAQRPLHVEEGMKLDELLDHDPAPMYLETALRQLFTFRSRLIKLWRQGPTRALE QCCKESKGDKSTHQLSQIDLMLKDSEAHHTFDLLFWLGIMFDTLTAVIYQRPPVISDE DSQIIRPRSRFSFPDAVDLDGWDISSYSVSRREESVWGDLFLRKRNMLHNLNQARWPC SYEEAAEVLSDAAPVKVLLFRRINHINTLVCRGGEAGAIEEAIHSALLVYEYWNSTYK QFMLDCLSHHTELPARIQSWYLVLAGHWHLAAMLLADTVEDIDQARLGQNSQAEHRYT TGLISVLRHENAFAVGGLAQYSYDLQGSSHPKLRNFHDSVNQAASLTEPWTAVLIHSF RKAGTILIREINKLQCGYQMQQESFMLAYQRCEHCVKALQCLGRKSDMALAAAQSLSD SLNMTLLRPSPIDSYHMCAL AFLA_013250 MGVGMLQSTLPVYLSEIAPTQLRGFFINAYSLASLSWFVVGQIF ASVALNQLHAMDPLDFRTPIYTQWAMVGATIIIFIIMPETPWWLVSKGKLDKASKMLR RYNGRVEGYDVSEQIVCEMPPATVVEERRIAERDSQEGMWAVFQGRNFIRFIIAGWPK MTQQFVGLAVFNSNATYFCKLAAFVLSFQYAGNKDPFLVTVILSCVQLISMIMTAMLT DQIGRRPLTVYPYAVTTVSVLCLGIIGCFDYTQKSTSSLLVFFACLATFSTTGASSIG YAYAAEIPQQRLRAQTAGLSLASSNLIALMFSFCTPLMINGPLTKWGVKTGFFFAGTG TVAVIIAWFILPEVTRRTPAEIDEMFEKKVNLRKFKHYVTDVQTHAAEQHEMEAHTRT A AFLA_013260 MALLHLAAGLLAAAAPTSALTFNVPASAPTNASAQLAEAPVGLS DRATYDASSSSAVTYTVSDPADAPSSLTFGPPFISLAADYDGQVIIGLNRRLNNQSNT IAAATLAKDTIKNLYAIELGNEPNFFTDSDPIADGGSWDAAADYKSQVAWQDAVCQNL STSDLISAGVYFGTSPMSISGLSSVEDEANDLVKDYCSHNYPQSPSTADLAGLMSHSG IASQIQPFASEASAAAAKGKAHIFGETNSATQGGGGISPTYGAGLWILDYVMQTLLMG TQALYFHQGTIGNYSPVYWMQAHSKGPYCWWGRYNMGAPYYGAYFATMALANADQIAP LDDQTTPYAAYAIYQDGAPSRILLYNSEYYTNGTRPSQTFTVNGLTSSSVIAKRLTAP YSTSRVDQGQVPTVAGQTFANETCVIQGDEVIETSTVSSGSATFTLSASEALLVYL AFLA_013270 MPLVRIDLVKGVRSPDEIRKLANVVQEVMRKHFHAPERDRYQII TQHEPYELICEDTNLGMERSNKLVIIQILQQGRSAEQKLATYRALQERLFESCGLPGE DLIISCAENSKADWSFGEGEAQFLAGTL AFLA_013280 MEIVNNGKAPDQLKTIGYFEALDQSRLCLRMSVKEIPANKYSHI HFAFAAVTSSFDVDISDVEYEFSKFVKMSGFKKILTFGGWAFSTEAGIFQRFRDATKK EHRETFVNNLVSFMNRKNLDGFDFDWEYPGAPDIPDITPGSPEEGDNYLAFLQLLRSK LPSEKSLSLAVPASYWYLKQYPVKDIAKYVDYFIYMTYDLHGQWDVDNKSSMPGCPAG NCLRSHINKTETHDAMVMITKAGVEARQLVIGITSYARSFRMNDASCSGPFCTFAGDK RHSMAYAGPCTTTGGYISNAELNDIIKNPGNYPIVKSYIDKDSDSNILMYGNPGAVDW AAYMDGDLKTNRINWIKGLNFGGTSDWAIDLQNYSNNENGDDDDDDDGTDDETSDEIL TCPSDKNPGTLEGLADIADSLDSGCVHLFALDILYSQLLDSLSLFQANSEGYDDKFGW YEKWTKEQIQPRIDSFMKLGDGKGLKYFDCYWAYTDGKEKKDSCLGMPHIWDVNAGWS IRYDLVDKKGFFDALAAETGIDESWVAFGETSDYTCADPGDPRPGTGGLPCRKLFQKK LNYPQKGSDDKIHVGNPKKLIEASIGNVTALRTSLLSSYLSVGLSFYDDGPNDTSATD AVVAYSMPILQLTEAINSMKDIKEIGEDAKEQAKKDLIFKILTIVFMVIPFVGEALGP LIGSAASVARIALLIGEAGNGALTGADIIEDPSSAPFAVLGLIAGVGGGSGKLSKAEA LAEASKARGLLKGSDLAKFSQRFRDQDALVQRS AFLA_013290 MKEISVIKKVWERDANGDIIRPYNIVDDPNWDKVNWAQVGEGAN LETVGTEFDKVGFKGRMENARIFKNWSQKDSFETVISEAEDIATKAIAKLKADGREPA DDRFNKMTAALKTHGDARRYDQAQKIAKKFEKEMSDGGFSAAYTDPIERPPVPAYRKI DADQTIRDNTGRMGFDKVEQKVRDYVTNFNSAGQSLSHFEAIVKTQDMHDHLAEACRN V AFLA_013300 MLNIATAKLAVAVSSAGGIGFIAGGYDLSGLEQQLIEAQDLVNE ANFHDYHLQQSQGDAPILPVGVGFLNWGASLEIALPLIQKYRPCAIWLFAPKTGVDDL LPWTRAIRSEVPYNVKIWVQLCCLEDAIESTEKLQPDVLVVQGCDAGGHGLARSASIV TLLPEVLDHLKSREPSSTQTIGKPFVVAAGGISDGRGLAATIILGADGCAMGTRFLAS PEAQIAKGYQNEILRASDGGVSTVRSTVYDKVRGIYGWPTKYDGRGLINRSYEDIVNQ EVTEEENRRLYEEEKLKGDNGWGPQGRMTTYAGTGVGLIRAVMPAAHIVTKIRQDAMG ILQKSFVPAKL AFLA_013310 MSSWLPSLLLFGNLAIASSVSTVHIPLNISSRVLRRDLYGYSIE PVSLDPYLQTELASKLLGHVAEIAGVPAPIRVGGNIADQTLFDPTLEFPSEALPNDTT VEVLRIRPDWFNGWKEYFPEGTDILYTLNFRNETDSWINAMEEAQAAMGALGDSLSHF ELGNEIDHYINKGWRGADWDTKEYTKQWRRLTGQILSSKFYKNATHKPLFQAAVFADP PMVPDQHDEIDDFDIVNVTRAGLVDPKIIESYAVHLYPQSTCDAERYARLSLNLLSDH NVIWKNLSQYIPQDAAARAAGSRLVLGETNSASCSGKSGISDTFGAALWATDYVLTAA SIGIEQTYFHLGHQSEYSAFTPLPYEHKGENLTAGVRANFFSHIFLAHIISSRKADSW RISALPAANASDFSGFAIFSDAPESSLAKLVFINLGPTDSTFASPGDRPTRTVEVHTS WSPGTKIEILRLQGPGTNAKSGVNVSGVSIDSATGNLVGQEKVEQGIVNDGGIVRCEL SQAEAILIQKPSE AFLA_013320 MRFNVLATLVGITIPQIALAIAPGPLSTSGRWIVDANGQNITFA GVNWPGASEAMLPEGLQYQSIENIVDKIKTLGMNVIRLTFAIEMIDDILETGRDVSIK DSLVKALGEKNGTKVSSNIVRHNPQFGVDTTRLQVFDAVANESHRQGVYVHLDNHISK AMWCCSGTDGNTWFGDRYFDVAKWHRGWQYMAEHVKSLPAVKSVGMRNELRKAEDNPT LVNTTYNWRYWYRHMVENANQIHAANRNLLIYFSGLDYDTRLSPIPTGAELGNGTAFR KDDFEYADKIVLELHNYERTATSCEDLKSSLWNAGFNALDTQNSSIVNIMPVQMTEFG FPQDNTTYTDVYASCLREWLPSLQAGWMVWVIAGSYYIRKGTQDDDELWGLLDHTWSD WRSTDAVTNGLIPMVQATLG AFLA_013330 MKAPTSTTLVGVDSMLADYERRDATQDEINELPHVADSISFIAW VALVVSGAERFLFYAATTPWRMTDAKMCAENYAQYDRENTSIPGALGLGEAMASNISS AFYAFTFLMSVAFAVLSDAWLGRYITLCLSFCLDFCGCLVLFVTSLPAITDASVKLVG FILAVILIGLGTGGVKATITPFIGDQYPNVSPQLIITKKGERVVTDRMLTMQYIYNVF YWFTSIATLSLIASTYLEKKVGYWAAYLMPLCAFAVVVPLLTVWHKALVKLPPQGSVI PQFAKVICFSARDRFRLDAAKPSYQAEKYHREVDWDDLFVSEIKRGWRRHEVNVVQTP TDLQPYPINLVVYCHNASSALVMH AFLA_013340 MDMAALGISSTDVEAYGRSLINVSALPDREVQRSYILSLAHAAE PYMDTEMMLSLIHIVDYVKLADPVYMLIGIFRLFPVGARCSIAQPPGRHENSPVMLSI QYKCLLAIHPIHLRVLIGLYLLNANNRLWILQRPGVLHSLD AFLA_013350 MRNPLHNGRGLEPILELPFFLLPASVPFDEEERDDRDDQQENDG NEDRFEQDLQDTHNDGGNTIEGEQLMPWWTVENFHALDGMAAREGVLIGRLLWQVNVS IIDGA AFLA_013360 MTMREYAGGDQQNPTGYGYGGNNNYYPPQPNRQGNPSPQSYNHP NPSGYNPYPPSGSNPSETNYNYNSGNQYYPTQTEYPQPQPWGGPSPPPGNYYQQPENR SYHNPPYGGQPPYPHSESPRPNQTDAYPPKPYPPPSPQQGGYGQYPPAPPPYSPQPTG STDTPNAETEEKDRGFLGAVAGGAAGAYGGHKVNHGFLGAIGGAITGSVAQDAMKKHK KEKEEEEKKKQWAAQQAAQQAALQHAQQQAQQHAPPPMFSPPPQHGPPRPDPTPLRGN FSASSRDIRLERGHELVAHCGAISGQMRPSSIPLNNVLSNQYGKFVWARNGNFAASAR NIRLIEGGKVLEAELANGRGGWDRAWMRLDERISNQDGNLVFLD AFLA_013370 MEWLKSLQRDRSQIPDVVIPLADAQNSIPLAENDGESNVNSLDS QEKGAGIATDCSTLTLEALRAEVDSSVAASGHNTVYDLGGNLPVDAAIFLEFLPTSSA HILSSLAVFWSVGTLIASMLAWAYIPNYSCTDASTCTRADNMGWRYLVLTLGAITMVF WLCRFCFFKLFESPKFLVAKGRDDEAVAAIHGVAHRNKKKTWLTTEILNEIGGSAETT EKQNLSSKEIIARSLSKFSASQITPLFSSKRLGITTILIWLCWTTIGMGYTLFNAFLP QYLGSTASTYETYRNYAITSVTGLFGPILALYMVDIKYIGRKGTMAISTCVTAVLLFC FTAAKTADTQLVCSALESFTQMIMYGVLYAYTPEVFPAPNRGTGTGIASCLNRIAGLC APIIGIYASSNPSAPIYASGALLLVSFVAMVLLPIETAGKQSL AFLA_013380 MASMFGVSRFTPKQQQKFSPITLHHFLRAIRSSQYRIHSNIEVI IRGGGQTLSSPAAPLVETAAVVAAAIAAAIAAAVAVALGTRPSSIRRAWIFVFLGERK QQPPVFLASSMRGATLGACWEEGQERTRKAQQMVPGDPAQSAQVAQARNMLDATWDQG WRKRLKLARGE AFLA_013390 MPLKDCLAKRFEPLLRRIEDKLEQGGHLRKAQQLRQKQHEWRTY QAQLWENFESYWIYERGQRHFIQHEAYLQLKHDTLFQQLNKIPSVADTMVTEMESIQK DLQDCNRTIWMAERKIQTILRAFPDAPLKRALLCRRRSSDWYLMKWLQTECADMGGCC GRGCGCCIRPRSSNRPNHLGHCTPACKCCEDVRGFRIDLEELEEDPTVMEFSLGEADV KGPGPSYTKSLINAYVWGL AFLA_013400 MAPTPEDAVFAISAQATQLGNRISVRMLDYLSTVHDIPEGFGDL SRVFLDTCRSLWTIEAGLSESTTANRPLPRIIVQEVEKKFIEAYRDFQHLDRVVTKLI QYEHRGALGKLQKGWNRPSHELGKIRESLKKTMEALQISVLAFHWSLGDAKPEESVGV GYAGLAAALDRMAKGRSVTGINKAKTLERGIAEMKQSSQAVKTAPAGPPPSRPVPPVP PKPSSSQDDVSRDPGCSRGGTLVEPMPETLSSVLSLDSLSLSSSHHGRDLELSQIRTR NTTSKGEDRPHLQSSSASTLAQDMDTNPSSVLPSKASYRKHDLPSMPQRTPSNTSAAN VGHLKNALASAVRARNHQLMEQLLDSGVSPDMGENTHPLNEAILHRDIEGMRLLLLFG ANPNAPDKEGKSPLFSAVEGSFMDGATLLLKYRADPSLMTGTELESPLGLCITSLKTG LVHVLLAHGADPNHEMRNGSTVLIEAIRKKAPQRLVDLILDAGADPNLKSREGKSALF EAVQADQVTIVTTLLDHGANPNLPGPEHVLWSAIYRPACLRILMARGADVRKTPGIME QATSINNIDSVRILLQAGVDPNSKKDGIYTPLCSAIRDNRGDIFALLLANGANPNVMA SEYPAWKCVTHFRTHLLPDLVDAGADLHQPPGIVEMAVQVNNAEAAQWLLEHGGANPN DRNAQGHTALTTAIRDNRVDLMALLLAHGADPNQRGQDWPVCMAVRSPILLQQLLPAV TDLSAHKGVMEMAVLANQLESIKLLLAAGASVEYKNGGVFSPLTTAIRERHEDIVRFL LDEAGADPNAPGEHLPIVKAVRRCNNGDFKMIELLLEKGADPNKTYREWNAIMQAIEN RDMKLLRLLVEKGGGVDLEKEDETGKTVMQMVDASGWSEATQFLLDNARR AFLA_013410 MQTARLQLVRLTEDHVAGYHAIWSDPVATRWSAHGPCKTIDDSR QWMSSLLLEANPMGENYAVLLRRDVNFDAITNRLNGGQEGTDDDKPYDISAHGGFIGW VGTWRTDPLPEMGFIFHRSTWGLGFATEALRAFVELYWSRKPQFNVLEAYCDTENEAS VNVLRKCGFELVDVTRKDYVLPWMTPPERDTMQFRLIRTDSLEE AFLA_013420 MEDAGRKGQEIWGSSCCIYKEGPPRQSTTKHWIPLVCLELSSVS RHIPPMAKKPKKCTRVRTGCYTCNLTRDIWHNALTTCRIRHVKCDEARPACVRCTSTG RKCDGYPLELLKVGEPKERRTLQPALAVPLFTKAGESISFDYFRRHTAAQLLGCFHAS SKDLLILQMCYAEPVIRYAAISLADLHRSFANSVNPKSQSSSNTQHSALVHYASALTH MKSLLCSKIQSMDTFLTACLLLSSIEIFQGRYQAADVHLRCAFHMSEIAKGTDRGAAT QDSPNTSIISKYPMIGTLMRMRFQCDLFLDVASTTPGALIKPGQHFSIAPPDIFTSLG EAQDILFNQIDRFFRLINQIALAKIATTPGVTSCDVGDRRKYPGAMAGSEVFLREMQT EGVSGLDQWDRAYQAFRSRYAHTFSTPEQHAATLVEIYRDALRMLLQMDHSQGALAHD PFERGFGLLLSRLQLLLDESPQPACTAEAPPVFTLEIGIVPILYFIATNCRNYGTRHA ALAILAAAPRREGTWDGSIIYTIAQRSVALEEEGRLDGDQSARGIPPSSRLIGMEAGI DPVKQSAFLVLHQLEHTRREKIKW AFLA_013430 MLGKCLFVLDDWDGGMRLTNRRWKQFKLVSSDSEDFLLKQRIDL DLNSKNAR AFLA_013440 MVTPDEQKIINSLQANWIWTPDWVDSSRVNTTGRIVHFSRELDL PESPTRAELHFSADTRYKLYVNGVRVAVGPARSSPWIWYYDTLDIAPYLSRGRNVIRF VVVRYFASSRGAMPFERTTLPGLTVVGSIEAGSNVVELDSHKGWQAQVDESIQFPMGL IDDGFLHIYERVAPTIHSPSVTPKAYGIKTLNGDLPPWRLRPRGIPMPDQTPIVVNVV RACESYISAEEWSSCLSGGGPLTLRGRSSHMLELQADTHSTAFLRWAFQGITNASQIT LKVTYSEGYELEPRSYPYFRKKTDRLDAKAGHLIGPYDAVTLDIPDTQTVAYEPFWFR TFRLLRLEIIVGEEPVRMLPLEATQVNYPLAVKASWTELGDEYSERIWDVSIRTMRNC MFDGYSDCPFYEQLQYSGDSRAVGLFHYLLSGDDRLMRQAITNFAASVTFEGLTQSRF PSHVPQLIAGFPLYWVLQVCDHHLYFGDTRFARSFLPRIDGVLDFFDVHIDTLGLVSG LPEDVWQYVDWVTTWGATEDYPDKGVPTSGRKSNRHTYFSMLYAYVLQQAARLVRDVG RPGYAEEYEARAAALQQAIRAHCYDGHFFTDSTADIADEMSYSQHCQVFAVLSGTARP EDHARLLMESFADSRFAKCSYMMRFYALRALSLAGDQVYDSFWPQLWDPWRNMLANNL STWEEDDVRQRSDCHAWSSVPIYEYCTELAGIRPIAPGSSKILFKPRLGLSDAIQAKV ALGKDNVATISWTMGGGGEKNVELRLEKPVEIVSQLPGGLEEEHGVTDCVKLVHKAG AFLA_013450 MSQQFWSKSGAHEQKMLDIGVLRFPDVSHPDFYRYRIKFSVRVD MSRTIFPMGDLGGITGEFHSRSFKPRQAAIDQLEQDALDSAVQEAEDFFA AFLA_013460 MAPTLAEVFGSDGIDIAERINNFKWNTIYDRGGPNAEYLHDGLA PSYGTLNSESIDDLDEAYKVAIAGVMRSLAKLSSSQRTVNNIETVMMNNTYLEPAEDY IDRTENILKGNMGYFNVGANLDNAVVKELWRYA AFLA_013470 MADSSDSRQKVAIIGAGAAGMSCASTLAKHPQKYEVTLFDTAPH TGGQAISIPLDDSRHGASWLNEGVQGGSPIFRHTFNFFRRYGYKPVQVKLQVSFGKGP DNFWTNMFPSPLVDRFSDEIQKFGRVLKWIKRLMPFLGILPVKVMLKLFRFSTEFGNK MVLPLLALFLGTGNQTPNVPSALLERLFDDKNMRLWDYDPDTLLPNQPTMYTFPNLSD FYHDWARDLSSRGVHIRLKTAPELVRRDKHGVTLRPHPATDDANGDPDEADLPCEEFD EMVICCPADEAKSLLGQHATWREKYVLGGVKFFNDITITHSDSEYFNHIFEARYRGDL CAKGNNQSRRDQIAFSQKTTRTRKDGWEGFAPMYYIHSYESDPDKIEMGFDCTNYQHQ FREAFGENAISPESDRHVYQTIFLNDKEKHLWTWDGIDKSKIIDKKWWHQFGHRWQHY LRVVPGMMFINGKNRTLYAGAWTMVNMHEIACISGIAAAYRLGADYEVFDDFAEDFFS KYLLVSHGVRYKRGKNCEPT AFLA_013480 MVRIAGGSKGCHACRRRKKRCDEQRPSCGQCLSRNTSCPGYSRE RKFINVSYQGKGSSSRMASGCNSKVESTTDSREIGNRLDQGAIQGLCSSGLSSHALSL QRSAVAYYCSSYFHNNVIQQGLDVFGKSSYIDWMAFTPDLDVDEPSLKSALLALGAAR MGRLSQDSRLTRLSSESYSQTLRHLHRAIQNGTQGLRDETLASMMFLAIYEARSPSHW WLCSC AFLA_013490 MVNNSLTGLENKYSRPKGRGTTRFILVLGLGICRANIYRSFSGR DVIDWVSEFAPRKYQKYLSYTSGWLATISWQSIVTTDSYLAGTIVQGLIVLNNDSYVP LRWHGTLLVIAAVICMTLFNIFGAKRLPLAEGIFVTCHFFAFAPVVITLLVLSPKASA RDVFTGFVDYGAGWPSISWTVMVGQVSSMFIVLGSDSVAHMSRSTNSLLAEEIEDAGV IVPKSMVSAFLVNIPFAFGMVLAYLFSMPDVQDAIDSPTGLPFIYVFKEAVENQAGAT ILVVVILILIITITISCLASASRQTFAFARDNGLPFSAWLATIHPTLHIPVNAIIFTC FFSIAISLIIIGSTVAFNALMSLCTTALMATYVISIGCIIVRRICMDPPPPPCRWSLG RFGMPINILALVYSLWSFFWSFWPNSHDVTAENFNWSSVMFFGLMGISTVMYWVHARK VYDGPVAIVEGRKFQ AFLA_013500 MHFSLMAATFPCLRKFLQAFDSNMGATTHMTTDPDDPKNTGSNG SYGLRSLQRPSRAKGSSFEKWPRNSNRYNRKYQPHTVTTVSAGASDPRGSPEGGGRLQ RQRTRNNDMESGSVESDDSQLAIIRRTQHWEVTVESRGN AFLA_013510 MSLNKYGGEDDSYEKEKAEQVEHVDTIDAEKGHLQDASELSGIE DTAASKAAWLISITVSIGGLLFGYDTGYISSVLVTIGTSLGHTLSSSEEELVTSLTSG GALIGAVGAGLTADRFGRRWPIWGACILFVLGTVLQTCSYSVPQFAVGRFVVGLGVGS AAMVVPLYIGEIAPAKYRGRMVAFNNMSVTFGQLLASAIGAGFAQVKGEAWRATVGIG AAPAVALAALLLLCPESPRQLVSHGDNASADAVLQRIYPGSTTEQREAKIRSIELSLQ EATHAMSEDSIWATIRRVFTTPSTGRAVLTACVVMAISQLGGFNTLMYYAAKLFAIVG FNNPTAVGITVSGTNFVFSVVNLLVVDRFGRRIILTTTVLGMGICMLITAVAFHYIPV NLQTLEVETNNVGWPGIVVLVTIICYVACYSSGVATIAWIGTELIPLEVRAVGTMMNT VTCWSTNIIISSTFLSMMKGITPSGAFGFYTGICFTGWVFVVFFFPECKGMPLEAIRE VFSDGFGVRYSKKWQKEHKDEARAATVTSFGH AFLA_013520 MMLVVSLLLGLTGLYIIRWTLGERKTLKRLPPGPTSKPVIGNLL DLPSPGTPDWLHWLKHKELYGPISSVTIFGQTIVILNDRQTVIDLMEKRSGLHSSRPQ LPIAEITDWDDTLGLIPYNSRFRAYRKALHQEMGTPASILKYHDIIDMETHRLLFRIL ENPEDLVQHIRKEAGSIILRVGYGYVTEPHARDPLVDLVDKAMEDFSQLVLPGAWLHL AAANLYLAIARSLAVFDITHLVKNGKEVPVTPEFTTGIISHPAPFELSIRVRSPEHEK LIRAVEKSYPWEKSHAEELQLKI AFLA_013530 MTYVFDKVLSPPQTPLSTNIPGDTISEKEALPEHFDGHLSAGWK VVYRQDYTQHQKVFLCSNWAYLRLEDKLKPNQMFPYDDQDPDDTTGYTVDEYVKKLDR EFGLVVCGFNDPARICIIWSPGGSHKSVGHIQVKYNGNWESKISTEYWVITHGEDDFQ HLTNGNLVKMQAFKRG AFLA_013540 MWSWSPTIAFLWLVELLRPSWHTSGRILKPVVAIRNGTLVGVHN ALYYQDFFLGIPYAQPPVDDLRYEHPQPLNESWEVPRQADSYGFWCHSAPLSLPGYTQ DGFHHEEDEDCLTLNIVRPSGATPSSRLPVLVYIYGGGLQEGGSADQRYNMSFLVRES VKMDTPTIGVSFNYRVSGFGFLSGRAFNDSGLANLGLYDQRMALRWIQENIAAFGGDP TRVTIQGESSGALSVGYHLLAYDGRDDGLFRAAITQSGAPLSSAALIPVDDQERMYQD VLNATGCAAATESIKCLRAAPVEALKAAFQQKFFFPVMDGKFIADFPSNALKQGKFVK VPLLIGSNLNEGTGYIASGMFGAVNTPTELRSVITGFGAGEHLPNDTLDEIVNGYLQL PIREVRADLGTVLISPSSKHGSMYGYSTFYIGDYLVNAPKRYSAQMWAEYGAPVYSYL FAVVPNGLSPQILGAAHFQEVAFAFKNFDGVGFTAPPLCSFDSQTESQLRDVSRRMAP NLVLRLESTTVESDTWRSGIIQRIIDAFDEYKL AFLA_013550 MATQLDTHFSPEDITFAAKVTYLNEVSALILCDPDQMMLLCLEG QYTGLSRGILPWKQIGDMDQCCPSSVARLDDGFENAKARGIESARVFWLR AFLA_013560 MSPAPRRSTFLVIHGAWHHPELYGTFCKAIENRGAEVICPRLPS CSGELPSTKTIEDDVALIRATAESLVQEGKKVFAVMHSYGGMVGTDALEGLGLQRLIY LAAFVPPSGKNLVDMLGGSMAPFIVGTFRAKQDEQGMLRVPEAASVFYQDLPDDEAAF WAGRLVPMPKSAFLNRITREAYRGIPATYILCKDDRAIPASTQEMMISNVQSAGVSMD VVRITASHSPFLSMPESTAELVVNLAAK AFLA_013570 MHPTASSVHMVIGPLSGPTKMPTDPYFFVKSDDACRLIGICYVG SSLCGHPGFVHGGLLFTLFDDAFARCASNVFSSRIGMTANLDISFRNPSIPDRVYIYR SKVIKREGRKAWIAGEIRVEENEGTLVAEAKALFVEPRDVTVRSSLIPRCV AFLA_013580 MKLCHYHVCFLRFVFPAGLGLESADTGFQSQTSLEAKADHPFAL AQDAFGDESNAEVKYKVLSWCHDRRIDIPWCLILTSSRCCARAVILIIGLGLLATYTG YVLGQFKWKHPQISNMADAGEVLLGAFGRELLCAGQTLFLIFLMAGHLVTFTVALNSI SGHATCSMVFGVVGLVISLICSLPRTMKNISWLSILSFISILSGVFVTMISVGITKPG TGAAATTKTDLYHGFSAVSNIVFSYATLSWVLTLAYPQAGHIGYYSFMGELKNPRDFP KALYLLQAAEIGIYLLASLVIYRYAGADVASPALGSAPSVVSKIAYGLALPAILISGV VAGHVASKLIYMRISHGTDRMHKRDFLAIGSWIGVILTLWVLAWIIAEAIPGFNSILT LISALFASWFSYGLPGFCWLHMNASSDFASGKKIMLTLVNVSTIGMAFCICGLGVYVA GKDIHSNHSGASFSCANNA AFLA_013590 MIEATSSSTQQDDRTAALEERVRELEDAVSSSFHSFRGALQQIS SHIGLQDVTGTLVGFTARSTDDQAIRPDIQAESTPELSEVRYDGSDLVDKRILTLEDC RKLFDFYGANCSEIIAFFDNAMGSFEETRRCPLLLAAMCTIGARASAPHLYRQCLNET YSLIQQTLLGPVPSLETLKGILLMAVWHKNYRLLGLMLSIAYQMQLPETALSLADKTS EHDEDSIDRARTWLSFCCVDLVHNTNKTYFVSEIDRYTRLGSDLTSTPYRRNVDYRIR AYLEIYGILNQAKKDVVISNNIQQQPITSDVCRQLQFYDKQLESWFRRNDEEMDPIYQ TFSKPQDRNRMEIPYNFARMHLNGSILHGLKPDSARNDPLRVQFIRAAVDAGKALLKC ALRSIDYQTNLNYSIDYSGSALGLAINFLSRATCVAYDCIDLEGVMRILAQARDMFEG AKLAGKADEVSHILDQVAEIKRNMTAMKSSNNMNEVDLDDNVDDGSDFFLNAVSDWIK LFSYSCTNPDKT AFLA_013600 MRLRKLVERSLGSYYDHGRWSVLRKVPSRKAFSRKACSYSSKLW KPRAIARLDIVYNPEDLRGDFSTQREGQVKLFTEQLVHLSLQDQTTIRVLDEVRHAVP TKGGKIPIRHKNQVSAYLLQRRGAVSTTPCKKCSKDGFYDTCVVSPSCDGAALYFGAC ANCLDSKGSKQRVSDQPPTTLQVEEFLLPQSDLEYRGYKPV AFLA_013610 MVAEYMWLAEVSAMWSLLFVIYTPLGLWRRRGAATKVVFPSLSG RLKLLLYLLSSTRVTTSHRGLLPAVASFLAALGLCMLSYVEHYRNVRPSSAVIIYLTL FLVKDIISIPLLFRQSVSHEFALVIIRVVVELPLLATESCSKTGILQERYRHLPPETV TGILGRATFWWINSILKAGYDASLTQTELPSLDRPLSSRSLRRRIIRAWGGRSKPETK LTLPIILVKCFLREFWAPVIPRLFLILFRYSQPILMGITIQFVQDKALLGEQTSSGYW IVILTIMIYSGLAITTSLYQHRLNRLQVMTRGALIGLVHARCFRVVKPTIQDGKVLAL ITADVDNVIGVAEMWHETWAQIIEVMVGTALLVKKIGWFAGIPLIMVIGCSRLSAYVA KHIQGRQADWSTATQARLATITSVLAGAKSVKMLGLEDAISSLISELRSREISLSKKM RWIMVAYNASANALGIFSPALTLGIFAIFRGLDYALDAGTVFTSVALLTMVTHPANMV MTIIPRAVASLANFRRIQEYLTEQQLLDERHGLPQKPKDVGDTDNDRTSAMVLEHVDI CLSGESCPLLRDINLKVNQGSIVICTGPVGTGKSILASALLGETRPARGRICLPDEQI AFCNQTPWLPNASIRDIICGGSRVIDYTWYQTVLDACCLSVDLETLEDPDGSQSCITN NGINLSGGQKSRIALARAVYSRSRIVILDDPFSSLDGGVKKHIIGALLGPGGLFRRMQ TTVFLITAEASAKYILDTYLNIADQVIVLTNNHVQPMSPEDVSAYEGTGTSDTQATAI KDHDDQTSDRFKVQADKMRMTDAADDLARRIGDIAVYRYYFSSVGIRNILLMISCTAV YAFCLTFSQNILRWWTDSGSAGSRGYLLLYFVASCIAWLATNGTMWSTHILIAPRSGF TLHSRLLSKILNAPLSYFSSAEAGTILNRFGQDIQLVDKQLPAAFANLSNQIFKLLMQ AIVLFMVQPVMASTLPCCAVCIYFIQRIYLRTSRQLRFIDLESRSELYTNIVETVEGV DTIRAFGWESRFESSNIMKLDKSQGTMYLLLCLQRWLNVVLDLLITAIAVILIAFAIT FRESATGGQVGVALNMIIAANTTLLRSVESWTSLETSLGSVARLKSVEEDTPVEKSSR DVLEPRLEWPTTGTIRIRSLSAAYKSQTPILRDVTLEVPAGQNITIRGRTGSGKSTFI LALLQLLDKQDGLIEVDNMDLARLPLRIVRQRCFVSIPQEPFILPSASIRFNLDPYGQ HDSTAIWKTLIKTNLWSHFSSPVGQGMTMTVGRDALDKTLSDFPPLSTGQRQVFASAQ ALLRARFWSVLTGRRISRRALWLQAC AFLA_013620 MSFLVAHAASVGLPFIGVSINYRSSLWGFIAGESVQGTRNMNLG LQDQRLALHWIQENIHAFGGDRTKVTIWGGSSGAVSVGRHLTAYGGRDDGLFRAAILQ SGTPIARTSFRTVDIDDLWQQLCIAAGCDTSDDQLECLRHKPFEELNAAFNDSADASS YMMASFSIPRLDGDFVQAFGSFDIKEGRFVKVPVLSGVVSSEAATYIPSQVSTWEDFR IFLTEHSGYPPATIQQLLSFYPDTNDSRVLNPPVGSFTSKELLDRVKNVLGDIEVNAA QRLLCEQFAVSAHCYSYIFNATPAWVQDPRIGVPHGAEIAPLFQNLDGLGFEGNAFLE KSLGYYDMSRLMGTMWAGFITALDPNVGLTRTPYRWPKYDLREPRVFIFSEEDIGLSI PDTHRQAAFQYIQAHFSGSFHK AFLA_013630 MKRTQPLSINTLRNIAIVGAFVLLICRTFQAPLIEKLKPQHTHH KGGFAPLNNTLGFEKIAIVSTGTAWRLDGLLRAAKYTHVDLEVPLQPPWSDDEVDVFR GKGVPGRAGHIGRGQARCWLGHLNIWREMIAQNWATVLVMEDDADWDIAVKQQLLRAS PLIRQVSGDKGQVDWSPYGEAWDLLWLGHCGDYIPESPASFMDETLPESSLYRENDGR LTNFPSHLRMVHKSVAPICTYAYALTKPAASKLYELSRQGMDRIITDYLREWCQSGTL RCLTVNPEVFHHHKQAGEVSSDIAVVEGWDDLAAKANSDYTANIRFSARCSSNAGRPV ACQNEFGGVM AFLA_013640 MKVSSALQFFGALLLVEPLMAIPVAPRDPDSCAIVRDINGDIVA RGAACSRSVQIGPDNATEDAAALVTRDPDSCAIVRDANGDIVARGVACSRSIQAETED ETEDAAALVTRDPDSCAIVRDINGDITARGIACS AFLA_013650 MLQITEKSDTLDTKACDLAPQPPDIALGTLHGATEDAPYTEAEN RTVLRKIDWFLLPWFPFLIFGSATVVWAVPFFLFAAPSPAAAKWLSSAEKDVAIKRVS ENKTGLDNKEFKLYQAREALIDPQVWILILFVIANNIPNGGISAFGPLIIEGFGYSKL GTTLLGMPFGGAQVLALLITGFLAGRIKNCRIILMCGGLVVAILGLSLMYALPEENKL GRLLGYYLAIGFSATYVLSLGLIQANIAGRTKKTVVTAALFIAYV AFLA_013660 MAINFINQPSSEGDTGVPKHVIQLVSEAIDSASSELRRLNLEIW NNPETRFKEEKACKLLAGWFESQGWTVKTGVYGISTAFEARFSVTPGERSVCYNAEYD ALPELGHACGHNLIATSTLASAVGASAAMKELKIPGTLIVMGTPAEETGGGKYIMANH GAWKDCSVVLMTHAMPDFSTARTVTKASWKFRAKFHGKAAHAAAAPWNGNNACDAIVM AYNGLGLLRQQIQKTESIQSVILEAGKAPNIIPDYAEGSFSLRAFDSKALERLRSRVI PIFDGAAASTGCTVELFWDALYEDVVTNMALASRYTHYMINDLGLTPADILPPSDLSA KVDQNGSSYVARRNTTLEPSQKTLTLQISSDFGNCSYIQPGIQTLFSINATDMPHTPS FQKAAGTDFAHTESLRAGKANALIGLEVLLDEGFYKEVKSDWINDMKERGRLPE AFLA_013670 MTVIRNVAIAGASGDLGTPVLNALIESNKFNITVLTRHSSKAQF PSTVRVIPVDYNSIPELTTALNNQDAIISTLTTAAADVQHTLIDAAITAGVKRFIPSE FGADTGNPNASTLPVYQSKIAVNKALQAKAAENPSFTYTLIRHGPFLDWGLNAGFFFD WRSEAPTFYDGGDRPFSTTTLATIGQAVVGVLLHFDETKNRPVYIHDLVTSQRQIYTI VQKLAPQRKWNPVDVSTAELEVKAREEWAKGNTDLRSIVGLLCRAVFAEGYGGEFKNV DNELLGLGFKTEADLEEVVKTILGDSL AFLA_013680 MKSTALLTGLGLLASLGLASPVTSEYTSVREAPFGYKPGSKESI ENLKDKVENIVWLILENSLWYVKTSTNIWSRSFDNILGGVRRQGLDNPINNGPFCNYK NASDPSSGKYCTQAKDYDSVFNDPDHSVTGNNLEFYGTYTPNNGAIASGKVVADQSGF LNAQLNDYPKLAPEEATRQVMGYYTEEEVPTLVDLVDEFTTFNSWFSCVPGPTNPNRL CALAGTAAGHGKNDDDFLNYGISSKSIFEAANEKGVSWLNYDGTNGEFEPDSLFFTYV NQTSRSNVVPVENFFQDAYLGVLPKFSYINPSCCGTNTNSMHPTGNVSYGEVFVKQIY DAIRQGPQWDKTLLFITYDETGGFYDHVPPPLAVRPDNLTYTETAKNGQKYTLHFDRL GGRMPTWVISPYSKKGYIEQYGTDPVTGKPAPYSATSVLKTLGYLWDIEDFTPRVAHS PSFDHLIGTTLREDAPIALKTPHTFSV AFLA_013690 MGPVRPNSTHSPQPSRSSFYSHTNPSRTQTPGLSDRDEQEQSSL LRHNHPSIYGVEDPSLSSDSLRRYTLHDPGVTVFAAPPYQESETAEVYDATGMSDTSG IKLDTFQPQSISNRSGLRRYGTRKINLVQGSVLSVDYPVPSAIQNAIQPEYREAEEAF SEEFTHMRYTAATCDPDEFTLRNGYNLRPAIYNRHTELLIAITYYNEDKVLTARTLHG VMQNVRDIVNLKKSEFWNKGGPAWQKIVVCLVFDGIEPCDKNTLDVLATIGIYQDGVM KKDVDGRETVAHIFEYTTQLSVTANQQLVRPQGNDPSNLPPVQMIFCLKQKNSKKINS HRWLFNAFSRILNPEVCILIDAGTKPGKKSLLALWESFYNDKNLGGSCGEIHAMLGKG WRKVLNPLVASQNFEYKISNILDKPLESSFGYVSVLPGAFSAYRYRAIMGRPLEQYFH GDHTLSKRLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGYKWHLSYVKASKGETDV PEGSAEFIGQRRRWLNGSFAAGLYAMMHFGRIYRSGHSFVRMFFLHIQMVYNFCQLIM TWFSLASYWLTSSVIMDLVGTPATANKNKGWPFGNDASPIVNTLLKYGYIFCLMLQFI LALGNRPKGTRLPYTLSFLYFSIVQIYVLILSFYLVANAFTGGLMDFDFNHGASGFFS SFFASDSGGIVLIALISTYGIYVIASVLYGDPWHILTSSWAYFFGMTTSINILMVYAF CNWHDVSWGTKGSDKAEALPSAQTKKEDGSKQQFIEEVDKPQADIDSQFEATVKRALT PWVEPEEDESTSLDDSYKNFRTMLVLLWVFSNLLVCLLITGTGVSSMCLTNTSTTRTS WYFRVILWATAGLSFFRFLGSLYFLGKSGIMCCVSRR AFLA_013700 MSLVQHVSSINGVDSVDVAETESILSIPIRREISYDVLPPPPAQ ELLETKAATPAYRVSTAKRLVQVIITLLACWCASGIVFGFAALKPVMISEGVYQNLCS ETVLPKEGNVCRAQDLRYVLPIPAYDSSVSRVSSLNLFFMVSSITANVSALPVGTILD RYGSRWCGFIGCAVLAAGSLLMAFSFSGRVDGYIAANLMLALGGTFIFVPSFRIANAF PKYTGTIVALVTGAFDASAAVYLFYRLAYEKDPVTFSPKRFFLAYLAVPACIFIALLT IMPAHDYQTTQQLEVKIEEAEDVTQDVHDSDAEIESNSELWQVRTDRAKHRKDQLRKI DKVFGDKAERKQRQEWEEERHETSRVWGVLHGLPAHAQMKTPWFILILLMTVLQMLRM NYFIATVRSQYEFMLRSVRQAEMINDFFDVALPVGGVLFTPVIGLLLDNFSVPATLAM IVLLTTSTGALNSVPTVWTGYLTVILFVLLRPYYYSAMSDYATKVFGFGTFGRVYGTI ICFSGLVNFAQYLLDYLTHGPFHGNPIPINIFLATAGLIVGAALVAFVYIAEKRWREE KAEYDEERQRLIPEEEEEEA AFLA_013710 MASLLTAVGLTQAPLSTPIPNYGPGFLIFHFAFAYGVLSSRTLK QYYGIDHQESPRQDLNKYGEVAVRDGKITRKQLEMLQRNEAAHANSVENYTLFVAGIT LATYAGVPRTTINAAGLTYTVARILYAINYITVERRQAARWRGLFWWVGNLSCLTLLW KAGQALSN AFLA_013720 MPRFTPENDGTGLARQLTDPLVAQYVYSVFIALAWCNALELVVL CLNTFKRYGGAYFWSLFVASISIIPFGLGYLLKMFHITFTNGYLELAITDIGWVGMVT GQSLVLWSRLHLVVHNRKVLKGILYLIIIDGVLLHTAATTLEFMNNGLSYIHNVTVAF GIMERIQVVWFCVQELLISSVYIVETAKLLRLDRGGPSRTILMQLIIVNVAIMVLDLA VVAVQFAGYFTLQVTTKVLVYSIKLKLEYIILGRLVDVAHIRSQPATPRFRF AFLA_013730 MSAEVVLPTAGYPSFRQDIFHSAISGTGEDGSAGDARKLDALHR ASDQIASRVRPQLDAPAKEVFDSVRSTTDILDGIAEERLRFMPENGSQLDRAFKHAVV LVGRLEQLARLMASFTSGTERAIRLMGGSFLLLLQCGSEQARLLASFFQVFHRFAVEL SHFRNNLEILMSDTVAQQELVAAYAELCGLICSASVHYFQKAEGASKAFDASDLQSRF ISQIQAFHSHRIAVKERLWSRLIMGDVSVDPSEKVQEIWEYLSIKDREASRALSARSP QPAEYTCEWFANRLSQIRSSESQLFTVTGDTGTGKTVLSEWIIQQLQTSTDPQDYDVV TFRVYDDISATTGPIGLAKGLLLEILGRQAKDGDLLRALEHSMSLHSSGASSKSVEDS LWRALNIAVKETGKMMVIVDGVDSLNGDPEVIPRLFHHLQTLVSQSPSIKVIALSRPL HGVPGEAYRIKIEPSQTAGDVRTVVRNEIESSEVCIGLSLEDREEIEGGIVKKANGCF PIAQLAVGQLSALRTSSDMISLVQKIPSSLQDMLKQTLNSIDLSDWSARSLLAWTIVS HRPLRVQEVRSLLEIDTKRTEVVPRVGNVEGDIRRMFGSFITIENEIISFRSPALRQF LINQAKSAASDSGKGKLPLTMKEAHSDLLTRLLAYVKLSVTDEVEVSTTSLSQSAQHR YFDQYHLLEYAARYWTLHFRSSQMNEKERDSFKVTNLFKRSFPDSVLLALLEGGCNQL QYLPYQVQELQAFSVQLRRQLLPDLSLSLLQSLINAAQTSQQLKSFDAIDYSYEAWRK SSSLLGSRSIVTKFSAEIFIDAASQRDSKHEAFLHHKEEILEYLLQASKEELGFSNDM TIKYTQMLLDHYATLERKESVGRLSKELFEMSIARYGQHSQEMEKMTQHIYRQLERLS MSEVTAEILQTEHEFSKQSLAVTDQRMIDSTLQMIKIYEESEETSKADALFMDTWRKL LAVEDTSGTVTKQQAEFTMRYAEYLERHSRKGEAESVTSAAWFCLKSQLSRFDQLSST FELVLGHIRKMKWEALAQSAMETLWEYRKSQKQASHQLVQVTASLAQTVQQSSSTVSS SEASMSEEQIDLIHEILESAKSAGIQSSDTTLASMKAAKQVASSLMEREQYAEAAGVY RRALSHLCSEIDSKPTTIRLTENVDETVQLATSLAECYFKDLQIDKAALVYQNVLNAV LSSDETDTHMVRSTANRVITFYRTIYRFVKAITTYEALYKNISSRLGKTQKQSIEILY EWGDLAKRCHHKQEAEQAYQEIYNNLRHNGQLDYRAIAAARGLVAIYEETERWTKAKG VYETLWWTISSGDRNHMLNSELVEEVYQHYKTLLETKLDADIVEIHDLAASYRKMCQR WYGTYSRQSYEATLSLAKISRGDERYHGEAVELYETALQQYETFSERPEVTTMDMKHC LADLYARQSRTTHKAATLYREEYTSSVQEHGYASRDHSLPQLRKLLKLLHRQNNKVTR QELQETLESSTVAAFEEKIDSQHLYTAAVELAKMYVDFGLKEKGVRRSRELRHRLIKE AMETKGSHRATSFVVAFEMTLRNNCNYASIIADLMAEIQLYSAFHHARKQRGFIHTFI AGIRLMNFQSLNGWTENANATDAELFQLFTERFAGIETGKTVLREFYDICISQASQGS LVRRLTRVIVDNVYQKLTTGGFRPGYSHAAILHEFVKDSGGFQDQDSTRDGVKLSEHL LVQGSRKCSDRGLSGKMLVLSKTILHEIISSYHEKGVNLTDFETTDLNQLAILLGGQR NFEDLEFLLNELWSSRVVQKTWSSDTIILVGRRLVEARFSNGRTTQATQLCGGLCYNV KRVWGPFDRSALELTNLLSALYTAEGDHVRAMGVHESVLRQLLEDSTDIRLSEAVEIA SKQTALLQRCYQRNGGWSKGSGRYENMFDRLNEKFAKEKKWTARNPQHWTVKEVDKLG VWEAPHDYGFLMAGKGTTHQNQLRKASEPDIETFENKHQIGRAQGVS AFLA_013740 MHFPLTLAVLPLAAQAVNIISANDDGWAEKNIRTLYDTLTADGH SVVISAPAENKSGTGMFSPLLTQPLTLDLTNYTFRLLGRRPYACEFDSCPEGSPAVGN NATQPRWNYVNSYPVTSIKYGIQNLSTTYFNGKPDLAVTGPNVGANLGVANAISGTVG AACYAAHDAGIPAIAFSGSSGSATAWDDPTPEYATIYAQLATKVVDKVVAAGTPYLPE DVYLNVNFPKVDDCASVDDYKFVLSRIYTAVPLFSGDDVETCSNDKRLPTETKVTGSG CYVSISVGNASNKRDANAAVQGEVLNKLGDFLTCLS AFLA_013750 MLSKLLLLTAALSQLVQGGLIRRESIDHDKVVGFPETVPSGAIG DVYKAYQPLLKVVNGCVPFPAVDAQGNTNGGLDISGSNDGDCSKSDGQVYVRGGKSGD KYALMYSWYFPKDQAAPGMGHRHDWEGVIVWISDPAKTSADNILAVCPSGHGKWDCST DGYTLQETHPLIKYYSVWPVNHQCGLTNEAGGSQPLIAYESLPEPAKNALETVDFVKA NVPFKEENWAENLGKATF AFLA_013760 MHHIQSASLLTALLSATKVAAHGHVSNIVINGVYYEGFDINSFP YMGESAPTVAAWTTPNTGNGPLAPDDYSSPDIICHQNATAGKGYVEVNAGDRISLQWT PWPESHHGPVVDYLARCEPNCASVDKTSLEFFKIDGVGIVDGSSVPGVWGDDQLIQNN NTWLVEIPKSIAPGYYVLRHELIALHSAGTEGGAQNYPSCFNLKVNGEGTDKPAGVVG TELYTPTGDGIIFNIYQTVSEYPVPGPTLYSGAATGVTQAASTVTSTGTALTVGAAAT TPASGSGASSSAAPSSSAAATPSSSAAPSSSAAARR AFLA_013770 MKVPFVLLASLCANSALTTALPADQVSADKAILLLGDGTTKAVE KKDLASHLNGISLEPPTDNLPRSFKSEGFTGLQKRGDAQFIIPLPDQEFLGWDIAMSP ITHANGAPATVAIAAGQSIANSISVGASFTATVEKWLQIGASVNYQDTVTNTLTGTAT MTIPINKWGAIVSNPLTHRRRGYVFSGAPGKAQYEYFQADSFDRKSVSYQQAKLDWVQ GVITTCLGDGYPLKMCNGQGELK AFLA_013780 MKASLSLIVAALAAGVVADLHYTGVCIDTNGGVDTYNRAATEKA CAAYKKRNTGNKQWDQCPDCTVKNEKDILYYCDSAAQHIGGDELNYYCKQNGAGDSVA W AFLA_013790 MTDKNYLVQDGHPAILLLRIAAIVSAFTTLVVFAWAIKAHDRVY TDIDGASLCAMILATASYAVIWSLVPLTVRLLVRRTLHLGVYIAFDFIAYGAVFATTV VTMILLIPNAESGVLHFVLFVWACWACDRRRKQPNKTSI AFLA_013800 MNGARTGGGNEKAVVADEASEPQGKASLNDTSSIPDGGIKAWMQ VGGTFFVFFNTWGGLNTFGAYQTYYETQQLFSSSSSSISWIGSAQSSLLLVLGLITGP LYDAGYFYHVLFSGSFMILFGQIMLSLSKEWYQVLLSQGFCIGIGTGLVLIPGVAVLS TYFSTKLALANGVAAAGSGLGGILYPIIFHRLFDQIGFAWTCRAIGLVILSYEQIIWC AIWVVFSNSFLAGCQLAVLWE AFLA_013810 MDKKINDPEAPALTSQHLESSISIEKQDAAETARDWSRDVIKKD TNSKLKNPLAGLTREELYRDAEEFAREKDLEHIVEQLKRGALVAQDPKIFEELDELSE GEKELLRREKTHRWNQPFMMYFMTILCAGSAIVQGMDQTAVNGAQE AFLA_013820 MQGLVNGAPYLCSAVIGCWTTAPLNRWFGRRGCIFISCFISFAS SFWMAAAHTWWNLLLGRFLLGFAVGAKSTTTPVYGAECAPANIRGALVMMWQMWTAFG IMLGYIASVAFMKVTHPTIPGFNWRLMLGSTAIPPFFVCIQVYMCPESPRWYMIRDRY QDAYNALCKLRPSEFQAARDLYYIHSALKVEEKLRQGKHLWREMFTVPRNRRAAQSSF FVMFMQQFCGVNAIMYYSSSMFRDAGFDLQTALVVSLGCGITNWIFALPAVYTIDTFG RRNLLLTTFPLMCLFLLFTGFSFYIPDQAPRTACVATGIYLYMIVYSPGEGPVPFTYS AEAFPLYIRDIGMSFATATTWGFNFIVSLTWLPLRDAFSVQGAFGWYAAWNFFGWVFC YFCLPETKALSLEELDQVFSVPTRKHINHYRGMMPWYVKKYILRRDVPPQKQLYDYE AFLA_013830 MVAETVSLGILSLPAVVAALGLAPAIILLLGLGLLATYTGYTIG QFRWRYPHIQSMADAGEVLLGKFGREFLGTGQLLLVIFIMASHILTFTVAMNSITDHG TCSIVFGVVGLVISYVLCLPRTSAKVSYLSIASFISVFSAVMIVMIALGIQRPWHGGV QATVDTSLYKAFLAVCNIVFSFYSLICILAGHVAFFGFMSELKDPKDYPKSLFLLQGI DVILYIVTAVVIYYYAGQDVTSPALGSASPVVRKVAYGIALPTIIIGGVVNGHVACKY VYVRLFRGSDRMHKKDLVATGSWVLLMLGLWIVAWVIAEAIPVFNNLLSLVVRDLDFS ALPPNIQLTYILGLSLRELVHLYAIPTPHPDPPFPEHQILTKVIDGLSATFWLYLNKD RLFATPMKIFLTILNVLIFAIAGCIVRSSPDILTTLFIPH AFLA_013840 MVRKLPIPRQSKHYQHVHRDNPSPLSEDMAASPDNRVPACPIDI GRNEELTSSMPVRGVARNTREILDVLEEWTGCITTKEATPRPQVTPEVRKKGRRRILI QKYMFQISILTANGFGIFATWWFPHYWYLLMPFIGAGVVMNIAMIIALLVNLLYRWCY PEKPLIPETPESLVHLIPCYNETKDEMERSLNSLIMQKGISDHRRCIMIICDGKARGY GMEKTTGEYLLEDILIQKDYRVRITKAYLAWDQQFMDVEVQRGTYNDVPYFCIIKQHN QGKRDSLIAVRSFLYNYNIRHTHPETIFTSTFFVHMASFIKESGIDYIDNLIGMDADT VFDDHCISELLRCSRYEDTVGVCGYVAVDWKDNFWHPWKLYQSAEYTIAQCLRRLHQS VITHKVSCLPGCCQLLKICEETCGREVLFKRFGYCPVPTDGVLRHVRATASEDRNHVC HMLSARPRAQTRQALHAVAYTDVPQSWPVFLSQRRRWTLDSFGWGKTRQVVAEDNRDE NGQATERTSLLPRT AFLA_013850 MACFKLHGNGYSQGQISEPASIESNGLPTPPPESSSGKCQPEGD NVNKETFHTHAYDDPIIAVIGVGYIGLELVSAFARVYNNIIAFDINSQRIEEIRADLA KKNVKATSNPSDIAVATHFLICVPTTLHQACVDTTPLQAAISLLSKYARPGSTVVIES SVAVGITRQLLKDVMRSCGLMAGMSPERVDPGRLSPAFEDIPKVISGLDDIAPGSLQS IEKLYGKVFHDLVPVSCPEVAEMTKLYENCQRMVCIAFANEMADACQSIGISAMEVST AAATKPFGYQSYFPGLGVGGNCIPVNPYYLLSTSELPLLQAATEEMTKRPAKLGNRVM KKFYCNQASGAMSRVMQPRVLVVGVGFKRGQSVLSNSPGLALIRHLKDEWEASVEYAD PLVSQEALPSIPKLDEARRWNTKSLTEEFDIIIVAVDQPGLDLTVLQRLDGVLVENFT SSVAAGCSQ AFLA_013860 MPGNFGQKILKTFGLDDNQHGHGQGQPPQQYQHYQPPPQQGYPG QLPGNRHIEDGDRDLHPDKHGTYPRLARLGDGSLLASFTHFQGKTRVLAVSRSTDGGR TFQDWGEVSRGEGDVDNVFLLEVAPGTVLGAFRNHDIGPHGPTYFRITVCRSTDAGRS WHFLSQAAEKGAPNGIWEPFMRLGRQGEVQLTYSLEFAHNNQSTMMVQSFDGGATWTQ PRCLHGDCDALRDGMNGIAPTWDNGREALVMIFETTSFGTFNLEALISYDDGQTWGWR HRVYVPPQGHNAGAPQIASFADGSLAVIFMTDEDHNEVSWTQNASIKVVFAGPPQNGQ IQWSKPTVICPHTSHWPGIAPVDGNTLFATFECEGRPKAKAITLH AFLA_013870 MRGFPLRLSPCNSLTRNPTTTQRLANRTNPRIPRFSTISPLKMR LPYAPSEPPASDPDAADIYARIAARRNPRPLIPLDLSLLHSPPVADGWNSFLGAIRTR TIIDQGLLELAVSRVAVLTGAVYEWNAHAPLALKGGIQAQELQAVRTLPSTAVGEAAE LSKAKEALAQSALTSRQKAIVQYADEMTQTVKVQDSTFAQLQTEGFSDREIVELTTGI AGYNCVSRVLVALDVGENNAREMKSVDELVANL AFLA_013880 MRWLPLFALVAAEIWSAVTAKPLLPTEDPWYDQPANIDTYAPGQ TIRSRELSSQLSPFLPLPVDVKVESVHQYLFRTTDSLGNPIAAVNTLIKPRNADPSKL LAYALYYDSSNPACEPSYTLQPDSGSGLAGILSANNTLSTDTAFLAASLNQGWWVVTT DYEGVNAHFSAGVISGQATLDGVRGALIEAPKLGLSKDARYAMWGYSGGSIAVNYAAE LQPTYAPELNFAGAAAGGNIANLSSTLQRVTGGPFAGLAFGSIDGLSKAYPNVSEWLD RSLVPEKRADFKKIASSCLIGEATQGFLKDVYSYFVNGKESFNEDVPQSVMRLAGQMG VHGTPKIPMYIYKPVHDEISPGADTDELVDTYCSDGATVEYTRDLVGGHGSLWITGSA NAMGWIADRLDGKEVSHPGSCTKKDVLLSDLNPHMLPFFGEELWSTLKWTLGGFLGPR AFLA_013890 MASLGARYCREPETSTALYQVAKAVTLEHIRREFQWVEPNSGIN PNRQSAPSPAAREQDLLETLQALLMLVSVSSWHEHDPPYDESLYMRSYMETLLRQGGL NDLPAQDGSWESWVRSEETKRTRLIVFCYFNIQTIVFDLPPMMLTEECTLDLPCTEVE WQATTAGQWMEERSQSRGEPKLQDALASLFAHNPDIKGRLESFSSLGGYVLIHAIIQH IWLIQKSRRLPGFNGNSLSPSEVTSLELALEHWCQCWERNREASIDPFNPHGPLSFTS AALLRLAYIRLNADFSSARRLQTWNPEEIARSLKENLSVERNDRLTRAALHCAHALST PIKLGINYVAQTQVHSWSLQYALCSLECAVLLAKWLEEVTVASPNPSLTEQESKLLEF VIEMVMETRHGVSREWLLANNTRLSAIVTRLWARLFTADYIWELVNLMGRALNSYADL LERMH AFLA_013900 MRVVHIPTLLPKVYNAINSPGDVPADLSALLFAIYFAATTSLLS INEGDFLGGQKHAAVQKYQRGLEVSLYNSSFLDSPTITSLQAMAIYVRCRRFHSSGRS NWVLNGLTIYAAQSIGLHRDGSNFNLPILECELRRRLWWHIITADKRVAEDHGLVGGF ETISNTKLPLNVDDSDLSPQLKTPPAAKETWTEMTMFLITAEASKVFARIHRVSLQSQ PGKDVHDESRKLVADFDTRLSRTYLKYCDQNVPVQKATFLLGRLLLCKAKALVHIQSL NGLSAKQSAKHINEETLSYACEGLECGSEMLTDEVLKSYSWLSSSYTPYHLLTYALWH LCVCPETPGVTRAWNAVQKCFELTERDAGWRECDPHWAVLCRLRQKALTIQRSRLGAK SGEELPGLYSMDQAVLPDTGSEIDFGDALMPWDLDPLAFFDWTSFAPTF AFLA_013910 MSFTEFRNSLPDNKFSKDLTQTSIQLVLIALAFLHDNHVVHTGE FILIYQQVHSERRSQKSLDISSNNILQGNMDSEVWDLVQDSHLFFAKRNGLLDDEQHL AEMVSLMGPPPPEFLRRSQKCRQFWDEQGNWKGSMPVPEQSLEIRERQFSGGDKELFL NFLRRIFRWLPEERPTAEELAYDDFLMQPIISDA AFLA_013920 MLSELLTSSYLQYKEDTNAVASWLASTAKRCGYAVDQLTQTRKE KPSGRLKGKARKQAKSQKGPATPTYTIAIKDFVSLAEWIAHDHRPPIHVPSSFVTALE RAIHTRRRHGDYLAHKSKSAEDSHAYFIGILEHVRETLRPQFPRESLGAGDDDSKKIK INRFEGLEVQEPSKDFLQMRDLPTAVPTSSLGSEANYQAEQMKDLDEAFTAFSLLLDD YHTFRSLIHRTWAGHRKGQYDLVAASLMTNTALDLARRLEDDAKLLFDQFGGPKQMLT AMYLALCSEKGEDQAFREHPGDDMNFRMWEAFFGIFWPVYQLLQSFVLMVDAQHVPQY KPCFYGQYDSTSDWTKMTAREKFTEDKIILIEHLGEFALLCMRVLKMVAEDELTRGIH IAFRTNKIPLVLTFATQVYLDIHHILRKDITRGYTDLETTARQVSNSIELNFKRHKSL RVETWPPSNDLLFKNIQQTIDYWVRYDPLIKAKEKLNRPLSKPFKLWRSYPLFCGLIT YHIKIKFEEASVAFVNA AFLA_013930 MANAIEYATPISFTKTWHTEPYPFISPSRPELSTEGKNVVVLGG SAGIGNAISVAFAQAGPKSITIVGRRLEKLQEAAEKVTAAVSDSATQVLVESANLQDR AQVDRAYQSVVDKVGKIDILVINAVLLPAPGGLTNYKPEELVRAIEGNLLIVMNAFQA FLPIAGPEPVVLHTSTCLANIAPTPGLAGYSISKATCLKAIDYFAMENPHVHVVSIQP GWVATASNGYQEEAPDKASGPVLCLAGIFRGQVLERKVCLGQLGCAGVTGESERDSIN QAAELGRGRHSHVMVIGGWILVSSCSRVFFNPISVYRMFVVSILVKVNVIVSYFLDTS ELGNHATYIDGLFSAFS AFLA_013940 MAVKSGKQKEVAIPRLRIRKAHRKSRQGCRNCKLRRVKCDEVQP SCQRCTAYGVLCNYGSDAPDLQMAREMEDPAVISADTTTTLELTREDKDYLISFEKSG TLVTIATVRHKEVLQHNLHLEYLLFNTGRGLADEIVRHIRPGMAAHG AFLA_013950 MNILNTLFVLAVASIATANPMANPGNNLETRGCATVLKSCEQHS DCCTGPSYDRCQNMKIYAQTYLREEGKMRQSVRVTDTQEAYSTLQVKA AFLA_013960 MPDSADPLQGWTLTEVLQPSYGAKHDLYGQLYVHVKRELETFCK RLHTLNLNVSLFKKDAMDLPDTLATLRDKETFYDRIELANIADLGYLGPPKTLALFGP LLKSKKENPKATLIMLFLNATREMSTPKDQVACMFRAMETLQRFLPMRPRQGDPTNKY NAEFLNQMNAMDLFTDNDTLFERLVENARFRDMGRPLGLEMKTENSIVAKWPMRLGGN PTQHEFEMAFWSGHTGCERYVEWHRVV AFLA_013970 MGRGQYQPLITEELELPECAIYNSQPTAPPRTLIDILDETVKAH PQHPAIDNGQTRLTYGELQAEIASRAATMRAACIGKGDHVGIRMTSGTVDLYVSILAV LTAGAAYVSVDVDDPDERANTVWTEAGVCAVLTDGP AFLA_013980 MRLQLPEVAIATLLLGEVGAVQLPLLQSQVPITSDKELVSSSAF QSHVKAENLLKRAKELSKIADLGKEEYNHPTRVIGSKGHLGTIDYIYATLAKLGDYYT ITNQSFPAVTGNVFESRLVLGDAVPESAAPMGLTPPTKNKQPVHAPLVAVSNFGCDAS DFPSDVSGAIALISRGTCPFGTKSELAGKAGAVAAVVYNNEKGSLSGTLGSPTPDHVA TWGLSDEDAAPVLEKLKKGEEVDGIAYMDAIVETIHTTNIIAQTTEGDPENCVMLGGH SDSVAEGPGINDDGSGSLTLLELATLLTQYRVNNCVRFAWWAGEEEGLLGSDYYVSVL TPEENLKIRLFMDYDMLGSPNFAYQVYNATNSVNPVGSEELRDLYVDFYNDHDLNYTY IPFDGRSDYDAFIRHGIPGGGIATGAEGIKTPEEQEMFGGVAGAWYDPCYHQICDVVG NVNLTAWELNTKLVAHSVATYARSFEGFPKRTKDSITAFATENNKYHGPRLVL AFLA_013990 MAHPFQDIPKGQLGSSPTEPILTGRLTVIRGPRRLDRPFPSRYR FKQNPSHGRCLQDRPWKSLRSAQCETGTQNFRDASNRLFFGANSRPLQEGRIVSMQTL GASGGCHTGAVLLRDLYGPWKRTGKPEIFIPRDSWLNHAFTFKSAGITPHFLPYFNAE TASLDFPALSTAIRSLPAQSVVVLQTNAQNPTGCDPSPTQWRELASIFSERGHLAFFD AAYPGLASGDIDTDLECVRLFAEQEIPMVFVATYGKCFGLYCERVGILSIITPDQEVR DRMETQMRLLVRAESGAMPDFGSTIIETILSDERLEQQWRDEVHDMAKDLQHRRRTLR TELERLGTPGDWRHITDQNGFFSYIRLSAEQITGLRIKHHVYLQDSGRISIAGLNSSN IGYVARSIDDVIRTDSRLPN AFLA_014000 MTFTLYGYDGNTRSRVVRIVAAAEGIELNHFEVIPRRGVNKAEY MARFPRSQGKIPGLEGPNIKLTETLSIAMYLASIHGKAKLLGDGSPEQTAEIISWASW ANQEFLPTAAQWFRPLIPSPTDQAPYNKDAVEAGKKKTLDSLEYLEKHLEGRQYLVTD HITLADIMLVVYVSRLFECVLGQKWRDEHPAIMQYFENVVKHDAVRQVLPREDLIFIE EETPIEDPRLRSV AFLA_014010 MCVGFASVAREGVSYFQSAVGSVLAGLDPVERGDIFLILFIAHT DPTQHPVYSERWVHELADKVLLYDENDIDIDHIRSLETAEARTLALEKGLLDYTYLLK ACTAIGTPYTVMLEDDIIALDGWYHRTKEAISAVERQTAEKKASKWLYLRLFYTENFL GWNSEEWPIYLFYSLLSASAVLLTALIVRRYRPTSKPYLPRETIIVLTFVCTPLLIIL FFAAGRATMLPISEGVHEMPKFGCCSQGFVFPHSRIKDLVSWYESKGIGYVDMLTEDY ANQNGELRWALTPSVLQHVGSKSSKTNSPVPQKGIRTIPEKLWNFAFEKNDVNILREE HGRQLRWGGS AFLA_014020 MARNTRFILVLTLFILFAVAVGDDVEGCPPGLPYSPFIPYFRYP ADSAQACWGFAICTFMEADEARKQQYGATALVMGLVPLTLRDIAWPERRTVLVSAPLP FIAATAVRALGLEPTLAADVEEERLRLWLTWMRTGWLTNIKAKSNIMKALFVTVTFVL LLVSYAALALVEIYSKRSALGCPYPIFCLTWCLVGIVPGAVHSLFASWREDKSGRAGR ETAVQGADEAWPVQLTWAIYYTAGTLVFTSIMAITVLELFVWVVVMFAVTGASKLLAL YICLLLRTPASS AFLA_014030 MLSTILNPIPSDQPPAIVSPSIDLTQTNSTFCPTELGTTAADLS QLININYTVDFGANEQLSMAEHSAACQQYDLNMHSGHNNITLPADISGWSGINSLCPG QDPAIFNISNKLASKIATFGPADLETTQTDISPPNGTDFLFYRGTNERFCLPEENVAH PQSVGITLSTTNTTLGSEGNRWSVIN AFLA_014040 MLMSLLLQQDSSIMPRTIPGFFSHAPLCCESRMIRRRTEDNSKG NVNRWRYTCRECDRMVFDDWEGIRDGNPSCYCGEISRGQVEKGEAYVFRCARKQCWFK DVLEEDEL AFLA_014050 MPSLQESKLAFIGGGNMASAIIGGLVNKGVQKQNIWVSEPWDVN REKMAALGVQTTTANVEAAKDADLVIIAVKPQVTKGVCEELGAAWSQRTTLPVVVSIA AGITLDSLVQWLRTSDGRNAHVVRVMPNTPALVGEGASGLYASKDVTSEEKELVNAVL GSVSKATEWVDKEELLDVVTGLSGSGPAYFFAMVEHLVASATALGLSEEQATRLAAQT CLGAGKMLVESSDSPSQLRKNVTSPNGTTYAALQTFESLGFKETVDKAVNSATSRAAE LGNTLGKQ AFLA_014060 MAYRHASPAWQSVPETEVGPDRIAELINGKSSAKELLALDPRVT GGGNTTPAVPANVAQLLDWLTDSKPRVIVLDNEYNFIGNEGYCENCACCVFNTDTCGD GGQDAIETDFGWCGDRTPVDCTYPNTTTIDVASNKTIVRLGAKGVIRGSGLRLVNGAL NVIVQNIHITNINPGYVWGGDGIYMNGCDLIWIDHVKISLVGRQMISMGYESSGRVTI PDTEFDGRTDHSSTCDGHHYWTILGLGENDKVSFFNNWIHHTSGRSPDLGSVSAWHIF NNYWSNNTGHAFSVTPEPAILLEGNVFHDVARPTEPGNGYGMFITNSSTVTTCDSAMK RPCQENLLTGSGDLSPYTTNNVTSLQTIADADEQNINIMPVSQVRANVLANAGIGKVG FNSTVTPTVSTSSSVMTPPATVAPYQAHKDNSHGHQYVRFHHRRP AFLA_014070 MSTPRATDRSISTVATRKDSSSAETCAGNERNEKGGTWTAPDEA PDGGLIAWFMVLGAWCVLFCSFGWINSIGEFQAYYEQNLLRQYSSGKISWIPSLQIFF MFAMGPIAGQIYDRFGPRYLLLSGSLLHVFGLMMTSISKRYYQILLSQGVCSAIGVAA IFQAAVSCIPSWFSKKRGAVYGIVSSGSSIGGVIFPIMISKLIRILGFPWTMRICALM ILFLLIVANLTVRSRLPPNPRKLSKESLMRPFHESKTMLLIAGFFTLTFGIFVPMNYL VTAAMADGMGRNLAEYLVAILNAGSLFGRLGAGVFADKIGSYNIFVVVTYIAGILVLA LWIPASNNAGTIVFAVLFGFATGAYVALAPGLVVKLSPFAEIGYRTGLLFLFASISGL TTNPIAGAILQHSGGSYTGMKIFSGVLLIVGSTLVLGVRLRQTGLKLNAIF AFLA_014080 MPTVLVDRTRPRSIDMPRCSCMLWEPSGPSPFLRLPLGYIQPEI RGMVYKNVLCYTTIEIVDPFATGEGNPSQALSSKTAHTPAYWRS AFLA_014090 MVSYLSSLMTVDNTFTSTSPLPSSIAPERVIEILHNHVTMIKMN PLVIDLQRCEPHEHAPEAERGLVWYEITDKVSYLPFDLLSGQVKYKACFKDLPMGLQT VIYAPLGLRTQNKWTLEDQDEFQLREDVSMECNMFMAPFVKRTIKASHGPLVDRLIIE AKSPERDLESTVGA AFLA_014100 MSFHQSCDLIRIEVRGDHTVLLAAAKNGDGDETVPAEIVLDEQI GNGDGWFVRGGENFTETAHEIELEFRDDGPWLTAFLTEVDGGDRERQGINLAEHIGND GGRLVWA AFLA_014110 MTTKGALLAIELNHQEYVQRSRLHRLRRWRRLLWPLLLIAALVI LIQRQSSVNIVPYPRYSTESDLFNEGINKCYEARSRSQSNNKAASESRVNHRWNPVSG HKGDIVIQDATLFDGELTRNGTFDIHFSSGVIRSVSPTHLDHPIPEGTHIINVHGRFI TPGLVDMHSHHLLLPFPQLPATNDVNERPLLGPITPFVRAIDGFKPHDPTIKIIASGG VTSSLVLPGSANIVGGEAYMVKNLPLSGAAGEPVVEELLLEYGLPENNRQRYLKMACG ENPKRVYGNTRLGLTWLLRKQLEEARDLHERQSAWCRVAFDVEETSFAKTHHVKTFIR NHGKRPESFELETLVALIRGELNVNVHCYEPEDFERMLSVLHEFGVHPQAFHHALEAW QVPELLKNLEENITIATFAENALFKAEAYGANLRGPKILDDHGVKVALKSDHTGEDNY AKYLMYQAAVSHSFGLSEDKSLQAVTSIPAQSVQQDHRIGYVRPGYDADLVIWDDHPL QVGATPLEVFIDGRAALGNSDSLELLIHNSSSVESPDAPAPRPSILEHEKEDICSKAH NSRSKILFSGIKKVLVDTPTSLEDTSDIVLLLEDGKAVCLNKRSTCFSTNQDEQNITE LSLNEGYITPGLVAFGNNLGIQDIPSEESTGDGSSGKSADPLDEQKSIHFAKYGIHLH GRAFTRARIGGVTKAITAPRSNGGIIQGVSVGIRTSETAMILDNGIWKDDVALHLTVG QSAKGDDTQTVASGVESVRQILQAGQESGQGSTSIYVQAANGSIPVVVRAYNEDDISQ LVLIKRDFPSVKLVIYGGHGAVSVAKHLAEANIPVILTGNRGAPTNWEKKDVLTGPPL TESPAKILTDAGVLLGLGVSSDSKVHGLAQEARWAGKFAGLSDREAIALVSTNIEAIL GIGSKRTANSGEDSQRYRGDFVVWEGDPLRGEGSVVVSVQDDEKIADCWPDTSNVIL AFLA_014120 MFLRDEQHQTLGYDTSALPGGKKTYSPLLSSPIPWRDGIGIQLP PLQILDELVTQFFDSVDWFMMVCSVLAILLAVLFTTCPTGLMSFGQVFHEGSFRQRYA SLITSAYVAGPDNNFLWLLMLVLALGAHYSSLREPLDEHHRNLSVLSETLLTQIEYRF LQIIGCPNVEAVQVCVLLGSFHLFNGRPTVGMGVLGSGIKIAQVIGLHREAMWHNLSE VARESRRRSWWALEVFDKLYRIMGPFLGRRLQSNRLETVRAIHGQLVAWERELPDSLR LEKYGESGSSGDAPLIQLAALALQLTYDNLRIILHRSVAFGDIGHEVGLAGRVVETES TAFSRQQLLESALRTSELYRYSHLLQASRRTHAVMHIGICLFTSGVVLCALSLMEPLS ITSQKAKAGIMQIIRLQKESVSNQHVLSLQSVRILEDLVNVVMQAEQRTILGGPIPAS ISQPKGRAKRVADNEYTGISQSQTNMNENSGGANTTTQASLTPLQEGKAPDVMCMRLW DNIDKATQCLPIIHNSHRTKWQFPLPTLLLPHGKMQERWTISCQLWTPLLDSPGMGTF PH AFLA_014130 MPRVCSQLLLSCHGGAIFPGIIDPSTGKSVISGRKVTGFTTRGE EEEGVLDTIKSWKRPTIEASAADSGATYVSPAGPWDAFTITDGPIVTGANPASAHVTA EAAVKAFDAL AFLA_014140 MSAPIPHVSNWINGAYTTATTQVITVLNPATETPIATIDSTPQE TVTTIVADSVQTFHKGPWSKTEPSDRFTVLSTAARLLRTRLPEFIELETRQTGRPIRE MQTQLSRVPEWLEYFASLARVHEGRVTPFKGPVVNTLTRLPLGVVAQITPYNHPLLIA TKKIAAALAAGNVVIVKPSELAPLSVLKLGPLFQEAGLPDGVLQIMSGHGFETGKFLC ESPLLAKIDLTGGLGTYRAVAPVAARNMVPVTAELGGKAPVCLFPSLEVERAVQAALF AGFIASGQTCVTGSRLLVHRDIYGAFRELLEKRVRGLRVGDPMDGRTQIGTVISKAAV ERCAAFVDRAVQEGGNVLCGGRSTTGPDGKGFFFEPTIIEVRADSHLACNEVFGPVIA LIECESEEEIVSIANSTPFALGASVWTNDFNQAHRVAEKIDAGIVWINGHHLNDPSSP WGGFKESGIGKENGLEAYESYTKVKSTLINYGVAPVWFDDEATNARYG AFLA_014150 MASENQPPTVISTKQTPEEEHNIAVAKEYMSIAYSPTENKGAES VRHLCTADSWFWSPSTFPGCSTPMDYAESHARVMASVNDLHIVRYGQAWAKDGHVLLR YTAEGSHSGEPYQGIERSDPPKKARWSAAAIFEIEDGKVKSFTKDWDQKVMQIQLGWA PVGESKDPRWDPEMLAQPELARDKK AFLA_014160 MSITFDVYRGSKEGKIVADKTTRPLRPTDVYIETTHSGLCGTDE HFLHSGQVLGHEGVGVVRQVGEAVTHVQAGDRVGFGYTHEVCGICDHCISEYGTHNHD IGTFSRGVVWNAGNVFKIPDGYDSANAAPLMCAGATVWTCLTEYGVRPTDRVGIMGIG GLGHLAIKLAAAMGCHVVVLSSSERKREEAMQFGASEYHVFSAGQDMKDFKPLKHLLL CGSANVDYPSLLPLMDVHGTIYPLTVDFKPSAVPLLFMNVKGIRIQGSLVASRKSLKS LLQFAADKKIEPTIMKFPLNEAGIEDAMQTLRDGKMRYRGVLVRE AFLA_014170 MAPSKLSSKASSRKDGQPRTQMSWNNNNINTVGDNPPSVSSPSA ADEADTISSRQLWQVIMRTQQVDLDLNLAEISAAWPTQPRPTVFALEQQLAGFRRHLR AGNTLVLRRGDINAVMSAQAAASTTANTHAAATASAHTHVFSINSSASGNTTSNASAH IHPHTGIIYDVQGMAGPSNASGHHAMNSGNAGWSSPPPLQIYRTKESQVPAASGGPPL GRVPASNPPARALELSRTNIMAAR AFLA_014180 MPITWTAEADAKLLLGFVDQCKDANFKLDYNKLAAYVGPDVTAC AVVNHVIRLRKLIAQEGGGSAASTPVTSPAKSKSTPKRKGAGVAKTPTKIAKRDIKRE REMGDEDEDMMPVQVVIEVKKEAKEEDEE AFLA_014190 MHAIAGLTGFLAGVSLSYAAPTQENITSDAYFYGQSPAVYPSPE GTGSGAWASAYEKAKAFVANLTPEEKVNLTAGTDADNGCSGNIPAIPRLNFPGLCVSD AGNGLRSTDHVNAWSSGIHTGASWNKDLAQKRGLHMGSEYHKKGVNVLLGPVVGPLGR IAEGGRNWEGFSVDPYHSGLLVYETIRGIQAAGVGTSTKHYIANEQETNRNPESTDGI DVAAVSSNIDDKTMHELYLWPFQDVVRAGSVSIMCSYQRINNSYGCQNSKTLNGLLKT ELGFQGYVMTDWGAQHGGIASSNAGLDMVMPSSTLWNSNLTDAIANGTMEASRLDDMA TRIIASWYQMNQDAGFPSPGIGMPADVYAPHQAIIGKSSDSRKVLLQSAIEGHVLVKN KNNTLPLKSPEMISVFGYDAKGPDSLGFALEWLSYSPAIQPNHTLIVGGGSGGNSPAY ISAPLDALQQQVIEDGSSILWNISAQDPEVDPNTDACLVFINSYATEGYDRAGLVDEG SDELVTNVASKCSNTIVTIHNAGIRLVNNWIDHENVTAVIFAHLPGQDSGRALVELLY GRSNPSGKLPYTVAKNADDYGALLHPKLPEGQYGLFPQDDFSEGVYIDYRAFDKQGIE PQFEFGFGLSYTTFDYSGLNIGQVSDNSTSRYPPSAAIQEGGNPHLWDVILRVSVDIT NSGPVAGDEVAQLYVGIPNGPVRQLRGFEKVNIPVGQTVTVEFALGRRDLSTWDVVAQ EWLLQSGTYQVYVGRSSRDLPLQGEFTI AFLA_014200 MEKTIEKPTLAHDEYADDVGPADMTVEVKYDNGQLRDLLHSPYV FGAALLASFGGFSFGYDQGVISLILVMPQFREQFPETSPENPKYGFHTGFMTGMLELG AFIGCLFFPAVADRISRKWGLTVATVFFVIGAIIQTASMNYGTLVAGRFIGGVGVGTL AMGAPLYISEIAPPAWRGSLLVLESISIVIGAIVAYWITYGTRAIPGEWSFRLPFLLQ MFPALIVGCAIHFFPSSPRWLAMRGREEDSLFALAKLRRRPVHDEQVQLEWKGILSEV RFQRQMLEKEYPDHQSRPLLVGLKQWVSLVRPKYFRRTLVALAIPFFQQFSGINAFVY YAPTFFEALGQSSETSLILSGMVNICQLVGGIPILMYLDRVGRRTMAIIGGVIMAIPH LIMAGLMNRYSNDWPSHKAIGWFCVALIYAYALAYAVSYGPLAWVLPAEVFPNSKRAK GVGAATAMNWLANFIIGVVVPEMLIKLGWGTFLFFGLFCVAAAIFSFLFVPETSGKSL EQIAVVFGDNLDGDERGLQEKIARETFENATARVLHVEKAGSA AFLA_014210 MLIVSELSEDADAVFLTQMYNALTGIGGSGQVDGTVAANANVAL LSAMAGTALFVVGPIFDRIGPRACLLIGGWTYPLYSGSLLCFNRTANGAFVIAAGAIL GIGASFLWVAQGAIMTTYVPESQKGRAIAAFWIIFNLGGGIGSLASFGMNYHSTSGTV SDGTYIALLIIMAIGWLMGALICPPKSVRVSTLQTTPETEKNWLHVAKLTVKTVCDWR VISILPLFFCANVFYSYQQNTVNGMTFNIRSRSLNGALYWIAQMFGGLIMGFLLDVPG LNRQWRARLNWLFLFVTGMAIWGGGYAFQLWYDRRVAEGKKQDVDFTDSSISVGPMFL YIFYGMYDAFWQSFCYWLMGAQSNSPAVAAILVGAYKTFQSVGGAMAWRLDAMGKSPM LQFAVDWGLCMGSLVIAIPAVLAVTLTNTDQETLDEVDIKHRQDDTTADGPKP AFLA_014220 MILSEYSHVAIPGITLMEAKMNASTPRVCHSNEGLLLESDNWRR SLDGNVTVFWSAGFMKAERLAVKRLLLPFRITAASFIIKLIIKCSAPRGRLQEDPPSR HGISCQKRGE AFLA_014230 MPGILDYLQQLVNIAVAFIRQLQERHVGWLTFNRKTGEFTREQQ PLLKKLKILLLFNPITEWIDRTHLLRLWTHERSLKAGIMEGQPQSHSEIKSFIEFYKI DMSQFEPSDPEAYTTFEDFFIRKHAPGARPIYDADDPTKAVIVSDSRVVVYPTVEATR RLWIKGNEFTIANLIRDADRAKRWEDGAVASFRLSPQDYHRYHSPVEGTVKWFKAISG DYYQVDPVALQSSVNILTENARCCVCIESKEFGDVLFVAIGATDVGTVEYHIREGHHV KKGDELGFFQFGGSSIIVAFEKGRIQLDEDLEKLSHQRIMVDVEVGMSMGRSTKSSL AFLA_014240 MVKGDVKDKHGDTIHEGDYVFTRIRGGSHQGEVERIVMDEQEAE EEGVKNPPKVVFHDQRGKKVAHNPETLEKMEHE AFLA_014250 MLLQAWLLLVLYASFTYSKVSPVNERCVTAVYTACGYIPFATPP EVPRGFYGSRCQNPWTVTSIYAAADVFCDPSERAAGFAQLQYSCQQFGHVNLIPRDAL AANLTEDAINQMRTVDYVYYNLHVRTNVGKSIQYTQSSATYDEASDVIRLEVIPGSSR LQPTPGQYYYLYQPFRFTGWENHPFTLGSWSYETGLPTSQIKTPSLAKDDNTVDVTQV PLLSDSSSGSRTPQEDVPSLDEPQRLRLVFWIRPFDGWTRHLRQQCVQSHGRTLDTTI LLEGPYGEQFPLWEYESILLIAGGTGIAAAVPYIQDHLARCSSTDEAESPTRIQNIHL VWTSRQEAFVREVATRELSSALARDDFRASFYVTSATAARDSDAYSTLREELSGKAIE VGHGRPDLQALVLGHAHEAQLSDCSAAVLMCGPPAMADEVRTAVYRTMRQGYQGVRYI EESFSW AFLA_014260 MKFFAVAALFATAAMAAPGSAPVPGAAAAAGNGNAPVINQTQQK AFTDACSAKGNHPVCCDQIDTSKTTTVNEGLLGGLLGEGLGGVLNNLVGGEPGACSGL VSALNKQCQTSIGCCQQNAKGDNYQSGLLNLNLQAPCLLSNGL AFLA_014270 MRFHPALTLPSMSVSFRLIQQPGPMDNSTSRKAPSAAKDAGRPS KRRKIAVACDECRVRKIRCDGGQPECGPCTKKADPGVQCVYTGKQKLAMRNYINTLES RLKFLQGPHRTPAKAPTSVESVEPESSDQVQAPTLSYSPVPSFGAPVATQFTPSSSVS EDRHRIATCIPADASGGDDSPSASACRSSSGRTAGYVPGQVQTRQRAHGVNAMMGAVE EERPTQGFFGSSSAASFMHQIKMAVDRRVTSPHRRTSDTILGASHAPGLMSTRSEKPT SVQNYVLPPRKTADSLMGVYWSFVFPLYPLVDGIRLRAEYEKVWTGEPLESDESMLMC TFNVIFALACQLADFIPPEEREASADAFFSRAKDLLQFNLWDTGSAALIQCLLLMAQY LQSTDSAHQCWIVTGLAIRNAQSLGLHLPQTIARLPSPQEQQLARKIWHGCVLMDRVI SMTFGRPAMISKASCESVPLPATVDEEYIPTASGVEATQPADQPSVMAFYAKSLELYE IMNDILLSLYKPVPEENPEDMYDLYFNKENNQGERTIFELDRALSKWSQSLPSHLRGY SPASSTDVVFHHQSVVLRARFLHVRMLLFRPILSRYCAARDITVPDSLVSLGDSLPQR IAWQCSAICVKVAQEVIELIYENIPADGSSGPLPAWWYNILYVYTAATVLIAGYLCPA VLDEVTEAAITRSWNCALEILRKYQSYSTSARRCVAALEILYERVVSERPPSHEPSAS HQQQSAASNAIDDMSFGEGMNAIFMEGFDWPDFQDMSWLNSVPSNLH AFLA_014280 MSHITSNPDEMVGKAEKSSWEASLPLEGVYPAVPTQQAEDMSSH PDNPRNWPIWKKNVQILMVAFHSMVATFMAAGIIPAFDSMAEEYGVTVPEASYLTSIQ ILVLGLTPFLWKPITCIYGRYHVSLVSVLGSLACNIGGARCTTYGAQMATRVLTAILI SPPIGIGSGVITELCEPEERAQKLGWWTLMTTLGTPAGPFIMGFVAKHIGFEWIYWIY VMINFAQFLAYLLLGEETMYVAGDAGDGKGGPTSKFIPRRIDPRPLKPREFIESIFLY RYPRILIPTIAQCVVFCYANTAIIVEMPIAFGQKFHFDAQQIGLQYIAVIIGSLIGEQ VSGPMSDWFMKALSQRRGYFRPADRLWLSYIGFATVIAGLLTWGFQLDNATSWNVTPC VGAAIASFGNQILTTILISFAVDCYKDQSTDIGVFVNFVRHVYGFIGPFYFPPMFKTL NLGGAAGVMCAIIGVCALGPIVAMHIVASRRSQ AFLA_014290 MRLRTSLGVASACASVASAALKVTEDNSTITLANDRLTSTFAKD KGRVSELFLDGQDLLGPISGNTGVGPYLDCYCIPSGFYTAGSTDPRLEVVQGTDSTGT KYAGVILNDTYTPTGQQFQQYWFLRDGETGLHTFSRLAYYNETTPFLRNLQEFRTLFR PNTELWTHLTSSEAQTAPLPSKEAIANEVVVQDATWRFNNTPNDAYYTQFSEYFTKYT FSNLWRDNSVHGLYADGTNSNGTTYGAWLVMNTKDTYYGGPLHSDLTVDGIVYNYLVS NHHGEGTPNITNGFDRTFGPQYYLFNGGKGSKSSLEDLRSEAETLADPGWNADFYDSI AKHVIGYAPSSKRGSVQGQVKLPKGSTRPIAILTVDGQYFQDNSVEASSHQYWAEMGQ DGTFQLDHVKEGKYRLTVFADGIFGDFVHDGVEVQAGKVTKVQETWEQESAGVEVWRL GTPDKSSGEFLHGDAPDPTHPLHPPQHFIYWGAYDWQQDFPNGVNYTIGSSDPAVDFN TVHWSVYGPTPANPDVEYDTTHDWTINFSLDKKQLQQRKTATLTIQLAGAKTAAGNTD VYNATEPYANLALESYINEQKEPLTLLVGFNQSSSCIVRSAVSCYQVRSRMEFPADWL NVGNNVLTLHLPRNATDYETAVLPGTVYVQYDALRLELA AFLA_014300 MPAEEPLWRKILEIILGQWFLIGIGIVILIASQAPAPTKDQDTI ETVISYLCVTIIFFITGCTLSTRALIENYSRWKVHLFVQIQCFLFTSASIYAVVSLCA TNPNFMDDALLIGLLLMGCVPTTISSNVVMTRNAHGNDALTVVESTIGNFLGPFLTPL LIQMYCLPKPWYTDFLGEEQGNYAAIYARIFKQIGLSVFIPMFIGQILQHLFPKPLRK IFTTWKLNKLSSICLLLVVWQAYDAAFSSNVFNSVKASNIIFVVFISIAMFIVWLTVS VSVSFLWLSREDTVAVAYCVPAKTPAMGVPLANLIFQGLDVSQKAKIQLPMVIFQGLQ IALGSLLVPVFRGWLVSKVYSVDGGRENGGECGSLDVEDSSEREREERKGDC AFLA_014310 MSKTFSRAEVAKHNTEDSVWCIIDHRVYDLTDFLDAHPGGSVVL NQVAGQDATAEFYNLHRQEVLEKYRDELCIGTVEGETPEIAPREPGSLSEVPYAEPLW LRPDFKNPYYKESHRRLQRAVREFTDRYIYPEAQEKEKDGTYISQELIDRMAEAGILA MRLGPGKHLHGRKLLGGAVDGKEFDYLHDLIVSQELSRANARGFSDGNMAGMAISLTA VQQWLRNVPLRDQITDEVLSGRKKMCLAITEAFAGSDVAGLRTTATKTPDGKHYIING TKKWITNGMFADYFVVGCRTEKGFSVILVPRGEGVETKLIKTSYSTAAGTAYIQFENV KVPVENLLGEEHKGFIVIMSNFNHERFMMACGTIRMAMTVVEECMKWCNQRIVFGKKL IEQPVMRQKLARMISLCESNQAWLESIAYQMCNMTYKEQATHLGGPIGLLKSHATRAA QEIAEQATNIFGGRGLTQTGMGKVIEMFHRTYKFDAILGGTEEILADLGVRQAMKKFP KAML AFLA_014320 MTTSKEWQKMLNGELYWAWDEDLQANRERCKLACQRFNEAGQVS RRRRVELWRDIIGDTRPLPPPLIDTKADEDQFKDTDPFVDPPISIDHGLNFKVGKGTF LNFNLLVLDTCLVTIGERVLFGPNVSIYGATHPMDPAVRRGLEGPEAGKEVHVEDDVW IGGSVIILAGVRIGRGSTVGAGSVVTRDVPPFHFAAGNPARVIKKIETSMDSEQQSMQ AFLA_014330 MCVPSLTVSPSTFPCTATLVNVSRPPYDTFESHARYSSPRDFTR ALYIPRHDSTTSHLPLYLHSAKLSYMDKPRGDDMNARVEYLVDASKKEEVMEIQVQRR SLNQSDDIKANA AFLA_014340 MPRAGPACLTCREKCRKCDRARPNCQRCISKGLVCGGYPEQFRF CGIASRGKWKGARIPVSTQRSRNAADRANMEQDIHQQQTQGTMNAAPTPPEAYPPRSD KLNADTQEMSNEVAEILRLPETETLLTHYDEFICPHQISEIGNESDNPYRSYILPLAR KQIGLLYAVLGFSASHLGQLTGNQTMHEATAVEYRMKAIRALSEEIRRSQASTLLEDE QDAVLAIIQILLLHDIAESGISTHGIHITGAMSVCKRLLISEGLSGHRRRAVFFLGNL AWLDIIRAFAGPERLCFSQDIRELVACASESTFEMVNGCPREVFLIIGGILEKSKEHT LGWLTWDEYQIAMLVAKHKLYSWDSKEKMYPSTDPRWLAVAESFRFACILRILRLLDD LRPAKSPEIQECVARILDATATIPSDCPLIELLILPLFMAGADSLARHSQYYILSRFK EIERRSEIRNPVPRDLLEKVWAARAAQPPDDDKNVSWTSFTHCPGIARQHDYLII AFLA_014350 MAGNLDVLRAENVLDLAQKALQGDGSVEYSLKTPYEAVALIGHA CMLAVNFRLVGLGEEHTIEGSSENPTLPPGWNANDTVSFRYAHSQSSMQYLLKVSRIG NNALIFALALGDDKTTSFDIPVKDFISASALPVSSSSQSNATLSEVFISTPRLNDLIG LFKINVIQKLAPGIYKEGYEATSQSVREQPQERPPRRDPLRDDQIPQPARPYPFDDPL ATRPRRPVPPGDFAPPGFEDEYEIQRPPRGIPLGMGGRNPLNIGDRDLYPPGLGPHDP LRGGIGPGLGGGGGGGMHPTFDDPLFGGPQGGGYDPQAPPGARYDPVGPGFGAPFGRG RGPSGGRGAGGGGGFGGFGFGGDII AFLA_014360 MDNNMEIDTARSPEPHRLSPTSDPGSIPTLDGWIENLMSCKQLA EEDVRRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLF MGDYVDRGYYSVETVTLLVCLKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL AFLA_014370 MGSVGKFSDSQEYQKIFHWAETQKDGEIPSFATRRNDPYEYQAG FGNTFISEAVPGTIPHGQNSPRNVRFGLYAEQVTATAFVAPRHANKKAWLYRARPAVA HQGFKNLPDNPDTEATFLPLNPRVHVSPTQLAWHPFDIPSNEPVDFVAGLKTVAGSGD PTLREGLATHVYTANTSMTQKAFVNSDGEMLIVPQQGALDIQTEFGPLFVQPGEIVVI PRGIRFRVELPDGPSRGYILEVWGSCYELPELGPLGANGLANARDFLTPIAKYEIRQE AWEVVYKLGGKFFASTQNHSPFDVVAWHGNYVPYKYDMTKFVNVGSISVDHIDPSIFC VLTAKSRDPTAPLADFLIFSPRWDVASNTYRPPYYHRNAASELMGLIYGDYGGRSDAF KPGSVSFECGMVPHGVAYEEFKAASEAPPPVMRISEASIAIMFESSRAFTITDYAWNS EKRHEHEPAMWDNLVDNFSKHKDEVEALLAKKAAGLRV AFLA_014380 MPWAGLATLFLAVAGVHGSPLDTSNFQECKSRQNLSSTALQDLV QAIPNADNIRESLGYYTNGSHLAGQGLQQAQWTQELWDAIGLSNASVKSYSANLTLPN EHRVALLDTAREGDPVVYEAPLIEDNPPKAGDGQLPFAPAFFRCAGVGNITAQYIFAN YGTQEDYDDLVRAGINITGKIALVKSTYESAVLKKYKLPYHRARQEGVATQMGLAGML IYPDPQMDDEIVVENGYQPFPNGPARPPSLIERGSVGNCNPGQIPAMPISYADAIPML RALNGHGPLAADFNDRWHGGGLTSHGVTYNVGPSPENVVLNVLNNPHNYAGHVHNVIG VIPGCAFPDEVIILGNHRDAWGPGAGDGNSGSAALNEVARTLATALKEGWQPLRTIIF ASWEGEEMGQIGSRSWVDENQSQLNSSVAAYLNVVVAGAGTKFHAQGSPLLAQAMHNA TSQVQEPSGGQTVLEAWGSELGLGSGGDAMVFQEYAYSVADFGFSRGPTDPVFPYHSL FDTYSWMEQYGDPGFAHHVATTKIWLQLATSLADEVILPYRIQGYAPVLQNGLESLSS TANLSAHLDLTSLKETIDIFARASAAFDAYSDSLADQVQQQPVDDSLLAQVQSVNHKY TNFERFLGDPDLPGGDGYYHLIISPAPYYFQTDPFPCLTKAIVAGNWTLAAVSVPSHI AFLA_014390 MAPSSNSQWDDTERANEETPLLKDLKDHQSHILPRRRLLVVFPA LALIHFTSFLDQTALSTSLPAIAAGLNTGSSISWVSASFLTTSTSIQLINGRLSDIFG RKTCLLGALTIMVLGNLLSGWSQTPAQLYATRAFSGLGAGAINALVQIAISDITTLEQ RGYYFGILGVAVALGNGLGPVVGGVLTEKTSWRWAFWFVCPLAAAAATYFGLVFPPSD MADNVCTKLQRVDWFGVFTSMMAIVLILVRGSAIPWNSPIIIAMLALGAALFGLFLIG EWRWVKLPLLPMRLFKYNYSTNILLAVNILIGWVFWGNLFYIPLYFQNVRGWSPGTAG SLILPMVIAHGITSGLTGLIISWTGRYKVVISIGVGMWMIAAAAKSFYTQQTPLWILE LGGIFEGIGVGCSFQPATVMVGLLAGSDKSDRAVVTGFRNFIRDMGGSVGVTVSGAIL NNVLHNDLKGRFSEELISKITSFAFALYDFNLTDEDQKLISNAYMHGLRTVFTVFAVL MLLFFVLSLCIKDYGLAGRTRIESEEQAEGETRERYTDE AFLA_014400 MAVGNFKELNGQRAALLIGAKSTSSSNPPVKAPTSYTQLWADKG SGAKLNGSFWRPIAASGYIAMGDVVQSGYTTPSTSKVWCLRSDLVADGQYADESV AFLA_014410 MLRTLTRAYHGALGTSIRSAAPALSPLANIPYLQAPRLDSAHDQ SHVGSVQRHLQDAGVLKISLNFDDNDSHYLTNLIHGLHKWHGHGLPITHSAHRGWYWD IRPSQTVFQCPDHQARSETMNEFPWHTDCSYEASPPRFFALQVLHPDQCGGGTLSVLK VDNLLKLLSESTRVALQKKDYLITVPPEFRKTDNKDESIIGSLLSPDPESGSLALRFR EDIFTPLTGEAGLALEELKSVLLGPKAQAEVVNLTAEMMPRGSIIIMDNRRWLHGRNQ VKDPRRHLKRVRWDARQFGLD AFLA_014420 MTTISRPNSDALTENVSNKVAIITGAARGIGFATANLLARHGAR VVLVDLHEDALKNAVEAIGLQATYKTCDVSDWNQQIALFQWVIDTIGPIELVVCNAAI NPEISLLQTQDPSRQAQLNSQARYNYLADETKEGKLERPSTQLFDVNINSVVFGLKLA IHHMKQRGAGGRIVVTGSAGSYVPVPSQPLYTASKHAVLGLVRSTALIEEVIRANIAI SWIAPWLTLTSMVEGLEATTQPHTLKSSPEDVAWAIAAAVASPASWANAKGFWVQGTT ITEVEGAYGEVGQRLIAPENRF AFLA_014430 MPLQFDPEFASAAGPMLQLLSQQPKPAIHDITARRNLLAAITAN TPKTPIPDELEHLVYYAPTQSGDFQVPIHHYRKKRGSGGSDEAMKELAPAVVHTHGGG FISLSVADLESMLVGYVLGSGVQILSIDYRLAPEHPYPGPMEDSWAGLQWVYAHAEEL CIDTHRIAVMGESAGASLAAGLALMARDKGLSPPLAKQILVYPMLDDRGVENQAGELA FWTPEDNITGWTAYLGKDVGTNRVEAYAAPARVDSVEGLPPLYMDCGQLDIFAKEDTE YVLKFVKAGIPVEFHLYPGLPHGFEGSAPLGSFTGRAMENRFRAIREL AFLA_014440 MMTIPSFDELPSVPGHPQTKCSWGLFDKDGQKDLYGTLNFITPD IVKSAATEIRHGISVSLNWPLGAIKSKGHFRKHLSHNVVKLEDPATGKGFGFDDEVDF NTQGSSQWDSLCHFQHLPSGLSYNGFKPTVETLQANTDLPTLDHWHSRGGLTGRGVLI DFKSYAAAKGIQYSPFSAFRISAADIEAVAVHQGTTFQKGDILIIRFGVTEALGQMNG DEQSAAMAPLRCCGIEGTEDMARWLWNRQFAAVASDNIAVEAMPPIIDGVERPPTEFG ECDLIYDLVSSG AFLA_014450 MKFQSLCMSLFCAATLAAALPVEPSAESAPQPCIPAELAKVLTS VPKDSPLYCKGDSQPEKRDGEPSIEECGDALEAYNAGEEDEASVGDLLDACEAAYGPP GNKKRQAKEPSILSGTVGSVQPRSNEPLIRRLNLNRPKLSRNREVQACHQCRLRKVKC DQTRPRCQNCQTHERTCVYSQVPRTPDSHHGGDLVIRLNQQGHLNTTSESQARYYSSS SWVVDVDGPNGSRPSAGSGIGPCAKSRETSAPPTLQGGLEFPDGQAHLFVQLAEVDRL IHWYSNYCHLWYPIVDIPEVIISLENLRHNRSSPVGSLALIAAICFAAACSANASGDL RSLSPISTSSAWKDLAVQLLSSNGYPRQPNLNTVRAAFLLALPSVADGRTHPDPGPVC VLLRAAQSLGLHRDPSSFNLPPSEVDFRRVLWWCIHSLDVCYSVAHALPPLIHATATD VQTMEQNGMSERKLIGTIIRVNSLISAIFQTVYGIRQPTGKDIQDLDEKATKICTDEI STRTSLEMTAAEKFITMSQRMCCYKMLFILHQPYLRSTQWPQTSRQKALAACQNYIND YMSGIADPELAPYRWILGHFDVTHACAIVFQDMIQHPGSVESVGMRSLVETCCFTFLS DSHPDWAKLEALGSKAWAANGWPCPFQQDLSSLGADASLSDWDPLFASFIWENMLL AFLA_014460 MTRTDLIVDAVVIGGGFGGCNALYRLREMGLTTKLFEAGSGFGG VWHWNGYPGARVDSEMPAYQFNIPAVYKDWHWSERFPGVEELRRYFEHVDRVLGLSKD TYFNTIVSECRFDSASRLWIVHTSTGIRATCKYLIAATGSSYKKYFPEYPGLSQYKGQ LVHSAAYPDNLDVTGKKVGIVGNGASGLQIVQELAKKDCELTVFIRTPCFALPMKQRN ISPEESEMMKGYYDAIFDRCYKSVTGFPHNTKPQAASTASPEERKAIFDQLWQRGGYS FLVSNYYDFLLNEEANSIFYDYWVQQVRARMTNQKKMDLVAPLKQTYLVGTKRPSLEQ DYYEMIDRENVVLHDLKKAPIQEFDETGVITAEGHRDLDIVIFATGYDAVTGSLLDLG IEDRNQVPLSEKWKDGTATHLGLMIPDAPNLFLVYGPQAPTSLANGPPFIEMEVDWIC RAIAKMHDEGLASVVPTAKAAEQWKEEVRLVSENTLYPKTNSWYMGTNIPGKRREPLI YLGGMPRWWQKCNDALESWEGFSTQPL AFLA_014470 MACKVTGTAFITGAASGIGKATALKFAEQGITSLALVDVNLAQL EGTRDELRNNFPHVETEIMQVDVTNEASVNEAIKKTVTRFGRIDIAVNSAGISGIPTK THELSLQEWQKVIDINQTGLWLCQRGVIQQMLTQEWVS AFLA_014480 MYGIAAPPANFGIIPYTAAKHGKYVDTPIIRAAIESGAMNSEFE KTPLGRPADPEEIADSILYLASPMSSFVCGSALVVDGGYTV AFLA_014490 MTPKPRSKTFTGCWTCRSRRVKCDEQRPNCQRCHRSGRTCQGYS VRLGWTNASGTATQRRLLRSSTRAVPELSPCAVTTLLSDLDHSSGRAIAQRGPFSVFS VSVLDNSSRNIRVHSPRESSTESSDSFLPHQHDFSPSSSPKTSFTVEQLPFPEPPKCV VSEDALGGIGQTTPNLSQMERMRSFDIGAAATRDKAWGCLLEKTRIPSSLNPTNMATP EIELIHHWVVFLSGNLILIDLADNPCRTVFMPLALKGINSSPTESNMHRAVFHGLCAA SAFSLYHLRGESKYQSLAVQHDQQALQHLRQNLRPGNRLDETTLVAVLTCIAAEAMSG RRSRWRAHVLGGLGMLENELDGEWLQSPTAARLLQSYLSLSSLCNFRMSAQLVALLKE LPNIQNYLERSHGVSRSLVQFLADISALRESPGQITVAELDNLELQLYLQFPSLHSQE TPESIVIQHALNSFYYATLIYFRRSLRRVPVADVQDLVEKAVHDLEAAEALTHRKGGC AYNWASFVVAAECSRPDLQERMLVLFDRKRRHGIKNIQSLGEIVTTLWQRRASAPGVD IHWEEIANEADYDIMLV AFLA_014500 MEWLCSTQSPISHLIWTQPHKPRVNPTTSFGRDVVIAGPQLFRE PLKNPLLAPSDFSEGIVLRTARSSAPSLDVTVVIYNLSSMASQPHQKEVPHNNHVDYV IRYSFYDTDVNKASQQFELLLRRLSEVGLQTEVREGDESSVLVFVRASRKKKLQRAVY QSRIRDWLYGVRNTEPEPESSAEPQSESERLRVIHHMITVPREAGGAGITLKHGEWEN VIAIFPLHDEETNKQCMRDWSKKTFLSNEDLDQIRNTFGESVGFYFAFLQSYFRFLMF PAIFGFSCWLLLGSFSVIYTVGNALWCIVFIEYWKHQEEDLSCRWQSKGVSVLREKRR EFKPEREVRDETTGEIRGVYPATKRLQTQLLQVPFALVAAVALGVIIATCFAIEIFIS EIYNGPLKTYLVFIPTILLSALIPTMSTVLVSVATRLNDYENYETQGAYDVALTQKIF VINFITSYLPVFLTAFVYVPFAHSIVPYLDIFHLTVRPFVSKKDAITTRAEFSIDPGR LKKQVIYFTVTAQAVNFAQETIVPMLKQRGLQKYKEYKKRTGKVEPDSNTDEKKAPEV SFEDAPEEAQFLKRVRNEAEMEDYDVTDDLREMCIQFGYLALFSPVWPLVPVSFLINN WVELRSDFFKICMECKRPSPQRADTIGPWLDSLGFLSWVGSITSAALVYMFSNGHEGP NGQPTSIKGWALLLTIFFCEHIYLLVRYAVRATIAKMEPPNVRQERAERYLLRKRFLE STLQSRSSDDETDEETTPYVDQSQEVSEITRASLEDDARTWSRHGTDPAERFWMRQKG WKESVYVGTSIIRALAVKPEPKKQQ AFLA_014510 MSQISLDAFTPAEVIEFVGRAGVAKGNMRLDKVFFSAVSAGCLL AFACGTVLSTNTTPWFQENAPGLIRTISALVFPYGLCMIILTGADLCTGSFMFTTVAA LQRRLPWYKMLIHWVVTFFGNLAGSLFVVAIIFGYGNVFSADPFKSQVIAFATKKQVT PDFHMIFLRGIGCNWLVCLACFFGIQGRDLTSKIIGIWWPIFAFVSLGFDHVVANMTF IPLAIWVGADKITVGLYIWKGIIPTLIGNILGGGLFCGVYYWYMYLLQTDSMTVTGIK RFGHSRSEVSSMTPKKDDVEAGVGVVDNSPAALGS AFLA_014520 MNTAFSSLCRTKSRYASVTTIRGARRLPPRLLSSPCSPLRGPFL ARQISTESRKGTSGFQIKYVLLLSTAATLSWLLYARQHGAVWLDSDKSYFTHPDPLAP NNGIHQQKTTNEIQDSQKETFKSLEAEDLPTEKAILTTAPNVPPPITRDYPVILDVDL TAVAKLEQLTNQYKYEKWTFNNSVPGPFIRARVGDIVNLKITNHDESGMPHNIDCHAF LGPGGGSALTTVNEGETKTARFRLQNPGLYIYHCAVGPVGVHIANGMYGLLYVQPEQD LPPVDKEYYVMQSEFYHEPPEPDDNGQMSSTVEFSWPHALREAADVVVFNGSEAALTE KPLKATLDDTVRIFFGNGGPNLTSSFHVIGTCFNKVYRDSDVLSPPGQCVQTVSVPPG GSTIVDMKMVVPGTYTIVDHAIFRLEKGAKGFLNVSGEPRPMLYYSTLPPQPCEGCKL HP AFLA_014530 MLAKTMFLSRVVPRLTPKALLLTRPFTTTTPKNKLTPQQIQIVK STIPALQDHGVAITTLFYQRLLQQYPQLKNIFNTAHQATGEQPAALAHAVWAYATNIE HPEALKTAISRIGHKHASLGITADQYPIVGEGLLAAIKEVLGDAANDQVLDAWKAAYG ELAGYFIDFESGLYRQAEATPGGWKGWRKFFISKKVHEGEEIISFYLTPVDKGALPAY KPGQFVSVKCFVPELGVYQPRQYSLSDVPNGEYFQISVKREFGLGQKPAGRISNVLHE GLPVGAELDVSMPFGDFVLDVNATTPVVLISGGVGLTPMMSMLKTIVDQGGSRRVVFI HAVRNGRVHAMKDRLAKIISENPQVQRAVFYEEVSKKDKQGVDYDFKGRADLHKIKDQ VVLPDADYYICGPKLFMNAQSNSLKDMGVKEDRIHMEVFGSPAE AFLA_014540 MSDQPTIRLATPEDVPIILQFIRELADYEKALHEVEATKESLLE TLSFPDSPPKRGSVYTALITPPATPDNATPIPVGMALFFYNYSTWRSAPGIYLEDLYV QPAARGRGYGFKLLKYLAAKVLEVKGRRLEWSVLKWNEPSIKFYEQVGAKGMEEWMKM MVEGDALTKLAEGL AFLA_014550 MRRLLNDIEGRTESKVRDFKERMEAAIEERDRAEDEASAQGRRR ARELEELKNKVREAEKALRSAEEDKEELEMSQKDWKRRRDQLEEQAERSTQELNDVRQ AMARLRDSLDESEKQVRDLEKEKAELRRSVEETSARLDKLRRSNKALSEEARFGTNPQ SSRSSIDSGSRRALTSPVPKDRSPSIRGSETPTGGANAQAPIDYLYLKNVLLQFLEQK DKNYQKQLIPVLGMLLHFDRTDEQKWMSAIMSR AFLA_014560 MANIGPKILMVSVCIDIFSRSSPIKKPSLPAQSDLLITDTDTMD VNHVQVTNFTYTGGDDCIALKPRSYNVTVTGATCNGGNGIPIGSIGEYLEDSSVKDVY MSDLKVSRALGVVARKNNGGEFVDRGHQQIDNLGYPP AFLA_014570 MLSSTLTRPLQLVTRVLQWSSAVIVMGITSYFINKGPRGLSITY QEIISTMSVVFFLPAFVSPFMPTALGKFVLLIDVIFSYLWLTAFIFAAQDYNRHDCRL NAPPGISCSKKRANEAFIFLTFIFTFFGMFLEVLGLWAYRRENVPVREKTGGAHGGPA DAPVATA AFLA_014580 MTITSVQAVLPGRFAINSTEKSTAKPPPKVYNAQEPPFKGYHAP QPDGYQRSRSKPDTSAIVIDNGSNLVKAGWSFDKNPRFILPPVMSRYRDRKLNKACQF IGYDAYVDATTRGQLRYAFDPGSSVVGNWDVMEGVLDYLFLKLGVDGANGGVDRPIVM TEPIANLNYPRKMMNEILFECYSAPSVAYGIDSLFSYRYNRGTDGLIISSSHTSTHVI PVLNNKALLSNCSRLNWGGMHASEYLLKLMRLKYPTFPARMTESQMEDLVHKHCYVSK DYDQELSKYLDWTGLEDRDLVVQYPFTEHVVPEKSEEELARIAERKKESGRRLQEQAA KMRLEKLMKKEQELEYYKDLQNGLQTETKKEARRILEAEDLKDEAHLERIIRDLERSI KRSRNRDLGVEESEEPAEEMSFPLLDVPDEELDEAGLKEKRHQRLMKSNVEARQRAKA EKEREKARREEEERLDREKRENDFEGWLSERRTNRQNILQRIKERDRLKADLGNRKSL ASQMRMKTLANLAADGPKKRRRGGDDDDFGANDEDWGVYRTVAGEQSDEEEEEDLGGM LDVVEKELLEHDPEFTENHTLAAQSDWTKSLVHVFLRGPWPFDPESQREAHQLHLNVE RIRVPEVVFKPSIAGVDQAGLVEIAADIVNQRFINPEDQSRLLRDVFMTGGNTLFQNF DERFRNEFRAFLPLDAELNVRRAKDPVLDAWKGAAQWASGADLAKSSITRQEYLEKGS EYLKVRSTPVY AFLA_014590 MAPPTPAENESHQLLLNQLDIAQVPRPFRNPHWKPSQRRNKNVK QLISESSRKEASQMATQANSGATTPLVATTSASTDGSQTPADGGQRTNIAQAAQNLST LVLEKNARAMYSSGPAVTYTNIESAPSLHPSQQTRYCDITGLPAPYTDPKTRLRYHDK EVFGVVRSLAQGVPESYLELRAAHVVLK AFLA_014600 MSGHSAVWQGYVDSSLMGSGLFDKAAVLSYNLSGIEAKSSGFSI SAEELQGLAAAFAQSNVAMANGIKVGGEKFVAIKADDRSLYGKKGKEGIIVVKTPSCV LVAHHGENVQTTNASAAVEKIADYIINPHQ AFLA_014610 MHNDNERPKNIPPYTPMSSLGHELGVMFAFLAACFVIMGLYVFF WRAFERREAQKEKARKERFTRRDVHHERSGIPEKIWCSGESSGLGGDGCPGCAAEVTI PFPYSWDTLAPEVQRCLLRVGWLGWESLRTYKMDPF AFLA_014620 MKTSNILLCLAPAVALAAEADQVPAAVAPSPSLPNITPPVPLPN IQNPQNGEDESKLPLEARQMVQIANAGAAKPPAAATTEEILTTTVMDQWPEPSSGSIG LGTLTASAGVTKSLRARSEGTLGQTPWIGMAIGLTCTALAAVMLG AFLA_014630 MPPKAAPRRGASAAAANRRTNATEPSSNSATPAPGENASAGPSA SRPPVQRLQSLKKRTPSGSIGPAAKTPAPGGPGEPAKPTLKYKPRAVGRRSKEEREAI EKLEAERHRERLAEAAAIQRGRGNHGPGGRGGFGRGRGGQFGSASGPLGSMQGRRGRG GGPGGFGSRFNDSRASSMSRRSRSVIDVGSGAISRDVSSDESDNEIRVSIDHINLDSS DEEAEQVADKKKGKLAMKNAEASGEKGLRPIRVERHEHEERVVSVNMESSSSKSAELR QQAQAKAAEDDALFVPDDDGSAGSATETETGPRVKQEPTDDDHTMADVAHHADEGLTT DDGLLPEQTVKVRRKISREPPAVKDPKSLLRTKEDIEEYERHEQDLAMVKDLFTKEEK PPAEEPKETPPEQVESAEDTETAADGAEKDKDQEKESEEEEDESAKDKLAGQLFLMQF PPMTPNLVPENSGDNSAAPSIEARGQGTPEGTASNNGIAPQQTGVKREDGVEFLDEAD EFQSTEPSKVVTATDRQLRAGRVGKLNVHASGRMTMDWGGISFELDRATAVDFLQEAL IVSGAADPAEGGVPEEENRVWAMGQLSGKFTVTPDWEKML AFLA_014640 MQAVQYERHPAPGESPIWTDHAPQESPRKSPIPKNVAFELLLDE NSKVRARIPMRVQIYPHDTTDSIVTTVKNFYGIYDGAASGVSFEDEHGTTLIARYENL RNNMTVYVRVIPVQAYTEGYGDRYFGHMPVEARKRPSLGEPFQMAPAMQSAQIPDHGQ PPSRPGSRLARKRSMSPSGRSRRSASQHKQLSRAGNKSRGSSTHGSFHDDGASLYSDS EGGYGSVSGAKKSRSEQLGSSDISMDNILQDGRRKRPKFESSELPLFVPPQVPLTTST SSISPQRRSIGQEGAVSPFARPTQRPYSYQQPLPSPQSYGHNDQIYGYNSMRNNIYAT PVAPEHGHRLRERTTTQSSGQFSNPAGNGAGSGILPTPDPTIASCISDEDVAMQLIRL GDASNFSHGRTSASTLDDAFSGAADAASSTGATSDGEDFSEEDDDLPARSRQRVDSSP MLPPGATKRTHKRLDDILPSFDSSDGSDGDEEYQQDDYQDSLIKNEADDDSLYRESAP KTKKAKTRANSASSSKARGTKSAHMRQHKINKSAPAAARKVKSMPAATSHKPVVPPQM ASPAPTRKTSTSSINFQLAADEEDLSTKPRCQRCRKSKKGCDRQRPCGRCKDAGIGID GCISEDEGNGRKGRYGRHMGVPVKKAIEATSSVNGDTQPVVAASLTSVAIDKNKKRKR AFLA_014650 MFQNGVTKNGPRRAAFGDVSNTVKTVQGIRDDISVAAKKQIKPL EKPSVQATERKSSVLAQPAQRPMSVAGVKGLSSNVTTSKPLEPIGKSIGVPQHTANAR KALNKRGTVFKDHMEPLTEKRELTSKETTQTKEGITGGQLAHSSTAPSQLSSLKEKDV VNEGSNDESETHDEHSIVSSEVDGEEKDAPKLDEDVCKVQGIKELREASEPGTATDAD GSDRAVKPQRVSVPGAHMSHEHVPAHSEPEESWDDEDDENEEEDEYITARSYRSRGEN TTGATTTILFPKYTQQVRRELALAKQIVEATRTVEDIEDEYWDTSMVAEYSEDIFDYM REQEIKMLPNAHYMDNQAEIQWSMRSVLMDWLVQVHHRFSLLPETLFLCVNYIDRFLS CKIVSLGKLQLVGATAIFIAAKYEEINCPSVQEIVYMVDGGYTADEILKAERFMLTML QFELGWPGPMSFLRKISKADDYDLETRTLAKYFLEITIMDERFVGCPPSFTAAGAHCL ARMMLRKGNWTPAHVHYAGYTYSQLYPLISLMVECCEIPRKHHAAIYEKYTDKRFKRA SLFVEAEMRKGFHLPEVTREKSLCNPPSLDAGHQWKRA AFLA_014660 MSAVILKRVDPKTREATTLPPMKPPPSHKHLAAQPTALEARHFA AVYALYRVCNMRNIHMMLPPTYKKLWKEDFADIKTADTKEGKGWMYEADPFLAKQERE SAAADLEKKRKEREKNQAKAKDQPAVELGLGSSGDNRGKRIWSNAPKVDLGSKVRREI ETLLQQHAIWNPYNVKIPESERNSIIEEFTRLGFRRSHVDEATSACKDREEVLEWLLI YVPEDDLPSWCLPESYSAGVSLASDDLAREAKIKRLATIGYSADLCSRALDSKQGDEL ATAEMLQHTLVYGTSSTAGAVSSEGDDSWAEEQETLEAIFGERYIKVSPKVCEIKSES SDLPESTTFRFQRPSNHYPSSVPIISIQAKGIPSYIRLSAIRQAVKHAEENFLGEPMV YNVLDWLEMHLPEIMQNPGKLRDIATVAATPSTTGSILELPVRQSRKKSREISWQPGS PQSISVREAWQARQSTPAQQDMTRKRESLPAWNIQDAIVRAVNSHQVTIISGETGSGK STQSVQFILDDMIRRDLGGIANIICTQPRRISALGLADRVSDERCTSVGDEVGYVIRG DSKVKSGATKITFVTTGVLLRRIQSGSGADGNVAGSLADVTHIVVDEVHERSLDTDFL LALLRDVLRYRKDIKVILMSATLDAEIFINYFGGRQNVGLVNIPGRTFPVSDFYLDDI IRDTGFSPELAERDFEEDSSPQGEESLGKILRNMGMGINYELITSTVRYVDAQLGDQP GGILIFLPGTLEIERCLNAVKRIPNVHPLPLHASLLPAEQRRVFLSPPKGKRKVIAAT NVAETSITIEDVVAVIDTGRVKETSYDPKDNMVRLQEVWASQAACKQRRGRAGRVRAG ACYKLYTRQAENKMAPRPDPEIRRVPLEQLCLSVKSMQGINDVATFLANTITPPESVA VEGALGFLHRVGALDHDKLTALGRYLSMIPADLRCAKLMVYGSIFNCIDHCITISAIL TVKSPFVSPRDKREDANAAKASFSRGDGDLLTDLTAYQQWSERVKAQGYWQTQSWCSA NFLSHQTLRDISSNKAQLLTSLKDAGLLPVDYSSDSADPRWNRNAGNRSLLRALIAGA FQPQIAQISFPDKKFMSSVTGTVEVDPDARTIKYFNQENGRVFIHPSSLLFSAQSYPG SAAYLSYFTKMATSKVFIRDLTPFNAYSLLLFCGSIDLDTTGRGLIVDGWLRLRGWAR IGVLVSRLRMMVDEIIAARIDNPASLSIDRAGKDDITGRVIEVVKRLIELNGLDQ AFLA_014670 MGPKKKADARGGGPKPGTKQAKAAAEKTAAEAAKKAQPPPDEQK KPSVKQVIGGASWTGKLPVNMLSEHCQKQKWEKPEYTMVSNGRIGCS AFLA_014680 MADDSPNLEDQAAAAATVAAESKSGKRDAFAELLTPKPKHAKYA KDGPSKDTSNKRAIGGPRDGLGAYIAKPESFPSSIVVYHNDDFVAIHDLFPKSTLHLL LLPRDSSKTRLHPFEAFEDPEFLKKVKEETKKLRSLAAAELRRRYGKSSAQDKARQEA LSADPPPDELPQGRNWEQEIMCGIHAHPSMNHLHIHVISVDRYSDRLKHKKHYNSFST PFFVPIDDFPLAQNDVRRHPTSEGYLRRDYTCWRCGRDFGNRFSELKIHLEKEFDEWK RL AFLA_014690 MARFSFLSLALLSVQALIGGSLAADAAEKAEETVAPKLALTAQA TFPASEIFGVKIVNGYPTQALVAFTNDEPAPVKVNFIGGTLSTLDEENSVIVRNLTAT GYSVEIPAGETETLSYQITTEMHPQDLRLSLASIISDNEGRFYTVYAYNGTVSVVEPE TSFFDPQILFLYFFLLACFSGVVYFFYTVWVAPYFPQKRKSGKGAEYTKKTSGAAKKA ETVEPSSPAVSSATTYNADWIPAHHINRPEARKVKGNTRSKSRA AFLA_014700 MANNSSSSTVIDIPAALRSQGNVNVIGVVVDVFQGVFKTANSLC ITFTLKSDNLKNGHVWDGLKVKYFKANESQLPPVQEGDVVLLRNIWIRNYNGKPLGIA GDRANVPWAIFRFDPDPLCANAPICGPTPFEPSYLEKRRCQSLLESISGLSEFRNSSA LQVTAPQSFTTQVSCSPQDARTQRKLTAIKDIREGMLVDLIGEVIKLYPQNSEKALLY LSDYTTNKKLQDHPSVDDDGDSFYRSRKKWAGPPGQMSLPVTLWEPHASFARENIKDN DIISLKYVHIKANRHNLTIEASMHSARYNNVHLVDPEKNDYAKELLRRKNDYYRGINT GKRKAEEDAKPTKGLKARNKKRLEGMKEESEATRQLKERNRPNEHGKAAALRVDGQIT DRDTIVVKASYEGVRGRRIEDIVTSEFHEFESHDGVEYRLPFQNLCYKTTVRVVDFFP PNIKDFAVPQQPKENHSGSRIYQDAEDDGVTRWEWRFCLLIEDALPPPPGQPKEQIKL FVSDANAEFLLKMSATDLRKDPEQLAILRERLFILWGDLEERKKTITEESGQGPLKLD RASSRPFTCCIQEYGVRCSHLSDADAISYDTSGCSREDCFGWERRFGIFQTTIDS AFLA_014710 MAERYIPEHRRTQFKARNQFRPDELRRRREEQQVEIRKQKREEN LAKRRGIQTRDGGIGVGGGMAAATESDDEATAIESELNVELPEMVKGVFSDQIESQIQ ATTKFRKLLSKERNPPIERVIETGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAQQ TQVVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPQCRDFVLNAGALRPLLNL INDGRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLIYMLDDEVLIDACWA ISYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVII NCGALPALLSLLSSTKDGIRKEACWTISNVTAGNSSQIQAVVDAGIIPPLINLLANGD FKTRKEACWAISNATSGGLQKPEQIRYLVSQGCIKPLCDLLACPDNKIIQVALDGLEN ILKVGEMDKEAAQTGEARVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIEKYF SDEEEAGGDIDELAPQQTQTGFTLGTAQQQPGGFNFANGGDSMDM AFLA_014720 METEPPFSSPQPGPTQEASSPATNRRKSGRVTRKPALYAESYGA NDSATAGSSKRKRAGGDDEEDVEEDADASEPEADESANEEPDEEELREKRRAARKASS KKASVPKAKAKPRSAKKPKVASNGIGSQLAFRPATNGKKTVSSRPRKPIVRPSLAAGE RGLYAEVFGKGRNADTTAAEWLSRYQREQSQALRDMVNFVLRCIGTDLEITQDHIEDV DHAPDRVKDLQNQHQQEGFGEWPLISRSRKFRAFQPVLEEFFKSLIQTFHHSSVLYDD QNLFENFEIWLSAMSTSHSRPFRHTSTVILLAVMCALCDIARELMTSVSTSRKQLETE KKKKSVNQGRASAIEKAIEDGEKKLEIIDEYLKDGVNVVFVHRYRDVDPNIRAESMAA LGQWIRSYREYFFEGQFLRYFGWMLSDTVAQTRLIVVNQLRSLYENKDNIAGMRSFTA RFRERMVEMAARDADLGVRASAIELVDLIREAGLIEPSDIDTVGRLVFDSEPRIRKAA GRFFVANVHDVYDSTVEEVRDEINEMFSEEDEDDFESPKRSWIKFKCLVDTLQSYDEP ENEYKPDQPTTASKDMLSGTPVNTRFVLVTEAIYPYLKELSQWQSLAGYLLYDHSQIA DSSSEDDTSGSIKQLYKMQEGQEVILLEVLCSAVKLRVLEVAKSDIDKRGRKVKALTD KIPELQEEIAHNLAQIIPQLLNKFGSVPEAASAVLRLEHLVDLDKIQDLQKDATAYTS LLNDINKQFLTHSDQDVLTEASVAFLHAKSSDDMREALENKISELWDDMIDTLSTLAR KKEVLEGRSIPDSTLNQLTNTVMRISSLASVTDCTQTLEATPSRSKGKSKDTAETPFN ILLHLTERGLRETEDDEESAKAETELVSSSIRTLLFYFMWKVQSLTTALSSGNASFNT EYFEVLTKSRELFVSTLVAIMGARSGLDDIRFSATTTLLDLQTLFGTLRYAGQKASND EDVILQTQGLVHEIAGEASKLIAKIHSVAERTYAKRLRFSYEPADDDEPISDSEAEKD SDDESDAGSEAEMIATERLRSRIVAEQRLCELTGKIVLAIVGRVIDASGEERGQLKQR LVRHKSDLGQNYREVVAFLDERKPKNPPRPKGKQLPTSTEPNRNQSSSSKRQDNAKSA ERVDDDDDEEEEEEVQLNLEEDDDEDLRERGLVEDDNIEEDHDEEEEDENHSAANSDE DEVMGD AFLA_014730 MQITSRIMPIKSPFEASIAKPGQGNVVLSLLPPSNPTLTTLTYK YPLKLLTRTPGYVPQSSALSCPASRPVHLYLLTYGGGLLPGDHIEVSIKLEQFTRMVV TTPQGTTKIYKTEPSRSVKGRRAPGRVLSDKSRQTLDVRIEKGAAMCYLPDPAVPYKD SRYEQVQTFTVDTTTKDSQRSSLCMLDWVTEGRKSRGENWDFHLWKGKNEVYSIDESG KKKLLLRDSLILDEELDEGSLEDEHETLNRTGVIRERTRPHGIVGTLILYGPVFERLA NFIMDRFTSQPRIGARNWSSSAPAAVEAPPNFDSKVTFTAARVRAGFVLVKFGADHFE TAKDWLGSILREEGTVIDEFGEEALCCL AFLA_014740 MSSPSRSPLVSNSTRIDTPHASNDTFDCYRDSEDVELKAGLKES KLSVKEPVGDEGNELGLLSGSTRLEDLPAWRRGLAATSSFTAPKNTESDDDELPLLAN SSHSPNYSPRQCRRPKLPRCVLFPLLGFFIMLGIIQFIIIACGIVITFFSDDLGRLSV LRWQHEERLGANVSHWPTDFTRDIVPVGCHSHNDYWRPVPLFSAIQAGCIGVEADVWL FDDELYVGHTTSSLTRQRTLRNLYIDPLIRILEKQNPTTKLHPTVDQSLQGVFDTDPS QSLILLIDFKTEGEATWNTVVEQLSPLRRRGYLTHFNGTDVVKGPVTVVGTGNTPFNM VAANTTYRDIFFDAPLEILAENYGMDNAGSQGSEDNIPGDEGVMTSRSNENVGQGLSG LSGDEIGPGTFNWTNSYYASVSFRQSIGFPWLFHLSDRQIDKMKAQIRGAHQRGLKVR YWELPSWPRSLRNHIWTVLVREGVDILNVDDLQSATKQDWSPKFFDWWH AFLA_014750 MPHKHKRKRNDTDIYDLPPTMIAKALPVRDPNSKNKKSKKNVKD NKPQDKLQARQKAATDDDTPKAFRRLMQFQTQGKQAPSKPNTGESKKRKRGAENTDNA KQTTRKKSAPVAVVDQSTDVEPQVKPKILPGEKLSDFAARVDREMPIAGMKRSGKPAK SDLADIREHKVTKHEKHLLRLQSMWRKEEAEIQEREAAEREEREAELEDQLELWKEWE VEAGQGKAKKKGAGARKRGGQGADNSDPWAKLKSKDRLNKPANPFEIAEAPPQLTKPR EIFKVRGGAKVDVANVPTAVGSLRKREELANERRTIVEEYRKLMAEKRR AFLA_014760 MAPIKRKGASAEEAASARQSQKRVRVGAEERKDQKKQKTGASGE GKTKPDAGSGPKASELTVLRDDEPSFPRGGGSVLTPLERKQIQIQATKDVLFEQKATK GSSKNDEHDEDAEMEDVDDTTATATKKSRKRKTKSKKSADEAQDKQGVRIEGLNFKRV VPGTMVLGQVSSISAHDIGLSLPNNLTGYVPLTAVSKRLEEKIENLLNEDENAEEDDD DDDDDEDSIDLSDYFYLGQYLRAYVVSVGNNAADASSKSRKRIELTVDPRQANFGLLK SDLVDNTAVQASVVSVEDHGLVMDLGIEGADIKGFMSKKEIDPKTDYSTIKEGSVFLC MVTGQNANGNVLKLSANLQSSGSIKKSHYLSTAPTINSFLPGTAAEILLTEVTSSGAT GKIMGMLDTTVDLVQSGGNSSPDDLANKYKIGAKVKGRLISTFPASDPYKVGFSLLDH VCKFTSETQGPGSSEDAPAISAIVPEAKVIKVDPGLGVYVQIGSTKHVGFVHVSKLAD GQVESISAEYGPFRTDSTHEGRVVGYSALDNLYLLSFERSVIDQPFLRIEDVTIGAVV KGKIEKLLIGPSGLDGLIVALADGITGLVPSMHFADTALQFPEKKFREGMTVSARILS VNPEKRQIRLTLKKSLLNSESAIWKDYDSIVAGAQSPGTIVNLKPHGAVVQFYGSVRG FLPVSEMSEAYIKDPSQHFRLGQVVNVHALSVDSSLEKLAVSCKDPSTFTETYKKAFE SIHPGLLVTGTVFEKSSDDLLLKLDDYGLVARLNLDHVVDGSPSKQSSTLSKIRVGQK LNELLVLDIQRTRRLIRVSGRASLKKAAKQGLIPASFEDVQEGAEVTGFVRNITMTGV FVEFLGGVIGLVPKRLVGDENLNKPDFGLTKSQVVSATVHSVDPDFRRFILSRNPAEA TQAGPKKPAAKSAVKSAPSDDIVTNAIDDSVNSMSDFSFGRVTKCKIVSVKATQINVQ LADNIQGRIDVSEVFDSWEDIKDRKQPLRFFRPKQLISARILGVHDARSHKFLPISHR TGKFPVFELSVKPSFLQAADPLPLNLEQVQVGSSWLGFINNIADNCLWVNLSPNVRGR LRLMDASDDLSLLADVEKHFPIGSALRVNVSAVDIEKGRLDLSAKKGSETLSLDDITV GMILPGRVTKVTERQVIMQLTDTIVGAVDMIDLTDDYSKANPTIYHKNEVLRACVISV DKANKKISLSLRPSKVLSSSLPVQDPEITSMEQVKVNDVVRGFVRKVADNGLFVTLGH GVTAYVRVSDLSDSYLKEWKDSFQVDQLVKGRVTVVDPEQGRLQICLKESVLDPNYKA PVKLHDLKPGQIVTGKIRKVEEFGAFIVVDGSANISGLCHRSEMAENRVDDARTVYDE GDAVKAKILKIDRKQGKISFGLKASYFKDEDENDVEGSDNDDEDDDSEGISLDGFGGV DVDENDSDDDSDISMGGVDVEEDSESDSEQSDDESITKAPSNRQGGLGASGFDWSGNV MDDDEKAASSDSDDEDESGRKKKKKHRKPEIQVDRTGELDANGPQSVADYERLLLGEP DSSLLWLRYMAFQLELGEVEKARDIAERALRTITIGQDAEKLNIWVAMLNLENTYGND DTIDEVFKRACQYNDTQEVYERLISIYIQSGKNEKADELFQTALKKKISQSPKFFLNY ASFLFDNMAAPERGRALLPRALQSLPSHTHVETTSKFAQLEFRSEHGDVERGRTVFEG LLSSFPKRIDLWNILLDLEIKNGDAEQVRRLFERVLGIRDSKKGVASVEASKKLRPKQ ARFFFKKWLAFEEKLAAADGGNEKMVEDIKAKAADYVKSLQQE AFLA_014770 MIVESREGVSWACVGHQHLILWTVERKETPAMVEGKQVRIVVRR GDHDCQPLCHQEKQADRDILQERVERKEDVGAKWQKTDA AFLA_014780 MLNGGNNASDVRTNRQALKALSSPAVNRLGPAFTRTLKAVATRP EPSELLRTPSRKRKFSFSSIVSPLQSSPLRKVRMRTPIQDDEEVNVTVRDLEVNTEIR MSEPKPHVIAKPLRQVSPIRRSQALQTSGQFFMRSVIGARASRVTIRSNSGADWQDLT SAFYSRPSDSHACASSTGDRLALPFCTASCNTNTLVAVGDEEGGIRLLDSAKNDKNGF SKAYLSFRPHMNAIMDLEFSSDDMLLATASGDQTALVIDMTTQKPIYCLSNHVSSVKR VQFQPSANNKVLATCSRDGNVNIWDLRCKGFEKPSLQVRCSLESDSEYAAAPVVPKMT YPQVLNTIHGAHAWMPQAPASEKLEPQIGRTDITTMGSADSA AFLA_014790 MTLGGDGSRLYTLCRDGTVYAYSTSHLILGHAPELSLNNDRPRR SGGSDKEGLGPLYGFRHPRLQVASFYVRTAVRKATGDKPEMLAVGSSDQCAVLFPTDE RFLYSAPKPAPEVDLPRNPMHLTRSGLRRTNSGLSGKLEDTIPIYQSGTPLIEGHKKE VSAVSWTVDGELITVSDDYSARCWREGSDDARDLRIGGEPERWRCGWAATRDSCDDE AFLA_014800 MAGIFEQPRNADTLFLGGQKITGPDVREQNVLATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDIEHPAGKILVDLAQQQDKEVGDGTTSV VLIAAELLRRGNELMKNRIHPTTIINGYRLALREAVKYMNENITTKVETLGKDSLVNI AKTSMSSKIIGSDADFFANMVVDAMLLVKTTNQRNEVKYPVKAVNLLKAHGKSGTESM LVNGYALNCTVASQAMKTRITDAKIACLDMNLQKERMKLGVQITVDDPDQLEKIRERE AGIVIERVEMILKSGANVIFTTKGIDDMVLKLFVERGAMAVRRCKKEDLRRIAKASGA TLVSTLSDLNGDEKFEASYLGHAEEVVQERISDDECILVKGTKVHTSASIILRGANDF SLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAVTVGSREQLAIGEF AQSLLIVPKTLAVNAAKDSSELVAQLRVRHALSQRVQDGDANEEEKAIAKKKTYRNYG LDLTKGRVHDTLKAGVLEPSMGKIKQLKSAVEACIAIMRIDTMIKLDPEVKEDDGHGH AFLA_014810 MASQAPADTPVASDAAVTQTLPDRTKNPADKDAPQGDVSKNAAK KAAKAAEFAAKKAEKAANKAAGKQEPKKPAAAKAPKKKIDGAALIGIDVSKEEDFPGW YQQVLTKGDMLDYYDVSGCFILKPASYFIWEEIQEWFNARIKKIGVKNCSFPLFVSED VLNREKDHIEGFAAEVAWVTHAGSSPLEKKIAIRPTSETVMYPYYSKWIRSHRDLPLK LNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAHLTKDGAHDEVMHILDLYAQIYEELL AVPVVKGQKTEKEKFAGGLYTTTVEGYIPATGRGIQGGTSHGLGQNFSKMFNITVEDP STKPDEKKPPLHVWQNSWGLSTRTLGVMVMIHSDNRGLVLPPRVADTQTVIVPVGITA KTSDEEREKLYAEIDGLVNILTGAGVRAISDKREGYSPGWKFNDWELRGVPLRIEFGP GESVGQFVTAARRDIPGKDGKSSIQISELSTAVPALLEQIQKDLYSRAEETFRTHRKL ITNWDDFTPALNDKNICVIPHCLTEECEDQIKDMSARKAEEDSGVPEDAKAPSMGAKS LCIPFEQPEGIVAGETKCVNPKCTRLAEKWCMFGRSY AFLA_014820 MVALKRFFQAEKSQSVHSKDGATRTSNTPESDLSSNPSPSQFLE PDHPFQRIEKQFEVLHDQLQARPLSPCSPAPPSRASTRTTTRDPRHVDLLEALFSSHR YHIQSAQTLSPISPYNEDIAERNMTRFLQGQSGKSGKPTMYSRILSALYQEDVADRNI ARNRRGGRPSSRNTSSRSRGNSFQRNSQSHQDEARCRPRSKAGSSLARSMSQEAPRST TPPRADQSTTPRQNVSPATEGPLRQQRSVPNISAEPTNSPQGEADSKSGGFLRVPPPY KQGDTWSSTPLPDSPTLPLMVTGDTKTVESHSTPDLPPIRSSRSRSSSATSNSHNQSN ATKSKHRKNVRDLSIDTELAARGKPSAKITHRAIQPPTPSSFGMKQNPSIAEVMNSPL PAGSPISPSPGLQSDQKIAEIMDMFRQAYTSSPVISPHPTYETLQDAIIREINSHEAF QRVPLPEPGPPFTPSFSQEAFYPEIDIPKTEGPSSNRTMSLRDGQISKLIRRGSFKKH RRGSDARRSISTTSVPSKVFWKSSETTSRRRHTDAPPPSPGFFSTLEQNQAPKEPVTY MDLISKARKSPANVPTPDMARNLGNPQPPATVSLESVNPAPSVFHMRAQASASSINSF SADDSDEEVIELPSVGIPQLQIHGVDENNVTYVAENTSPRNAFRLMSWPQRSGRSVSL RGNWFTNETSNSPSRSSSRGGLTTRSVASC AFLA_014830 MKSANPRAKPKTDEHLVFLYLCLINSGGVNTINFNAVADASNIN VPAARMRWARLKARIEKEMAEGSGDPNAGEPSAASTPAASTPTPSPAKTASPAKKRCV KRKIRSEPEDDGVAENNDESNPTAEES AFLA_014840 MTDRRRINGPPSGTRPAVFASSLNSASDIATGRPQLLKTGLIPS ASGSAYLEYEPSASLAAARSSPKSLIPPSSALKLACTVHGPKPLPRSATFSPNLVLTT HIKYAPFAARKRKGHIRDASERDLGVHLETALRGVIVAERWPKSGLDITITILEAEDD RWWGDAPDSHDAPWGMMNVLAGCITAASAAISDARIDCLDLIAGGVAAIVADESEDGE AKPKLMLDTDPAEHKAILSACVVAYMSSRDEITEIWLKGDSSKALLGPDDKRSGHEAL LDGAVDAARGAHSVLAEAVRESAERFAGLAPGGGATQ AFLA_014850 MDRGLSTSTRIDDEGLRERNVASQSTSTLSPEALTATGDVELKD KTGKDCKTFGRTPDGTVFTVPQTHDMVSQLLSPSEPKNLSDVIVLAILGAHILLLWQL PTGAKVPVFAIIYLFWRAAYNAGIGWLLHNQSHHKTLVRWAEKTKVFVNPATGKNPHP NVYNFFKRELETKIPHDYSFDEAPIEYNTWLVFRRLVDLILMCDFVSYCLFAIACSHH PVNESVLMTVLRWSAGIVLVLFNLWVKLDAHRVVKDFAWYWGDFFYLIDQELTFDGVF EMAPHPMYSVGYAGYYGISLMAASYKVLFISIIAHAAQFAFLVLVENPHIDKTYNPPP SRKRSAEQETGSVSSRTADSPIAPTPIDEQIPHAPTFSSSPPQSVHELLGLHNLDLYR ITDTSSVLIQFLVFALTVLTPSTPWYQFLFVANAAVWRLWFSIGVGYMLHRQSNHKAW TRHFVKYGETPQEAWNQWKGTYHLSMIMCYASFIAAVWKMYNFPADWGYGLVLLRHVL GAGLISLQIWTSVSIYESLGEFGWFYGDFFFDGSSKLTYNGIYRFLNNPERVLGLAGV WGAVLITSSGAITFLALLSHILSLAFIQFIERPHMQKLYGQSLRQDAGLVKSLKKSLP PTLRQLHGSVDKIFDESFEFIEEIIETARPKLANGVNTFVKDTTALFQSYPARVTISR IDEDLAGYDSRDYSLEVEGTDSSSLAEHDQSTGREGANARMPLDRRGDLKNLVFEYGA PIRVKWTAPLNHSKKDWIGLYKVTDNTSREVTRVSSQGRWIAVNEGAYDNLTCEKGIV KSDVVIKATQQQDGDKRDLATGEVIFSGDKLFWTQGVFEFRYHHNAKHNVMAISRPFE IRIGRYEEEDDHELTQASVEKSLLPVIRSCFDRDPEIAPEAVDEPFGSLVERDGKFAK RVVFAVHQMFGVEFAPGVVQADGTVRNLAWRVCNAKRVLAPYSMSRNGASTPTERKE AFLA_014860 MPTSLPDSCELAAIPPRDDPRDALIVKAGLPYTSLKSLPEGAVL RRLYPHLRFANLRGNVETRLAKVDNSDSEYTCMVMSAAGLERVGLEHRISQYLGSKDG GILHAVGQGALGLEIRKGDRKMQELLGQLADQRSTLACLAERSLMRTLEGGCSAPIGV ETEWISAGALSIHAIVVSLDGTKSVEDTIVSNVKTVEEATALGKELAARLVKAGAGEV LNNINANRPPKN AFLA_014870 METSPTPQPPLRIGTRRSNLAMVQAEGIRNCLQKIAPGRSFEIE ALRTLGDRDQLTALYNFGAKSLWTTELEEKLNAGELDVIVHCLKGD AFLA_014880 MSIPPPTLYRGPSLILLAGTRPLHGKQIDAAVAPNGQLIVMGKR SRYVEGDLWTRIGQELNDGAMFPDSSSNKEDDEEVASDTQVGYKASALALRLSPQTPS IESYYPTKQHFRILWPIYLSNIRPVTMILHAPSEGEKLTKAVEGHGNTSRIAVTDVRN FDVCPHVCHGC AFLA_014890 MQLISILTSLALTATTLAAPGTDLKEAEQIDSTSKAAQAGNWEY CATDFWCDPLTDYYQAGGCYQQADCRNKAKSMDTTNFSCGYFRPYTCWVIHGQRVELG DIESILRRMLPSKSEAIVDLVRPFDAPDRHQKFACRLTR AFLA_014900 MSWPSFGTRCIEACVRRDGVFHLEARIANSSMEFTTKDNVLDLT ERCVLAKEKPSVWLIEVDPRPPGIEVSDALKHTYGIDYWGVGLLSGLQDRQRVRQLSH TFLQGPQYW AFLA_014910 MSPSLVAPEPEHCPGPESEQAGQGDACAGCPNQQICASTPKGPD PDIPIIRERLSQVRHKILVLSGKGGVGKSTFSSLLAHAFSANPDSMVGLMDTDITGPS IPKLMGVESETIHVSNAGWSPVWVTDNLGAMSVQFMLPNRDDAVIWRGPKKNGLIKQF LKDVDWGELDYLIIDTPPGTSDEHLSVNSLLKDSGVDGAVVVTTPQEVSLLDVRKEID FCRKAGIRVLGLVENMSGFVCKNCNTESQIFRATTGGGKRLAKKMGIPFLGAVPLDPR IGMACDYGESFVDGFPDSPAAKAIKQVVRAVGQLVGEDPDTVLPDDTAE AFLA_014920 MRFLLSFLITLSIACSVLSLPSGSKSQLKGRSFKVERVRRGNEP VHGPTALRRAYEKFGIVPTDLGIDLDDFEPIMTKHAVVEKKDVTEPDQKGAVSASSVL GDAAFVSPVIIGGQKVVLNFDTGSADFWVMNTELPAEAQKGRTVYNPSNSSTFKKMEG ATFNISYGDASYAYGGVGTDTVNVGGAIVKDQAIGIPDTVSSAFIEDTTSNGLVGLGF SSLNTVKPKQQKTFFDNIADSLQEPVMTASLKANGVGEYEFGILDHDKYQGDIANVSV DSSKGFWQFELGKFAVADGDIQTIKENPTAIADTGTSLMLLSQEVVDAYYAKIEGAIY ASSASGYIYPCNASLPSISVAIGSNHLATVPGNLINFSEVGINKTTGGKVCFGGVQSN QGSSMQILGDVFLKAFFVVFDLRGPSLGVASPK AFLA_014930 MAINSAPESSLLSLIYRSYPTAVSPDATETDLLTVSPKIFPQTT FSAPEEADIKQWLGTISGLQAALTKDEKPVITTILGQLNGHLATRTTILGSKPSVADI AAYALLAPVVEKWSPEERTGEQGYHHIVRYIDFVQNSRLFALRIPEEEKIAIDVNDVR FVPKPVDAKEEKERKKKEKAAAQNAGAPAESKPLVVGKGKPEAPKEGAAPAAEGKPKK EKKEKKEKQPKAKPAPAPAAPPSPCAIDLRVGHILRAINHPNADSLYVSTIDCGDAPG TDNTSLDEATGKTVRTVCSGLNGLIPLEEMQGRKIVAVCNLKPVTMRGIKSAAMVLAA SPRVAEGEDSHAGPVELVSPPADAPAGSRVYFEGWNDAEPEKVLNPKKKVWETYQPGF TTTDDLEVAFEASAVPAVQGQEGKPALGKLVTQGGVCTVKSLKGATVR AFLA_014940 MRAVRFHGRGDIRIDQIDEPVCQNGQVKIRPSFVGICGSDLHEY LSGPSTVPETPHPITNATLPVTLGHEFSGTIEEVGADVTRVKVGDRVAVKPNLYDGTC ARCVQGRVNCCRNLGFIGYSSNAGGLSDHVVVDEERARLLPEEIPLDIGALVEPLTVA WHAVTRSPVATARTALVVGGGPIGLAVVQVLQAHGVESIVVAEVSAQRRRFAATLGAT DVLDPRAEDIEAKVRSLSGDAGADIAFECSGVQAGFDSALKGVRARGTVTVVSLWEEK PVIDAWELVGSEKHIIGSAIFDGDDFKAVIDAIASGMWAVLPWRLKPQSMITSKIRME EIVEKGFEALINERDKHVKILIDISA AFLA_014950 MATPLSGTAIITGGNGSLGSSIAIAIAKAHPFVHLLLLARDIRS DSVKELRDKIRLIGPRSIEVARVDLTSFNSVVSFTENTVERVQNKEIPPVTLLVNCAA VASYVADQVTRDGFDPVYQTNCIAPFLLTIGLLEAFRAGDGTPNGGARVINVGCSSMS KGSLDYFDKHDFGNQQPGTPLSSKEGNARFGSSKLIMSAAMYALRRSLVLKGKIPLNI YTLDPGGLTGESHLTENAPRSVRMAHQTRSGLRPFLRVFSKSAMNNPSVPAKIITKVA FQRDTVEQWGRERYYILDNDYEAGSVLPVLRDPRKMEALLKKLMGQIEIGVKGMGSPQ SRISRIN AFLA_014960 MNRHHAFTNGYAAYPRGQGSTFSISPHRFQPRSQPALRRRRQLI QRLFLIGGVSLLLLALIFPSWRATILPVVSLGLLSSTEDLQLQTVRYYDLSAVQGTAD GWEKEERVLMCTPLRDASSHLPMFFSHLRNLTYPHHLIDLAFLVSDSKDNTLEMLSSM LGELQADSDPHMPFGEISVIHKDFGQKVTQDVESRHGFAAQAGRRKLMAQARNWLLSA TLRPTHSWVYWRDADVETAPFTIIEDLMRHDKDVIVPNVWRPLPDWLGGEQPYDLNSW QESETALALAETLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMELDGVGGVSIL AKAKVFRAGVHFPAFSFEKHAETEAFGKMAKRMGFSVVGLPHYTIWHLYEPSVDDLRH MEEMEQERKAREKEEKEQAERSERVNTLFQDAKTESEIDNAFVRDDMETKGKEQKASE DSKKPEAESVEEVKADKSSKAPEQEEQKAPEPLKNKNLKDAEEVSAVKPAKEQAGAAP DKQSKQRE AFLA_014970 MSTQSASSILFTHSSRQQGFKLLELPAELADLLTSKEAPTLELK SSLPQQPTTITTDPENREYVNLCTPTKTYLVRQVQSSNSIHIIRPSDSGVQRGDINIV GGDEDDGLNLVETMTAIAKCGSTLELHTPPEGFSAVPVLERILPVYDEVEGAEQQVEV EERGDLIRRVFADVPVSRAQCEAGWIELCAFVLGCGGGGEDVAGWCRRPSAKVKLDVW RRVVEGAVLQGIDLGKQFLVQDLWRSVLDEGEEPFPRALFEGVVRRVCEVGDGRLFED LKWASIDRACCTQWVGETYLEAMAPTTASSIGRSEFLNAWKDLLPESWRDDVAFSKLT ENSYKHPDPTTICFVYRTDRQKIKKNVSTDASSATAAKKTRNWHELFKDQKRQKR AFLA_014980 MIAADNSYLDNTALPLGESPELILVQATPEERIAAIKLNSPAWK GPLDLDAYIARENHLAQQALTKDGLTSWLLVVRGQPEGERTILSSCETYKKKAVLAQN GKVEHVSALSIGSVFSRPEFRGKGYAKRMMQELVKKIDACQTEPKATNRVPFSLLYSD IGKKFYAQFGWRPYPSTHFSLPPLPKDEYDRAIVRGNLPKARTLVAEDVHRFMCNDDV VQKELDILRVASQKSASAKVAVVPDFDHFKWHWAREEYYAKILFKDRAPPLIKGAGDE QSKVYCAWNRNFGETAEENTLYILRWVYDEPTSPEETEKTAKAMAAIIRRAQLEAHAW NMSKVQFWNPTPVLERAVAILDPTAQVVHREQDSVACLRWSGAEQNKDVEWIWNEKYA WC AFLA_014990 MDGDPMGFGFPWDQNVDDLRVPFGDERLLLGEIHHMHWGLDGQN DDLGFQWNEPSLPAARPAKKMRSHCPSAAVETAGLINESLPIGLDDVPTPSRHPTNPA LTVLDDPIPTPTTDPALLPQILEIFPDISHQYVEELIAQHKDTMSANGGDTPFAAFGL FLVKETIIEEILENPSYPKQEKLKRKTEEVDEDDDHWIKPRALHDAHDYRKQACDILA QEFLWISIPHIRQLISSKKGLYSAYITLHHEYIYPGTQSPHLRLKRPRPSLKSSMTWD HDLISELNAAKRRAAKDAATHRKRKEEEEADKLNEEEHSRSGNLVECQCCFSDVPSNR MVPCEGGTVHLFCFRCIRKTAETQIGMMKYELQCLDMSECKAKFARGNLKKALGSSLM GKLDHLQQQHEVEQAGLEGLESCPFCDFKGICPPVEEDREFRCCNPSCETVSCRLCKD KSHIPKTCDEARTEKGLPARHIVEEAMSEALIRNCPKCNVKIIKETGCNKMICSRCKC VMCYLCKKDISREQYNHFAKPPTYCDTHDDRQSKRFEIEVEQAQKAAIDKVLKENPDL MEKDLQIGPERKDIKTHPKPKPRRTQPGLRPTGWYTQEPMPNQRQNILPQLGYQRTAA FPNLPQFVPFAPAFVVPGMPYAGPQFPLPAFEPHLNPHAATIIDASPQGFNGSTPPPQ NNFLPDPFPLTTNTGNAKGKGNLPSQPKYPALGNYDIPRTLPGQNANMPLWLDGPFNN F AFLA_015000 MDADEALKGFYRDNFDIIFIRLQWQDYSGVMRTLVLPIEETFSI LEEEKQPFHVPPLLSNCSVTNQYLPDASARHVQWLVPDWSSIRRASDPKSAIVMCGVV GTTISKPVPNGDLCPRRALVDVVRQARESWGLRFLVGFEVEFQVMKVSSTGEYVKHSQ GPGNFSVSGLRDPCYKYVQQCVQQLLDLGVHIHGIHSEGKRGQYEIALKPLPPLQAVD QLHFVHDYLKDTFAQHSYMATMAPKPIISDPHTIGQHMHISLQPADSDHEASFLAGIL KRLPMICAFSLPHTLSYERRLPFLAGETVCWGTEARIAPIRKIETSHWEIRCIDATAN MYLTLAAILGAGLLGLAGQEPLLWPDLGDFTTESKTWEEPLPRTLQESLARLAMEADD FGTVFGQQLIQRYIELKQYEISLVGGMDPLKLRQLFIELF AFLA_015010 MMPPMKVATPNGHSMPKTNNLDVFEQRYDAKFYETMAKIMALRQ KYLQDRFIFVEGEDMVPILKGLGAKDADFELLKSITDQTGADPTLEYRTASFGRYCID FETRSIRRLEQQPYTLTVQEDYKRHDSAIQRTFPETPTDMQENTVVQALMMFKALVFQ NVPITPRDRLDYSSQSWVCMMFNGRVFTDLSKGIFGEPALEGVHSDGSDHTMSVLLNC ENMTPDSAVTFLHDNRETTGVPVSEVEPALIKARVQHRHFLDTLIFVDHDYKHSVTSL HPLCPSSIARRDVLVAFTRRPKVEGHISGYSDSMAHHTESPMQIPLWLP AFLA_015020 METGKYNPSYGTETRRQPRGSQKARRKGEKSYMLAGRGAVIFGD SPGPGHSLADSYQVPTDEGMTPCVRNGSLSYDSGIGTSLGSTSSSSFRQSKDTPKRPL SPDARLSDPRRRSSYLQCCGSTRPYNFQETGKADSRDPIRFHGDTTRGTAICSQTHAV LPPASFSEISSRNSLRREEYRIGWISALKVEFRAALQMLDQRHQPILGHSSDDNLYVQ GRIGIHNVVLTCLPDGRNGTNFAAMVATSMIYTYPNIQTGFMVGIGGGLPSPQNDIRL GDVITGSNESASSTRHLRGY AFLA_015030 MPIASESSTTVNPVTTTMTTFSAPVTAPRSMPNNQSALAASFTN FLTVSIHQILFLRSVYPRATFLPVRAYNYPVRQSRHPKVCDYINDASIAVGTEILKGT ITAVSIIISSLRTNQPLERYAFDLSGFPRVPAGEINTTFEDRKEDSSKPGAPLSDRGS APPADLEAQFRACLARLASACARLTPLPRDDEFSFTVCIEVREDALPPAGTTTEEQTW IVAEPGKVHLRSCTAPYSVSRLRNGEPQQPPPRVSNGRAKTVPVRRVEAGELRLELWV EEARQKFNEPVDSEHPP AFLA_015040 MEQELLSLLADTQSPVADTRKSAELQLLRLYSNENFPLSLAAIA SHDSVPTNLRQSTLSVLRTFIAAAWSPNLDEFKGQILINDVNKSQVRRALLDLATVTE TPERKVKSSASFAVSKIASADFPEQWPELLPTILQIINDANSTASALHGALKVLLDLV DTGFNEEQFFNVARDLLTSLFNVATNESRKPMLRALAVAVFRSCFDTLEMVLEQHKTA VKQFMDEVLGGWFPFFISTLKAPLPQAPNEEEESKEGEIPSQWRGIIGLKLQVVKTLM KIRMVFPALMTGQSPVFFSTVWTELSNIQAAYYEFYINDERQGRLEDVDGLPYTLDFL VLEELDLIQTLIKAPPVKAELQQQLQNAGQAATSSSWLPEILKLAGSYAQITTEEEGL WDIDVNLFLSEETSVTANYTPRTCSGDLVVKLGEWLKALTAEGLLVYLNNVFADSSST WKTRESALFILNQLLRDFHEVSQSISPELATGFSNLIQFSLQQEHEFLRARGYLVAGI LAQVAGEAFSATAASYLEATLKAISEDPSEVVKVSCIRALQDLMPSLPASMTTPLQIS VISAISDFVSEHDLSEPSDSDDLKVTLAETLRDTIMVNPSVVLSSIAIDVLFNIASNG ATNFQLTMIVTEAFEDIAESVADQGHDSFVRLCEKVLPSLTGAIDVGNLTQENALTNF AADLLRALAERALEPLPAGFVEAVMPKLNRLLLDSTDAELIRPATEAVRHMLSHDFNQ FITWRDPQSGKEATEVVLVIIDRLLGPAVDDNAATEVGQLAAELVEKAGSERLGPYLP QLLRAVAQRLATAEQAQFIQSLILVFARLTLISAREVVDFLAQVDIGGQSGLPIVISK WLENSVNFAGYDEIKQNIIALATLYNLEDPRLAQVQVKGDLIIQETGRIKTRSQTRNN PDQYTTVTAPLKIVKVLVEELAAASGSKEIDAATAAALEEEDSDDDDWEDLPSNTLDL SLGVTKQELMAFGEGGTEGVFGVRKRDDETQAFLLDFFRNASTKPEFQQLFATLTPAE QDKLRSLG AFLA_015050 MPNDEEEQDRLAFMHHLFKLLLGGALYRAPIEQAQTPQRILDVG TGTGIWAIDIAEDFPGAEIVGTDLSPIQPNWAPPNCTFLVDDAESEWAFSPSEAFDYI HVRSLGGGISDWKKFLKQAYNHVKPGGWVEIQEYETWLRSDDGTAERAPMLMDWQYKI DEASRLFGRHMNVAPNLAQWMEDAKFINVQDDVYKAPVGSWPKNNRLKEIGRVGRVTL YEAVEPYTLALFTRVLGYSPEDARNYVDKVRAELLDSSHHIYVLYHYVYGQRPLEDDT NAQGMNTDI AFLA_015060 MTVQSTPHLDALQRDGFVVVRSILSPEEVAQFRTVSTKATTLTR TGHWPHFRTVPKQFPPWPTTPPPASEGGIWGVQHLLHPSMPGRAEFARFYFSEKVLAV AEELLGVTRTEGNEEEPLVMELFNLLVAPETKDFELRWHRDDIPETVGPEEELKLLES KSPQGKQSHAQYNLALCPDASLIVVPGSHRRVRTEAERNAGPYEPDMPGQLVVELQPG DAVFYDSNILHRGVYRGKAEGGEETRLTLHGSIGLKGVSGNEADKKVRATAVLQHGVG AWVHRDDAAFGVGERAEKMRANLVAMGTGEGVGYSLQG AFLA_015070 MTSQENTPSCSFTSCQNAGAKKYNCFLIRAVPRDPTKENLTEAD YIEPMPLDSYGEWSAELKELKRRTRWGGILEPGKFYSHRPVDRWNYHANQQYEKTETP RNPLVSRCFNRYIHGDVAVVRSSDVRVNGYSEEFSRTELVRTLEFYKGRHAGDEANRR SKASMAATMGVSAEAFERIVPEGFPYVHNPMAEEPWMPSDLPNE AFLA_015080 MESGTRSIGIDSFSSKNGNDMQGIDIESVCFDFGYQRLVSCSLT AHDVNSW AFLA_015090 MASGTNMGFNALLIICLVLVVLPSQVHAFGAGNIASISAVEGKN WRHGDIEDMLKTIAFIKGHKWTSTMIKRVYFGNWLRDYSQAMDVGTLKSVPADTIRIL VWILAFMTFGYATAEFEVTSERLGTYRPEEHIDNPKDYADNEDARQYDSRLRGPVRQV ELDIDPNTGMKNYIANERGDWSTSSGYIKYSLSRSIHYGRLYTRNGNEEDLCEALRCL GQGLHTLEDFAAHTNYCELALREMGFRNVFPHTGQRTEMNVRGHRVYPLVTGTFGMVD FFHSVLGEATDHFTQSEVNEMDIALGDAQTNTSSGSASALTALLGKVPGTKDLVNQAE ELKRRSDEQESANRRGGRRSGYSTRGSTRSYDDYDSGSSRGFGGERSRAARADDSNQT SSGGLPDFDANNTIQQIYPILQFRDNVVRKLSSIIENIPGLETLVETITETLTVFVMS LLAPFVRPIINAASKQLQAGSSSVIDASGQHQYEPWTDPHCTDPTHSLLSKDHFSNIL NEPAGQVASAILKYVAPRVLYAWENTNISDRQVAEDCLQVFHHPALRDMRNEAHRTMY EAVESWVQSRPDRGSELNDILSAEGVKAGKNQTGEGGHSHGHGHSHSHAAQQSHTQSG QSQYRPPQYQQQQSSSSPFDQLSSLPIPGISKLSSAFSSLGLGGFSMGDETSQQTAPP PEPQHQQSHQSYHELSHQRQDHESRHQSRQSHHSGGKHSSHESRQYGHGGGKSADYYN ESSSSHGYGDHSESQGHSQRRHRKKKSHSDDSDEDYDPGYNVHSRQDHSHGRDEDHES RYNLRSRQDHSQGYGQEYGGGYGGGYGGGYGGGYDGGYGSGGSRW AFLA_015100 MTTITKHIATSIRPKPSILLIGKLHHSKSEWSALQTKYKTYQFT GNRHQFLQNCQSGIWDGVAALYRTNSTLETGPFDKELIHSLPLTLKFICLNGAGYDGM DIQTCTERGIRISNTPKVVADATADVAMFLMLGALRQAMIPLVSIRNGQWKGDTPLGR DPGGKVLGILGMGAIGQAIAHRARAFGLKIIYHNRSKLARDKEGRNISFLSETGDAEY VTFDELLRHSDIISLNLPATKKTCYIISKAEFEKMKDDVVIINTARGSLLDEAALVEA LQADKVASAGLDVFENEPIIHPGLLHDNRVMILPHIGTTTRETKREMELLTLRNIENA LDDGKLLTPIIEQQEGQF AFLA_015110 MSPPYIFKGSSPQPSNLSTQDFNFRAIIPSVEVADEDPPSSVGG ERTPSVSDSEDSSHRAYTPSQSTATPPRRKNLSLGVTESFRTLNLEGTLTSHRTPSWR HDARRQSTGLSPGRSTSTYGGLFNATPEPTPEPSRVQPTLNRDSSVDGLVSDLENSHL HGGGPPSDDSDQLSESDDEDDDNATDSDDSSLYNVRCERLPSAPIYNAGLQEILRDIK SQLSALQNDMNRCSLSRDRGSDLFQLHEKVRMLNELDCPETRTVGFIGNSGVGKSRLI NSLLDQEGLARSSGDGAACTSVVTEFRHTDARHPDRYAIEVDYMDGEEVKELLEELVQ SFRMYYTDLYREVTSIEEQERIRDRSTRAWSTLNSLFRDQPELTHEFLSDQADGALSG ILERLKDWAAQSCTRRPGGAALQHTIIPGNVQECRSHLDMLTMDPRGESEVAIWPFIK LIRVYLRSPVLRTGLVLADLPGFRDLNFARVRATERYLRHSCHEVFVVTTISRCVSDQ SIEEIKRRCAQEQPLRIVCTRSEEVNASETARDCRDLRAQIEQLQNRLKQVNKRLVRE RNSRRRRTEILQLMENQEDAKLELDRFLVESRNLRVTNQLRRNHGAEVRVFCISNEWY SKYRQDANRHTDTYIELSGIRELRRYCQLVPAEAQFRFTAAFIEHRVPAVVRSVKQWA LAGSDDVTAERADALRQILRDVENVFRERLILRNSEVREFPRRLEDRFRDSIFGVTHE CCPLWKRSALQASGRWERWHYSTYSAFCRKYGTHSTPGAGGYHCWNEELLEGMQNDLE EPWESIRDWVCMQKTALEETVRRTFEENTGKVEDIMHLAPLALENFIDNMDDWENCVM AAINQSLDQLVLGLSRVETDTLHGHDSSYVAGLMRPVYNECNSESGTGSDARRKMLMR SHLTSSNIFPNLANISEAQCRAVIRNTCQDMRRMVDEVVGNICNDLHSIVAEEGEATE ARRFPEMASTLQRKVDAAQATLERAQRIVGDLKNTPDVV AFLA_015120 MSPPKANILLIGSGGVGTIAALNLEAGNLARVTAVLRSNYHAVT SNGFNITSCDHGILKGWKPSTITNRIPDPTPDSPKYDYIICTTKVITDCPPSTANLIK PAVTPGHTVIVLIQNGLNIETPYFAAFPDNIVLSGISMIDSHEVAPGVIEHGSADDLV IGAFRNPNLDIAVEAAAAERFVGLYSAAGKTKCVLGLDVGYSRWRKLIFNACLNSICA LTGLDTGRIRLAGDAVEMLVRPAMEEIRAAAGSVGVDLPGSVCEEVIGAYPVALYLPP SMLEDVWKGNLIEVESIVGEPLRVGRANGVAMPTLSVLKNLLKGVQWRTKEKRGLIEI PAPGSDVADL AFLA_015130 MGILHRPFKTFNHFPNRQGIIKRSVLGQGIFRRFAGLTITHEPN ATRNNLFCYTSGRWLYDEHSQLSRRYVEFNVQALQAHASRVLGTKCIGITKLPEGLYN KALSLEMEGGGEVLARIPNPNAGHPELVVSNEVATLDFVRGSLRNVLDIPVPKVLAWS SPSSESNPVGAAYILMERVNGRQLSEVWATLSKKQRFGLVKSLVEIERKLVNIFFTGI GSLYYKDACPNSYDAVDKTQLPILKQEAASRFVIGQTTERSFCADERQEQGVRGPCTW RTAEEYLTAVARREISLIQKCATHRPQDVPAAVRRTQGAINNHIELLKKFITLLPYIL PTGEATRPTLMHHDLHLDNIFVDSADPTKISSIIDWQAVYTAPLFLQARFPSVFDCDD PYPWGAVQPELPDDFDNLSPMEKELARKAHDRLRLKKFYELASRKFNPLLMKAMDAMQ DDDDPTSYIFYLVGQSSSDGLVPLRELLVQIYEK AFLA_015140 MATKPNPKDSMKSTWRTANRDEWNINHWAIELLNVHPTELNKEI PIHQKDEKVPYITQWSLNIWVLFYGALPLLMHQVYATFTGHNLGPIAVFNFYFMAFNA VVIFEVHILRRLGHIYGFLDGDKHDRDGVPDVGIGKVVTSLYKTTGSRIALAVYFTYN INQLPSQLNWPMLPLQIGLYGIVLDFWFYWYHRLMHDVSFLWKFHRTHHLTKHPNPLL AAYADHEQEFFDMVGVPMATYFSLKLMGLPLGFYEWWLCHQYIAFTEVLGHSGLRLHS TGASTMAWLLELLGAEIVIEDHDLHHRKGWRKSHNYGKQTRLWDRIFGTCHDRIEGTK DNIDYVNSVNMPLF AFLA_015150 MGPYFEAQNARLDSMELTIRHLQQRNEIFEDGLASIRSTLVESS RVMSARNLDIPAEANSQTRPSNSSDATNEQYDNSNASSNATTYLLALHESLREEVGQL SHAITDLDARASMAIMNECLRLKEDMAHTNAAVSSIRMQVQWLMNPRIHQGQRTGVRT NSSNNGNETRSAQLGSSASGPSNATAPSPGFLRPRRLSDSGREGTKL AFLA_015160 MNIDEFLAMEPSSDLDEIVDLRSLDYVTRYDDHLMCAICHCPYI RPVRLQCDHVFCQKCLNTAITTFATGRDEFKCPTCRAPARGVYLNVPRFLVNMCDDIR VKCPFEVEGCKEIVPRGHIQSHVDKYCGYRLLDCPNVFCTKKTRKKDLSAEGKCMHEL HKCSRCDEEVMEQDYQVRLIYRWQPDRD AFLA_015170 MPNPSQLFLLADHIKLSLLERQRAISLDLEPNSQDGEISRSLES LHDGIEDVERDLSQLEQTNDDGAAELKDQLFHLKSQYQDLSSQFSGHSTSAGASGSSP SPEFANVKSSPDLKQPVPQHPPSKSVRFMNSATEEADLERQNLFQPYRDSPSPTGVDQ SDLSNEQIYDRHNEIMRDQDEQLDRLGESIGRQHQLSIQIGDELEGHVALLDGMDGDI DRHQHRLDGARKRLDKIRRSAGDNWSLMTIVGLIIILVILIVILK AFLA_015180 MSFLASTIRSTIAPRVALRPSYTVTSAFHTSPLRSGLKESDHNR EDLHITYEEHKQDQLRSTKEGKAKWKQELASNSEASVKADRGEVDSDGHDIKDLQEKT KHLPNRDGPVNKTQ AFLA_015190 MLHSLSPSRITLAAPLTFASVHSGLFFFAPGLLFNFLSRHRSQQ QHAHHGAAAHMQPSNNHHGGRSRRGPKMAAQNAQRQFRGVKSMRELAEAPAVTAFRAR FEAGRSFDLDDDLEFCPSLLTEDDLHSIHSAGSDRSSLSSGSPDSSPLQHQIQPVQQV TPSISLSPASSNSYVHSGVTGNLNHVNFQQPSAVRTRKVIPIVNPHTGMTLTSPPTSI SPASMQNVQRRW AFLA_015200 MAANYWASTQRRHWLFTKERLADIRESFRERDKAAHSQFPLPDQ RLLNIYFSQQLIKLGKRMSTRQQALATAQVYIKRFYTKNEIRHTNPYLVVTTAFYLAC KMEECPQHIRFVVAEARNFWPEFIAPDVSKLGECEFALISEMNSQLIVHHPYRTLSEL QPELSLTSDEVALAWSVINDHYLTDLPLLYPPHVIAVMAIIVAVVFKPSQTSFHGTAA PLAGAMRDGGMNILAALGDKNGAGPPPRIQKLVGWLAESEVDIRAVIECTQELVSLYE IWENYSEKHCKELLGRMVKSKNLDK AFLA_015210 MAPGESKDERDERVAKLWQSLGARKDGRLDLNGLKKGLKKIDHP LKNADSMLQNVLKAVDTNGDGDFLLFLPAYSNLRAVLSYYTATGNLNPEGDVHINDLQ GLGTDHSFPKRYILAIKNLLYNILPVHVLAALIPAAYAEVGGALNFGVALENDSVLLD GDSELEWLPVPRTVAMWMSFRYYERKLTENTPQLGYFIAGGIAGAVSRTATAPLDRLK VYLIAQTGAKSAAVCAAKDGAPLRAAGNASKSLADAVKELWRAGGIRSLFAGNGLNVL KVMPESAIKFGAYESAKRAFARLEGHNDPKQLAPTSQFLSGGCGGMVAQCFVYPLDTL KFRMQCETVEGGLKGNKLIAATARKVLNKHGILGFFRGLPLGLVGMFPYAAIDLTTFE YLKRGLLARKARLHHCHEDDVPLNNFTTGAIGAISGGFSASVVYPLNVLRTRLQAQGT ILHPATYNSIGDVARKTIQTEGFRGLYKGITPNLMKVAPAVSISYVVYENSKRMLGLR AFLA_015220 MSRIYSALRRTQQISQFITQPRIITSYRSSVSPIQLLPSSTRSM STGNADKITDWVSRNDKSGEFKRQTSIFRNWISREAGAEFPPEKDRYHLYVSYACPWA HRTLITRKLKGLEDFITFTSVHWHLGEKGWRFVTSDEKLPGENTTPDPLHSDVTHLRD IYFANDPDYTGRFTVPVLYDKKTQRIVSNESAEIIRMLYYEFDDLLPANSSQKLLDLY PTSLRSEIDTSNEWIYNDVNNGVYKSGFATTQEAYQKNVTTLFSSLDKIEAHLQSNAS PYFFGSALTEADIRLFTTIVRFDPVYVQHFKCNIRDIRSGYPAIHRWLRNLYWDIPAF RETTEFEHIKFHYTKSHTQINQFAITPVGPVPDILPKDEEVRAAAAVKK AFLA_015230 MTDVEMNVDNPQETVEKIKQGEIDESLYSRQLYVLGHEAMKRMG TSNVLVVGLKGLGVEIAKNIALAGVKSLTLYDPAPVAISDLSSQFFLQSQDVGKPRAE VTAPKVAELNSYVPVTVHEGGNLVDNLEQLKRYQAVVLTLTPLKDQLAIADFCHKNGI YLTIADTFGLFGYLFNDFGKNFTIGDATGEELVSGIVAGIDEDGLVSALDESRHGLED GDYVTFTEVKGMDGLNNSAPRKVTVKGPYTFHIGDVSGLGTYQSGGIFTQVKMPKFVD YQPLEEQLKKPELMISDFAKFDRPQQLHIGVQALHKFAECHDGQLPRPHNESDAQEVL KISNDLASNQEDKVELDEKLIKELSYQARGDLSPLAAFFGGVTAQEVLKAVSGKFSPV KQWLYLDSLESLPASTTRSEESCKPLGTRYDGQIAVFGKEFQEKIANTTQFLVGAGAI GCETLKNWAMMGLGTGPKGKIYVTDMDQIEKSNLNRQFLFRSKDVGKLKSECASAAVQ AMNPELEGKIVALRDRVGQDTEHIFNEEFWEGLDGVTNALDNVDARTYVDRRCVFFRK PLLESGTLGTKGNTQVILPHITESYSSSQDPPEKSFPMCTLKSFPNRIEHTIAWARDL FQTYFVGPPEAVNMYLSQPNYIEQTLKQAGNEKQTLEQLHDFLVANKPLTFDDCIAWA RHQFEGQYNNAIQQLLYNFPRDSKTSSGQPFWSGPKRAPTPLKFDSSNPTHLGFIVAG ANLHAFNYGIKNPGADKEYYRKVVDNMIIPEFTPKSGVKIQADENEADPNAGNAGSSF DDNAEIQRLVDSLPSPKSLAGFRLNPVEFEKDDDTNHHIDFITAASNLRADNYEIPQA DRHKTKFIAGKIIPAIATTTALVTGLVALEFYKIIDGKDDIEQYKNGFVNLALPFFGF SEPIPSPKGKYQGKEGEVTIDQLWDRFEVDDIPLQDFLKHFSDKGLEISMVSSGVSLL YASFYPPSKVKDRLPLTMSKLVEHISKKPVPEHQKNIIFEVTAEDTTEEDVEIPYVMV KLRK AFLA_015240 MLHEILLSLSGQPSPLFEAHAEEDTVSEDAFPLLSPPEKALLAS LARLSRLHAKLRAHTALISSSHSSVICRAASTAISTQHLGDFQRKVLEVEKSILVEDS GYVGGYGIVPLSTIVGEFSPWTRRLEWLWEVARFILPNHKKANNQSCTGAALIDYLRA ESQTGYIDIGEMALHLISAAETAWMRQLSTWLLYGNLPVLGKDDFFIQEDSIAESNRT PAVAQFVLRTDLQPKFVSPDAASSILFIGKTLNLIRAKRSGSRTGPPAGLSTTPVTLH GEHIEHFATLKSPISTAKLSNSINSIRLSLSQSTLSKLLPLPKILEILAVLHDFLLLR RGEFASALVSHADARLLERHRRPGNLASKGKFAEGLQGLSIKEGDVANALSQALAELY SLQNEEDPVDDELDLARSLLQLSMNDKRKGHSVAATPSAGGSDHLANISDIPFDDLLF PSPTVLSAQVHPPLDLFLSASDVLIYSKIHSYLLGIRRAQLHLGDLWKHTFLRKIHPS PLGPPRSNSQFGQNKLRAGRQRDNIRTRQMRPVWATCSASLFVLSEVGSFFQGEVING SWQHFRGWIGGAPASSTNSRPGTSSSSKSKPSYNSMVSEEMSEAGLDRSIQSPRTRHD PETLTVAHRRYLFTMVQALFLTDRPFTKALRFLLTSVDHFIALVVRLESIQRNMDLET DEGVVDSLVDYAGEEKEVWQSLSAARGEVETGIKVLVARLKDIDDSRSGEGRTMFDMS KNPRENWSMYQQNGTGTEANLGHYVPRKAAGVDRLLMKLDFGNANGSIGPAAFVSGGY GNAGY AFLA_015250 MAEKGKTDWSVDRGMTITRFVEWSTQRGIPEYGETENWQMLDQI SGQQAGHNTTKQNKNKGGKL AFLA_015260 MRSALSQTLFQASRSWQPSTTLRPLTRLSYSTTTTTHGAHHIQT RMPPKESIKNGHRFKEFDLNDRVYAITGGGRGLGLAMAEALMEAGAKVYCLDRLENPH PDFMAAKEHSETNYGGSLEYYRIDVRDDAEVNNVFAEIAGQNKRLDGLIAAAGINHLQ SALEHSQTAMNEVMQINYNGVFNSATAAARQMFNYQQKGSILLIASMSGLIANKGMTS PVYNSSKAAVIQLARSLAMEWGRHGIRVNSLCPGHIITPMVEQVFQQNPASRAVWEAE NMLGRLAYPEEFRGAALFALSDASSFMTGSTMLIDGGHTAW AFLA_015270 MASLFPQPTEAAYSTSSNTTPSPVQYTPVSDRSEDESGPLNRPP PGSLKVQTQFSADEDDRDDGYDSYDDPEEKPDTGFPKYTAIEEKQIVKKFDRRLVPFL ALLYLLSFLDRSNIGNAKIAGMTDDLKLSSSQYEWLLTAFYITYILFEWMTLMYRLVP PHIYISLCVCGWGLVACFQSLATSFGSLILLRALLGITEAAFGPGVPFYLSLFYKREE LAFRNGLFISAAPLASSFASSLAWVIVKMSSNGPIAPWRTLFLVEGFPSVIVAVFAWI LIPDSPGSAWFLGPRQRMVAELRMEERKPDHHEPRRGKFNWKEVRITLADPKSYITAF MFFSCNVAFSSMPVFLPTIIKDMGYSSVTSQALSAPPFLFAFIIVLLTAYLSDRNRKR SPYLITHALLSSASYLIIALTGHFHAHLPAAAHTTIRYLCVYPATAGFFSAITLIMTW TMDNRVAKEGKGASIAIMNVIGQCGPLLGTRLYPSVDGPWYVRGMATCSFFMVVVAIL AFVLRVMLLRACRKVEAEGDEIEMHGGEESEVLMGGRSIGRRVEEKFTYII AFLA_015280 MSLNAFIEMPLSTTRKLCRLIPSNQEDLLHIRDRTKNIFGRVSK KTNCLEANKPLRLQSFVVLILGAVVNVQEPVNSVENFLLDMRLVNKQRLRLFLTIDSC LRQILPILAELQQGPVRDLASERTEEKRCLFRASENLAEDITGALSSTVLSGSTLLPR RLFQGDGSQEDAMAVD AFLA_015290 MIGHSVSHDSILNVLRLHRSSRFSPLPFTTLTRHSYPSHLTTKT RQLMVIMEKSENRPYGQYHYVEIPTSPDATPMHARRGRISRLAVALSFISLLTLSTVF FAIPGINFSVCHKMRGKFGALLSTPKGGETPVPASSNKVPLEAHIMSKCPDAQDCLQK LVVPAMEQISEKVDFELSFIASVTNQSSDIHCKHGPGECIGDMLMLCAQDLPFSPEGE TEKTTRMPTIRSLGFANCLVGQYEDIPDRTLVQNCALQHGIDFESLNSCVSRQEDDPT NGDLSGLALLRQSAVHSADLEVHTSCTVRLDDSVWCVRDDGEWKNCAKEGKGSQVSTL VEEIERLWDEKN AFLA_015300 MAPMDMSRENSPQPQEENSSGLVTVPMRNLYELTRLKNLRVNAG WRPNSSLIQDDFISQGVVTLAEAEYLFDRFMRINNRLLWDGILPFHHTLDSVRRASTL LAAVVLTIGALHTPGKTEAFHQSYDVFVSLVCGSSLSRNHSVDDVRALCIGAFYLANL SWKLSGQATRIAAEIGLHQSYQKLIQGDNTQRDRVCLWYATYVCDHQFSIAHGRPPAA VDDESIRNIERFMHTTEVHPGDARLAAQVALFAILTEAYVAFGNELDRPLEDKDFDKL WVSNVAIDQWRLHWQPRSKDCAALGSYPSKALVLYYHFARFQLNSLALRGINPSGLTS NPELTSKSPLSLAHREAANAAIAAATSTLTLILEDDDLRRAFPGVPIFTYTMVAFCAT FLLKMAATWGKTSTQFGLATVSSKPPLESILNTYDFEKVASQELSRKTWAFYSSAATD MITRDANKSMYDRILLRPRVLRNVNKVNTQTTILGCETGLPLFVSPAAMAKMVHPDGE LAIARGCAKYGVGQCISTNASYTVSDITACAPGHPFFFQLYINRDRAASEQLLRRVEK SGIKAVFLTVDAPVAGKREADERVGADASEIIYTAPMTGAQGVGDAKGSALGRTMGRY IDASFTWEDLKWLRRSTSLPIVLKGIQTAEDALMATEHGVDGIVVSNHGGRSVDTSTS SIAVLMEIRQCCPQVFEHLEVFVDGGIRRGTDIFKAICLGAKAVGMGRQFLYSLTYGQ EGVERLIEIMKDELETTMKLLGITDLSQAHPGLLNTLDVDHLIPKRLGESYSGPVVKA RL AFLA_015310 MAPITTAAAEKTKQEEYDDIHEDPIEDEEDEGSDLDPSQREYLE HHRKLDQFLSPLSLDEAVLYKLARRFSSVYRDLALHSEEQFLPTPVTHLPTGLETGRY LAIDVGGSNLRVAFIELLGDAANSDIGSTDASEQSTTIRRAQRQRVRRTLEKAWPIQE HLKMDNAEDLFSWIGDRIAEVVAESLTSDATKGKVPEELEMGITFSFPIMQESLSEAT LMPMGKGFAITSDLNLRNILLSGYERHTRRPDDEDEPSSKRRKLFALPKLKIAAITND AVATLASLAYAVKSLPNSRVAMGIIVGTGCNATIPMKLSSLHETKANHVKSKDSEAVE TVINTEWTLAGSAPPLKELDIITKWDIELDRACARPGFQPFEYLTGGRYIGELIRLIL FDYLTNVRGLASKELPANLVQEYALTTTYISDNVARARSDLELADVLNHSLPSPESSE WQWDAVCAGAFRKIARTVQKRAAGLIAAAVVGLLACANEIELKVESTENSPQPSSAAS PEHNGGADVTAVTNFLSPSTSKVSTNAQRGNGPIVPVLSPTPTPADWQSGPEELVVAY TGGIIQHYPNFKNMCQQFIDRLIMRTGPQKSGKSVFLREVSDGGVIGAGVLAGMVANR AFLA_015320 MSNAQAYYELYRGSSLGLSLTDTLDDLINDGRIEPQLAMKILST FDRVITEVLADKVRARLTFKGHLDTYRFCDEVWTFLIKDVTFKLDNQTTVQADKVKIV SCNSKRPGEA AFLA_015330 MVEDEFNAVAQSFTQHLHYAEYAKRKKEVKLQNAAAIQNLARPT DGVTPVSDVTRRRDAADALSARQKAGLEQVQSKRPQVDSEEENEEIEDETWAGTSLHG LMMSPRKARSLVGMQGIKSSTRAAAGFSQSSGTGRDSAAINSSPPRIYEAAKSIDVDE TASEDDDLDLQYETPRPTQKARLISSDSMSSNSRHSPLTPTKDRGRKMQSINARYKTP TATQSKRRLLFDDDFVELPELQNSDVQVQGRGSSPSIRKTRRETPPRGNNPQSKKSRL NEVPTFLL AFLA_015340 MECFRQIGRLVKAPFQRDSHHKALEIGPPTNFRKEEMPTFFPDD DAQTLHSHSSSLEKDSMIKTLEREPSARQRIKNNVRRLSVRVARPVSEHED AFLA_015350 MSLETITTISPSTNQPVVTRTGVTSEDLQRIPEVAQEAFRSFSR STTLKQRQEIVTRALDILEKKKDELARELTEQMGRPIAYTGVEVLTAIKRSRYLTKIS DSVLGEEGVVPGEEEKGFRRYIKRKPVGVAFIIFAWNYPYLILVNSLIPAILAGNAVI LKPSPQTPTIVEQFAAAFAEAGLPQNVIQYFHCGSPTLLETIVRSPLVNHVCFTGSVA GGLAVQKAASDRIVNVGLELGGKDPAYVRDDVDAAWAAEEVVDGAIFNSGQSCCAIER VYVHKNIYNTFVEEVKKVLSKYRVGDPFDKQTQIGPVISKRAKDTIQAHVADAIQKGA KDETPANETFENPPAEGNYVKPTLLTGVNHDMIVMTEETFGPVIPVMKVDSDEEAIKL MNSSEFGLTASVWTKDVAKAEELVEQVEAGTVFINRSDYPSPDLAWTGWKNSGRGVTL SRFGFEQFVKLKSHHIKAYPK AFLA_015360 MSPLALSPKTVDIVNIFQNDVEFSLVNEIHKGISPPAGVRKSMP TMLLYDANGLKLFEKITYVKEYYLTNAEIEVLETNSRRIVERIPDNAQLLELGSGNLR KIEILLREFERVGKRVDYYALDLSLSELQRTFAEVSIDDYTHVGLHGLHGTYDDAVTW LNSPENRKRPTVIMSMGSSLGNFDRPGAAKFLSQYASLLGPSDMMIIGLDGCKDPGKV YRAYNDSEGVTRQFYENGLVHANVVLGYEAFKPDEWEVVTDYDAVEGRHWAAYSPRRD VIINGVLLKKGEKLFFEEAYKYGPEERDQLWRDAKLLQSTEVGNGSDDYHLHLLTSAA LNLPTSPSQYAAHPIPSFEEWQSLWTAWDNATKAMVPREELLSKPIKLRNSLIFYLGH IPTFLDIHLTRALRGKLTEPKSYKLIFERGIDPDVDDPQKCHSHSEIPDEWPALDDIL DYQERVRSRVRSIYQIEGLAENRILGEALWIGFEHEVMHLETFLYMLIQSERILPPPA TERPDFKKLYQDARRSMKTNEWFSVPEQTLTIGLDGADTNDVPPTTYGWDNEKPARTV TVPAFEAQGRPITNGEYAKYLQANQSRRRPASWVLTHSDENYPIPMAVNGSSVGATQD FMSNFAVRTVFGPVPLEFAQDWPVMASYDELAEYAEWVGCRIPTFEETRSIYLHSALL KEGGGVNHNGEPNGHSVNGYLNGMNGNSYSKINPDKPRTPDHQPVQYPSRDALPVFLD LDGLNVGFKHWHPTPVIQNGDRLAGQGELGGAWEWTSTPLAPHDGFKAMEIYPGYTSD FFDGKHNIILGGSWATHPRVAGRTTFVNWYQHNYPYTWAGARLVRDL AFLA_015370 MKPIIAIPAIIGLVHRAYSRKSLTHLGLATAALTATAHALHPWS APFALLAVFYFGGTKATKVKHDIKAQLTLSATGSEGGEGQRTHIQVLANSVVATVLIL LHTYVLRGSSAECFENGRSAADLLVVGIVANYAAVAADTFSSELGILSKSKPRLITSP TLRVVPPGTNGGVTAAGLLAGVFGAFTVALASAVLLPFCAESSLVDRVYWTFAFTGWG TLGSVLDSVLGGLLQASVVDKRTGKVVEGEGGKKVLVHPHPRAGGATGYSSASASASG SVQQRKGEKGGIETVHESRKIESGRDLLDNNAVNVLMAFIMSVGAMGVAGWVWGVSVF DIAASV AFLA_015380 MNRLSRSLCQVSSHHFRPRPSFTPLVPKRCIGIPPAFLLDEYIP RYQLLTSVDASKKRSLAYAHLRECNLCPRRCGVNRYEETGVCLIGAETVKVNTIAPHR GEEPCIQGFNGSGSVFFSGCNLRCVFCQNHDIAHQRNGFDLTPEELADWFMKLQQVGN CHNINLVTPEHVVPQVALAILTARDMGLNIPIIYNTSSFDSLESLQLMDGLVDIYLPD FKVWKNSTSKRLLKAEDYTETAMESIKAMHKQVGDLCFTSDGIAKKGVLLRHLVMPGK EDEGREIMRWLAENVSKDLYVHIMEQYHPDAHVGKRKRTMKNSKGEEEAEVRYAEINR AVRDDELGSVRDAAVAAGLWRFCEANENSSMFHL AFLA_015390 MSTTSIQHFTSSFSPFSSGTQPVGMAQSQTVGLDTLAEGSQYAL EQLQLSREANGASAVDGGVPNPLRSSISKPQGQQLYSDESSAQHTQNATTGFRNLPQR DQLAEARSTIRKSSNSGPVRRRISRACDQCNQLRTKCDGQNPCAHCIEFGLTCEYARE RKKRGKASKKDLAAAAAAVANNGTAPTSNGNTSNDSVSSAKRHTPSDGQSTQEVSGRY DPNFDASRNLATAGQSQLGQHSDMSGMAGMQGSQQTPHSQPSLGGAIDAIHLNHFNTL NDSNRPQMSVPDLRSLQMLHPSGANTRSPSGALPPQGMNSGYNDGAYSLMNASEANHP SINQYRLGNSAENPPAPFLGLSPPAQSPGWLSLPSPSPANFASFSMPPFSSTLRYPVL QPVLPHIASIIPQSLACDLLDVYFTSFSPSHLSPQSPYVVGYIFRKQSFLHPTKPRVC SPGLLASMLWVAAQTSDAAFLTSPPSARGRVCQKLLELTVGLLRPLIHGPAPGETSPN YAANMVINGVALGGFGVSMDQLGAQSSATGAVDDVATYVHLATVISASEYKAASMRWW TAAWSLARELKLGRELPPNAPQPRQDGEPEDDTDVDMSKRNLPPLITSVGGNSGSTIL NVTEEEREERRRLWWLLYATDRHLALCYNRPLTLLDKECEGLLQPMNDDLWQAGDFAG ATYRQVGPQVECTGHSMFGFFLPLMTILGEIVDLQQAKEHPRFGRVFRNSADWDHQVL EITRQLDTYAQSLKEFEARYTSSLALGAGESEAAIEGSHLDHVSPSGRSTSTAGSRVN ESIVHTKMVVAYGTHIMHVLHVLLAGKWDPINLLEDHDLWISSESFIAAMSHAVGAAD AAADILEYDPDITFMPFFFGIYLLQGSFLLLLAADKLQGDVSPSVVRACETIVRAHEA CVVTLNTEYQRTFRKVMRSALAQVRGRMPEDFGEQQQRRREVLALYRWTGDGSGLAL AFLA_015400 MLSRIARQKNAASHLRVSKGRLVSPSLAALRFHSHLGRSNSFVN ASVNVSPDRLRQPSLQSNRYLATAADHSAVEQPPYPPFENSSYTAGHRYDQPAQMASL FPPISKGFNASSLIIIDDLLQTRPRALKKHKGIGGDEDEMMANLDISLKVGRIDRAAN LVTRLGEYFPIGSPEYLAIHNRYLEEMVSHMVVTRQHNLVLPLQRWFEVDMAHGGVQP DARTYAIMIRMALRMLHGAKRDRAVRRYWSFAKTAGVEEEVLAVPVLSELELGELSEI CSSDLRRVAIGSIHSDIANNPESITDEVPAVRPVDQKGLGLSSLQDSLSMFSTGSDVA FPTDSNYTEEEKLELYNQLRQRQLETDSIRTSLERWRQEFTQMQKSGLDMTGGGKKLG TIMNQWHMGLVSRIQEELKLVDEAEANPIRTFEQKERYDYGVYLRSLDPERLAALTIL AVISTFSRGGMEKGIKVSAIATGIGKDLQDELIAEMTLKKQQTGDARRLKALREILAG RKKKDGRASWQKIVHDFEKEDPAAIWPPRVKAKVGAVLMSFLFDVGKVPVLTEHPRTD KKTIVMQPAFQHSYQINWGKKSGYVHLHPEVVQIVAREPTADVLGRQLPMICKPKPWN GPKDGGYFIYQSSIVRVTPGETLQPSYIKAAMENNGLEQVREGLNILGRTGWRINRDV FEVMLEAWNSGEAIASLAPLNPDLPPPPKPAPEEGLAAEKKWDNAMREIENRRSGMHS NRCFQNFQLEVARAYRNDTFYLPHNMDFRGRAYPLPPYLNQMGADNARGLLLFSEAKP LGARGLRWLKIQIANLAGFDKASLSEREQWTMDHLDEVLDSANKGLHGRRWWLDAEDP WQCLAACCELRNALQHPDPTQYPSRLPIHQDGSCNGLQHYAALGGDKVGAQQVNLEPS DRPSDVYSGVAEFVKEAVAREAKEGIPIAKLLDGKITRKIVKQTVMTNVYGVTFMGAM KQVRKQLVDHYPELSADDTKGGSLYIARKIFEALGTMFNGAHEIQYWLGDCASRITQS LSPEQIEEIAKEALSPTQSDGGLIRKANDPAQKFRSTVIWTTPLGLPVVQPYRVRKSR RVRTTLQDLSIVDMGSDDVVSKRKQLQAFPPNFIHSLDATHMMMSAIACDRAGLSFSA VHDSFWTHACDVDSMNNILREAFVRMHSDDVVKRLAAEFEVRYGKNLFLAKVNVSSKI GKAIRAHRRRANHKQAKVQELLEEYKRQKLLQSDDPELQAQGRAMVTPASVFEQAGGA DEDLAISSSLGETVVGHVPENLEAAERKTSGMDADSSDPIIESLMTDFDDVLGPKANT EDATAEADLDEIDEPKKKRRGAVHTWLWLPLRFREVPRKGEWDITRIRDSEYFFS AFLA_015410 MDSSDPVIASYDVYLTDSEISRYVLQYLDRLPDYPYDDRHGQKP TSFRLKPKTGLVEVDVPINTRMNYDVNKGLKYGDALKKSRSSQEGGAFGMAGGFSGGS LASGNKVKMEAGGDVEMGGMGNGDSASLLRVQTLGGRIKIPEEGDPVYMLAAFRGNNL HLSPVSAVVQVHPHLHHLDALDELPAKGKGKKKDDDEGGGNEARAIDIKVKAAEDDQA SQLAGNLDLLKKMQEEKWGSYDWVDAETEESWQVYESYMMHQDLENLPQLESAIDSED YLDKMSAPRIDPANPEMTGWAMKQNRMKQNGRGSSSRSSTEEQ AFLA_015420 MLIYIAVARVAYLTSDIVVSVQPSLQTDSWFSKPLKALKQEKAR SILPKGVPDVVSVRYNEDPLLSAFHPLQTGDVVSVVSSSSVLLSSIPHLYRLANFPIV IHVALEPSPFPDYSVISSIRQCGFAFLHSETVQEAQDISITAHALARKSGKGVIHFFD PANSARDEPIAEEDVNVIQSVLNLGGHHATHSTGLGAQTLYTDSGRVATVTDEVVENT KTPEGAATPAQPSQTPSSVSVDNSSVGSSRRDSSVDSRATSSAATTVDASSVRAVSAA DIFEWTSQIWRTLSVLVGRNYSAVEYTGPSDAKSALFIFGSTGVFVDALSKEDTASEL KNIGLITARLYRPWVGGQIVNSIPASVEKIAVLEQVRKTTRWGPSFMDLLSSLTPTTA GGRAPQIVGYRLGYVEPSTAIQALRGIAQNLDTPSPIQNLEVGSSKVPTLETALEQPH LENAYLKILNQLFGERLHIANQLDSKHAGVSSTIAASPEYGFGSLIARMEHRRRFVRE VEEASKAGTFATDAPKTSLSNWALSAGDAAKANRLAPDVIDHLSKDDSPLAKQLLESK KLFFDESRWLVGSDAWAYDLGNSGVHHVLASGANVNMLVIDSQPYSERTAADPTRRKK DIGLYAMNYGNAYVASVAVYGSYTQVLQAMAEAEQFKGPSVVVAYLPYNQEDDSALTV LQETKKAIDLGYWPLYRWNPGNEENNEPKFSLDSERIKRELEEFLRRDNQLSQLMNRH PKFSSVLSESYGTEVRALQKRKAKDSYEKLLEGLFGAPLTILFASDNGNAQNLAKRLG NRGRARGLKTMVMAMDDYPAEDLSTEENVVFITSTAGQGEFPVNGRGLWEFVKNSGDL DLSTINYSVFGLGDSHYWPRKEDKIYYNKPAKDLDARVAFLGGRKLTDIGLGDDQDPD AYQTGYSEWEPRLWQSLGVDKVEGLPEEPAPLTNEDIKIQSNYLRGTIAEGLLDESTG AISASDQQLTKFHGTYMQDDRDVRDERKAQGLEPAYSFMIRCRLAGGVATPTQWLQMD AISSSHGNETMKLTTRQTFQFHGVIKRNLRGAMRAINKALMTTIAACGDVNRNVMCSS LPELSFFHREAHTVAQKISDHLLPSTTAYHEIWLKDDDDKKIQVAGDAVVDHEPLYGP TYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGSDGHLEGFNILAGGGMGSTHNNKKT YPQTGRMFGYVPADQAHIVCEKIMLVQRDHGDRQNRKHARLKYTIDDMGVEVFKEKVE ALLPDGLRFAEPRPFKFASNVDTFGWLKDEKGLNHFTFFIENGRIEDTADFQMRTGLR ELAKLDKGEFRLTGNQHLILSSVKDEDLPAIKELMAKYKLDNTSFSGMRLSSSACVAF PTCGLAMAESERYLPVLISKLESTLEEVGLARDSIVMRMTGCPNGCARPWLAEAAFVG KAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILDIMKGLLKRYALERNTDGEEPERFG DWCIRAGVIKETTDGRNFHEGVS AFLA_015430 MSLQTGASLITLSLLLNKISGLYGLLALLTGYHLSPVQLSMYLY SLLALGVATLLFPHIRKQSPLQCLALAWLYLFDSVINAAYTAAFGVTWFLVISQHYES GNASGPGGETIAQTAGFTSPKYDSPSSQGAQYARSPDGLGNAVTQPESFQSIVFICSL WIIRAYFVLVMLAFARQSLRLYIAVPRHTQLPTHSRNTSIASVASVADIDKEPFSPYT PDGQGWKGKLGRAMISVGRNYWLGEDEDGNWMDTIGRRFRARRESTELPGPLERERRR RSGTGPPQPSQSAVQAAALQQPTYEVPGMNVKMQDWSETR AFLA_015440 MASTSAVGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNT YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTRKWIDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKKNGLMFIETSA KVGHNVKQLFRRIAQALPGMEGEGSRGESQVIDVNINPKETTTNDGCAC AFLA_015450 MGLVYPAGAATVSLLVIGGYMLFHGDGEQFNVGQFLESVSPYAW ANIGIAMCIGLSVVGAAWGIFLTGSSIVGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSSKLNLVGDDEIFSGSNQYTGYALFWGGITVGMCNLICGISVGINGSSAALADA ADGSLFVKILVIEIFSSVLGLFGLIIGLLVTQKANEFK AFLA_015460 MLSVDEALAVISEQTPEPVVVEVPVTTALVGSVIAEDVYAAEAV PAYRASIVDGYAVIAPETADVGPSTKGVFPVASISYANPGGSLSPLEPGTIARITTGA PLPPNANAVVMVEDTVLASSTPDGKEEATVEILTGDIKPNENVREPGSDIALGSKILQ KGDLITSVGGEIGLLAASGTKIVKVFKKPCVGVLSTGDELVEHDDPRKLYGGQIRDSN RPSLLSCLASWGFPTVDLGIARDTPAGELERSLRDALRGVGRANSSVDVIITTGGVSM GELDLLKPTIERTLGGTIHFGRVSMKPGKPTTFATVPFKPTSSTQQVQQERETKLIFS LPGNPASALVTLNLFVLPSLYKLMGMGEKQTAPGLSSTLGLPLVSVSLTHAFPLDPKR TEYHRAIVTASRSDGRLYASSTGLGGVGQRSSRVGSLASANALLVLRPGSGQIEKGVL VEALMLGPVVAAQ AFLA_015470 MKPIYWSPLHDISSVLRATWFYKNTMLPVETELANKLEDGYVYL KPWSETWQDELNSCVENGADAEMKIVHRLWPKDDTKRLSSITLMRDQETPSPVLGGPE RLATPSPAIASLDESHAAGGPAAHSEAVKQYINSSVIYVDGRDAQILRPSLLPSVSRG RRPLSAIRKGRQIGIPVIRGFNRRLWDQLHPSKPNPVDVRNYLRSTQSRTVSTASGGR QICYACAIEEMRPAPTDLVLVIHGIGQKLSERMESFHFTHAINAFRRNINMELNSEPV WPHVRQGHGGIMILPVNWRSTLSLEDANFDSQGVEDPISNKFTLHDITPETIPAVRSL ISDVMLDIPYYMSHHKPKMIQAVVKEANRIFRLWCKNNPGFQQNGRVHLLAHSLGSAM ALDILSHQPTHVPDIDFSKTSIPGDIFEFDTKDLFICGSPAGFFLLLNKANLLPRRGR EKPGCEGDDLVRGVAGEEGTYGCLAVDNLYNIMHTTDPVAYRVNAAVDSDLANSLKVT SIPSTSASFWKSFGSVFRWSSAPSMMAAANVAPSRPAVISKLPSNVELETHDFTREEI AEKRMFLLNDNGQIDYYLSGGGGPLNIQYLNMLSAHSSYWTLSDFVRFLVIEIARKQG KDHTIPAFRAEKKKNWKVHKG AFLA_015480 MLSPASFWNMSPEMGDTTLPSDTSTNSSQSIGSGSESDQSLYYP EISSLSPVRNFQPFSVGVPATERLRVAVHDGYEYTPTYNTHPEAMASQAAILEYDNPR KHPLSPVEEHINICKRESSELDHIDSSLGQTRSSHKRLFGRNGWLGGTADLGNLSSEK HRSKSLKDLRKKIVDGFTEGMAKASLTIIHEPRGMKDDLPQPSIPISLNPSIQATLYS ELEVTICVNANAFLVEQYHEGRLPGESVRRINDYWGSKNRPSVVEFQFDQATQHRLIS ENKRFLRFHGESSTNPVLLNSNLRNWKAIVKEMSIRTFCTPDSVIRKHMHDVYKLLDM LGAPIAALVVCQKLHMRVLSLMVKKCDMPTDSGSNPVSGQSIGPTQRLLLSSVPVFLP FVDLAACFQLCSS AFLA_015490 MAQTQEKYDIVIVGAGPVGILLSLCMSRWGYKVKHIDNRPVPTA TGRADGIQPRSTEILRNLGLKRQIMAYKPAKVYDVAFWDPLPGEQGIHRTGSWPSCPR FIDTRYPFTTLVHQGKIERVFLDEIEKAGTTVERPWTITGFKNDGLDETYPVEVQLKC LDTNVIQTVRSKYLFSGEGARSFVRQQLGIQIHHKDPISYVWGVMDGVVRTNFPDIET KCTIHSDAGSIMVIPREDNMVRLYVQIASSSDPDFNPRKTATAEEVQEVAKKILKPYW VEWDRVEWYSVYPIGQGISEKYTLDERVFMGGDACHTHSPKAGQGMNTAFHDALNMAW KLHAVESGLADRSILSTYETERKDIAETLLNFDAKYASLFSKRRPTAGEVGSASHATV ASGGEEEDEFVKTFKSSCEFTSGYGVAYKPNIFNWDSSHPAKSSLFEVPGVRLAAGRA FTPSTVTRLADANFVHLEQEVPANGAFRIFIFAGKQEKTKKAITDLAANLEKERSFLS VYRRPDIADVSFFERHQPHSKLFTLCLVYAAQKNQVDMEAVPQILRDYHHHIYADDIP DVRVPNAKFAAHEKLGFDPEKGGVVVCRPDSHVACTVQLVEGSGTADALNAYFNAFST KPLGQDQQQSRL AFLA_015500 MLSLVLSAELTGVTDLRPQDTPEDPYYYTFKVQCTSCRETHPNW VSFNRFEQHEIPGSRGEANFVWKCKLCQKTHSASIVAGPNVYEADEKRKGRKVIDIDC RGLEFTDFKADGEWQAKGTESSTPFTAIDLSEGEWYDYDEKAGDEVAIKEITWEVGRG AFLA_015510 MSFQPVNPRPFLQTRVGTEMVIRLKWGQTEYKGKLESIDSYMNV LLRDTEEFIDGKNTGTLGLVLIRCNNILWMGSADNVEMTDLGLR AFLA_015520 MLDGELEYRQGNFDAAFSHLRRSITLDDGLPYDEPWGWMQPTRH AYGALLLEQGRVEEASAVYSADLGLDDTLPRALQHPNNVWSLHGYQECLTKLGRIAEA RIIKQQLKLAAATADVRVQSSCYCRRSDTDQVE AFLA_015530 MSLPLSNDVLLLVGEFLEDHQDRYNLVFVCRRFHDLFLRLVYRS ATLKSCAQTRSFMHAILHKPELARSVWSVHFDDWQQHHPFSSTSPSEQDMTSLNKWAE TISHSHEEYVKWEQDLLQGVEEAWIALLLPLVNNLRQLRLVYPNKNAYLDRMMQRVMK GEKPFDAQPAFSMLQEVSLGHQDSKEDTKGSYMPSQIFPFFQLPSMRMFSADSVIESS PLQEDGHTSTAEALVAGSCLISEITLNSSNGSQGMENLIASCSSLKSFKYQHSDSHLL AEGFQPSAFYRSLAGSKNSLHTLWLDNYGNHLPFTIAGVNETHDEWFGSLADFTALKD IRIRLPNLLDIRYQPEPSTVLTDILPPSVESLYIEGCKENTLGMLIGQLKMVLNKRQT RFSGLRRLDIEGFFHDEEDEDASGYQESAATTGGQKIIKPRVYEMAEPLRAACAEAGI DLFLRDPHRPKEIR AFLA_015540 MIMIAETISLGILSLPSAMAALGLVPALILIIGLGLVATYTGYV LGQFKLRYPHVHSMADAGEILLGRFGRELLGTAQLVFLIFIMGSHILTFTVMMNTLTK HGTCSIVFGVVGLILSFVCTLPRTLKKVSWLSISSFISIIAAVLITMIAIGIQRPGDG HIDVTVDTSLYKGFLAVTNIVFAYAGHVAFFGFISEMETPTDYPKTLYLLQATDTTMY TVTALVIYRYGGKDVSSPALGSTSPLVSKIAYGIAIPTIIIAGVINGHVACKVMDPDR IGAVDARMDHCRGDPSVQQPAEFDNGIVRQLVHVWNERHFLAFLELGPLHVFPSEDIS DRSESNHCWHWWMSVRTWIVRIRQSHPRRSKQC AFLA_015550 MQPYFGLRGALLNRAIIWLVVCPAFVCYGYNQGVTGGLLTLESF ARQFPQMNTLTTKGAEQHYNSTIQGTVVALYTVGGIFGSLSCIYLGDLLGRRRVIFIT SAISLIGAVLMATSFELAQFIVARLVLGVGTGGYVATVPVWQAEISQAKKRGAHVVTD GIFIGAGIAISLWIDFGFYFVKGNSVSWRFPLAFQVVLSVIVMLFITVFPESPRWLVK RGRVEEAREILAALADQDPHSEDINMALADIERSLALSGSGSWKDMLTMGEQRLFHRT VLAATGQMFQQMCGINLITFYATTIFEQYLGLSPIQSRILAASMTLTQPLGGFLAFFT IDRLGRRPLMLWSAVGMSASMAILGGTTSVTDNTGTLVAAVVFLFVFEFIFTVGYSGL TFLYATEVAPLQLRAAISAVSTAAVWTFNFLLAEVTPVGFNTISYKYYIIFAVLNAAI VPVVYFFFPETNGRTLEEIDEIFLRSKTIFDPPRLARTLPKMHLAEDVEIEGGNESGG SVAKGKM AFLA_015560 MKSFLFLIPLVQAGEVVWDGFFNSSFTVDQLDKWSWSNPVGPYQ WYIHGSEATANYLEVSADFKNPADESDEKGIRISIVQRSSQDEHLWPRKVTNISF AFLA_015570 MMRSELIPQTDADLGSGTLFYHFSLQSKEENAPVAALEHQIAFF ESHFTELKYGGDEKTLRWLADGKSQWSTDLVAGTWYNFAYEIDFSAKTVGLWTSTGAE ALKKVVEPVSAATQTDSKDWHVGELRLDNGQKGGKEDWFWSGVYIEKGEITTAIAGPA A AFLA_015580 MPIPVPSANSLTDLLSLKGKVVVVTGASGPRGMGIEAARGCAEM GANIALTYASRPQGGEKNAEELKKTYGVEAKAYKCDIGNMESVQKLVDDVIKDFGQID AFIANAGRTADGGVLESTVEAWMEVVQTDLNGTYHCAKAVGPHFKKRGKGSLVITASM SGHIANFPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVDQKI QDLWLSMIPMGRNGDAKELKGAYVYLCSDASSYMTGSDLRIDGGYCVR AFLA_015590 MSSDPVEAPSLRRVSTSYDNNFDDSFLGRVRSFFHRDRHVGVVS HNDIRDQEIELHTWNGKNDPDNPFNWSTSYKWTLTITVCIISILTGIPAGSYGAGNNY MAERFHVQNEPFPNLAWATTSWNMGAAFWPLIFVPLTESSGRMPGYFVAYTILVISLF PSAFAQNFATLVVTRFFGGGASSVAINIVGGSISDVWYGDKARSLPMSLFGLTSVIGI ALGPFVGSAIQAIHKNDPWRWIFYIQIIYNAGLIPVFWFLLYETRADVILKRRAKKLR RETGRPIYAEAELDHTSVWKLLQVSFERPTRMLLTEPVVAFFTLWISFAWGILFLFFS SVVQTFSTNYGMNTLQTGLIQLAISVGALIGTLVNPLQDMIYLRSASRNKEKPGVPIP EARLYTSIPGSLLFAGGLFWYGWSSFPHVHWIVPTCGIAATGLGIYSIYMAVVNYLTD AYEKYAASALSAASLGRNSFGAFLPLASYQLFENLGYGWAGSLLGFVGVALSVVPVVL VLKGPEIRRRSPFMRESTFAGAEEKEELEKNETAGGRTFVDGPVEVGDTASGR AFLA_015600 MTVAPPSPNSPAAELQQRPPRYPGEDTTPTSKREIWGWYAYGIA AEVFAVCGVGSFLPLTLEQLAREQGTFKSSHLPCVGPGSPSTSVNGTAPAMLRRDEAE NDQCVVGLLGLNINTASFAMYTFSLAVLVQALTLISFSALADYENNRKTLLLAFGFIG SATSMLFVFIAPPIFVLGALLVVIGVTCLGSSFVVLNSFLPVLVANDPSIEKASKPAE ELHPMSPDGEYIHPRDSFSASDAESGPHPAAEAGSGTSSGPASPELQLSTRISSKGVG LGYCAAVLVQILSISLLFTLSKTSISKVSGTLPLRFVLLLVGIWWFSFTMVTRKWLRA RPGPPLNTANTGGQVKRWRVWLRLVGFAWKSLWKTVKIAVQLREVLVFLAAWFLLSDA MATVSGTAILFARTELKMSTTLVGLLSITATLSGMAGAFLWPVVSRRFGLKSNHTIML CIALFEIIPLYGMLAYIPVFKKWGVIGLQQPWEIFPLAIVHGVVSGGLSSYCRSFFGL LIPPGSEAAFYALYAATDKGSSVIGPAIVGMLIDATGQVRSGFFFIAPLILMPIPLVW MVNAEKGRREGVAMAQRLEKGHETEMSEQTEEAEGLLARGI AFLA_015610 MAAVGLVALATYQPELVHHARAKYSEAINRVNNALASPVESVKD STLMSVISLGVFEHVSNFESWVRHVKGAAALVVARGKSQFARRPAILMFNQVRADMSA ACIQSIQPFPDDMLELQAEATKYTDPSDAFWLLGVLATQCATLFAGVTAKNNGISLPR DMSWLDFLEVSTALQDDFQYVLDILATQESYTTIQESCGSTEFISYNGQYHLYKTSWA IRLWNNSRMVEIIVCEIICWLIKKIITENPDYPAEGRLKLKSRLQYATHIMSRRGEEI LASVPQGLGLILLPDANKPKQPNISGGYMLIWNLYTVGKSPAISHQNRQWVIKQLKDI SRTSGIAMAFQLAEDLVKIEQTTN AFLA_015620 MGAVTYADGIAILQLIIFPFILVASLFIWKRTGWRVGAKIWRYP FMLSLIRLAGSIATLISIDHDSKQVRIAMFVCQLIGIAPLLLAYVGILRLIDLEKKIP HRPLLLVTVMGIVGLILGIVGVSTADTTNGYTPGALPKVAMGLFLAIYVIVMLLTAWL FFTYSFSMRKYQKRLFLAIVLSAPFLLVRLIYAALGDYSSNTTFSMMSLAEDNNTSLT AYLCMAVLEEIISMVIAMAFGVSAVLQADYVKPTREGHQKVKPDNV AFLA_015630 MTQLSRERPELGQVKPCEVFDLIGGTSTGGLIAIMLGRLEMSVD ECIDRYIKLISTVFEKKSRWPVSLSGNIRSRFDATKLESAIKDVVTSHGAEETDLFND GCERGCRVFVCTTSHETKDIVRLRDYNVPSKDNISATICQAALATSAATTFFDPVYIG KRKFVDGALGSNNPVDEVEGEAADIWCPGTGDLKPLVKCFVSIGTGDPGIAAIEDKAL KFLSETLVNIATETGRTEKKFIARWAKHYDEKRYFRFNVEQGLQGVGLEEYREQGKIE AATHRYLDHQAQEFRVRDCVQNLKLKRGVYIENFA AFLA_015640 MNLNSPHRIHTVQGNIPHSTQLHIRMTLVGRRFANANFLTASST KAPWTVPFRRNPLFVSRSAEIAKLDDILSNDGAFSQVAIEGLGGVGKTQIALEYAHIL RERHPDCAIFWIPVTSVESMLEAYSQIAKELQIPNVGIETKDIQNIVQHRLSQESSGR WLLVLDNADDISFWADKTKVKAMNSALAKNLLGNTLIDRSLLTDERATADLLQKLTYL PLAIVQAAAFINKNQMTLSEYISLHESTEESTIDILSQNFEDEGRYEDGKNSVATTWL ISFQQIRASDPLAAEYLSLMACVDSKNVPQKFLSLTQSATKTSDALGTLKAFSFITNH ENSQHLDIHRLVHLATRNWLQMQGTLLEWTNKALSHMNMLFPFPREENRHMWRPLLPH ARHIIESLAPSTPTRAAIYLLHIFGYGVLQDGRYREARRAFSTVTDFYKTSLGIEHPV TLSTQATLAQIYLRQGEWSKAESLNMQTLDSLKKVVGFESMVTLTSMTTQVSIYCAQE RWTEAEKLELQTLKFYERALGTKHPQTLMNKSYLALIYREKGKWNQSRKLNLQILKCH RTALGTEHPLTLISTRNLADDYRYLGLLRKAEALQTQATETSKRILGAEHPDTIRATG SLASIYRERGRLKDAEKLHMQVLENYRKTLGAEHPSTLWAMAPLADTWRRMGRYTEAT ELLEKCLHLETKVLGADYSGTSEDSRTLRRWHMHQQVMSGCTPS AFLA_015650 MHSTARQYDSLEGMSRTEPDVEPALPHEEQPLLSSEDIWKPPKG FLWIQIGMDHCEVQSTRPLMISPAIMSNVFLSGFDGTITASTYAVISSEFNAANTASW LTTSYLITSTAFQPLYGRFSDIFGRRASFFTATITFMVGCIGCGIAKDIIFLNLMRAL TGIGGGGLMTMATIVNSDLIPFRRRGMYQALQNVMHGFGSICGASFGGSIVDSIGWRW CFLMQAPVGLLALITGHLVIHLPRKTDLIEPGQGLRAIWQRIDLSGACVLILGLSSQL VGLSLGGNDLPWSNIWVISSLVSSVILLGAFVVIETKTSAIPLIPPRMLRGLLPIATQ VSNVCVGMAAYAFLFTLPLLFQVILLDSAAKAGARLAIPSLATPVGGVISGIVMSRWG KLAQLVRVGALLMCIGNLLVMSLQFNDAGWKYFTYVIPASLGQGIVYPGILFTFLAAF DHSDHAVSASTVYLVRSLGTVWGVAITSTIVQNTLRSGLAEALSGVPDKWKIIDDIRH SVSAIHDLPPDVQMAARLVYYRGIRLSFMASACFGFVATIAALFTKGKGLHRANNA AFLA_015660 MSKQDLKPNHDLSTPSIELANGQVAVTGLPNAPMKQNFSILSII AVGYNISNSWVAIAASFAIAIQSGGAVTLLYGIILVAAIMLSSPIQSQKLTGIVVLSI STFLAITITCPARSSIQLDSSHVWTQFANGSGGWPDGISFLTGLSTPQFMLSGLDATL HLAEECLQPERIVPKAVIVTVIVGFLTAFPFSVAIIYSYKDVELSLTTPTGFPIYFIW EKATHSPVAATIFMACMLTVSCIALNAVHQTSSRLTWSFARDEALFYSNKLASVSPTL GVPVYAILLDGLWVLLLGVVYVASSNAFNAFISTTVVVAQISYAIPSALLLYRRRSTD YLPPSRPFKLINSVGYIVNIVTIVWAVVLTVFFSFPTVLPATGGNMNYTSVVLVAMLV LGIVNWFAYARKHYHGPRLEL AFLA_015670 MLRKRFLLPLLAACGAAVEISVASSGGNATSGLQYGIMEEEINY CGDGGLYAELIRNRAFQGGEKYPSNLDAWIPVDGSALSLKNLSQPLSSALPTSVNVKG TAGKAGLTNLGWWGIDVREQTYTGSFYVKGAYNGTFTASLQSNKTGEVYASAVIVSKS ARGEWTQHNFTLTPTKAASNTQNTFSITFDASNTVDGSLDFNLISLFPPTYNDRPNGL RRDLMQAMADFGPKFLRFPGGNNLEGDTLDGRWKWNETIGPLKDRPGRATTWSYQETH GLGLVEYMEWCEDLGVEPILAVWGGFALNGDAIPESELGTYVQDALDELEFLTGSVDT EYGALRASLGHPEPWTVKYVEVGNEDNLNDGLDSYKSYRFQAFYDAIKEKYPDITVLA STVEIDFPGDAGGDYHLYDTPDNFVEKFNFFDQYSPDHPILLGEIAAIQLNGREIVWG NSSHFSQYPWWIGSVAEGVFLIGAERNADKVLGTTYAPFMMNLDNYQWSPTFLAFNSN PDETARSTSWYLYDLFSHNSFTHTLPTTSNSSFGPLYYVAGVDNTSNSHIFKAAVYNS TADVPVSLTFDGVKAGTSASLTVLTAADPLGMNEVGAADIVDKKTSTVTAGVNGVFDF SLPNLSVAVLKTE AFLA_015680 MAVNMADEELFKRAISGYRDAFLDRHSHLSEAERNELWSEQLNQ FMPTAAAASSPSYRPVSGSGILDNDGSSLEKSGKRTRQDTPRTLPGSGLPPTKRRVTT PEPPVTVDLTRDLSHASSPAAPQTIRKLSRSNSRKENYSALGPSRHTAMVRSQSQQTP VSHRPSLASPMTGHRHSYGPQRINRHLDHVNEYSPSEYAKQYLDDYQAQGGVSALSMA LSTDATATGVQPSNPSFVPQYHGQSDMVNLTGLGKPSLVNQPTQPVSAAVEMSRTPTT DSLCGGMGMMRFDSSGPHLNPNCSFPFASSDFIPSTSPMNVPFTNPFTSAQRDMDHVS FPLIDSTATPFSCSAPPATSFAPPVSTLSSSPATEMKHSMSSDSSSSSLSQPSRAARR TQEQIVQGTRPIAPKVGSQNMSPPKATEQTKMIRISSSDGTSKEVAAIPKASIQRPPR QKTYCTMCNDQPDGFHGEHELRRHIERVHAVVRKVWVCVDISPGKTFLANCKACRNGK RYGANYNAAAHLRRTHFNPCQRGRGGRGKDSEKRGGKGGGNHPPMEVLKHWMVQKEEV VLENAQNYIDQEALGDDLVAAPPPIPTEDMINGLPTISPEDFPVPGLESTLTNGYDTL STFPVMGLEPSLDASCYLDAQPLVPEIDSYV AFLA_015690 MSDSTLYLYTSLTAGSSHIVTATARLETILKANKLPFRAIDVAT DDAARKLWGRRSKGKKLPGLVKFGTVVGDLEEIEEWNEYGELRMQVNSVEDFGDSIPA TSIVTTQPESAVSTPASEPSVPKQSTIKIQNPPAKESQKDDSITVALRQAGEEAATKA KESKAEKATPAAEQKQPLPPAVEEKKEGGADSVRRKSSVAPEIVEGANPKRPPLVPEV AAVSSANFHADNAEALGLVEHHRGSIVSATSPEEKAKVAQDIRKSISGGHAEMLESLR DDQAQKAGQEETIDEESESGEVLELPELPELPELPELPELPELPELPELPELPELPEL PELYTDIPRWLNH AFLA_015700 MSPPAIIAPSILSADFASLGSECSTKMAQGSDWLHVDIMDGHFV PNITFGAPVVTKIRSHVDRPTQPHGRGTFDCHMMIMEPHKWVKEFKNAGCDLYCFHYE AAVASVAATEPADSTTTRKTSPKELIRYIHEEGMQAGIAIKPDTPVDVLWEILESKDE KERPDMVLVMTVYPGFGGQKFMASELPKVQALRERYPNLNIEVDGGLGLGTVDQAAEA GANVIVAGSAVFGAQDPADVIAKLREAVNKRQKL AFLA_015710 MTESLRSATARCPPPRQARGTRLNTIIEDSREGQYSETPRQREA VSNKNASQPQLRLKTSGLALASRLNRLLSPLSAGTTSSCSDTEWQNQMQTFDDLYDAT DEESEMSDECTSISSTRPTSMTTPTTRNSVSPTGRNRYPSLTIPSSTTWPSLQGAPKS SPIPPTPPPKIPVSPAALSMLGHSVPAVHAPPSLDGSVSSDQVSNISAPATPDVQSLP DNDWNPHEILVRPDLEDEEDVDLQNPETSSDLQSIEIAIENTEEDWRQFLGNFPRIPD QVRSPVVANPVREDTPSDTGVHLPEDALAMLRHIPLDVTPDPWSETSESNEEMWQIET PERPRSADDATPASDLSGYSFSSLSIPSPGGFFASLGPRARHTWSIPKLNNIPSSAIA ERFYDLPFTRTEGEIVEQIIECPQVSTDEQLTAVYDPNGPPTAIRIPSEEPESHLEHA ESPVSDDVDGVYEIARQGMYNPEERDENYEDELQKKAMASLDRTSVWLAAQASYLAAL CETNPANTPVSEREDCMAIAQSVTQAVAQVVSKKSVRFDETVPEAPSSQPSALASKDS IYWRGFQSIRRCASDIDTFMHRTMRFDAVQSIRLGLPNMHTNSLLGNYELVRPERPPY RGPFSQAPRDSVVGSILEEKAQFSMLEKEQLVLTQISEPLWAMDALRYLNGGHLIASP ALRRLAKSRSVSAKPQRSGSRRIRVLDLGGHASCEWAWQIAHDYPNVKVYTVFTEHQA VNRGIKGPANHRQISVQSLWQLPFSNNKFDVISTRSLPALLKSERSSGDQQDEYDLCL KECRRCLKPGGYLEFFVMDAQISRAGPYASATSVEFTYNLKTRGYDPNPTKTFLGRLQ KSGFVGIKRAWMFLPMGNEPAVSAVPRETPEPRVKSHISECEAVQGPVGSTADAASVT GLFGGWIWEQWLLKLQIEMGREQGRLLEGIGSVFDEGRKNGAGWTCLSGWAMKPRQKK PNGSQKNRDS AFLA_015720 MLFFSFFKTLTNQTVIIELKNDIRIRGTLKSVDQYLNIKLDDVD VLDLDKYPHLSSVKNMFIRGSVVRYVVLPRSEVDVGLLEDATRREAANQAGKAR AFLA_015730 MAGSFSKKGSTPSKPSSRSKQPSSVKRNSSLLNFFQKADGPPQA TSRQSRITQFATKVDRPTGSGHNSPSLRREDSSKSNGGGGLFLDERNGKKSASLDPST PPRSRSRTPDDIWGEDDGSIVAPKEEEDRYNENGSAVKKRKVESESDSPRTEQVKDEA SATTPAPAKSRQVSGPFIDESDSEEDLAAFGDFSDEPAMLKNAEAVKDAAGTDNDRKD DDRTSDVAVPPLVREATSHFEDNELANFDDLEEDEVRGEGGLLDQENDDEGPEETIFG FDDSNDSPAPEECEVDPGGGVAVCPICQIELVGLSDVDVSVHVNDCLDGKPAIVLPKP KTDTSAKALTRAELASIARPPQNDPYSSGTSKTSSAFSKLMAGNAEDTAWTAAAASEV KSKGKQAYQRTCPFYKIIPGFSICVDAFRYGAVEGCNAYFLSHYHSDHYIGLTASWRH GPIYCSKATGNLVRQQLKVDPRWVVDLEFEKTTEVPNTGVQVTLIEANHCPGSAIFLF EKAMGSGQSKRIQRVLHCGDFRASPTHVQHALLRPEIVDSVTGQKRQQRIDVCYLDTT YLSPKYSFPSQIDVIEACAELCASLDGGEDEGPAPWQSGKASKEGGGIMSKFFNSVTG SGKSQERSSRPQGRLLVVIGTYSIGKERICLAIARALKSKIYATAGKQRVCACLEDAE LSSLLTDDPVEAQVHMQTLFEIRAETLADYLDSMKPHFTRVVGFRPTGWTYRPPTERM LDNPPVSTVLHSAHWKTPFTRRDLVPQRGSTRESVCFGVPYSEHSSFRELTMFCCALR IGRVIPTVNVGSRKSRERMKAWVERWEAEKRKSGLYRVEGDRW AFLA_015740 MIAELLTPTGAAYVLTAAVFVYYILPYLQLWRLRDIPSPGFAAF SNLWLMLQYRKGNRFVTVDNAHKKYGKLVRIAPRHVSIADDEAIQAIYGHGNGFLKAD FYDAFVSIRRGLFNTRDRAEHTRKRKTVSHTFSMKSIGQFEQYIHGNAELFVKQWNRI ADTQSNPKTGYATIDALNWFNYLAFDIIGDLAFGAPFGMLEKGQDIAEMRKSPNDKPS YVQAVEVLNRRGEVSATLGACPSLIPWAKYIPDRFFRDGLEAVENLAGIAVARVNERL RPEVMANNTRVDLLARLMEGKDSNGNKLGREELTAEALTQLIAGSDTTSNTSCAILYW CLRTPGVIEKLHKVLDESIPKDVDVPVHAMVKDIPYLQWVIWETMRIHSTSAMGLPRE IPAGNPPVTISGHTFYPGDVVSVPTYTIHRSKEIWGPDAEQFVPERWDPKRLTARQKA AFIPFSTGPRACVGRNVAEMELLVIVGTVFRLFDFEIQQDGPMETREGFLRKPLGLMV GMKRRSVAV AFLA_015750 MMPGYKRMASSSPQHARRSRRIANLTGNNGVAAPVKNEDNVADT LDETDEDGPSHDTDDDYSSDDEDVENEIDEDGFRAFDKDAEYQKRYIVQDEHTGRVVT IIPHETLRPINGVEYSDYKIHKNTLLYLMDLRANNRRSWFKRHQKEFRRAWKDWETFI ETLTPKVIAFDSTIPELPPKDVIFRIYRDVRFSKDKRLYKEHVFAGREGRSGRRVGGV CGGQQGGCFKDKAKGLCYTPS AFLA_015760 MKSFSTQELNLGVLNCIGGAVMVIIGAKYLAIMVPVALVILYCL QKFYLRTSRQLRFLDLEAKSPLYTHFLETLNGLTTIRAFGWVSTFEESNIALLAESQR PYYLLYCIQRWLNLVLDIFVGALAVLLLTFAVTLSDITSPGALGVAMINLLNFNQDLA SLIDSWTRMETSLGAISRLRDLENNTPQPIHNKHSNQWSFQRSLDFKQVSASYGQHSP EKPLVLSNVSLRIEHGKTVAICGRTGSGKSSLLLCLLGLLDLRSGSICIDEIDISTIS PDQIHDRVIVIPQNMPLLPGSVRFNLTPNSDIDGATSRQPDDIMISALTAVGLWENIQ EKGGLDIVESDLSLSSGQQQLFSFARALVQKTLVETRYGTQTHGGIVVLDEANSNVDM ETSLLMQNLIKEEFAGCGWTTLVVTHRRETMDFADEVIVLDAGCVGDPVNG AFLA_015770 MNVVLGAVQLALVVLWSQRTSSVSLAASVLALADSLALCLLSDA EHIGTPRTSTVINVYLFFSTMFDAVQCRTLWLLQRQGPLPTLFSVMIAVKAIVLFLEA QGKNSILVPSFKDLAPEATSGVFNRVSFWWLNPLLVRGFRVKMKLDDLFTMSPEFSGE RLGPQMEQAWSKCRKTRRLALLCATCKAIRWPLISAILPRLCLIGFKFAQPFLLNRAV NYVAELQANPSNVHKGIGYGLIGSAALIYLGLAFCNGSYRHHTYRAITMIRGSLVVII FRKTLALDCGNNSDNSASVTLMSTDIDGIASAFDSVHDIWACPIEIALGLWLLERHVG VACIAPALTALGFLALPMLLVLVLTKVLVFFLASLYLARFMGRGRREWNQAVQKRITH TSSFLSLMKEVKMLGLTDAVSTRIQRLRAIELDLSKKFRVMTAWTSFLSHATPVLSPI LTFGLYAVVQGTGIGQKLDVGAAFGSLSLISLLTEPASNLFHAIPTFASGLGCFERIQ EFLLTQGLDCNDASSLGPIEIAAVSDQSSIESPSDTSRVALSATGKDTEKDHHGILVD LQNASFGAKENGRAILSCINLQIKQSSLTVITGKVGCGKSTLLKGMLGEIPCSQGLVR RYFKDVAYCDQVPWLRNITIRQNIIGDSSYSFNEKLYDSVIEACALKTDFSQLPEGDQ TIVGSNGVTLSGGQKQRITLARALYSAKRLMVFDNVFSGLDSTTANRIFDKVFSPGGL KDILGITVIIVSHSVRHIQAADSVIVIEDGIPVHCDTFDELQKGSNRYIAQLLDHAEQ PCTAEPSSDLEPVKKPIRPPMDHIIRRNKEVDATRQSGDTAVYAYYLRAVGWRDSIFL LILGAVSVFCDKFPTVWLEWWVQDSHTRSGNNGFYFGIYIMFGVSSLILVILTVRHMF VQIVPSSSQRLHRRLLDIVMKAPLSLSLVTDIGVTLNRFSQDMTLIDMALPPAVFLTL IGRWRYS AFLA_015780 MKEKETEPTVAVTTGAAIDEAAPDAAISHLKQLKKEHEWDPNLP EDVTDVIDDKTGEVAEELIDNSPYPEVRAAVPNYDEGGHTNTIRAWVIGLVLSTVASG MNMLFSLRQPYIVIPSYVVQVVAYPIGVGWAMLLPNKTFNVFGLKFNLNPGPFSKKEH AIAVLMANASFGGGTAYATDILVAQRAFYKQRFGWGFELLLCICTQMLGFGFAGFFHR FLVAPAAMIWPSTLINTSIFSALHDRSLPDPRKVAGWTIGRYRMFLYCLVGSFVWYWF PGYIAPFLSVFAWVTWIKPQSPVVNQLFGGWTGLSLLPITFDWTQISGFNFSPLISPW HAHANTLIGMVVFYWICTIGLHYNNAYWFKHLPISDSSSYDNTGSVYDVSRILTPEFT FDDAKYKEYSPLFLSTTFALCYGLSFAGVIAVLLHAILFHGKEVLARVKTFRKTEDDV HARLMARFKPVPLWWYGALFLTMLGIGLGVSLGYPTHLSWWAFFVSLIMAIVWTLPVG IVQAATNIQLGLNVLTEFVIGYMQPGRPMAMMLFKTFGYISMSQGLYFCQDMKLGHYM KIPPRVTFAAQMVATLWTSIVQIAVMNWALGSIDGICDKDQPNHYSCPNGRVFFNASV IWGVIGPARMFSPGQLYSGLMWFWLAGAILPVLIYLGARIWPRSKIRYLSAPLIFTGA GLIPPATPLNYLSWGIVGFIFNKWIRSRWRGWWMQYNYVLSAGLDVGLTLSTIVIFLC LQLTDTTFPSWWGTRIAKDTMDAAGTAVEITGEKFGPTTW AFLA_015790 MDDQDNAARLSELEQETDELRKRLKSSQSVLSQPSPIAMLTAAA ELGVHFTGNAVGLDLTPQSQSPPASYAETSVPSLSLGPPLPQVECTPGERASDPTVPR TLDGVEVTGEEIDEIFQLFFHQYAQFLPVLDPLTTPNTYYAQCPFLFWAVIGVACRTY PKNPTLLTALARSITDMALLSLASTSAPWHIIQALLLFLTWPLPKDNTRPELTFPLSG SMLHIAMQNGLHIPMSSHEFTKKRIPAPSEAELVRRAELWTRCVIVYQRACSIKGHPP RSFLELEQDFGQREVDVRKLSPSLVLERKCQELVARCSAAVLEIGVRTMSLEQERALD ILLRTFENQVTDLEAQLSQAHDRIQTTICRLSIQMLHFFKSQTLLSTGCIQRLLYTAC LAIESIEDISRASLILATTPLELYFALLLASVALLRILKGPSLPGLDIERARSCFFVA INLLKQMSVQNNDAAAKTVIVLNQLWNSTRAFRKPDGSDFPTLRIRSRLMLSPVVDAV WWWREEHDPQCRSGAPSQGNATDGIDTHRDNTGAVVNAPIGLMGRHEPVLFDDQFLAD FEWALGDDGLFPPTEPYGSGWS AFLA_015800 MPLSGHCLCKAVTYKADVEAPLITAYDHCDDCQRQSGSTYSLVA VVPKDKLTINGPTKSYAGQGSSGKAVHRIFCSECGSPIAHDPEAAPEIIALKAGTLDV EIKKNLKPDTEIWTVSKLPFCQEHLAKPFEHMP AFLA_015810 MYQKSLEPPMSSGVLHIVDSRTKQKYEIPIRRNVISAIDLKSIK APAAGTDRADHVADGLRVHDPGLQNTTVIESAISYSDHERGVLLFHGYTLSQLWDSDF EDMLHLLVWGTYPTMQQKKDLNRKLTEQMLAVPDSVHRTIRGLPRTTSPLPLILAGLS AYLACFPDTIPASTHASLYQGNLRNVDHAVIRTVAAYGVIFGLVNSHRKGIDFQPPSQ ENSYCANLFIMAGLLDRHSSRPDPTKLSCFRRFAMLNADHGMALTVFSALVTASSLTD PISCLISAVAAAYGPLHFGATVSAQRTLREIGSTDKVPEFIEGVKNRRTKLFGYGHRS YKGLDPRVRPIQSILKDLDLSKNDYLKITERIEEIASADDYFRHRGLYPNADFYGNFV FTAIGFDPDIIPAAMLTQRIIGIMAHWREYMCMC AFLA_015820 MSRKAHLCLSVSIMMASEKSLYGPPTEITPLLQYHPGKERYSVF STRQKRLIILTAAIASTFSPISANIYYPALNSIAAGLDVSSSQINLTITTYMVLDPSH RTL AFLA_015830 MQICQGLAPTLTGPFADQAGRRPAYILCFLIYIISNIALALQHS YPALLVLRAIQSSGSSGTVALASAVAADVITSAERGTYMSITSLGNILAPSLGPVLGG VLSEYLGWQSIFWFLAMSSTIFFIPLVLLFPETCRTIVGDGSIPASGWNKSILNWWMS KRPHRRPVLIPSASTEPAPQPQLPKRMIHPLSTLSLLFHLPTGLIILSNGLIFASYYA ITAGLPSQLRSIYGLSDLNIGLSFIPMGAGTLLSATFNGIIVDWNYRRIVAKDRQDIE NFEAEKARLGVGGPVAVRRCTPLSAFVYPPIPILLYALTAPATNPPPLALTLTLIFTI SFTLTATYNILNILLVDLHYTTPATIMATNNLVRCFLGAAATALVHPCIQRFGVRATC CGVAIVVLSVAPLLWMVVSFTYYVLCCMAQLLSSELWGLFGFDQILCTSSSFSHIPFL ISFIL AFLA_015840 MNATVALHWYQPDMLCIMQDTGLLDMSNNKRISDEIDGYSNGGI LVNKSLGAEYIAPRPPPFSHHRYVYLLFTQKGDYQFPQCYSHIFPQTATARAGFDIQQ FVDVARLGAPVAGNYFIVEYDGPEIEPTATASPTTTWLRSILCEGSDPTGSAAAKACE MEGSLQIVM AFLA_015850 MNRDRSSRMLPDPLPKSVKVRSTCNACQQAKIRCSHERPSCRRC QKHNIDCIYSMSRRLGRPAKKRDNTQDDCLDRGISNHPRNQTNRRVRSPRKRKVKRDS GQRSGHDITNPQDDEEVILDEITFNDSIVDDMSTEDPRLPTPPFLDTDRLSLYDGSDT IDLSDNWLQQFISGQPADLTQDRNFLDTLGLNGADSALTAIPFSTNDFTGSAKTIDVA SSELQDQVPLAAYYPPASGFSSYSEPTTESAQIMSEIASSYTGFVKRESFAWSQTIPS SMGNDSARLSGTGEQLNPSITTKGQRRAHEYDHSSEGPVPTTISARQYQCQCHDHIAR DLMLLNISASRTWPTVTIDSILKCQQILQQLTDTILECAICCKTRVNLLMIVIVSIDS LITALETITSVDSGVWDGVLVEYHDSRLREYGQEISKGAANRRYKNANFHFKAQVEEC PLLVGGFPVPSEEKFVFVKQILHARLCGLSSIIGRIQRCTEEILALPSSFGRLSMISE TNRRVHCSIDALQILTDVRNVDTVVEFETILDLVQRVYGQGQAMLSCKDCRKLPLQSS SFLTIPALTDHCLSLFEAVCSAYSITRKNCLFDANILAFEQPLPQFICIRSKVQLGET DLDEAETGMLVHRAGATTLRAYESSIQSTMHRFMAFLDQIKVEQRT AFLA_015860 MESTYNRLRKPGIFRNDRFWLAGDHVVDPRINGLPRVQALIDAS ERAKRVFKLTDYQGMNYTIIHTEFYRERAQPGMLIVGSDSHTCSSGALGCLAIGLGAA DVTMPLVTGQTWFKVPESIQIRLVGKPKLGIGGKDTILYILQKLKRNTIASDRIVEYS GSGLEYLSSDARFAISNMTAYFLGRLLYRIRGHDAGQIIRTAAFEQLPMSNMQLEATE CGPSGSLMLPHHTCVAADKNGSFPELRWTPPKVQVKEYILISEDIDPPIPRFVVMHGL FYAIPPTITGVLPDDTEQDRNTKDHITRSGWRYVPNLRGSSYIGPAPPLGHGIHRYIF TIIALSEPLRFDRSQRVSKRHIAKAMVGKVAGWGQWVGHFERPWPS AFLA_015870 MLTGNTPLSLTTVRILEDVRKIRIEHVERTSSLGSNLLQNLKIA QDTLRDYGHFREADALTDVLINCAQLPELGGLGLTEHTDLTEEQDLEVLFLVSAWWEA LNSADRAKAFPTPLRTRPEGRRGMTLSEKIFSLHDIDQRGSVAPGDLIRVDVDWVIAS EASWAVSA AFLA_015880 MGVITGEMLVDGRMRDDSFQRKTGYVQQQDLHLETSTVREALIF SALLRQPASTPRKEKLAYVEEVIKMLNMEEYAEAVVGVLGEGLNVEQRKRLTIGVEIA AKPDLLLFFDEPTSGLDSQTAWSICSLMRKLADHGQAILCTIHQPSAILMQQFDRLLF LAKGGKTVYFGDLGPNMRTLIKYFEDKGSPKCPPNANPAEWMLEVIGAAPGSRADQDW SDVWKHSRERAQVQQELLQMKQELLQRPQPPRTAGYGEFAMPLWAQFFICLQRVFQQY WRCPSYIYAKAAMCIIPPLFIGFTFWREPTSIQGMQNEMFSIFMLLVIFPNLVQQMMP YFAMQRSLYEVRERPSKAYSWKAFMLASIVVELPWNMLMAVPAYFCWYYPIGLFRNAY PTDSVTERGGTMFLLVLIFMLFTSTFSSMMIAGIDHPETASNIAQLMFSMCLIFCGVL ASPDVLPRFWIFMWRASPFSYLVGSVLAVGIAGAPVHCSDIEVLHIPPPGGQHCSGYL EAFTTMAKSTLLNPEADSDCQVCSLSTTDQFLAGVHIKYSELWRNVGILFVYIVFNTV AAVFLYWLVRVPKKRALKKAKKE AFLA_015890 MDDPNSFTDFQHSSTQDYELFPDADFADSMLCQRTAEIQRQISH VDHTMKTPWLSSSMPLSTPGVHLTQTASPYSMEASAFPMGSDISSPFGGAYSSSGAES PQSNNWRNMGCYMSPPSSCADTMLPLDHWGSCSPGPSGNVESSIAPSQIVQNYPVPIP IAAELELEAELDPELDPRLDTEPLPVNEPTPVHSHNMHQHSQLDPTNETLSYLGAGQA PDQDSFTLPSPPRVPANNNTGQKTTMCHNHGSKKSSRRKETLTSNSVRVCRTSSKSKT KKGTRPRRTFVCTFSRYGCTSSFTSKNEWKRHVTSQHVQLGFYRCDVGQCNVNNPSKG RPMSCTNDFNRKDLFTQHQRRMHAPWAKSKQATEEEKQQFDATLEAVRTRCWHEQRRP PSRSACGFCGEEFAGFQSWNQRMEHVGRHYEKGDVALDSEKEDIALRDWAIQEGILSW GGGRWKLASHR AFLA_015900 MTLTQTTPYPFPFTTNPTNQPTTKGAPRDETLTLKNVRGKIPSI QASTLRTMMLEAHSDPTKIIAHACSYDGLSSRLVQEAGFPIVFLAGYAVASSYGLPDT GYIAMAEMCDKIQEAVRATDIPVMADGDTGYGSPMNVKRTVESFAAAGAAGIMIEDQT WPKRCGHTKGKSVVSRGEAYARVQAAVDARNNGQDIFILARTDSLIHGWEEAMARAKE FKRIGVDAVFVEALPDREAMQKCVQELQLPVFANIIEGGLTENLSAKDLAELGFSAVA YPWTLVAAKLKSIRDTLEALKRSMTTGAPPMILGYAEVCEGVGFNKYWVSLLVVLRWL SLKMFANCGLGSGGEV AFLA_015910 MSENEVKESDAIHLEQAQSQDAKDMQHVHVLSADQASIRRKSIE GAPTVLFSVIVFFFLADSPGTAKFLTESEQTQAVERLQTVDQTAKNKMEWKQVLQGLS DYKNYIHMTIHFCCNYSFAGLSNFLPTIIQEMGYTSVNAQGLSAPPYFASFLLCIVAA LISDRWGHRGLVITVSAVVGMVGYLILAAVEDEHKTGVRYFGVWLATCGVFPALSINI TWLLNNQGGDSKKGAGMAILAVFGQCSSFVSSSAFPDSEG AFLA_015920 MFPLSPVLTDFYNTPENHYWLVTQEPMLCCTILMISSRYHVLPG LGGTSRAYSIHNRLWQHCQHLILRIMLGQEKLSKAKTRHVGSIEALLLMCEWYPRALN FPLENDGWDSDLILTEPDLRDPPAPASEIPMSDRWKEDVVEPTRRSDRMSWMLVSTAL ALAHELGIFKNDNGKRKDEYDVPGMRSDAEVYLQQLEWRRRRLPSLLYVFANMLASRL GCTSLIPEIPIVNAAEGVTGCPYSHLESILHIEYQYLQVFTNSIKVQSLVESILNSPT QSASTDRTCIDEVINRSSEILQWLLIQPSIQYLPIRVFLRIISSSIFLMKALALGVRT TQLHTSLNLLEQTISTLQSSSLDDMQLVSQYASLLQIHVSRLRQTFMTSAQEREKDVQ SEGQENTNTISLAGQTELVQGSHDPMLGQLDEGGDDWLWLPIDPLMAPFGTWDDSGQL DYGLNAAHLDLDFIWNLPP AFLA_015930 MANIPFPTVPYPLMEPPAHREKKMKVLALGMSRTGTMSLYVALK KLGYNSYHMAECSLDQHNGSLGLWTKAINAKFHGNGRKFSGADFDQMLWRYDAVTDIP CILFAEELMDAYPDAQIVLTTRPVDSWLPSMQQTFYAILSWKRWALLEFIDRSYIGLY IPLLRSSLSVWTGGNWQDTSRLPTGFEAHYDQVHAAARARGRKVLEFKVQDGWDPLCQ FLGKEVPSEPFPHVNEGDFIARFHVIIFWVRLVGLAKKGLIWASPVVAVGAAWWYFG AFLA_015940 MSGILASLEFEQIAVYKPGEVEYEKSIATAYLLYRFARPSYVVQ PTHPAQVQDIVRYLIEYNDRQKPDSQVSITIKNGSHSYAGFSSTDKGILLDLSKMNRV KLNLDKDSHPKSVTIRGGALWGHVYKQLINGRHKGYMLAGGDCSTVGVSGFVLGGGLS AFSRSLGMACDNLTEATIITADGRMVTVGENRDPDSDEGKLFWALRGGGAGNFGIVVQ LKMNIHQFQKEDDSARTRETVIAGRYTWFPYPGEVEEAKLMATMNESYTTKWVDSLAI HSTWICDLQEARTLPAIRFIVYHNGEKNSIDKQLDKLVEQGNPSDQTSERRRELAKPL RRRTDYAVPS AFLA_015950 MFFPSDKSYKYFSSFVFENDESTIKEVTSMIKDRMRAFRNQFSG QQGLLQVTPIHSGGKAGSPAANATAFPWRKDICYITYIMHSSISPEKILRRNQYEDAY YDVNVIKLQEVKAHWDRKNLFHFSQSNQLVSPEAGYAAGDSYRPATDEQLVHDQWESS TSTLPQTNDFPGIEGYPYSGF AFLA_015960 MMLPKSLLPLSIIFLPCAQACLEVVGTLFVSHSTSWNVQLKDDG EQTCSFNCNAWTTTCDATCNDGYKAKWTTTTDWRHQPGKLHYDTPHGSYDLDVPVEDG SEVTYNCCGGNVPCQCTQITYRGNFWC AFLA_015970 MPVYAEEIPIPDDPSERTDTNNMGALLESFDIGYEILADPQRAA DDVLPPDTYDLYGDNQWPSNEVLPKFRETYLLYCAEALTLCRRLMRSFALALGLDEGF FDPVMKFPGVTSRLLHYPPQPVEGEVRDGLGAHTDYECFTILSQDNVPALQVRNARGE WVVAPPIPGTLVVNIADCLSKWTNETFKSTVHRVINLTGQERYSIPFFFGVDYNATVS VLPSCISDDRPARVKPFETGKFVRAQLAKTYVAYGEEPSTKAR AFLA_015980 MPVLPQLEGSTFDADLRNRHIIYEYAAQDANGNPEKWRYEFWIY NEDRVVYAIHGGPMAGRKNFQAATYQCIRPGELWQINWLEETGTICSMCWDITNKCLS TLLAFSKGHWTESVAAHGDKRNPDDFARWRDLAKIGTQADRILLSEQAEILEDFHGAG DLEPIDPSWPTL AFLA_015990 MGRLRLQPAVPHNNHQSTSCYSRQRERHACQRTFDNVGSTHHGH APSNRSKPIWGRIYFLKRYSHTCNVCSTANINNIADTYIPGTILDSHNISRPKYTYDS NYIDSSNGTHYFNRLDRT AFLA_016000 MIGFAGRNPGLGEVGHPLSNTILLLGISHIGLFADSHRFTPWGT VAPQPYFRLNVPRFANQVGRLDNIHVLEATSTNRTTILEKQHQGTMSTATYIKRTWYR TAFFNISVIPLCAFIAPGLWAAMNGLGGAGAADPHYVNAAKSVIFCLQVLVCLFGSAM IAKIGLKWALVLGMVGFPIYASSVYCNIRYNNTWYIMLACVIDGMSSGIFWLTEGAIV LAYPEKHRRGKYLAFWLGSRIVGQMIGGSVTLGVNAGNREKGHISVKIYFIFISIQAL GPFVAALLSSPDKVQRSDRSPVSLDLPRSLKAELNIMWKLLCRKEILLLLPIILQSVF SEAFFSTYNATYFTVRSRALASLVASTCVIIANFMLGFFPDWKRPTVNTRAIVAFVLI YAFELSLYIYAMVITKEYERRDTPPVLDWADDGFGRGVCVYILMLVGFNLMYEYLYWL IGTVNRSGGDIVRLSAVVRGVESAGQAISYGINSIDQARFPLSGAVAVNLSFFAACII PSAFAVFRVGIINGVKVYRIQQDENVHVDGGSIEGRVSNSNPKPPSRLTGALPTESQV ETLKTRESHHLA AFLA_016010 MAELSLVRCPDNPLAIAKADQLLLDPVTGANGFLGTTLAIAILE SGGDVVCLDLPEETTATNWSVTDEVEQTALKYDRSLSYWPLDVTNETMVADVFAKFMP TLRFPLRGLVVCAGISRNGPAISFPISTVREMLDVNVSGAFLAAQAGAWEMRQSDVSG SIVLVASMSGYVSNKGVDTAGYNASKAAVQQLARSLAAEWGSRKGMPLIRVNSLSPGY IRTAATAEALQKPGLEEQWVGDNMLYRLSTADEFRAPVLFMLGDGSSFMTGSDLRVDG GHCAW AFLA_016020 MKTITIVGATGNQGLSVADAFLPLPDWHVRCITRNPSSPKAQAL ASLGSSIVKADLADITSLHSAFVNSNVIFVNTDFWGPFNTTGKYDVAYEQEVQHGRNA AIAAKTIPTLERFIYSTLAPMKKHSRGKYPHSHHCDAKAEIMEYIETQMPELAKKTSY IIIGAYATNPLFMPRWDPYIQKYRFMVPLKKEQRIPIIAARESTGAFVKALIDEPPRT RLLAYDSDLSIEDIVDVWTRATGSEADLVEVEAQEMHKGLGIPWEVLDAFLFIGEFGY AGGIENVIHPSQLKTPVQTESFEEWLRKRNWEEVLQGGSKELNSVTENAR AFLA_016030 MQAEPVDPIDCCTADVPEVDPDTRPSQCLAPMTPMKLTLDHFFP SEGTYNVQNNGVHVPHVEGDTYNESIPGSNSAPDPMLPDLYQNTLELANTMLLRACLH NAQRLGISIRQFFGYECMSLCSPFYRANTTMSDDPQALIENVSSPSTPAHLQPTLPQI LFPHHPILDLLPLPTLRTRAVMLAATAPTSIDAVDLKRDIIERAGIVCRGGQPWDMHS WVAAPWFLKKWKLLLGHL AFLA_016040 MASTLLTSAQDIFRKDDSFAGFKDIVAEFPPGSRLISARPLGIS TWTNTTRLSVEHPDGKEKVYFLKCAQSEDGRVLVQGEFNSMSEIYATAPGFVPKPYTW GTCYLDDTEAYFFISEFIDFSSKLSKFDRICRKLADLHQTSVSPTGKFGFHVTTC AFLA_016050 MKAYRYALYRRTRRLRLALFFVLVGWTLVEVLRIKYTLVQQSQP ELVALGSEKIYITGLHWNSELILRDAWIAAVVDLANTIGRDNVFVSIQESGSWDDTKG ALILLDQLLAENDIPRRILIDYTTHFDEISKPPTGQGWIETPIGTTELRRIPYLAKLR NVAMEPLYELQNEGIVYDKILFLNDVIFTTSDVQRLLSTKGGNYAATCSLDFSKPPDF YDTFALRDAEGHDMLMQSWPYFRSRASRQAMKASQPVPVSSCWNGVVAMDAAPFYQHP PLKFRGISDSLAKSHLEGSECCLIHADNPLSREKGVWLNPNVRVGYNAPAYSAVNPME NSWLSSFTIASGLWKNRILRWFTTPWFKENIVWTRLWKWEKQSGGNMESGPFCLINEM QVLTENGWAHR AFLA_016060 MLVYFEPAAMCGLRTDLVIAPLPAKKQLWEAGDELLWKAESQRE SGFQTVYGLAASGDLVQLGKDQLYSTNEVLLHKTVTARGSANWEEWCSGMDGLGGLGS PLPTVERNSDGKVYIEEEEQGRTF AFLA_016070 MAPFPSPTSKWHTETYPSISSTRPELSARGKTIVITGGGTGIGA ETAHHFAEAGASRIILLGRREKPLLDTKASIDSKSSSVEVFVAPTDITKKDEVDKAFA RFVGNGNIHVLVSNAAVIGPQDAVGDVDSDRFLDAIQQNLQGSLNVAQAFLHYASKDA VVIETSSSAAHVNFAPGFAAYSIAKLAVFRLWDSLAFANPELSVFHVQPGVVDTAMNR EAGGVAAMGFADDVSLPASFNVWLASREARFLRGKFLWANWDVDELKAQEEDIEASPR FSIGLVGWPFGSAGWKSTWKTQTDSSV AFLA_016080 MVCLRLAFELFNKVEEGFWETASGRVILANDMFDNRRNIQTLQI PLEALGVLSCIGVWPVWVRTLGCKL AFLA_016090 MMLHYAGHGMTKNGNFTFAETTEAKKTLNADNCLLNNLKEADII PESEHLDVLIILDCCFAHIATRAPTIPRRVVEVIAATSVQTRPARSPPHNTLTAKLAG EIAHRKRSGHKHVEFADAFQALRSRGDIVRPSHTLLVGVASVILPLNGPRTVEPTSIP ASYTALFNVSVSQDLTTDEMRQLSAWIRNFHRFASLNIDNVYRTQSMSLIMRSALSVY AKLHRLQGYSFIAENPSAPLDLNRLLTSI AFLA_016100 MATDGSHFDFVIVGGGTAGNTVAGRLAENPNVTVLIVEAGIGNP EDIPEITTPSSAMDLRNSKYDWAYKTTMVRRDDYERIEKPNTRGKTLGGSSSLNYFTW VPGHKATFDQWEEFGGKEWTWDPLVPYLRKSATYHDDPRLYSPELEKIGGGGPIPISH AELIDEMAPFRENLTKAWKSMGQPLIENIYDGEMDGLTHCCDTIYRGQRSGSFLFVKN KPNITIVPEVHSKRLIINEADRTCKGVTVVTAAGNELNFFADREVILFPRCLRDP AFLA_016110 MLSGIGPTRELSRHGINTIVDSRHVGQNLMDHPGVPFVLRVKDG FGMDDVLLRHGPKRDAVVSAYNKNRSGPVGSGLLELVGFPRIDKYLEKDAEYRKAKAA NGGKDPFSPLGQPHFELDFVCMFGTAFQWHFPTPKTGDHLTVVVDLVRPISDPGEVTL NSADPFQQPNINLNFFANDLDIIAMREGIRFSYDLLFKGEGFKDLVESEYPWEMPLDS DKEMHRAVLDRCQTAFHPTGTARLSKNIDQGVVDPKLKVHGIKKLRVADASVIPIIPD CRIQNSVYAVGEKCADMIKAEHKDLY AFLA_016120 MVEPALTLDRTFSNDIVFPVASRIRQYLQQNKPVDIAKTPPAYD FAMGETIWQTLAKNIEWKTGFDDNMTARNKTLSVPWHLKYPVGERLAAGSLSAKPIIV DVGGNQGVDLQRFADTFPELECELILQDLPETIAGIPGQLDSRIKPTAHDFFTEQTAK GADIYYLKSILHDWDDVASRKILSNIANVMQPHSRLLINEMILADVNESMIRSNMDML MLFFTNGMERTQTQWNELLATVEPPLELVQVWSATGDQQCVIETCLAE AFLA_016130 MDDKGTLAELQQVIEKYNKDDPSSWMRLEDALEKVRRELVPPQI FTMKQRLQTVNNVCIVVALEMGLFKTLAANVGKSLTAEDLSKTTGYNVSLIARVMRVI TSIGFANETGYQTYTANGCTLAQNNPGNIGGLIIS AFLA_016140 MSDPSIPLACQNLLHDWANCLDTKSWDRMPTIFAPRIDVDYSAV GTLKATAVESSVFIDHYSSPAQLGNPDIQSHHFIGACKWARELETHVRVVFQIMAVHR RAPKDGGAAVLATGHGVNTMDFKQVEGEWKIAAIKVGVLFMEGDFEGIFTP AFLA_016150 MRFSPGLLCLIGGLLPAIVSGATAPTPFFPELDDFYTPKHGFWE ELDPGSIIDYRQVYVNSLSYGIPSNATAYQVLYVTTDLNKQKTHSVTTIIVPHNAKKG WLLSVQPAYDSPDINCSPSYGLQVGAVGPALSWNMMDLSFVLPFVNKEGPILNIPDYE GWNAAFTVGPQTAYHTLDSIRAAFNFEKEYSLTGLKPDAKTVMYGFSGGAYATEWASE LHSTYAPDLTQIVGAAMGGPPPNVTDTYLGCNMGPWAELNVWAMLGVMNAVPEMKRFM DGDLLEEHRERFYGPKTRCSRCFGREKAHEPLEYQNISSFFEHGDSFLYRFRRTLADI GVMGRNGAPSYPMYIFQGTNDEIVGDIGVTNRLVRHLCDQGTVVQYHQYPDLNHMETL QKGNLDAWRWILNRFLGLEATGCRPIDLNPPIGGDDDKLMQLEGLAMDGASEDQIPLR AELK AFLA_016160 MPVTSSPSTTIITEVNCDTISHMGPLHLDVPTTHHVYEGSHGER YSPPISGSVLVPVSSLDFDQANYPNVTVGLLRTVTLRNGEPASNAPNDNKKGDFLQRI RRKRVPQTQTIATPQKEGSSTVETLVQCQLWHSPEPVEWHEEAGAVVLKFLFSIPVPP GTSGTTETPFGGLSYAVRAVVTSSSGVTVDATRDVQILSRIVTGPSQTVRHFKNYTGE RLKSELSLTPEQPTDPKVKLAYSAKLVARGTTARGDRPTERKQFFVREVRWSVEETVR LMKVSNGDGPDGETITWKEKSVRQLCSGKQQGRWAPNKKLSVQERKGHDDRDRIDLSF DVIIPRTASTPDQRDLSSCSFDSGAPCRHLTPCKFNEICTESSGERTTIMVDHRLRLD LITGEDTLDEKTGDLINRRQFAKAFTTCYTLPIHEVAGRNAIPEGTFHGNSAPPLYEG ESAMPPAYDFDEPYTSPCFV AFLA_016170 MIAHHNVIAQCMQVDQILRKDVNKSLAVLPLFHITGLVHQMHLP VIRNSTVYMLPSFTMESMLATIVEYQITEILSVPPIIIRLLTDPIVSKYDLSHVKTFS SGAAPISGEILQKLEARFPWTGFKQGYGMTESCSCITAHPPEKQTYEYAQRAGILVAN TEVKILNTQNGKELGYGEEGEILARGPQVVMGYLGNEKATRETFDSDGWLHTGDVGYM DQEGFLVITDRIKEMIKVKGIGVSPAELEDLLLGHPEVDDAAVTSVPDDYSGEKPKAY VVVNAAAKSRLATGDAVKSVGRELIEYVKAKKVRHKWIVEVEFMDEIPKSPSGKILRR VLRDRERKRESGEKRLVVRDEKATAKL AFLA_016180 MVVFQSDRPRIKIPTDITIWKWLFDSRYSPLNSNNPDKLGAFVN AATKERIRYDALKEYTTHVSTALVRNYGLQPGDTVALFSPNTIWYPVAMLAAVRAGKS LFYSPLPP AFLA_016190 MIEDPSKRPRPAMRSKDGCLTCRRRKKKCDERHPTCTGCHRNHL TCQWPTTEVAVRMRRPRRRQVLSDMTIPPELAAMVTVFAVPSPGLVRRLLDHFAKHGP MWLTSRMGNRRTAILSHIFPEAMESPLILHCVLMIAAKDLLKYDSNVELQASAVEYYG RAISGLREALSGEQLANEPTSDHNLLAVALFCLHESQNYCHNDQILPHLNAAAALLRP RLHLVPPNSSLRKFLVEMFCYFFSITAFTHSVHLSLSEARQIFEFPGLLEHLQSGSIM GTSQKVFFILFRVALQLSQVEPTTFSNRRIYRDIVSAERDLRDNRASFQILPDMSAET INDGVTFELYRLACVIFLQSLIDESITIFAPAIQEMVSSFVTRLSMLPPESPSDGFLC WPLVIVGRCAIQQLHRTAISTKLKTIFNNFRSEIFSRNLAMLKQRWRYLERVDRNSMP NFSLALGMRNFNDAVLMV AFLA_016200 MIVSLVFLSPLVGYVLAALVNNKLHMALGQRGVALIAPACHFIA YIISCIHPPYPALVVAYIFAGIANGLHEAAWNTYIGSLDNPNELLGLLHGVYGLGAVI SPLVATNMITKAKVPWYYFYFFMVGPYYSLSNEMLTAREIGISVIEAVVCPTAFWRFT GAAFRQSHEQSDEESGDEAKNGLRDALFTRPAARVSWLSSFVLLFYVGVEVTVGGWIV TIMMEVRHAAPFPSGMTATGFWLGITAGRVVLGFVTARLGEKLATTIYISCAIVCALI VWLVPNFYVSAVVVSIQGFFLGPLFPCVVAVITKLLPKHLHVAAVGFVAAFGGAGAAV LPFVAGGIAQGTGVKSLLPFVVGVSAGILLLWLGLPRQKKSGAQQ AFLA_016210 MQLKQLVLPALALSGSALGSPTPAKRADEKVGYLSVYWTTDDES VYFALSDNDDPLGFAAINGGKAVVSPTLGTKAVRDTSIIAGQGNNAGKYWIIGTDLNI DDTTWADAVRTGSRAIYVWESSDLVNWSENTLVTVEDETAGMVWAPDAIWDPEQEQYF VHWASKFYSPDDTDHTGNATTGNVLRYAYTSDFKTFTEPKDYIVGTTDVIDLCILQLD SNTLLRSYVNSSSSDGLPVEISTNGLLGDWSVLGNVADSTSYEAPYFFADNAGGGKGY MMADLVGSSPGISGWTTDDLSKGVFTKDTSHDLTFMRHDSVLGVTQSQYDALKAM AFLA_016220 MTSTLTPLKQPIALRTEYITSHPTTIRVKQHSNSWSGGNFTITK CPTDESPLAEKLFTVDGDFKSMSQRRYFQDASGLPLFEIAHKRLGVTWFVHLPGGKEN TSFSPIATIVPQWHALKDKFDVYLNNAAAGGEETILEVRGQDIFKSKTHVYYKGALVT VVKLKDMVSVYIPGKGPTWELEVGEGMDLSLVAIIGVVLATVLYQSSYKGTAPKSSGP DPDPGLGSSGKELAP AFLA_016230 MKDLPTTVDSAYEKSLNRSSDKDKARVLLHIITAAMRPLSLEEL PLALAVNAGHESLTAIRDDVEPKDRFRKTLRDLCGFFVVVVDEKAYLLHQTAKEFLVG DKNSTVNINISKDTRWKHSILPEKSHYAMAGTPLSWVAGNDRKEVVELLLATGKVGID YRDSYSRTPLFWVSRNGHEAVVKLLLATGKVDIDSKDSNSRIPLFWAAKNGHRTVVEL LLGTGKVDIDSRDSWGMTLLLLAANNGDEAVVELLLATGKVDIDARDSDGQTPLSWAA RNGHEAVVKLLQEFHQPAL AFLA_016240 MGFPSDSLLLVLSLVLNCSWASIITPGVAEVDLIFPRNESFPPS SLTPIIFAIQNPSLLSSVYPQIRYSIEEVNVNSSERVSISGSLDLAKLNYTRSDPYFL YWSTSKFDIEGTFKINWALDIYNCSHEPDSTDLTLGYLGARGRRSFFSTKNGTSQPDF AAATEDGTCEASLAQAIHVQDFLNVPSGQVWGSPTRTQNWYAPKQPTWRGSSCAVTAD WVPTPSPCNVKIGSSAATSISAALTSTACANPLRTGLNCPTPSPQNAASVAQLPVGRL WTLAIGMLLAYAHA AFLA_016250 MADVLTIADAERTLPYEEVGEAAEALEDILLLFESLIFYTQDES GSRENNEGAVELSQAQAISNIARTVSDLLIQQSNLCTAACHTALVLLNGFTQPEIEML MSVCGDKNRPRWNIVSWSKDDPCKYSPQTGEHTDDICAVLKRSWKYKTRLRISVRKDG TWTYGSTSTEDRMESNAIAPTLRLRELLHGGGDGSGGAIATRLLKKDKLNLAIAVARS ILCLLGTPMMHDGLGVEDIYIAQAADPLGQGALSNKPYITRALDHMSTGASFKLSGEG HPYILKLGVLLWELLIGKKITINPEDEEDEDGVDSNLSLFNALNREEINSREICVEKP ILDVIANCLNIYPQTQLDERMVRSVVYWNIVKPLQNYLDSLYTTRARASTLHRKRPAN DMTSNESMMKSEDFGSPACRQGGLLALEPRTKRVKEDDPGPQWRKQERRCENCGCLLT PESYAIGIVCALHKELLAVRILFDCTHESIPVPSEDSNHYSFGRMGQHDIVAVCLPSG EYGTNSAANAIANMRRSFPAIRFCLLVGIGAGVPTEQNDLRLGDVVVSHPTGTHPGVI QYDLGKQGEDGVFHPTGSLQRPPRFLLTAISHLISNPDLSPAPLQGYVDQIIATRPDY KRPDEEKDTIFDTGTVHITRNGIREHHYADGEQQSNVSRRATHPHIHYGLIASGNRVM KSASARDHLASVYNILCFEMEAAGVMNICPCLVIRGICDYADCHKNDLWQNYASATAA AYAKLLLTVVRP AFLA_016260 MTSCPETTLAESTLRGQPPWTDLLAIIGQQLLTQVKTLGPVDKD TIVTRANLATLLWFNGKYREAHSLQRDELELCMMEFGQENPSTLTSINNLASTLWSIG EWSEAVTQFKLAVQLRTALLGPEHPSTLTSMSNLAATYQSLGRWPIANEIYLKLSDLD VRVLGPHHPSILIRMSNWAVLLWETRRFREAEELETRVLEARRTTLGREHPDTLVSVN NLALTFQSLGRWRDAETLGTEATISAQNLLGSEHPFTLTSMGNLAATFRNQSRLDEAA SLEVQIMEVQKKVLGNEHPDTIATRWNLAHTLRKQQQTKEALELLESCLESQARLLGE EHPHTMAMSRTLNKWKVKRGSESGKEFKHHRPIAVARKVAKKRNPGTPEIALELQGPE RLWRKTPYLFHVTLRRIDEDARPCLFAWTPHIQGFTVSGMILLHHTPEGLENVELPVS RLPPLEPWVNKQSSLIEHAPGRAQQWVDVFPDRYLSLLKSGERYTLLWPGEKYATWEW GVAKDRVYDYIPTQNASLVLPGSPALTFTVEEGEQPSSVSKTLPMEIASHTEGAPVLT AKVACAPTAPLREGKVTTTVYVTYHYEPSGQSRPITLQIQNLFFPSVYEWRGIWEDCS PDLYGYGIWDDPDIQISPGQHKNFACLHPGETWSFTGNYELSEEVQVGSSLRCQLGET KINWWDWGTRDDHLSTKITVPCWMGPEIIEPSDNDGRPLLIVPASNPVDVQLM AFLA_016270 MVSPYILQSRSSTCRFPFSEARDFFVMMPRIVDLEALRMRESEF PSTFAPPEREVKLSELPSVTAPSKISPFNRRTRSQASENRRNDSSSEDERPKSGPNDP QPKITGQSSEDNPPDNELPRIDEDKPKATDPAGQHSPCDDAASRTVKD AFLA_016280 MVSLATVRAHNASLKSLGSGLVAVFVGGTSGIGLYTVREFARYT VSPTVYLVGRNEAQAKQVIQELSLINPHGKFQFIRTDASLLRGVDSACEVIQKKESRI NLLFLSCGIFTMKGRDVTRSLKMLFPVS AFLA_016290 MKAWLYSSTKGGLEKNLVFSPDARTPGSPQGDQLLIRVISTSIN PADYKAPAMSTVCGKVLIATTPASPGMDFCGRVIAVGSDPAARQFTPGQLVLGCLGMP RQFGTLGEFILASANNTAPLPLGVDPDAAATIGVAGRSSYQSIVPYISTPGSRVFING GSGGCGVYGIQIAKLLGCHVTVTCSTRNVQFCRDLGADEVIDYTAQDVLEVLKSQGQV FDHVVDHIGSPEGLYQECHSFLKPGKVFVQVGASSMLTFAHRLIRPSLLGGGKRKYVA LLMKSHNDEFVQIANWIREGKIRVEFDAVYEFEDTVRAFERLRSGRTRGKIVIHVTSP DSEAA AFLA_016300 MTSVINEPIKLPCGLVLQNRLVKAAMAEAMADKTRVPDQKFTRA YGEWGKGRWGALLTGNIQVDPRNLGNYYDLATRESAPREDQLNAWKQYAASCQEQGTP AIAQICHPGRQSPRGAGERGIFGTPIAPSPVPLKIGEGCAATIIRNIAFGVPKEMTVS DIKQVVQQFVNSARFLAECGFAGVELHAAHGYLLSQFLSPKSNIRHDKYGGSPEARAR IVLEIIKETRAVVPENFCIGLKLNSADHSASDFEDTMKQIGLFAESGIDFLEVSGGTY EDPTMMGRGLKEEIASETVQRTAAREAFFFDFAKETRRRFPGLILMLTGGFRSRQGIQ AALKGGACDIVGIGRPAVVCPNFPELIMDDKYTDDEAKVILGKVPTPLWARIFQIRVL GGGAETQFYAGQIKRMATGYATHAP AFLA_016310 MGHGFGAVKAGGLFPFAERFAEAGYAAVTFDYLFFGESDGLPRN LLSISRELQDFRDVIAWVRRQTDKWDTNRVIAWGASFGGMHVTTLMAEDHDLVAGIMQ GPCVDGLAASRQVPVFKTLRMLPLSLFDWMLSLFSSKAIYIPLVGDGKHGSSLAMMSG SEAMAGWKRLTTDLGADFVNKVTARTILTIPFSRPIKKVHRSRKPLLVVVTTWDNEAP LHKAKQAVRLAPLGEGFRVPGGHFDLYAGGVAFEDNIRRQLQFLQKVLA AFLA_016320 MSATIPDSMQALVGNRLATARLANYALGKPCGDGVKVQTVPTPT ISDTEILVRVKAVALNPTDFKHVDFLAPKGAIIGCDFAGTVAKVGSKAPGNWKVGDRA AGWVHGGLYYDRGSFAEFLKVPGDLAWKVPSSVSDEAASTYGVSAVTAMLALNARLDV PWADGGPEGGQRDSPVFIYAGATSAGLYAIQVAKLAGLKVVTTASPRSHDLVKQYGAD DVFDYRSPTAADEIIRAYPQINRALDCFSEGGSTDFCIKVVQKAKGKVVTLLDRGKTT DDGVEVDFLLGYGAFNLPYQWMPPVGPRFSANPSDNAALRRFYASLHDICDQLRPPPL KSIEGGLHNLPKGLDLLRKGQVAGTKLVARLE AFLA_016330 MPYLKLRDGAELFYKDWGNPDGEIVTFSHGWPLSSDNWENQMFF LAERGYRVIAHDRRGHGRSTQTWNGNNMDTFVDDLKELFEHLDIKDAMMVGHSHGGGE VTHFLGKHGTSRVKKAVLVGAVPPLMLKSAANPEGTDQSVFDSFRQAMRKDRAQFFLD VPSGPFFNFNRDGVQKSEGQIRSWWQQGMNTSFKTAYDAIKDFSETDFTEDLKKIDIP VLVLHGDDDQVVPIEASGHKSVKLLRHGKLKVYPGGSHAIHNINIEEVNKDLLDFLQS AFLA_016340 MKVSTLLTTLCVLGVASSADPTAQCDNGPVQCCATVGLPTDSVV SPLLGLLGVVVPDMSTPVGLTFVDISDVEIDVTALGSRTRCLMHFKFIVVGKDVVFRT TLGSLA AFLA_016350 MTKIALIKGSTRNPSVGSAIAGWIHNILKPKTTDTLQIERLDIA DFNLPVYDEPVIPAMVPAVKQFTKEHSKKWSAAIASFQGYIFVIPEYNLGLAGGTKNA IDYLYSEWPGKPVGIISYGAKGGSNASQQLSESLRVVMKMEVMPTKVLLPFAPGSDVL SASNEGTLGEDSRKSWEADGKKEQVLQVWEELKNALE AFLA_016360 MAYSTIILLCAALAQAVQAATHLPGPSGPCRVQTTHAKLLDTSR VDPFSPTHDKRAIMATSYVPVNCGHTKFEPYLPPHTEAVTDQLFRSYGMPNGTTIKGF RIESGFASNDTSPSDKQYPVIIFSPGLGASRLYYSLILESVASTGFVVVSVDHPYDTS SVDFPDGSVIYGVNVSATDPVTLNTRVQDVIFTLDQIHDNPHLIPSSFTDTLELDRVA IVGHSFGGATAAAAMLNEPRFAGGLNFDGALWGPVVEEGLDRPFINFGHANITQLDNN SWGKIWPHLRGFRRELQLAGSLHLTFTDFPLIRDVGGWPVKVKQGTEELLGSLSGLRV RAILTEYIVASATFFITGEKSKLLDGPSSDYPEVKYVA AFLA_016370 MNGTQASNGVLHLDALIIGSGFSGIYLLHKLRDELKLKVKIFEA ESDIGGTWNNNRYPGARVDCPVPFYAYSLPEVWQSWNWTELYPNQKEIKSYFDHVDRV LDVRKDCLFHSRVNEGTFDEATGRWTVWTTDGKVATAKYLLVAVGFASKSYLPDWKGL DSFKGTIYHSAHWPEAEEISVKGKKVAVIGTGSTGIQIFQEWAREAEEAFLFQRTPNL CLPMRQQELHAGYQVKDKGEYADYLAECALTFGGLEYQQTPKNTFDASEEEREAFWED LYQMGGFRFWQNNYQDLLTSLDANREAYNFWARKTRARIQDPKKRDLLAPLEPPYPFG TKRPSLEQDFYEQFNKSNVHIVDTKSQPIVGVTPTGIVTADEKVHEVDIIAVATGFDA VTGGLLRLGLKDVNGVGLDERWKDGMSTYLGMAISGFPNMFLPYSLQAPTAFANGPTL IELQGDWITSLIRKMEMENVQSVTATPHAESAWNDEVNMIANKTLLPLTDSWYMGSNI PGKPVQSLNYLGGLPTYRERCAKVLDEDFFGFAKA AFLA_016380 MSSSVEIPKQHKAAVYDKPGFVSTSVKLVETPEPGFGQVLINMT HSGVCHSDLGVMTNSWKPLPFPTQEGQIGGHEGVGVVVKLGPGCDNSQVKVGDRVGVK WVAAVCGTCCYYTPGTFQQYVLGPIDYVTPIPDGVPSELAAPMLCAGLTVYAALKRSR AQAGDFVVISGAGGGLGHLATQIGSRGLGLRVIGVDHGSKADLVKENGAEAFVDITQF PKDDNGAAIAEHVKSLTNGHGAHAVIVCTASNIAYAQAIPMLRFNGSLICVGIPEGDL VPIATASPGLLAFKQISIEGSGVGNRRDALDVLDFVARGIIKPHVRMEKLENLTSIFE EMHCGKLNGRVVIDLS AFLA_016390 MADTKSFPDPAGDPEAKKDVIEEEAPMDERTLKLDRQTVLRLDL ILMPMTLMLYLLAWLDRANVGNARVFRPFHTNCSAITVTYVPYVVSELPSNLLLKIIG PRLLLPTLCTLWGMVTTLQSQVHNYSGFLACRFFLGLLEGGLFPGIVLFLSNFYRRHE LQVRIALFFSAASLSGAFSGLLAAAIQQMSGLRGMKGWQWIFLLEGLFTVCFGLFSFL VLPNGPEKVITFRSEHTERCIARLQQDGNKFETETKVSFKEVFSVLKDLHVWIACLIL FCNGACLFGLAYFSPSIVQALGYSSTKTQLMTVPPYACGFVVTMITAYFSDRYHQRGI GAFITSGIALIGAALAINGRSTGTALC AFLA_016400 MVLKLYGFPLSTCTRRVRTVLAEKGVEAEFHSVDLAKGEQKSES YLNDLHPFGKVPVLQDTETGVQIFDQPNRKQSHQPVPFQQVRRARNHTLPSRVRSESL GSVPTGTMQALSIEQSYFDPIVSQIAFEKVFKVRKGLGETDEARVQVLFSQLTPVLEG YERVLSRHKYLAGDQVTLADLAHLPYGVFVEQFGFADLLPKYPHVQKWWEELKARESW KKVTA AFLA_016410 MFRTALSVPNNSSRPLRLLQHGEKVIQQNHTVNVVKLQDVSVFQ VHSGSLAPGAAVMGVCLVVVVSTFYEWWKIRRPITMSPIEIAKAFNAPVLKANGVNGY YLSLVIDKYGKAK AFLA_016420 METEEMRFIDSLTSPESDNPFPKYWREQSVSSSNHSSPPLSKAL EDLFRNITLSLMSSNIFQPNYTVKAVPETNVTITSYHSIYIYNRPILWAAYGTARGAT ALSSIAGVLVYFSNGGSYGTKFSTVFRVTQGAKVSTNLSMKDYSGFDPLPDHIAKAKM TLGYNPDYPVRVSSTAPLDQPHQRPTDSSQLLDTTTGDSNKSSGP AFLA_016430 MAISTFLSSVPGVVMGSAIWLSAESPRWLVEKEPYEKTKVVLER LHGNCLNGDFIQLEFRETIDTIKAEKQVAVSSWKEMIPRACWRRRLTLGMCAQAFGQL FGITVINYYGPQIYSILETDTGTSLKIIGISGSLSIVYCVVGLWLLGRIKPLVVSSSG MADAFLVNSVLSKLYVLADNPSSNSNALRAMVAMNFIFSLFFTMIGIISWVYPADKLI RFMLFASIPFNVVEIKQSMLLLSGISRSNKIAHALIRVCIGVSI AFLA_016440 MPFLFFPEEYWLSKTLEVSSPPSVWQLTEKLEEKSEISGRKDIQ QLGSMSYARAEFKCCNTSYPYQEALITIYLQLPAEESMGLPPSMRRREATDRKLSRFN QWVEAYRRLTLHDCDFVPRFLGSSHGRQDDDGLVPGGFLSYIVFTSVPGDGLGIGTSG SPRAVLNLSQEDQKRCRKTDIPDGTFWALSAKERAAIRQQFQSDFT AFLA_016450 MPNSRAVTSKLAVQECLPDLNVSDSRTKGSALHDVYYVGPLSPW PNFLGHVGIEFRTHDWARNQHHLYLEPRGEPGPHSLLKQQSLVGNEPGVQGRWQSQLA EVMTTVFHEQQFQMTFADFVCSGKDYTKVPDFVVLKA AFLA_016460 MDIDPPTAARAWVGRSSTIAGSMAQDEYFNEVSSQCQQLLDRLQ QVIQRPVPCAFKTLQVFAHGERLEKFILLDFCMHAWSAGIRTFRHLDYSSDKGTVEVE WHWQPARTVDDRVAMHAVPPSSSRNVD AFLA_016470 MGLLVVIYPATSTAASQAFKQPGSHQVYYYCAAYFPSPPLLSLF PLCDPTSCLDLLTHHSINSSKMAKSKAVTSKLAVQERLPDLNVLKCLFIEDAVSSV AFLA_016480 MVVVTSSLHLRWLHYHIHKLDRGRIAELVSFNDVDRSSLECNSC EVGVDISTPCLFIAWAIHIPLVSHIGAFAVLLMRILIWFRLDYVTISYIWTRLID AFLA_016490 MALEKLFIVVFLCFALRPLLLYFYDSKKLRKYPNQNFLSGVTNL ASIRERQRKFRTRELYLQHQKHPIIRVAPNMLSFRDVKAIKDIYGFGSPCQKHEMYKL QNGEGHMNILNVIDREDHNRKRRMLSHAFSTKNLESWEFKITDKVEKLVAQFDRRAHP PAWKNEPSQPNNITVDVRYWFNLFTVDAIADIALSERLGMLESGSDVVKVGGPGEEDS AHRFIEDVHEAARVKSKIIGTLDWYYVLKQVSSFLSSRCRSQWDCGGNVGQIVEHLAG KRLRRHEDGENIDDFLSCLINDKAGKPRNLAIGELKAETSILLDAGSETTAIALTHLL YYLIKNPDCFVKLRKEVSGAIAGDKVARYAKVKSLPYLKACIEESLRLSPPLPRGLER VTPAAGAYIMGEFIPGNVGVSVPAYVAHRDPDLFPEPEAFLPERWFNNENIGKMRDAF IPFSAGGRACIGRNITMIEQQILVATLVHRYDFSLASPDWTLQNEEAFNLWPVELPVK IWERDLEA AFLA_016500 MLLLWHELEKISEGPGDVNEMFTPPSLWLFSPFATRMPYFCSTI LRRELASTRKASLKDNSAVDDISEENILTTASYNRLVGVRLGCIPARS AFLA_016510 MCRARTVPNFGRGNQYRTFPSSVYSVPFHCSGHICSQLRSSPWR VDRSRFLRFVLLLDVFPKTMQTVSAVRRTTSLQNESSAGSALERSNFGHEPGSCKRLS HLVPCIYPERSAS AFLA_016520 MSTPLSYFQSASWCAAWLNHPDLRIDTSASNPFRETTKHDDAWK KRIFLYRASQDPQGIKSEGYIVMQIGAGVSGKDGVAHGGFLATLMDELTGGLVAALGL DRGLGIRTASLNTTYHKLLLAQGFIMARAEIVKVERRKVFVKAEIRDAAGNICTTSEA LFIMNRSSLS AFLA_016530 MWLLTLGFLATTGPSLFLAPGLGIDALPPWRGLVEGVYLLELDH PKGAGQTHCDESTIPPMASLATTGWPGALPDWSNLNVLHRNTLAPRAHFYLYPNEEAA LTFDREESLFHSLNGTWKFHYDASPFVAPSWDSNVNDWDDIVVPGMWQTQGYGRPHYT NIDYPFPATPPNVSYMNPTGSYWREFEVPSDWDGQQIRLRYEGVDSAFHVYVNGEEVG YSQGSRNPSEFDITEYLSPNDTNTLATRVYQWSDGSYLEDQDQWWLSGIFRDVYLIPF PQSAITDFSVMPELDDSLEIGTLNVNVSTQGEDGDMSIKVLLPNGEVFDSWSGSSSDR YSKRVEGDDLHLWSAETPNLYTILIEFNGRTISQKVGFRRVEMSGSNFLVNGKPIIIY GVNRHEHHYLSGRTVPYEAMRADLIQMKRSNINTIRTAHQPPHPAFFDVADELGFYVI SEADLECHGFGHIEDTEEQAATWLSDNPEWTHAYLDRAQQVVERFKNHVSVIIWSLGN ECFYGQNQAAMYQWIKERDPSRIIHYEQDRKAESADIYSHMYSSPDTMLEHMANHTDK PLILCEYAHAMGNGPGGLEEYVALFRSEPLSQGGLVWEWNNHGLLKKEGDLEYFAYGG DFGDEPNDADFIMDGLTLSDHTPMPSLLEYAKIIQPVTVRLTEDSSQMIVTNHYDFVD LSGLNVFWHMVYDGRTTNRTELTLPRVPAGENRTVDLPLNIDDVSQEAWLTIEFELKE NRIWADQGHIVAWDQLYLPGTSVQRSMAAVGRAVSPSARQAGLQVTQDRAKLNITTGG TAFGFDLLQGNVTWEVDGVSMFQQGPELYFYRAMTQNDEASAGDGVEWDAAKVGMMHT QVRDVTWSQSENGVTVHFQIRVAPKVLEWGVEADLIYTVSTGDPAIRVQARGEFVGKN TPSVVPRIGLMAVMSKEFSDVSWFGRGPGENYKDSKQACRMGRYESTVEDLFTYYDYP QENGNREDLRWLQVSNGEVTLDVRRADEGASFSFTAGRYMPFDLNDAKHPHDLNPLNV TVLNLDYDNHGLGSATVGPKPFEKYKCRTEPFDFTFVMSLA AFLA_016540 MALLLYNSGKAYLLHWAQTEDRDALEKAIIVTRQSVECTPEGDD GLANRLRSLGACAFAMFKHTDRMEDLDNGIDAFRRAAQLVPNNHPTQSNSSALLRNAL LVRFQAQGNLQDIDSVLSASREAAAAGAGENDHDAWALYHLGSQLDFKYVNTGDVDCL HEAIQVCSQALDRPGEDHVICAKILALCGQCLRELFEHTGDISELERAITDCKQAAEI MPEDHHALPGILQRLAFSSQEQYQLSGEIKYLEEAIKIAHRSLSLASIYGHEMSKFLS TLGGILLRRYSATLRLRDLDDAIKALRKSLENESQMPKVVTATNLDILASCLGTRYRR SGDQRDLQGAEHASRQAVEIAPASHAGLPAILSNLGRYLMELFQATREPNYLEESMQT FTCALDRVRSPLHAQLCLGIMAGCRRIMYMITHNDEHLEAAIEICRKSIAALPTETDI LADCQDELALLLHCQNSDDQEEALGLSLQVWNSLRASPFGRIRAANRAVTIYIEQSNL EKAYALATAAIDVLPLVHNQSLTLQDQQEVVQVFSGLATYAFSLALRTKRSPIEALDL LERGRGVILRLLMNDRSDLFKRRNTQLGLSAEVEIPLYEMHTPTVSLCSGNAANYHFD SQRAQGGSEAPTEDIHFSPTVDSSEKRVTEEEVKEGAARGRVIIVNITSVGSDALVIS STGLKVIHLQGLDPDEARDWIKKDMTATLVNRGANNKAYLRFLSWLWRVCVKPVFDGL QYKVHDSPEDMPRVWWVGTGLASSFPFHAAGNHLTERNESANSRVLSSYTPSLRALLY TRNREPLSDQATQCPKLLTVSMATTPGADDLDGVLAEIVAVTDTIGNYVHAECLSQPD ADTVKRRLADCHIAHFACHGVSEPRDPSQSGLLLQTIGDSPEQDRLTVGSLYEIDCSQ GQIAYLSACSTAENRSKWLVDEVLHVVSGFQVAGFRNVIGCLWPADDTVCAEIAKPFY SELCRGGKMEYNDGTVSLALHKAVLQISRSSQYRKRPLHWAQYVHFGA AFLA_016550 MRQSAFLRQFFLPPTSVKIGRFLCNIDCPHQDYHDPALQSAPPV IEKVQTQYSGSEALSSTNTFASDLNALLSTWISTRTAAAIHTSTSQVKTYYLDNSEQW FRDAVQQEEVRKWMERVIDEGESIYLVVGYHTVLDARIGVQKSEGKEIGGQLTAPISA GLNASGVVVPLGGLVDPSVGGSRDHSESLEMQFEAPGEQIVAVQYRKVKFGFLSSRNV DTAALNKVARWKRYDRPRYLQSEADDMVEVELEDLLDLDGEFEEHRIGSETILFAA AFLA_016560 MTEQNKPILPESGKRNFLVTSALPYVNNVPHLGNLIGSTLSADV FARYGRGRGANTLYVCGTDEYGTTSEARAIQENMTTKELCDKYYALHAEVYKWFNISF DIFGRTTTELQTKITQDIFLKLHDNGFLSEHVTTQLYCEEHKSFLADRFIQGECPHCK YIDAYGDQCDLCGQLLDPLDLIKPRCKLDGATPVKRDTKHIFLKLDKLQPEIQAFFDE SSAKGGWSQNGKDITSSWLTKGLQERSITRDIKWGTQVPLPGYEEKVIYSWFDACIGY VSITANYTDQWEKWWRNPEDVELYQFIGKDNVAYHSVMFPGTEIGTREKWLKVHHLST TEYLTYEGGKFSKSRGIGVFGDSAKKTGIPADVWRYYLLSHRPETGDSEFNWDLFISS NNNILLKNLGNFVSRVVKFVNSKNYDNIVPDYTAYTEPAFDAWKEEVNELLTQYIQQL DAVKIRAAIDTVLTISQKGNLFLQSNSLDNKLAENEPAKCAAVIGLALNLIHLLSALL APYMPETAASINEILRTEAIQIPDRWNADTVKPNHEIGKAKYLFSNIKPEKANEWRDM FGDEEAKKVKEEEAKKKAAKKAEKLAKKEKKKEQKEKEAASADGVSEISQSAEKLNIQ Q AFLA_016570 MAAEIISILDAEGIDKVHAVGHDTGCTLLSRLADYFPERLLSCV FLDVPYMRPGERFDLDMVNRVTRDILGFERFGYVGFFAGKGSGGLLDRFADSFFTLFY PHSPSLWISHLCPTGAIEQWLLSDNRAPLAPYITEEELKTHQKLLVGNHDSALNWYRA LVSNINLEDEKNHKLPLRYLCRSLNLSEEVGVGDSRLEEGMRAVCAGDLVVRRVSTEG HWVQLEAREEVNRFLEEFFEGVGV AFLA_016580 MIEQEELRPLYNPWGPTTTTIKSNRLVSHTYDSIIFYRWTSPDG VFERGRIMARLVAEMMEKNRRRVWLDQWEMRRDTTSDQVVRQISDIFLCIPKVIILAA PGDWDRFTNADDIHRWEWELSLQSDKKIWILRYGVPETTQAPSKEQLAADLRHHSTRL ADLAMKGNIQVRVLTMDNLNSVLSELA AFLA_016590 MTLFNATALGAFQLKHRVVFDPILSEWSHVELENYFEKLNPDGG LVLVPPACSTLPNKAQKRVIDRLHALNDSIVFAQGI AFLA_016600 MLQKILDETSDPSTAVATILKVISAVATLVPTDQVGIRLVPFSN VESQQQPLEFFCTLIESIASQLPTLCFVHVVAHARFDDFEYPRNASLDEFRGALASAS HSIAFISADAYESETASTIAARTQDLVAISLPAEIDLNLITTLRQGAPYEIAEVTADR LNAIRSTFQTGKEYVLEWPSEQKRKVFGAMDDLDRYLGELDPALSYEGTDKKVVWRKS CWFASEGISHPFLDTEKAIAAFDGDLHDGLAGLQALQDPSVRQSMVYLKNVLDSALVT TSAAVGIDKDMIQRCTVRYRIIKYTAHAGNPGGIGLHPDGNLLSALITNGDGLRVYDL DGTVRYPGYNGTIMMGGSTLYRWSQGHYPPTFHDVTTNKDQVKVSIVAFFNFPDLVTI PRALNSGSASDGGFFHDIRVIKEDDKLPHGQLSPLWDVIIDKHQLVLPPAVTAK AFLA_016610 MSTQPQPPKATTYTQPQNLISQTPLEQDQQQEQRTRHHGDNVPT ITRGPQSRPSNSDALSEHTQRKQQPRDLHSAANVDTEYGVEQQPAEGDIAARVEGKST RAREQAGAHAGPVGSALGPGCPASASAGDGGELRELGRKREEHDRMLGERVGQSPAEP EGVEGGSERDRAWRRKLEREGEVDVGRAVGEGTGSAVVR AFLA_016620 MAASNQEQDINPWSVEGARDENGDVASIDYEAISQKWNTSIIDQ KLLERFEQVTGHKPHRWLRRGLFFSHRDFDRILNLYERGEPFFLYTGRGPSTGSLHLG HTIPLQFTKWLQDVFDVPLVFMLTDDEKALFKDNLTFEETMEYAKENARDIIAIGFDK KKTFIYSDLKYLSNHFLMNAWEFSKLVTFNQVRGAFGFNESTNIGRIFFPSVQCVAAF ATSYPEIWTDDPQPTRTQSIANIPCLIPMGIDQDPYFRLLRDNAHKMRFPSPKPALIH SKFLTALQGPGGKMSSSNPNSAIFMSDTPKQIKTKINKYAFSGGQVSVDDHRRLGGNP DVDVSYIYLTYFEEDDAKLEEVYKSYKSGSLLTGELKKMAIEALQEYVRLFQERRGLV TDEVLEEYMQPRKLVWEGNQKPVKESF AFLA_016630 MSEGRPSLKLTFGKKKAPEEPPKKPAPPPPSETPQRKLTLKIAR KPTTEGEPEKAKKKKPSKKRPADEPAVSEPSASRPPPEQPAGPKRLKLNPSKKPGVQS IRIKNKGLVPNRPVGVGYDSEASDTEIDPSIEEGFILRMLPGEECEYLRRAIAERRFD RSEFSFKPLTREGRRAVFRVRDKQFAAALVDLPCIIEGMKSWDRRGWYKSGDICQMLL VLGPVANEKEALEYPLPPEVERGDDKTLQYAHGLTPPLQWVRRRRFRERVSTRTIEQV EKAVEDLIAQDEAAVGIPRYELVDSASLNRAEGLVQSGEYDEYDDEQDAEGEWDMGME EAPGIFEDFEDALAAEMEAALAADDNAAPPAPAATPVDSGVYQAGTPMATKPSTPAAE SSGDESDESDGDERGAAEDGLDEEQLEQRQQMQQQREEIAELEALIRTETAKWEKMQN QILKNKLARRIQELKKDLSLKKVSIGEGDEDA AFLA_016640 MRGLEGFLSWFTGPANREIRRIAGFLPSEGDVVDTDFLEKEDYL TVLKKKHSKTGEESRYAGTVLGKNAEEHPIVIEGGAPSIQEWGSQTEALLGNTLSLAK GAIGRGLARLGIIPARTDGQHVYASGRSSRHEDGRVQTSKIWRSGVSTYISCTGCNSN KGMRLRLLAE AFLA_016650 MVYAFTLPTTSHLSFQTHLTSTTHPSLPQAASTARHALRTALKT HKRLPRGPQQDAHLSTLLTTLTDYLPYLTAITNSLTSTRLPETPSEEIEIALHSEPEP SWRPTLTSASLTLKQPRPKGLGLEYELAFVLTTYAYVLSKLAHTTVTRILYAPTTPTP EARTAAITTATKHLLQASAIHSLLATSPAFAHVSRSVSSAPGIVPDLDPAAQAALASL ALAEATLLAVLKDDSYVSACIQARNPNDKEWMVRAPEIPKVRAHLFARLCIRAAEYAE QAAAGLGAVGAQGRAGIEEDLIKYVRVLGRVARARACRFFGVDAELAGKIGEGIAWLR AAKAALGVRGEKQENEVKSRGFSRLKQGWMERREERKMEKDAGRMEKGELGPGDNAGR EEEGRVIEMLETKWVRMNDTINTQLIPPSADLVANLPSGRDIHSAPGAYRLQALDDEE LVRMRAPPVEDDFGPGSDVDDSEEESGLARDTPSTVPERTDSAYY AFLA_016660 MPTPESAAFLAKKPTVPPTYEGVDFEDNVAVHNARDAIIREQWV RSMMSRLVGEELGKCYAREGVNHLEKCGALREKYFELLKERKIKGYLFQEKNYFEKSA AFLA_016670 MSLSRSPSPHPAGGGWSSPGLTTGSGSSTPHSGFLSPNPLGPSG ISWAAAKAKSDEVRGYPSFSTRNNGFFSRSKRRISATLPSFRVSSRSPNGYIDKDDFG RDRPLSPGRGWRSCGFGRTMLRRRRLRLLIALILVLVGYFYFWTSFLERYRRSSFGGG SKFVIILESNVEGGVMELKGAREWAVERNSIRNKQEYAKQWGYELEVVNMLAKKRYSH EWRESWEKVDIIRETMRKYPHAEWFWWVDLNTWIVESSYSLQDHIFNRLGDISYRDIN VYNPLNISHPPTGAYLDDVSRSPVGDGDPSSIQMVLSQDCSGFSLGSFFMRRSVWSER LLDIWWDPVMYEQKHMEWEHKEQDALEYLYTNEPWIRSHVGFLPQRYMNSYPPGACGD GGDPDIHFVEGAGDFMVNLAGCGYGRDCWSELWEYRRISQAINRPWRERVEDKATELY EKFFGRQDNSPQS AFLA_016680 MVLENGGGRDERLTDAGAGDVVEGSWGGTRFEMELDGFEILRLV LTSGALMYSNRYLDQKILKRERVLSLS AFLA_016690 MPPKVNQGILTLRQVAFSKSDIWVHEADSQPATSLGDVYNSLLQ ERRPCAAQRAASTGAMDMSRHQIICGAQRPIITPTCCMFGFIRAWHGCVLTVCDPRTQ ILAVFHVSAGSCESGGW AFLA_016700 MEATQESTQPCTDPRRMGYNNSGLHEQDVSDIICILHPSSPAAH HAVAASASSAPQHILQRDELAFESSAALDIGLRLSSKVHDLNTGFCFGRNRTRCDLLL ARDQNSKRISNQHFRIYLTEDGIIMLEDISTNGTIVDNCRLRKNQRENSRMLTNGSVI QVMNGDQTSDEVRFVVRIPSREGFSMQYTENLLRYFERIQKHRAGTTQVKPRQTSAQP VLQWTVSNTYGMHWTGGPKYNVTGQIGKGAFATVYKLATKQHGAVYAAKELDKRRFMK NGVLDQKVDNEMKIMKDLKHPNIVQYMDHHEHERWIYIIMEYVPGGELSTYLQSTGKI PEDMVKTIARQVLHALQYLHTRKITHRDIKPDNILISSLDPLRVKLSDFGLSKVVQEE TFLKTFCGTLLYCAPEVYPEYDSYRRGEVRKRRRLGDPPPKTSPYSQSVDMWSLGAVL YHILAGVPPYMGRGDDRGAQMLRTIMTTDPDVDILRNEGVSEEGIDFVTRLLNRDPQA RPTERECFQHPWIAEVEDVDEYDDDEVYANVRGELSDIGEDAEEELDASQLSIHDEPE PEDPAEQEDSDLAQSKRPRIDYPPTDVRYPSLPHIESLKEVQVAADTTPKRLFGEITP SVLGSSDALGSKMHAFEGDNFSINDFISSAGESMVSDGNSLNSILSLPEDPICGSAPS LMGAENLVGQLNMNSWHPGTSNNRPPVVETPAQPTGVKVGDSAEKREAKTKQRTSPGN ETPKPAIFSRRIELPMPDTASERSSPESIAQRAKDERHQTKSIPGEIFDIELANTIDA KTGQPILDYPERTAGDAPADPAPTTNPKIVLQPRKPPILLGKLTTLPGSIFELTIPLE DRMTSWGRGPQATVCYPDPMDTRIPAYALEVTFWAPAIESKIRAGLDWMSVPGVMAIL STKTRKCIWVNDTELRRGPEGDTSREGFHFGKLYTGDVITIYQHRHKFLKFRCEFYHG DSACTRPENEKGFTVRKVLVPKDAVANQLPGRKDRNEKK AFLA_016710 MSFCFWDLRSTSPAHGSFELAMGNQRAGPAEMEFFQRDFEVMSF FTSCHSDCFLTSHLLVVKYLREGDEVYGKIVLDDDKIKKNDGGKNVLLQTCMTERARV EALKDQFGIELTEEEQNGIQGRMSALATSDS AFLA_016720 MITAEGAPNKTSSEIDVVHEFALHQTNFDQKSYYEHLKRYAAAI EKHLSITNPGRVPAFKKAFKEYVTKMMHHWGSLQCYVGPSLDPNGMVAILNYREDGVT PYFNF AFLA_016730 MASLSTASNNKANTWQGVGAAEFDLRSDTMTKPTISMLEAITQT TLLDDVFCEDPVTNDLQAYVAQRTNHEDALLVMSGTMGNQVAIRTHLVQPPYSVICDR RSHIVCHEAGGVSSLTGATVQPIDAKNGSYLTLEDIQKYAVLDDDIHHCPTKLISLEN TLDGRVLPLAEARRITEWAHANGIKVHLDGARLWEAVVSGAGSLPEYASLFDSVSLCF SKGLGAPIGSIIVGSREFIKKARWFRKAIGGGVRQAGVLAAAARVALDETFGTDPHGQ DGKLRETHTKAKKVTEMWQNLGGKLSQPTETNMVWLDLDAAGLGPNDLGEIGKEKGLK LLGNRIVIHYQVSEEAIARLGEVFELAMSGNYQRSTDKSLPYGSR AFLA_016740 MDLSKLTRPAILCQCLRCSSSLAALENEWAKISNSYSVAAGWLS VELHRISISPEKKQVPQSSDLSILRGRILQEIACKLCQQKLGVLCSLDNGPNVFWKLS KVSFREIVTMRTVEPSFKDGLLERLIHPPQKESTRRDRTSVQPGALVPVGSSEMDHYT TSVEQQIQHHGLSLDHISSSVSNLHDTMSELKGAFTALRIELNGPGRFSDLGNTMNND FNMITTVLKELKSKSEEIEKLKLEIEALKLKNRYMEEQNAKQQQQTSTLAIPGPLPEV RSPGLLQAGRKRPWPDSWPSGRTQPIADSFDDGDEEDSIDFSLEDPHMPPVRIPLKGP ETDAMMDTPHEPTAPGSPNFRVQVNQSRHQSPQWGTPELRASVEQHTMSKRPRISQAP EKPPSGGESDKRRPGRPRKSISQAPKPDFSQTQTPRPTPLSEQNLNVSSSGQKENAPP STSPSQRQNGAETRPGRSRSLRSRSRPPTRHSTGLNNSFSEQDQTQTSASSQRETPRG ADDPVSFDQETGSGKENPPGKNNGAHTDDWNKREAQEKRKAQVAARDMMARLAMQREE AMETEAR AFLA_016750 MTGMKKRKRTEAGYSDDEPPVNDTSNASNGFGVAQTLSLLRGSK PSEESDPTTAMQLDDTPKDKGDMPAQRPSKKKRVDGEKTKYPVLTYVDGRLQSSIRIA DLQGLLLYCFADGIAPQWISIKHSGHVRKVVALMVPGLEIGMFDGTIPLQPPAEDVSG SQTNQNDTAGAEGQEEDPKTAEFNRWKQGLPLEDRSHRFNPRPLSRDELPDSLQPLAD MFPHVWPVRAPGDSKYNKVHSPLQAILLSALPKNKEDNHKKGPKQARMDKSFVPKRTP ITTFISSLEDLRENEYALHPALFTTDNEKFELMQSRKRAGQDAEAGWVDTHVANFEQG DVPEAEIQQGSMTAGRNVLALDCEMCITEGGKSELTRISLVGWDGEVVLDELVKPQLP VIDYLTRFSGITKEMLDPVTTTLADIQQKLLTILTPHTILVGHSLNSDLNALKLTHPF IVDTTFIYPHPRGPPLKCSLKWLTQKYLGKEIQKGQTGHDSIEDARAVLELVKQKCEK GERWGTSDAQNESIFKRLARSTRSGKSNPTGEGRTGAVVDWGNPERGFGSQATVAIGC SDDEDVVKGISSVVNGDDSNASVPGGGVDFTWARMRELEMYRGWCNRMPDPNNANEST TLVPPPEETTPTGPPASAPSETAQKSLVDTVSRTVSHIQQVYESLPPCTLFIVYSGTG DPREVSRLQAMHKRFREEFNAKKPWDELSVKWTDTEEQALKRACERAREGCGFMCVK AFLA_016760 MAPTILIVGATGNTGRSVAETLPKLLKTSHLLSGHRVIALTRSM DSPVAQQLAKLSGVEVIVKNWVEITPDWLREHQVVRAFIASHNEPNQFAEESTFFLSA LRAGVKYVVRISTTAANVRPDCNAYYARTYWAIETLLSSPEFAGLQWTSLQPNVFSPL YLSTAAELIKQYRKTGKQDPLRLVASKDAPVGIIDPTEVGVFAAHLLSDGNPTVHNKA RYVLNGPEDITGKQIVDMVEQYIGVQVEEVIYKDVSFIDFLYEHQYAATHQSKNVILS IKHAPETAWEGQCTASTTSKEVLELAAPKHTPADVLKSLLEE AFLA_016770 MRRVHAVDGSVSQTRHACSNCRSLKSRCEGGPPCHDCVRRKIPC FPSVLGRTNRRDSRGSLTERPQLPSQGSFPSAGSWKKQCFVDLYFEKFHPYWPFIHRG SFNGFNETPLLAQSVMVIGLWLSGERSAQSAALDLHKTLGLAIRQQKVSQCHALWSIL PITKKYDAGGMGCLWCRGRLQ AFLA_016780 MPAESSMAFDHIHPSQTRFSCEVCRRQKTKCQRIRRNDPKCARC TILGVECTPGQQGKVGRPKQGTASSKDVSKLSGPKSSSTTKQAVCQTRTRQKQPAARD TLGRRQEFPPFVDAEYGLGWSNMAAPANLSTPTPMLVTAAPNWPTVGIVQIHRNALTW NFTDIIIDNSDFPLANFDSTFGSSLDKAANKIPHASATTVIPGPEDAVDGIETSDALG KLSKINLELHVRVAAAEMNKTILDFNSLIYQNSPLCIDNYTLAVFTLKVSQEFVQILT RLLNSRQCHGIPSTSQKPVSLYPEPILLPLQSHQDNVLDSTSATSPPFFSAVLQPLLA PLALTIISIFTQLITVYGLFLEHLSTRIERLSTDPITPIPGIIFGGVPLETPCMQGML FFNGVIHLLETMEQALGISEREGGTVGLLSDRQVEVLWSELDGGLIITPGGGTMRPAD VKKLLGRMAMILNHFSLVDQQRRI AFLA_016790 MSLSSSTSDTKSTVAGEERDTEDETKRDSVQHVQATWHMAPELH RMRERDEAGGEKPRKLGIAWQNLTIKGVGGNATFKENVVSQLLPFHKGSNDTQLKTII QDSYGCVKPGEMLLVLGRPGAGCTTLLSVLANNRQGYEEVTGDVSYGNMSAVEAQQYR GQIIMNSEEEIFFPTLTVEDTIKFAARMKVPYHLPPGITTHEEYVQFYKDFLLRSVGI SHTERTKVGDAFIRGVSGGERKRVSILECLTTRASVFCWDNSTRGLDASTALEWIKAI RAMTDVLGLATIVTLYQAGNGIYEHFDKVLVLDEGKQIFYGLRKDAVPFMEDLGFMRD PGSNQGDFLTGVTVPTERRIAPGYEDKFPHTADEILAAYERSEVKRRMLEECQIYPKS KEADENTAVFKEMVSREKHRGTFKKSPVTADFITQIKAAILREYQLKRGDKATLLMKQ GATLIQALLGGSLFYSAPDNSSGLFLKGGALFFSILYNALIALSEVTDSFTGRPILAK HRSFALYHPAAICIAQIVADFPMLLFQVTHFGLVLYFMVGLKTSAGAFFTYLITNFIT AMSMTAFFRLVGAAFPTFDAATKVSGLSIVALFVYMGYMIIKPLMHPWFVWIFWINPM AYAFEALLGNEFHAQDIPCYGPNLIPSGPEYIDGAGGQSCAGVVGAAPGATSLTGDDY LAAISFSHSHIWRNVGIICAWWALYVGLTILFTSRWKLLGDGSRRLLIPREQQHRSKH LLQSVDEEARATEKSTVSSNASSESIGDNLLRNKAIFTWKDLTYTVKTPEGDRVLLDN VQGYVKPGMLGALMGTSGAGKTTLLDVLAQRKTSGTIHGSILVDGRPVPISFQRSAGY VEQLDIHEPLATVREALEFSALLRQSRDTPTEEKLRYVDIIVNLLELNDLEHTLIGHP GTGLSVEQRKRLTIAVELVAKPSILIFLDEPTSGLDGQSAYNTVRFLRKLAEAGQAVL VTIHQPSAQLFTQFDKLLLLTTGGKTVYFGDIGPNASTIKEYFGRYGSPCPPEANPAE HMIDVVSGKGEGQDWNQIWLQSPEHERLSGELDSMTAEALSRNTTVNDEQHEFAASLW TQTKLVTHRMNISLFRNTEYLNNKFAMHISLALLNGFTFWMIGDSLTDLQQNLFTVFN FIFVAPGVISQLQPLFIDRRDIFEAREKKSKMYHWAPFVTGLIVSEFPYLLVCAFLYY VCWYFTVGLPTSPYHAGSVFFVVVMYECLYTAIGQMIAAYTPNAVFASLVNPLVITTL VSFCGVMIPYSQIQPFWRYWMYYIDPFNYLMSSLLVFTTWDKPVHCTPDELAVFDPAP NQTCGEYLETYQRGLGVATNLLNPLDTAGCRVCQYTEGGDYLRTLNLAERSYGWRNAG IVVSFVIGIYGLVFLMMKLRTKATKKAES AFLA_016800 MSTLIEISSEAEFDSHIKSLPSTTLSILYFHAPWAAPCAQMRTV LAALASQYPATQPPTTSFISINAEELPDISETYEVTAVPFVVLTRDGKILESISGSDA VRVREAIERHAGSKASAGAPATIPPPLAAVPRETGPTTATQPPAGAANGDALTPEQSK EALFARLRELVKAAPVMLFMKGTPSAPQCGFSRQLVAILRERSVKYGFFNILADEDVR QGLKEFADWPTFPQLWVGGELVGGLDIVKDEIENDPDFLREHSVNKAPVAA AFLA_016810 MVRLLATVLRSSRAAVPSARPLYSRGYSVASGAAEDAASLGPTP KKGPPGGLHEPIRNLMDPPEPQARNIQPSTPSIGRQGIRIRTGKGDVSAMHYLLRDGC KCPQCVDQHSKQRNFRMSDIPTDIKPRSTEWDGSVLKVKWENDIPGFDESHTSTYTLN QLRNPSANYSYHSTGRKRKRLLWHNWFQHRFVSYEEYMHDDEAFSSAMRNLARTGLLF VKDIPDSRAEVEKLATRMGPLRNTFYGSTWDVRTVPEAKNVAYTSQFLGFHMDLMYMN EPPGYQLLHCLQNSCDGGESLFADSFAVARQLSIDDPEAFKALCNLRLSYEYNHENDI YTNDWPVFQTYVDEYTQQQRLMHANYSPPFQAPMHGQRRPFNRTMSEMRALDKFAKML EDEKYIYELKLNPGECVIFENRRVLHARRQFNTATGQRWLAGAYVDEDAVLSKFATSA RKYPHLWRDSPSKPSRKEAEGEGQV AFLA_016820 MLHTSVLRCRKQAVLTSSRRWAYKAACFEEGPVYKGTLPTIRSI VREEGITGLWKGNIPAELMYVCYGAIQFAAYRTTTQALSQLDPYRLPPPAESFVAGAT AGGLATASTYPLDLLRTRFAAQGTERVYTSLYASVRDIAQNEGPKGFFRGCSAAVGQI VPYMGLFFATYESLRPVMSGLHDLPFGSGDAAAGVVASVLAKTGVFPLDLVRKRLQVQ GPTRSKYVHRNIPEYQGVYNTMAMIVRTQGMRGLYRGLTVSLFKAAPASAVTMWTYEK SLHYLRELEVASE AFLA_016830 MRGEICHIHIGQAGTQLGNSAWELYLLEHGLKADGRIDPEASEE LNSGASFETFFSETSNGKYVPRSIFVDLDPSPIDEIRTGDYRQLFHPELLISGKEDAA NNYARGHYTIGKELVDNVVDRVRRVADNCSSLQGFLVFHSFGGGTGSGFGALLLERLS TEYGKKAKLEFAVYPAPRVSTAVVEPYNAVLSTHSTIENADCTFLVDNEAVYDICRRN LDIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLTEFQTNLVPFPRIHYPLISFAP VVSSNRSSHESFKVQDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCTQAV AAIKAKASFNLVEWCPTGFKLGINYQKPVRVPNSELAPVDRSVSMLSNTTAISEAWSR LDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVANDGEPLEEEEPE Y AFLA_016840 MSTPVEELPAPKYAEKKNRTDDHSPTSDEGTYINEGSQDTHGNN HLTVDTSSADDLDRTALRSPSAQREQAKRLEDDLALLEAEKVASRSTHEDTESKGERN SISRSRSHRSQNVDEFDEATNPLHEKAAVYNPPESPNTNIARFVKKIHESSFIIRYIT YIVPLVLILLIPLLVGALAYPDANVGGVELLWFSVWLEIVWLTLWAGRIVAKCIPVVA GLLASIFTNNAKKWRDMAKQLELHATFFFWWLGIEVSFLPTMKNHHVDGNSATRSWEN TVNKIIISIFVWTILNYIEKIIIQLIAISFHTRTYADRIEINKFQIGSLTKLYDFSRN KISVKDDEFEEKNDNSGSGTKTPLRYPLQYAGKAQRVAKGALNKVGDMAGAVAADFTG RKATNSTHPYQVILTLLRTTSGCQVLARRLYRTFVRDGFDTVFAGDLKEAFDNSEEAE AAFIMFDKDMNGDISMDELEAVCVEIGRERKAITASLKDLDSVVSRLDNVLEFFVVVI SLIVFVSLISTSASGVLTSAGSSILALSWLFSATAQEFLQSIIFVFVKHPFDVGDRVT IYGNAGDAGLGDDYFVKQISLLYTEFKKMQGHIVQAPNSYLNGLFILNQRRSGALAEA IPIVIKYGTTLEQIDALRQRLLEFVRSEKREFQTNILTEMRAVTENFSVTLNVVFFYK SNWQNEGLRLQRRNKFICMLMVALQEIGIEGPRMNLQGARVDIPFHVTGFPPQTSSAD HDSRPPPTPIHDMPENTGHSSSSAARHPSILRKGMNTAAARARGESIQSHKHVDFSLG MRDLSSGDVMGDVFETTSPRVDDVVRSSNREAAQRRILEEEEEEEAERQSRSSSSRAR RPSNLSVPTQPGEGRRSTESQGTHSLSSISRNRFFRHRSSVSRERDDLAEQGRFDSSD IRSVSPGT AFLA_016850 MNDWETYSTIIDLIFYRVDLPVGNGTLVVDFHLNCMAGNGTQSP QFLAPPAVTALRQEARSIVPSLTPSNRSLSDDNDMQEERAELKQAAEQTLNVIVDLDL DGRIKWVSPSWRQVVGTDPESVEGRMISDLIVDNKNAFQDAVEAMKEDDSRSRFIRFS LLMGPDSVLKYAPEPRPVEAETTGTDETEGAGSQEEPLAETEEHNHDILSMEAQGIMA YDRTADGVSHVGLLAESGWLVES AFLA_016860 MDYYAALNPNPPYMFRRILLLLFWLIGLPASTADKPLYGSKDGI PGIDASFDYVVVGGGNAGVTLAARLAEQSFNVALVEAGGFYEINYPPAKVPGAVGIGT GTDPMAIRTPIDWGFLVNTGPGADSRTIHYEKARCLGGAPTIGSMKLWADLVDDESYL FDNVFPLFKKTINFTAPNEELRPANATVSYREDAYEKHGQPVDVTYPHAASPFSSWFQ LGLESVGVEVTSEFNSGSLLGSFYCPFTLRPADQIRSSSESAFSRSPYSSRYLETLTL YKNTMGKKILFDQKRATGVEVATAGSKYILSATHEVIISSGAFQSPQLLMVSGIGPAD VLQEHEIDVIVDLPGVGQNLWDHVFSGPTYPVAVETFNKLAMDLQYLISQIREFKSSH TGVLTNHGFDYVAFEKLPGSSRAGFTERTENDLSWFPEDWPEVEYIPAPLFVGNFSDP ITMQPQDGRQYATILPTLVAPTSRGNVSIISADTDDLPVIHMNWLTTETDQQVLVAAF KRVRDIFHSEAMAPIIVGEEFFPGKEYQTDREILEVIRDTAMAPWHASGTCKMGTRSD RMAVLDSRARVFGVEKLRVVDASAFPVLPPGHPQSVVCMFYAVHVFLCNSLTAEMQTC LRRR AFLA_016870 MWLLEWGPRHFSSAPDNFSVERSGTQLNYYHPPRTSDQHSLFAL QFLSLISTYPPPPVAQVHQQLARMSNKRPAMELGTVLVVGGCGFVGWHIVNHLLNFPS ETDASVALPKPEEDPRFDYPQLAGRYPVCMAKVAVVDLRTSNNRLPGAEYYDGDITSA ESMLEVFRKVKPNVVIHTATPNVLEGNKPLLRKVNVDGTKTLLEVAGGARGDWGGKCK AFVYTSSSSVVHDTQSDLINVDEEWPYIRGDRQLEYYSETKADAEELVLKYNRTSPSG MVTCAVRPAGIYGEKDTTFTYKVLEHSSKASPAVLRMQLGDNNNLFDFTYVGNIAYAH LLAAFRLLATKTRIESKQSEPLDHERVDGEAFNITNDAPVYFWDMTRAAWALTGKVVE PHQVWELPEALLGPIGGIAETVMGICGKTPRLTRRTVRYSCMTRYYSCDKAKSRLGYT PIVSVEEGLARAVGYVVERERQEGQKKGQ AFLA_016880 MTAPDYPAKPPSVRALTTNKGRCRFNPNIYASGKVCFTWRGHPG EEWSSAQGLESILISIQSLLSNNPYENEPGYENAHTAEDKEWKASYVEKIRHENLRIA VIEPLESSLGILPHESTSAVSERVSEEDDDVGEGQALSEDDKPVVDQFADLRKRRFLW YFDSYIQTIDAADSVISRKPKFQRMPFESQGNTMDGHFDYPELRRRVVLLREKIIYET NNWLFEGLGVKKQELSIASNLQRQYEQIVEDLKRQKNFAVDLELVDTNPFLWAFTYFG RPMSHLDGGIFRFKIYISPRFPDEQPRVFVETPIFHIRVSREGVLCYSPRRTDEMRYH IEAIVAALEEESPPYDPRTTVHPEATKLFWGSMEDRKKYNRALRQSVEKSAE AFLA_016890 MPPGDVPLPDSLVPGNGAVRPTLNTSGYGGGNQMQTPTSPADNS IPFDSPRAITGGWNASGNSPVDGAQNPDGRLGRRYESNNSSPRDPSTPRDAAGYWDRS TPRDRTRPNGRPVTKSPGGSSRICKKCGEPLTGQFVRALLSTYHLECFKCEDCGQIVA SKFFPVDAEDGSGQYPLCETDYFRRLDLLCHECGGALRGSYITALDRKYHIEHFTCSV CPTVFGAQDSYYEHEGKVYCHFHYSTQFAQRCHGCHTSILKQFVEIFRNGQNQHWHPE CYMIHKFWNVRLAPTGQPLEYPELEADATDEQRNRVREEEDVMEEKVYKIWSILSSFE ESSAACISDMLLHVSNGTYVDGVLVAKKFIAHVDVLFSAIDQLAANIKAQGMKDLAYG REAKLLCKKIVAFFALLSKTQETGVRKLGVTQELLSLVTGLAHYLKLLIRIGLQGALK LEREKETPEGLHQFLDHLGDLEALRPLEEEVTTADLMANVEVLADQLSDCCAACKEPI DDECIKLGDNRWHIKPPHLTCTTCQKDLTAMPQDALWNPKDKRAFCNSCASENGFAHD TQGGFTRVTKLQQFVFLLQVALARLLTVLRAGGTIPASDDANLKSHDGSDGQSTPGGE LRRSTTRSKSYSHASKEGTEESSLEQTVGEMRRLRSIRNERTLSTTYKKARASRIIDG PEGRSVRPGSSGGEGTDPRGHGFQIVEEKDANGETVTELTFGNQDALTLDDIPRIVAA EQAKEQRPNAYKHAGAGLVGTTEPLPRYNYGHQRGVSGAGLERHLMGQGGRAKKYFSE LSALEYFIVRHVAVLSMEPLLDGYFTLEELLSFIESRKPTIWNIFGRAFNKETKKGGK KKGVFGVNLDFLVEKEGTESSHGVGPGALRIPALVDDAVSAMRQMDMSVEGVFRKNGN IRRLKELSDLIDNKYEQVDLTKENPVQIAALLKKFLREMPDPLLTFKLHRLFVVSQKL SDPEKQKRVLHLACCLLPKAHRDTMEVLFAFLNWTSSFSHVDEESGSKMDIHNLATVM APNILYPNAKNGTVDESFLAIEAINALIAYNDTMCEIPEDLQSVLTDTNFFKENSEVT TKEILKRYGDIARGSFSQRPANGGETFTITNQNRGANTPTSARIETDPSQDAATQLQG SVRHVQGPPGHAHSASGIPELVPATTSDNRERSISNGSQQNPVQPDAQPQQLPYRARP GAGPMGVAG AFLA_016900 MASLTSKRKSRDDDAYPVDEARTPTGSPPKKRLRVTRNQKQALI DNLQLEVTERARKLRAQYALQANDLRARIERRINRIPIALRKVNMGELLEKHNAALRA QQENTSPRKLISPIKGSRNFAAISVNPAMRKASAASPSPRRTKRQRYTDIAIFWTKTL LTR AFLA_016910 MSLEATMIIVDNSESSRNGDYTSTRWQAQIDAVSVIHTAKMRVH PQSAVGLMSMGGKGPEVLSTFTSDFGAILAGLHRTKIHGTAHLSSSIQVAGLALKHRS EKSQRQRIIVFSCSPIEEDEKSLVKLAKKMKKINVSIDVIAFGDLESDQTKKLEAFVE NVKGGDGSHLAIIPPGPNLLSEELQMTPILGGDGAGAGDAGAGGETGDFGFEDAAEND PELAFALRLSLEEEKNRQEKEKREREEQERKANLVNIPEEGQSGESSGSKDKKEDGDK MDTA AFLA_016920 MAVFSLIIINKAGGLVYQREFQPGLRKLSTNDYLVLAGTFHGVH AITRTITPKLPLSTASTTATTPTSSNIPSTPGTPTPPTPASAFTFPNPGIPATGLESL ETDKFRLTCFQTLTGTKFLLFTDPMMGNIDVVMKKVYELYADYVMKNPFYQLEMPVRC EAFDRHLAGWLRGRT AFLA_016930 MKILESSSGLLNSLCCSTQRPRLRVTGRDGAMAAGFKRVPGTVG PKKKKKRKKERKKKKKKERKKEKEKERKEKRAVFCPGTPGTIEAKARALHEHIFSKPE DTYAGKPWDVVKAINDFADESRMMTFKNAKIEASRQQIAKIQPAPKTFIEFGGYVGAS AIAWGAILRELNNTDSAVDVNVYTFELSPVNAGIARDLIRLAGLENTVHVLEGPAADS LKRLFEEGKLKEAGVDVAFFDHWEQFYLPDLQLCEDLGLFRKGSKVIADNTDFPGAPA YLEYVKSGGRQGGSYRYETESIETASNCGPVSSPYLYLNICVVVGTDCFSEHRRG AFLA_016940 MTTLFTVPISSTGGSFVCSNPTASEKEKNIYLLTFTSPKDNRLT PTFIDAFILALDIIEHRYPKGVVITTSGIPKFYSNGLDLELALSTEGFLDKWLWKLFR RFLTFPMPTICLLNGHAFAGGLMLAMYHDYRIQNPSKGLLCINELEFGVPLQSPMMSI FREKLTPSSFRDLILEAKRFGGPESVKAGLVDGVGGLEEVLTLIRERGLQKKAATGIY GTMKEEMYRHSLDILDGHTANLAWREQLEDKKDQAQRDGLKAVEAFEKQRNAKL AFLA_016950 MSEQPYDPYIPSGSTTNAPAGASAAQNGDPRTREIDKKIQETVD TMRSNIFKVSERGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRVCLVIC VILLLVVIIVPAVVATKH AFLA_016960 MLVGKMFSLRTAVRRASTSKPLRAFSAPHAISSARLGARNSLKA SAVPLLQGRHYSRATDPQLSSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFAVIK VGGAIITEHLQTLSSALAFLNHVGLYPIVVHGAGPQLNRMLEDAGVEPQFEDGIRVTD GKTLALARKLFLEENLKLTEELERMGVRARPLTAGVFSADYLDKEKYNLVGKINGVNK KPIESAIESGCLPILTSMAETPDGQVLNVNADVAAGELARALQPLKIVYLAEKGGLFN GDTGEKISVINLDEEYDHLMTQWWVRHGTRLKIKEMKELLSDLPRTSSVAIIHPADLQ KELFTDSGAGTLIRRGNKVHIKTSISEFEDLDKLKDVLIRDREGLDARATVERYVEGL KERDFKVYFDEPMEALAVVLPPQQDASSPLAHLATFTITKSGWLTNVADNVFASIKKD FPKLVWTVKEDDENLTWFFDKADGSLSREGEVLFWYGIESGEEVKQLVQEFNQHGRQM FGDINLESRLHRAAEAATNIGKGFGASGASAEQKRAFSSTSNALRSSRFGRPSVFNRN SARAYSTTNPNPPLGEKNMSNTQPSKVALIGARGYTGQALINLLNAHPHMDLRHVSSR ELAGKKLQGYDKREIIYENLSPEDVKRMSANGDVDCWVMALPNGVCKPFVEAVDQGSE KGNVIVDLSADYRFDSTWTYGLPELVSRSKIAQASRIANPGCYATAAQIAIAPLVPHL GGQPTVFGVSGYSGAGTKPSPKNDVENLTNNIIPYSLTDHIHEKEISSQLGTSIAFIP HVAVWFQGIHQTISIPLKEEMSSRDIRNIYQERYAGEKLVKIIGEPPVVKNIAGRHGV DVGGFAVHSSGKRAVVCATIDNLLKGAATQCLQNMNLALGYGEYQGIPLE AFLA_016970 MFYSHEMLTSPDHGVATIWLVATLGSRSISKKLNRKAILDVDVP KACHVIMDPEAPMALRLQGNLLYGVSRVYSQQCGYALTDVQAMHDKMRTLLKVLPGGG LDPTAGKSRPDQLILPYDPSFLPESDLPGMGMDLSRLCLPFDTATSQHSDLLWPNTPD LSQSALSGSPGLRFSFSFDNMILKDGGGIDSETNVPSSVQRSIDLGGLAATTFAEEGG ILLQPDFEFDEDGNLIELGEAHHQTAKGRMSRRASEAPLQSEAANIGLYDPTFDYQSM PIDERIETTIKHQDGRPVHASRATRRRRSESESTDELNLITDEAVAAMPQKRRAPRLQ TLDDRTALRNTDLGNMNSDYVQNMAIASKQKRQNKLPTQAKKNAIYWVFGQGIGSVGI GLGASQVPHPLQQFSGEELYAALNPTVRHKGRKRSRLPSDESEDSDVRRVRAREEYEE QVGRGGVVDGHDIWQDVEIGRHAPSVFRDDNSFSSQMPWNITASVQSSQHGSSAASGL RGVANVSDPSASRGRDTTASHLVGRGRSRNRLTSASPLAGRGFPFDAEAFDRLVLPGD DDMDVMSDFDLSQYLQTEPFSAGHGHTGDDANAITYRGRVTLQDRLSKCSLDQESLNF LGFLTRKLEAMLVEHVGATDEDGFINSPATFYGSKVIGFSALLPPSETSPSVATQGLM HILTLATKGFLSVRQEDYEDRSTRYHVRYEFGEIFLQLSEM AFLA_016980 MRSTFVFIACITAYSFAAPAFFDNAYNFSNDLSEYLGRVSKHIE HSKDILNTATCDTSSVELPAQASGLPSPSDQKLLYVALGRGTQNYTCATPSSNSTPVA IGAVANLYNATCIAGSFSDMINMLPNIAYRIPMPSSESDRLPPANLDLMGHHFFDGST PVFNLDTTTTHQYGIARTKKEAQVDAPSNAIQGNNGAVAWLYLSATSGSVGDYSGVYR VDTAAGSPPKTCKDMPSEFTVDYAANYYFYGKR AFLA_016990 MGFGAPRGRGGPPGGRGGRGGFGGGRGGGRGGLGSASRGRGGPR GGGRGGRGGPPGRGGRGGRGGARGGAKGGAKGGAKVVIEPHRHAGIFVARGGKEDLLV TKNLTPGEAVYGEKRIAVETPTEDGTTTKTEYRVWNPFRSKLAAGVLGGLDDIYMKPG SKVLYLGSASGTSVSHVADIVGPTGNVYAVEFSHRSGRDLIGMATHRTNVVPIVDDAR HPLRYRMLVPMVDVIFADVAQPDQARIVGLNAHMFLKEGGGVIVSVKANCIDSTAKPE VVFTREVQKMREERIKPKEQLTLEPFERDHCIVAGIYKRSA AFLA_017000 MSIIITGANGYVGQELASALLSSSPDITVTLTDIVTPAIPAAAA AQHTSRVKCVQADLTLPKVVDEMFTESHRFDTVYLLHGIMSSGSEANFELGMRVNLDA TRYILDRLRAVMPGVKVVFTSSLAVYGLASAGFVIDETNFPPVPSSSYGSQKLIIETL LNDYSRRGFLDGRAVRLPTVTVRAGQPTQAASSFASDIIREPFNGKKAILPVSKETEM WICSPYTVVKNLLHAKDIPKEAFGESRSVNLPGLKVSIQEMLDALEEIGGKERRALVE EKYDAAIDKIVQTWTPNFKTDRAIKLGFAEDVPMIENIRQYASRFA AFLA_017010 MASRRLAFNFNQALRSRAALKSIQPVKRGFSSPVTLPSTTQSTT LSNGFTIATEYSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTNKRSQHQL ELEIENMGAHLNAYTSRENTVYYAKSFNNDVPKAVDILADILQNSKLEPGAIERERDV ILREQEEVDKQFEEVVFDHLHATAYQNQPLGRTILGPKENIQTISRDNLVDYIKTNYT ADRMVLVGAGGIPHEQLVRLAEEHFGSLPSKPPTSAALALTAEQKRTPEFIGSEVRLR DDTIPTAHIALAVEGVSWKDDDYFTALVAQAIVGNWDRAMGNSPYLGSKLSSLVEHHG LANSFMSFSTSYSDTGLWGIYLVSENLTALDDLTHFAMREWSRLCFNVTSAEVERAKA QLKASILLSLDGTTAVAEDIGRQIITTGRRLSPEDIERTIGQISEKDVMDFANRRIWD QDVAVSAFGSVEGLLDYNRIRADTSRNTL AFLA_017020 MGVPESESPAVQQQNEWSNGFWDCCSPAGTCFWGCCLPCCLFGK TQSRLEDPQLKEYSYMNGNCCLYYLTAQVGFHWVLLMIRRGEIRQRFGIEGSGVSDCC SSYWCPCCVIVQQEKEIEAQSERLQTGYQAPAGMAYAPQ AFLA_017030 MQDRVFTVSKATAEMLASCRRILSRSLQFVQEDPLGCTTDASVE DSPSPVASIPEAHHQSAPIDSAVVIAGDRKDSVGKDNRIDLDEHYGDGGSIHHDTLDL SHWGGGLKISPDGTPHQDKDDAVQGLLALGSTAGSNDVRSESTNLSLPSPNIALSSLM NSRPSEVKEIALQAVTTRLLDDPGHTRINELSTSIMTSTGVESEARKLELLRHYRYHV ATWLDICDLRHPFGINVIQMATSSEKLLSAILSLSESCIIQRGHWNRAGLEQLTLRKS NQLDQLDHNHPDFTELIMLSLLEEIRTLVTDVPKAWIDWVNRDVPYVNHLVQHAYIKD IESTAYWMFLRIATGDLARL AFLA_017040 MLSAEPPPKRRRVSSTASQHPLPAEEEQSPGANEITHSNPIDYH ETQPLPPQSYDGISHSSPASGGPFQSPGSWYQDGFSQDPGFLASQEELRCILFSLAYS AAPTRAPSPDAGILDRLGDRGTDRLGIDMVPQSHRERQREESHRSPFSNSKRIKYLKN YVTEVAPWLDMFDSQCTFRQQLPALARTFPALSYAILAFSARHMERKEGVQDLFDSLE LYQEAIRLLSPVLQVRDPKIVAACVLLCCLEMMSARAQDWRRHLEGCAALFDAFEIHG FSNGLLQAVFWCYARMDLCGALISDGTQTTLLHPSKWLPPGYQEEDAYQLFQDARSPD MHANYAVYLCMRATQLVSDRTKFVELHEQNGCNLEAFCLRWVRLWDELQRWFSERPSE LLPVQTINRKPFPHILFVQWAAISSNQLYHTACLLLLKMMPKGIRLPRSPSLSMLWHA RRICGISLANPHQGCLNNALQPLWIAGRLFSHASEHKEITKLIRDIEAETGWGTCWRI RDLDIAWGYSTRRRTSNDHDQQFSTAREPPRV AFLA_017050 MESLTLAGYDQGVFSGIVENEDFLDTMGNPGDSLMGIIVSIYNL GCFAGCIVNFLVGDWLGRRKAMWLAMVWVIIGATLQTSAFSVPHLMVGRFVTGIGTGI ETSTVPMYQAELCEASKRGKLVCSEPLLVGVGIVVSYFFDYGMSFVGGQIAWRLPIAC QMLFGFVVIILVFGLPESPRYCYKEGRDDEALQILSDVYGRPKDDPKILAEQAEILEA LAIETKHGEYKWRVYVYSPISHQYQTNKGRSLLGYGMQFMNQLGGINLLVYFIPTVLS TNVGLTKNLSMIIGGCAQIMFVVGSFFPTFFVDRVGRRTPMMWGSFGLGICMMMVSIL LSFKGKENGHATSSASVAFFFLFMLIFGASVNCIPWVYVPEILPLHARAKGTAVGISS NWIWNFFVVMITPVIINRLQWKAYLIFMCTNFAFVPLVYFCYPETAKLSLEEIDYLFT HPDKGAVKLSLELQKERKMHGHGASLVADTGVLRRTSVVADESLEKHHGVDEHVEKV AFLA_017060 MTKSKTPMIEQYWHKGGLCGVISRAALRTLQFVFAIVIAGLYGV DLAHATEVNARAPSQWVYAEFVAAVTALTCIVHCFITVTHVAWSAWDFVLFVLWLAQV GTFGAIYISNNVLDEYKQATSSIPRMRAAVWISLVSMVLWFATTVLGIAWCCRTRKVT RRTDQVEAGKEQILERDSDVESGSICDEKRSMAAIPAAMVAEKSVKDKNGSKITSEIN RSDSDVSPPPYS AFLA_017070 MADMRCFAVEDGSWELPPPYESISSSGGADGDPTRNNLATPVVF PISNASFPELSPTNDGRVDVYIGPRFSRNLEWLMGNEAGEAPSQTGREATPSVPCPAW DLHLNIVIQVVGSRGDAQPFVALGQELQKYGHRVRLATHAKFEQFVRTADLEFYPIGG DPVELMSYMVRNPGLIPSIKSLRAGDIQRKRASMAEILDGCWRSCIEPDPYDKAPFVA DAIIANPPSFAHIHCAQALGIPVHLMFTMPWTSTRAFHHPLANLKYSGNDPSLGNLVS YHFVEWLTWQGLGDLINAWRKNVLGLDPVPTTEGPNLVEALNVPFTYCWSPALIPKPK DWASHIDVCGFFFRDPPAYEPPAELDVFLRAGPPPVYIGFGSIVIEDVEKTLSILLNA IQETGVRAIISCGWSNLERRETPNVHYIGDCPHEWLFQHVAAVVHHGGAGTTACGLRN GKPTTVVPFFGDQPFWGNMIAAMGAGPEPIPHKNLTARKLADAITYCLTPQAVAVARG IADKMRQECGVRAAVDSFHAHLPRRKMQCDLIPSEPAVWYFKTGRRTVKLSKVAARTL KHQGRIHGKHLKLYQTKPFTIDIRRWDPFTAISSASLSTLTGMADATAGIFIDPYKEY KRLRKSDRNRDASNPATVSHPQLATPETSSTAESALITRTHSSSDILDTECSSDDPDY ARQMAIAAATSLGIFLGRSSRGALVDLPLAAVEGMRAVPRLYGEKVRLHDPVRDWKSG AGVAWSTFSHGLYEGVTDIFVHTYQGKKKQGAIGVAKGLTKGLVSLTVKTGAATVGLI AYPNQGIYRSLMSTVRKRPAKRIEQARWTEAEWITRAEGGMQIDAAGLCWLYDELLST RETARRGR AFLA_017080 MLLAIVSTLALAGPAFASPACKVTPLDPSWPSNSDWASLNASIN DGLLRTVPVASSCWPGNPFGSSVSCGNVKSNWTNGMWLSTFPESIDYPIYANNSCLPP NAPGYVEGRGCTTGGLPEYIVNATTEEQIATAMQWASERNIRIVVKGTGHDLNGRSSG AFALSIWTHNLRKLERNKSWLLPSKNTSEDVFIVGSGQQWGNVLNKALEHGRVVTTGQ DPSVGLGGYIQGGGHGPLSRTYGLASSHVLQMRVVTTEGKILVANDAENQDLFWALRG GGPGLYGVVTEYVIRHHPAPSSVTMGNLLIAPKGSSNRSAELSWDAAVTHLSVLPDLM DAGLAGACMLATGQNAMTFASLSEPTTGAVIKQVFWSFNSTPSAMEALVNPILSNITH AAGGNNSLSISFSASQSNYSSFFSAISGSDAAGGQSVVSSRLLGRAEVLDTPRHKRRA YLKIAMRAQNETAGTYATIGLQGGPGVRNTQQEEWGALLPAWRSAYLHFISNGATVDP VAAGSPKKALENAADFNEAKERMWREWSPNSGAYMNEANPFTSNFKQDFYGSNYDRLA EIKAKYDPSESLFVLSGVGSDKWQYDLDTGKLCKAK AFLA_017090 MGKRGRKGQGGRGGGGQRQNWTDIPKTNEKFERFYNEQGFIPEE EREVFWEYLRRDLPNSFRFTGSRGHALAVQERLKEFYIPEITSIKYEGNFVEPPRPVS WYPDQLAWSMTTPKQVVRRFAPFANFQKFLVAETAVGSISRQEVVSMIPPLLLDVKPG MTVLDMCAAPGSKSAQLMEMIHAGEEESMSQASKQVKEGTAGPEPLGPEGLNDDGRTT GLLIANDTDYKRAHMLIHQMKRLSSPNLIVTNHDATMYPSIKLPSPPGPSGKVQNKYL KFDRILADVPCTGDGTPRKNIGVWKEWTPGNALGLYATQVRILVRALQMLKVGGRVVY STCSMNPVENEAVVASAIERCGGAANVRIVDCSNELPGLKRVPGLKTWKVMDRDTRMW NTWEEIEEHRASQGISGLGRVAAGMFPPTGENADLPLERCIRIYPHLQDTGGFFITVL EKQSEIRAKPEDSSKVIPKGTVAALTEELEFKQKNGNGQPLEKIDALDDMVTPNEDAA EEAQKNATVAEATHQPPYSATNQMSPAKRDAESMEDEVPSKRTKLDDGSEVVVGDRPV HQPAPVIEPDNMDTSDTTSTPAPPQQTTSATQAPPPQKRKPGQPIEEPFKYLDPNHEE LPPIFEFYEGSDRFPRDRFMVRNAQAIPARTIYYTSALARDILTANEGQGMKIVHCGV KMFVKQDVQRPGVCPWRIQTDGLRVLEPWLGPGRAVTLKRKETLRRLLVEMFPKVNDD GWKELGEIGERVRDIPMGCSVLHIEPDTSETGFSERMVLPLWRSLHSVNLMLPKEERR AMLLRIFNDDTPLVNITAKRANADTDADNTPAAAPAEVEEEAVKHENEVLGQDEQEHA ESRETWQKVGDEEDRFNTTV AFLA_017100 MKLLSVAAVALLAAQAAGASIKHRLNGFTILEHPDPAKRDLLQD IVTWDDKSLFINGERIMLFSGEVHPFRLPVPSLWLDIFHKIRALGFNCVSFYIDWALL EGKPGDYRAEGIFALEPFFDAAKEAGIYLIARPGSYINAEVSGGGFPGWLQRVNGTLR SSDEPFLKATDNYIANAAAAVAKAQITNGGPVILYQPENEYSGGCCGVKYPDADYMQY VMDQARKADIVVPFISNDASPSGHNAPGSGTGAVDIYGHDSYPLGFDCANPSVWPEGK LPDNFRTLHLEQSPSTPYSLLEFQAGAFDPWGGPGFEKCYALVNHEFSRVFYRNDLSF GVSTFNLYMTFGGTNWGNLGHPGGYTSYDYGSPITETRNVTREKYSDIKLLANFVKAS PSYLTATPRNLTTGVYTDTSDLAVTPLIGDSPGSFFVVRHTDYSSQESTSYKLKLPTS AGNLTIPQLEGTLSLNGRDSKIHVVDYNVSGTNIIYSTAEVFTWKKFDGNKVLVLYGG PKEHHELAIASKSNVTIIEGSDSGIVSTRKGSSVIIGWDVSSTRRIVQVGDLRVFLLD RNSAYNYWVPELPTEGTSPGFSTSKTTASSIIVKAGYLLRGAHLDGADLHLTADFNAT TPIEVIGAPTGAKNLFVNGEKASHTVDKNGIWSSEVKYAAPEIKLPGLKDLDWKYLDT LPEIKSSYDDSAWVSADLPKTKNTHRPLDTPTSLYSSDYGFHTGYLIYRGHFVANGKE SEFFIRTQGGSAFGSSVWLNETYLGSWTGADYAMDGNSTYKLSQLESGKNYVITVVID NLGLDENWTVGEETMKNPRGILSYKLSGQDASAITWKLTGNLGGEDYQDKVRGPLNEG GLYAERQGFHQPQPPSESWESGSPLEGLSKPGIGFYTAQFDLDLPKGWDVPLYFNFGN NTQAARAQLYVNGYQYGKFTGNVGPQTSFPVPEGILNYRGTNYVALSLWALESDGAKL GSFELSYTTPVLTGYGNVESPEQPKYEQRKGAY AFLA_017110 MPPIPQHHHNHQDQDQDQNQDLIPRNNNNNLTKRLTPIPLGPTY TTISIPASYGRLTSSPSPGTIAGIILGSVFGFIFLLYLLWLGLSSGRRFGSETQTEMA SASSAGGMRRRRGRRIVVEEERPMSAHGHGHGHGGDQIVVEESVTSAPSRSEGDVIEV FEEASSVDRPPRRGKHGGGGGWRRGDLEGSEFSSRV AFLA_017120 MSYNKPDGPPPSYPAPVHDAGPYPPQGAQGDYYNQGGYPPQNYG PPPQQGYYGSPPPQGQQPMYYPPQQGYPQPGYYADDRGGGGSSGGGICAGIMAALACC CCLDILF AFLA_017130 MSAEAKSAPATEQKNQVKAKIETAKPNNKDSPAAKRQQELRAEL SSIRQKQSGFKASRSSTQEKINALDSTLKARIAEQNNSKTRMSFKSVEEIDREIARLE KQVDSGTMRLVDEKKALADVSSLRKQRKNFAGLDEAQKVINDIKAQIAALKKTLDNPE AKALSDKYAEIQKELDAIKAEQDGAFKNLNALRDERTKLHGEQQQKWTAIREIKDTYY KARKAYKEYEDEAWRIRREKQKAQRDAFEREKKKKIADKKLEEASRLAYTDEILTAQG LIRHFNPSYDFAALGLDDKKDESSQFRAEIGRTIDDSAMKGMKVLKKDEDDYFVGTGG KKGKKGKKSNANGSPAPAEKFNLNVGIIEDFAKVKIDPPMNQTDVPAVVEKLAAKITE WKKNQASKTEENIKKAQEEIARLEEEASQVDDRATDTAKKPAIINSGVNGKVSAEAEL KQEKDAAADVSDELQKASLEETA AFLA_017140 MDEDYSSGSVDADREMTRLWRTWRTVFEMLQDRGYEVTEEEVQI SLEEFKQKYSDPLGYPEYATPDNMNVFQHPKEKGR AFLA_017150 MKVQARPTEAMQHKYTAIKTNANPDPQPDCGIIYVEFCPDSTGV GTKQVRAFNHVVDENNFHTGVFITQTPISPSAVRLLSGVPGRICEHFQEQDLLVNITR HELVPKHVLLSPEEKAKLLARYRLKESQLPRIQVSDPVARYLGLRRGQVVKIIRRSET AGRYASYRWVI AFLA_017160 MTVLDTRGLYPEIPPNARTKADDNPTLLVSWWATGFSLAIIVVR VCGRYVRIERFFREDKVMMLAIIPLLIRMVLVHVILIWGTNNTKTAGLTAEEIQHRIV GSKLVLVSRICYAIFIWTAKFTVCEFLKRVSEMIWRRSLRIFLQFIYYFLGSTLIAVV LATLTECQPFNHYWQVVPDPGAQCRSGYANLITMGVCDVITDLLLVAFPIPLIMMTHM PAKRKASLVILFALSLILVGITCYRVPSVIGHQGSQQYRSLLASLEILAATAVSNAIV IGSFVRDKGVKKAKFKKAIGSASVSESMDHSSVRRTTITHHHWGSDSDLAGDLGIRLH PDLVSSDHKLPRPAPVVAPCEPFTARTGTLDPNWSFHRHAPATDDDRSSTTGSLDIKV SPHEYIPTNKTPRKPSGDSVPSSPGRVSMFDVGGLLDPSPRSSPPPTSHMQYQMPPPG QTTRARSGSTAFLQDVGGLLSAPTSGTPPNASPNFSRPGGLRSNSYGRRRGSSVHFSD TPESPAPPYRSQSDVTAPIPEGSDDVELQDVGGLLSKHS AFLA_017170 MAARQSPFDLSKCARKNILQLQPYRCARECVYPPDDGTNVLLDA NENAYGPGLALNSEGALQQSTVNGDSTGSSKPDIDFLGLNRYPDPHQIELKQLFCNLR NTRIHSQKDLTPENLFVGVGSDEAIDALLRCFCVPGKDKILTCPPTYGMYGVSAQVND VDIVKVPLDVENGFQLQPEKIIETLSADDSIKMVYICSPGNPTANLIRKSDIQKVLEH PTWNGVVVVDEAYIDFAPEGSSLAEWVNDWPNLVVMQTLSKAFGLAGIRLGVAFTSPA IARLLNSLKAPYNISSPTSALAMAALSPNNMAVMKKYREQIIAQRERLLQELPKIPGI GRFLGGQESNFLLVELLDKPANEGGKPSNQVALAAYEAMAEKHGVVVRFRGKELGCEG CLRVTVGTEEEVTRFLQELRVVLGGLLG AFLA_017180 MKITSTIPAVLLGLAPLSAAVSVSGSAEGFASGVTGGGDAEAQI PSDIDELKEWLTDDTPRVIVLDKEYDFTESEGTTSGTVCASWGTGSGCQKIIQDDCGD SPSSQATWYTAGTTGIDVASDKTILGDGDKGVIKGKGLRFRDGVSNIIVQNIEISDLN PEYVWGGDALYFDGSDLIWIDHVTTARTGRQHYTFGYETNTRITLSNNFINGETTYST GCDGYTYWTFEMVGEADQITLQNNYIYMTAGRSPALSGGTLLHAVNNVWEKNNGHALE GGDAGARGIFEGNAWIGVSTIVGDYAGRLFNAPDSSSAGDCESALGRACEVNAVSDSG DLTAYTDTSFFSDFSGLTIAPATSATDAQSSVPNNAGMGKL AFLA_017190 MRLRPIYPSLPRCARIPTSLRAFSHDSTSASTLNGTYPLRNRTV SRGGLSSQSASSEHHLLLPSSSAASFSTSSRLSDPGDWEDNANLSIDAFSELPSKDFG VNQHMVINQEFKEALRQILWQFRAPIRYAFAYGSGVFTQSGSAPGSGQCHPSAPAAIK NMQQGQGKMIDFIFGVSYSQHWHSLNLHQHRDHYSGLGSLGSYAVAQTQDRFGAGVYF NPYITVNGTLIKYGVVNLDTLCRDLSQWDTLYLAGRLQKPVKILRDHPKVRLANQMNL LSAVRVALLLLPAEFTEFQLYSTIAGMSYMGDLRMALPAEDPRKVRNIVSGQMANFRR LYAPLIETLPNVTFNDKRCTEGDWIDDPNANVKLTQDMDPVKRGNMVRRLPESFRAKL YFQYQTRFQIPRGDFNKMMKESQDADQALVRRRQGGPFEQRIASDDNLKQEVQASITK TIRWPSTVQSAKGLVTSGIGRTWRYLREKQNKYKTSGKHASPPSEESTEKAAKQE AFLA_017200 MADEMETFAARLASFDLVLHPEKRRTSSAKAVKSIAWPHRKPSP AELAHAGFYYNPYETNPDNTTCFLCHRALDGWEEEDNPITEHLKHANDCGWAVMMDIQ QHSSNPAEIEDPTSDKIREARLATFGTSWPHDGKRGWVCQSEKMVDGGWYFCPTEESN DLASCVYCKLSLDGWEPKDDPFDEHYRRSADCSFFVFAQPPGKKGKGSRTKKARTSKA SRLSTQSTASEAISELEDPMDQSTVSQPATKAKGTKKSSKSKSKNAKSKKEEAAEPDS QMDIDTADYSQPEPAKAKRTTRGTKRTSDQVDREQVNVVDIENFEEAEPPTKKRATKV RNSTQHDSNQNDEVTVDAQLEESIPEDEAKKGRGTTKKKASSKSRKASSGSSTSKTAS KSRVPNDNELDAAPVADLEQPEPEEQSLEAVQKPSKKSKSKKKQKTTPEPQEVTNHAD EEEPGTGEALQPEQPEQPEQTEQTEEPEEPEKPEESSPSPAAPEDKPSHVKSKRKSRG SNIPEPEPEIVTEKPPKTRKRSGADATLKGETDSRVHESFVSVEIPARVPTPVKQPKA EPTNRSEPNDKDLKKKTKQRSSTEKAKKSKKTSKAEALEREKTSAQQEFEPKQSPQVS STGHDLPEQSEPQDPQEQEAEQPSTRRRSSRVPPKTAERYSDIPEEKQFARTLAGSSR SSDNHRMSDSADQGNMSPLPTSKSTPSLSPQSSDVENQPPSLKPSATRPPVGSPSKQQ TVRIPLAPNTPSPTKRNTNAGGLRTTHPWNPIDIDEILLAGNSDKENVDLSSALYSVK GDLTSPEKKMSVEEWIKWHAKNGEEKLRQECERLVGQFEREGARAMRVLEGIECID AFLA_017210 MSKPTAATSDTAFRKTWDREEYAKKAADEESKRKEESKARYEAK LLGKKWHAPVDYSSLEATTSRKQRLDVASMVGKTTIVSAGSAVGKRGRGAGFYCGDCD LTFKDNLQLVEHLNSKQHLIATGQSGEVTRATVEDVRQRLRLLAHQKRVREEEERRAW QLDLGARLQEREEQEAKEREEKRRKRNEKRRKGGDGIKQEDDSWEGRLGIIA AFLA_017220 MSDHGDLTHQAISSYFIGPQAENMSYFKDNISTILHELEEARKK YKFDGDQRFITSSIQNSEEFQRITRNFAQAVKKAARLMGSHSIPFWNPRYQAHMCTDL SMPALLGYFMTMIYNPNNVALEASPLTTVAEMEVGEQLCHLFGYNTDPSRKDVPTGWG HVTCGGTVANLEAIWVSRNLKFYPLALRKAMSETVVVDGSEKKGPLSFVADRFVVTTC KGERKLFTDMSTWELLNLRPKTVLDLPQELHEQFGISPKFVESALQNFNIQSTGKDAL EREFEVKQPIKCFLSNTRHYSWPKGGAITGLGSDAFEGIEVDDAARINLDVLQERLQR CLDEGQAVYAVVAIIGSTEEGAVDRLSAILAMRQRFQSKGLSFLVHADAAWGGYFATM LPRNLYDMPRGPSVSNPEDSFDGGAEGFVPDLSLKLETQEDLLALKYADSITVDPHKA GYIPYPGGSLVYRDGRMRYLVTWTAPVLSQGSETGMGIYGVEGSKPGAAAMSIWLSNK CIGLNPQGYGALLSEVSFTCTRLSAHWAAMTTKDDDYFMCVPFHKLPSEWKDPFNEHA IEKEKERIRQEILPKSNREIVESDVGKPTEEKLMTLLRGLGSDLNINAFALNWRYDDK DRTWNTDIEEANYLTRHVVERLSICSPDQDPTKIPFYLTSTEFTNELYGKCAKEFKRR LGLPQCDRPLFVLRNVVMSPFPTDNDFISTMVDYFRSVVEDGVRLCRKRNARGSAIHR FVMQGTDEIFLAYQPSFHLGKHRQQIILAVELEDHAKSDYIEIRESNPQDPIFLKSSV EIDLQQVVSECERGSPVSFNGTIYTHHGGPVRTSTPVTLKRVIKSRPLNSANREVDYP EDYMPFYLYGSGKQWHISHMLLQAPNATFSAGNVKLDDKLVSSLNKGHAEKGAILALT EVPETPMQPFPTSKSELPACFFFQPDKKYKVKVWDDPNDASAAGPGLLEGLGEPIEGT ITLSKEIHIDLEWINKDPFEREDRVGKWRDEFSQIGKKLEKRA AFLA_017230 MQFKLSALTALLAASASVYADSISGSKVTNILDTLTKGARDLNS SLQSTQDASSTGQTILSGEQKAMAEKQDALLSLAAEGDRRVAPKDQSAVCQSLLTSTA RCSTSRLNRSFSSLSLRRGRLSSFPSGLHPLRPHWAPTRK AFLA_017240 MSPSIDSPAEYYKPEEDVFVSIPSRHYSNQCNDGREDQLLTFIT NHPQLPQIRNSPEAVLAAIDEFGRTRDFLMNVGPHKGKLITDIIATDQPTTILEIGGY IGYSAIMFGHALRKASTATGRHPRFLSLEMNPKFAAVSKALVSIAGLDDIVEIRVGPC RASLHSLAKGGKHSGSSEQQQPWDMLFLDHSKISYLNDLKLCEELGLVAPGSTVIADD MKRPGNPWYSEYVRASPGKKAEDCLPFKGCLSDGGISLGNPGLVYETMLVEGLEPTGL MVSFYCFF AFLA_017250 MAQTGVKAMLIDQKSHQTQCGRADGLESRTLEILDSFGLADRVW AEANHTVEIALWGVTVDGKLRRQSVTANSKPGWSRFHESTLGQAKVEEYLMEYVRGRD SVDVRRETAPTSLEIDYNTIDDHSTFPIRMNLENVAPRLKPHFNDMKNPGSDTSSETH SDDSGYAGVGTVVEAKYLVGCDGAHSWVRKQLGLRLEGENSSDSWGVLDIIPLTNFPD IRKRFIVKSKYGTLMMIPRERKLVRVYVELPANVAMRYREEQDGEILMGQVEKIMQPY TMRTKHIDWSTIYTVHTPAVSAIHGVGQRVCRKIGLHNRIFLAGDAIHTHSPKAGQGM NVSMQDTFNLGWKLASVIRGALHPQILETYQQERLPVAERLIALDKRICRGMCSRRNA DGETFHGAFDEDHKRALEEENSSASGLAVIYQPNLLVTSVAHHQTTNLRIGARIPSML IINQSDAQPRHLQHILPSTGEWSLIIFGGDIADPRQMQRLNHLEETLCHPESIIQRLN NHASAMRRDSAFVRIYLIHCAERLRIELHDLPAVFRPWTEDNGVDYSRVWVDEKAYHH AGGGQLYKSFGIGPEGCMVLLRPDQHLSFLSDMDDGKGLERFLTSITPEESHQSATFQ DL AFLA_017260 MDRAVPITLDYTLRDLYSDNDEDDLKTTLIYYPLQFGYMHESPS AKYVYGYTLQSDDEKAASSFAMAANMVPQRYAFSAGQMPLIILDFHSSNKRKEANRKA CQIIDELPAHQLDIRRTFSQLIPDQQPTLTFANSPESISLASGARIAVLLPTDCLSHL PHVVHPETHYEILSKRGLALSGLPTPPSQVIDTGLIDSDDPVLLKEEVARMVESIDQR QVPFVVKLPQSISGMGTFMITTEVERGRVKTLLTEQFGMMLRQLNRSNHHLYPCSMVL QDFVTGPVVALSLFITKTGQPRFIACCKQRFDEQGHWIGGLISYRQQAKLRETFAVIM QMVADFLHSKGYHGPAGVDIVTDDRSGEQLIIDLNVRVTGTFHLGPLTGHFTKRGLFE AGMTTVDFPSSREKFEQMFADEIRNGSLIVSGWVHGESSQLNHAAITIGAREPDELEE YFRRIKAATLHS AFLA_017270 MHFQALPVLATVLALPYLAFGEPTCYNSDVWKDEAARSEAAHAI DKWCNNLAPSTWEPRRERKQCLEVDYSPHNVNLWMNNGNLGDATIQSTSARSYSRRSW IPALGAVMITLKTAGNQSPRVWEEDNRGKLWE AFLA_017280 MNNPPKQPPKTLTTLPTELHLQISTYLPYPDALALKHTSRHFYA LVYTGVHLKVDWFVERFEQKLECPMEKCSFRTDEAFCNLRIRRIMERRRRHLECRRRA GGCLVVPGRTCQGDLVPGWLKGKGGLGVVVMFGNEGLVFVLGMLFLGVYLTWGVVFGS FKIQIYGGYA AFLA_017290 MNSRRRNGKPASCEPCRKDKVRCDHRLPVCSRCQKKRRTASCFY HPAPLTQRSKNITVKAASSEAVNTHSSSPSTPEQDDPDAWLLRARPASTPISTFSNTE QPGSQLDDGFNLLVTARSLPTGYLGPTSFVAALEEDHELVSSPSERQSQDDAGTAFPS DLPLYWVQRTAEILRSLEGFRTITQLVCEYYRLSKAAVIPSLVLNALPSIQAMVDEAQ LHKGPPEQVARVLRNTKQVLHVPSTMTGRHFHELFTGPNLRLEILGLFYAIAGRLSVF GLAHDKFPRQNGMAARERFSRKMLAASDAVLQICKLIAPVNDLTIWMLYEILLLSKVA HGDASSAKWRRLGDLSTHIFELGLHRDSQQSSSLPLFLVESRRRLFAATYQLDKSIAT FLGRPPRISLRHSDCRLPLDLDDRSLEADQSEIELALQDLDSDGWNTQGSLHRSTFSR QRFLVATFREEILEVSLETQNHRTAEKLRDISMRCHQTWDSMPKQLHYSPDSRDENMS NTVCMMLIVSYLAYLYNDFLIQRLLVQQDPEAYSALLNVSSTILSTVLDFCAMREDMV DLRPDFMWTKQARTGKPIPYQGSRSVLIRHLSVFISHLESMSRPGVVNQELFHRASKI FSSIIDEVLEPRVAVTLPGPDIDILAGSGTCMIGSDDLEFLDMLEFGGSIDQYVMPLV CWLRFGLIPS AFLA_017300 MSYMVLGLAIGVIFLYFIRSFLARTKSFAPFPPGPRPKPIIGNL WDLPPQGTRDWLHWLKHKDLYGPVSSVTVFGQTIIILNEARLAYELLEKRSAIHSSRP SCTFAHMAGYGDIMTILEYSERLRTTRKVAHQQIGSNKAISRFSHIQDAEVCRYLLRM LRDPGNWLEHIKKETGAVILKITYGYTVEPHGRDPLVDLAEDAVGKFSLAMVPGAWLV DSIPILRHLPSWAPGGGCTRAAEGFQTAARNLGNVPYAFTKQQMAQGSNVPSIISYYL ESENIQPGSEEEHLVKWATATLYGGGADTTVSTMMCFFLTMALYPHVQRKAQEEIDRV VGATRLPGFEDRENLPYIDALLKEALRWHPIVPMGVAHMAMEDDMLEGYRIPKGAAIL SNIWAFTHDPNEYHDPMTFKPERFLSDNGHTPERDPHLLAFGFGRRVCPGRNLADSNL WLTIARTLAAFNIAKPIRDGKEVDIQPEFQAGLISHPEPFDVDIKPRSAGHHELILAG EKQYPWEESHAEELRRAIAVL AFLA_017310 MLEDKDEIEQEGDVTQQELMPDQSACNEESNTSCISDSAAPARS SRIAPMENPAVDCRR AFLA_017320 MTNANQRPQTASPTSSATSSTASSESSGSSTNKGAIAGGVVGGV CGVLIIIGIIWFLLRRRRLSSASRDPGKPALPPGSKYGHETAELGESGNIRSELYGSP VVRELPTDIQSRQELPGSATKQSPLEPS AFLA_017330 MPPTPQTTKLTSTLHLLIPRLRLLQKKSTASSVVQRRELSHLLS ENKDASARIRVENVIATDIAVEVMEMVELYCELILARANVLDQNAFSEKGVEARNRAK EAWVEMRRKEQGLGSSPGSAASGGDAAGSGKRSGFGFGALFGGGGSKREETVAMESTG VQGVGDAAYIDSALDEAAAAIFYAYPRFPADVRELTILRGLLADRYGKEFMTLAQDDR FPEADGLKVPERLVKGLRVKPPSQELVDSYLREIARAYGVAWGGDAEELGEAPAEFVD GDGDDDATAGGDVPVTPRKEGRPADVERRMSETAELNRATPPKGLQSGKSPVSVAPPG PRSDNPNPRVKVPDGNGKGEAEVEPRSPSKTTKGGIPELDELTRRFAALKR AFLA_017340 MPVPKLDLQQSSRLRSISTSSNSPVPTPALTPTHQRTFSHSNSK NRNARHTRTTSWTSGHDGGEPSPLDFPAQQRRRRESNISLADLSEGSSDRYGDDSDDR MALRNTSFEQSGHARNRSQSHAQASFMQPQQFSADPPPRPGPVTWMTLPRKKQLALLG LCRVFDFLQIASLQAYMFYQLKSFDEGLSDADVSTQAGILQGAFTAAQFATAIPWGRV ADAEWGGRKFVLLVGLLGTAVSCLGVAFATSFAQAVFWRSFGGAINGTVGIIRTMIAE NVKEKKYHSRAFLILPIGFNIASLFGPVMGGMLADPVRSYPALFGPNSSFGGANGVQW LERYPYALPMLANAVFLSFAAFCVGIGLEETLEACKGKPGLGVFTARIFARGIRAVVP SSSPLYQRLPFADYDEEGPLLSNRRDPTESYELEEKAAKPRHKRTLPFHRLWTKNVLC TLLAQAFFDFQMGAFNNLWLLFLSSPRYDSNDPAAPAQRLPFIFTGGLGMLPQSVGFA TAILGVIGMLLQFTVYPAINSRLGTAKSYQYFLTLFPLAYAFAPYIALAPSSAPPPGQ ANGPWVWFSIIVVLFLQVTARTFTLPTSIILLNNCSPHPSVLGTIHGIGQSVSSAFRT IGPIFSGSWYGYGLEIGTVGFAWWLIALVSVFGCVAAIFVYEGSGHEIILPGEEDEFN DRH AFLA_017350 MPGGSASLSSFRIMAALLVGLLCCLPGVFAEIDSVFRSRPDLYP PALTIEHSVPGKVTPGYIFVGPYEAANSGPYIYDNEGNLVWSGWGSSGPGNAHGMHVC KYKGEDHLCFFQGAQQNGYCRGHGVIMDNRYRTVKTVVPGGGMASSDMHEFLPINDGK TALLTVYQQRQFDMSLWNVKTGMGWLMESIFQEVDVETNEVLFEWKSLDHVDPTVSYT YPGHTDTSGTGLDPRSPWDYFHINSIDKNQEGDYLISSRHTSAIYKISGQNGSVIWQL HGANPSFTNINFSFSQQHDARFLNENGTHTLLSLYNNGFNGFNKTHEYSSGMLILIDH VAKTATQLHEYAPLNNNMLSSSQGNMQVLPNHNVFIGWGNNAYISEHDQNGNLLLWGY IDKDRIMNYRAQKFQWDGMPTDVPALWTYSRSTEPFSSLTLYVSWNGATRVRYWRFYG AMNMTGPYALLNQVTKKGFETSYTFPHYYPWTYAEAVDLEGKVLGKSRHKFTFTPSRE LQQYCANDMCENAQAYGLPGEEGASAFIPPLGLTTVPWVDPENPEAHYDWGESSEPAS QPLDEAKETLKIAYGEPFFYSRPQVKDIETNYGGVPRSRMVCPCAGGWCCRDWGLHGG SKVSTATPSCLPGERAQIIRTIGRCYRRTKTAGRDERHAVVALAAMDRSIRRTTLFPL EGARFEQERRCILGLGFHSLTPYITCLTLL AFLA_017360 MSLTYSDNLAPQPWTDVFTDDTCIDRRKCHRTVPMKVLALGVGR TGTASLRIALERLGYLKCYHMMSASVENPPDCLMWHDALLAKYDGVGEFGRKEWDQLL GECQAVCDWPACAFAKELIEAYPNAKVILTTRDVDSWHASVMKTVFWRVSDPEHSFVS NFSWAASMYYPMLNKFFETFFRGDFPNKGKQVYQDHVDEVRSLVPPERLLEYKISDGW GPLCEFLGEDVPDTPFPRGNDMADFFKRCRTRNRHQMMNAALQAVTMGGALLATGLAA TMAFKRFCR AFLA_017370 MVLSFILVQNRQGKTRLAKWYAPYSDEEKVKLKGEVHRLVAPRD QKYQSNFVEFKRSTKIVYRRYAGLFFCACVDATDNELAYLEAIHFFVEVLDQFFGNVC ELDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE AFLA_017380 MPVYMLYGFKWPRAGFTGIRVYIVLHNLEDATAEYLQAPVTTQL LLESFAKTEPGIVSRLPDLRFIEQYDPDDTSDEAVSKPYAYVAAKVITMPESGALSLD AEELVKESGLDENAMAALTEMRDKYAAGEKIGWYIVYNGDPERWFPQIDEDDDESMMY DDEDGEASDYGSASQPPSTPTTFFPQKLTQFFGAKKAA AFLA_017390 MLYLVGLGLADETDITVKGLEVVKRAERVYLEAYTSILLVNKEK LEAFYGRPVIEADREKVESGSDEILAGADKTDVAFLVVGDPFGATTHTDLVLRARELG IESKVIPNASIMSGIGCTGLQLYNFGQTVSMVFFTENWKPSSYYDKIKENISLGLHTL VLLDIKVKEQSYENMARGRLIYEPPRYMTVAQCASQMLETEEERKEGVYGPDSLAVGA ARVGAPDQKLVVGTLKELAEVEMGAPLHSLVLLGRRAHDLEKDYIREFAVDKATFDAS WQKGYGATS AFLA_017400 MSTDRTPKYRQEIQQMMFVSGETAEPSVETTTLIEEIVRQQVVE ILARSTTLATRRGVRSISTDDLIFLIRHDKAKVSRLKTFLSWKDVRKNVKDSDDKGGA DAAEFAGADDPLAGGVVAGPQDVASKPKNKRARVGLAWDVNSFYSVQVPERDDEEDEE EEEQNYATLQRLAAADERTKHMTKEEYVFWSECRQASFTYRKSKRFREWAGFGIVTES KPNDDIVDILGFLTFEIVQTLTEEALKVKEREDREKNRRGGAENDSGETKKRKRETGL FDPPEEGRTPVEPKHIREAYRKLQATPNKNIAMLLHNGRVPARMPLRLI AFLA_017410 MSSTINTSKGEQPIDPYKAKSLEDPPLAQKVEDLVDFISEAKFG MLTTKIAGSEYLTSRCMALAGKEHGGIDLLFHINLFSSKTLDINTNPSEVNMSFLDPV SGSWASISGTASIVADKALIEKYYSPTLPAWLGDLGDGVHDGSPSDPRIGVIKLEAKL ATYAVATRGIFGKAIETIKSATKGDVPAINSIRELSEQELAECEFLSFIFCSFMGV AFLA_017420 MSRETESEAIRLPTVAEIEAATEIISSPDTSAKVVRVNKHFAVK MGHGVTLMEAENLKFLATNSKVPVPRVYAAFKDPDTKKTYIIMQYLHGDNLQKSLPSL TQVEKATICSLIKDAITELRSIPPPDYLGMLNRRPYLDGVFWTEGLIPKISGPFENQE DMNLAIIEKLRQTESEPYIRLLRNMVNRTLNGHRTVFTHGDLQPKNIMVEKLRGRDGG PEFRITLLDWESAGWYPEFWDFCNATIACRFKPDWLELVPDILDQYPVEFLMLQVVYS SVFY AFLA_017430 MASKVHLVRHAESVHNVTHDFSQLDPELTPLGLQQATGLGQLFP YAPQVGVIITSPLKRAVQTTLTAFSHILDKRYFDPDSGYGVENGAVLFLEPDLQERSA LPCDTGSPTRVLDAAFPRLGVQDLAEGWQVKEDFYSPADEAVEERAQKMRSRIAAVCE DLQHQGRTDVVVVTHGVFMRFLLGDPNIDLPKAGWRSYAVGNHSSDVVLSPL AFLA_017440 MLLVGANSFSGQSVCFIEHAAGDLKGQRLSVFWNNALRSWAITC NIINGGIFFNEGNPGGPGSTTRNIVKAFVERDQDVKLLRERSESLQALSGVELQREQW YPIELDAARKTDVFDDLEKEKSDF AFLA_017450 MWLIVCLLSALAYARNDKYLVNRKEKRAFVGGARRGPELTEKRN YVQYAIAATSGCFMSALLAVVAADWLELVLKDFQEDYFTTDIDQSVA AFLA_017460 MKTFTSALIGAAALAQDVNSSPLSGPAGLEFPNVTGLMNHTVHV SNGGSAHCVSGTVKVNATTDKNLKFAYNLPSNQSQVTQTLVSLWSSGGDGYVKSLTSG TQRVTGSFDIEATYCLPAGENSKTTKVQLLTHGIGVDRYYWDFASGYSYVDTAAAAGY ATFLYNRLGVGASSKEDPLNAVQSPLELEILEALASKLRQGTLGDRAFSTVVGVGHSF GSILTQGVTAAYPKTLDAAVLTGFTLNSTGLPGFGLGLNAAIASETQPYRFSGLSAGY LVAGTPVSNQIAFFYDPGFDPEILSLADATKGSFTLGELFTLTHVLNATSEFQGPVAV VAGNEDLPFCNGNCGSPTNILADLVPALYPELSEEDTATYVAPAAGHALNLHYAAPGA FNFIQDFLKKHNV AFLA_017470 MQPTNGRDALGSRYALHSWPSGGCSSSSHEDTLTELARGFTDAL GLTGVAHLSYTCLGVPPIAEGGSTTVGSLSVGAAKMSCSTTGSDSMTSVASRLIWVFG IIWGSSIELDSGEAEGNRLEYRQRGG AFLA_017480 MKLTIASSVLLGLIAAVEAAKHGHGHNHNHQRDVNSAAEVEAPL EKRGGSCEFPKDAGLVAVTPNLKNAGWAMSPDQPCKPGNYCPYACPPGQVSMQWDPEA TSYSYPMSMNGGLYCDEDGKIQKPFPNKPYCQDGTGAVGARNKCNGQVSFCQTVLPGN EAMLIPTLVEELATLAVPDLSYWCETAAHFYINPPGYDTETACVWGTSDQPLGNWTPY VAGANTDGDGNTFVKIGWNPIYLEPTTPFRDVVPDFGVEIECEGDGCNGLPCKIDPAV NGVNEVTGSSSKGAGGGAFCVVTVPKGEKANIVVFEKSGSSGGGSSSSTTVSSSSSTV SSSSSSSTVKTTSSTSTTSSTSTPTSATPSTTATSTTVSETSTVSSSPSLTPTATSTL ISTPSVTPSSGWVSSSALASSGWPIQSPQVLAETDAQSYAMSTDGAAASSPSPTQVAK ENAAPTAGASMLGLVIGLIAAMVL AFLA_017490 MTGTVVVASIGTSGILRAKNTYSFSETIEPLAQCYPAKSSVQTE FGRSLSAIGPLFNVAISDPFCRIRLPSTLLCGKICSSSGRSADLCRLVWSGPSSSTIS ICHWSFPRINISKHSTNQFTWDLTYDRSAVK AFLA_017500 MPQPHRPPHSPHKRTCFPDMSASQIPNLNTLRRGGGRGRFRGRG GADGSLSGGGHGPRGTTSKDRVVQGTDNDASVSRLSAVALGYLHDPFAKTVLGSGFET RRLPIINRGTYVRTTAIDHLVTQFLSQEPQTKKQIISLGAGTDTRAFRLFASQSPPNL IYHEIDFAVNTAAKIKFIRSTPLLQRALGIGRPEDATISDAGDALHTDAYHLHPLDLR CLKRVPVTDASQADSRVHLRGLDTTLPTLLISECCLVYLSPSEAADVVGYFTETLFPG TGDKSNAGSAGDTERTESATPLGLILYEPIRPDDAFGRTMVSNLATRGIHLQTLHQYA SLAAQRKRLREQGFREGQAAADVDFIWERWVSEEEKERVSGLEMLDEMEEWRLLAQHY CIAWGWREGSVGVFDGWRGIEGQSAD AFLA_017510 MSKKFKSQASSSRAASTFGSFGGFSSSFSGLGREPSSLTYVAEP PDLSQIYEAQLAIAFKNFLKKDEVTRTKALDDLRDHVSTVESRNGTLDDGFLEAWVKI YPRASIDLSRRVRQTAHSTQGSIASLVGKRIARFLPKVIGAWLAGLYDNDRMVHRSAF ESFTRVFSTEEKRNSVWKIYQSSILDFVDDVILQQTPQTLSDERTVKSDDAEAKYARV VGTAVLLFNRIIGSSTREVLEKDIATVENLLGSKSLWSLCYHDDPFVRRSVYVLLRSA VSKDPEQLDWKLISAAIIGKSLHVSQLGSSSDLSELLLQVTAARPQLWTDDYTGKSSS SKRLIQYIEKGSEGGLASFWQNLYELLQVIPSQTLVKVDVKSTNEDSVELSSATKLTK SFQNGLNSRDEPRQNRAVGWKAYIDTGMWLANKLPQNDRESFLHERLSPLIMQYVKPE QDRSEWELPAQSAEALCADYLDILATHNYDGELHKLWTELSDNLLEAVKLSSPEQSKD FRSSQDAVGAQAERLLGLEASVLSRVADTDRESKLLQAFKDTNLGLLGNCLEVLQTRN GKPYGAAAVVEGMVQKVAQIAQQSQELLRFVQDDAPELLFSPSADRLISIILSCRSWD GFGPSFEKVVERVAQSEPESSNAHAVQKLLSTLDFKEVDERSGLGSLIARALDRACRG SSLHWSIVIAVLQNQTSHGELTESIFLSIIDALSDESKMFDTLHGVSQIATTVPTALR EFQTGPHGSKLTGKLLFLAESPSEEVAGLAESLTRKVKESAVSETSARSTFEILQHNF NNVNEESLSIGSLLNVAEEFLHNTKPEDLKKVVKDILPSRQNWEDALEPFLALPPRLS TAITSPLKGTVHIIDRHISDESRRHYETVPRDSSHCSSALRLAYFTIRTLSSLDITSQ LGVEELQTLFFYLPLAVQLIDDDLSIDNCNGITGVLLLEQREDYMEIVNDGRSLINRW AHLEESVAPSITAFWESKLESLTGTSPADYRVGEAFVKIMEGQDSANSKSSEEVAKLC KDVRTANAIRSASWVAILRQSILSNPAGTRLCNELVAESTGLKPHDERKDGLRKLSLL NLLVVGLEEVIESIPTQRVVFLVKHLVQCLQSENISLSVKAEVFQTLSFALPCIHEMY GSHWEDCMDALSTTWREASGSDEALPVLLGSFRLFACLRSIVGNEDSNDDVKDTWSDR KAGLFNDLTATIKEFGQYMLVDEWTYCANETDSSITFYQPRDVTVDLLCRLINSISIE TLEDVSRIFPLLTAQSRAVQRAAYTVLHRYIPSVQEQVSFDVALSKTAVKLPDELLSL LLEAPTMDSISLSYGDDKVWADIRSYLLSWKIIFDHFVNASFAVQENYVSSIKENDVL IPLLEFTFDFLQQSHQKMVDASKFDIRSFELDQSDSQEKEIQWLLVHLYFLCLKYLAN MTKSWWIDAKKRTKGPVEAWTEKYISPLIIADALQGITEWISTQDPNEDRALTVKISP KTSEIIASIPVDEESPPVALSISLPPAYPLQPALVVGRSRVLVDERKWKSWLLTIQGV IMFANGNIVDGLLAFRKNVQGALKGQSECAICYSVISTDMQTPNKRCATCKNTFHSVC LFRWFKSSNQSTCPLCRNNFVYV AFLA_017520 MKFFIDDLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQMHYPEHRKLIYCSRTMSEIEKALAELKALMKFRTQQLGYTED FRALGLTSRKNLCLHPSVKREKSGTIVDARCRSLTAGFVKEKKERGEDVELCVYHENL DLLEPHNLVPPGVFTLDGLLKYGEDHKQCPYFSARRMMPFCNVIIYSYHYLLDPKIAE RVSREFSKDCIVVFDEAHNIDNVCIESLSIDITEDSLRKATRGANNLERKINEMKSSD AEKLQSEYSKLVEGLREAEQAREEDQFISNPVLPDDLLKEAVPGNIRRAEHFISFLKR FIEYLKTRMKVTHTISETPPSFLTHVKDLTYIERKPLRFCAERLTSLVRTLELINIED YQPLQEVATFATLVSTYDKGFLLILEPFESEAATVPNPVLHFTCLDAAIAIKPVFERF SSVIITSGTLSPLEMYPKMLGFTTVMQESYSMTLARRSFLPMVVTRGSDQAQISSSFQ IRNDPGVVRNYGNIVLEFSRITPDGIVVFFPSYLYMESIISMWQGMGILDSIWNYKLI LVETPDAQESSLALETYRTACCNGRGAILMCVARGKVSEGIDFDHHYGRAVICIGVPF QYTESRILKARLEFLRENYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGVMVLADKR FQKKRTQLPKWISQAMLESETNLSTDMAVATAKNFLRGMAQPFKAKDQDGISTWSLAD LERHREKQMLEEERARRQALANGHGANGVANGAAAAADEFDDDIDDDLMMLDAQ AFLA_017530 MANFARPVASSISGIDFSVYNDEDIKATSVKRIHNTPTLDSFNN PVPGGLYDPAMGAWGDHVCTTCRMSSWSCTGHPGHIELPVHVYNVTHFDQMYRLLRAQ CVYCHRLQMSRTQVNAYTCKLRLLQYGLVDEVAALESMELKKGSKKSAKDGDESEDDG DEDEDDLVKRRNVFVKKAIREAQASGKLKGLMAGAKNPIAAEQRRAVVRDFFKDIVGI KKCANCSGISPGYRRDRYSKIFRKALPEKAKLAMQQAGYNASNSLIILQETRKFNRKE KEALVNDNASTTSESHGAEEEVARGNAILAQVDNKKTGDGGQFMPSPEVHAAISLLFV KEEEILNLVYTSRPMSKKESRVDAGMFFIKNLLVPPNKYRPVASQGAGAVVEAQQNTV FTQILKNCDIINQISKERQNGGSDSAMRVRDYRDLLHAIVQLQDTVNGLIDRDRSGLS GPAAASAPNGIKQILEKKEGLFRKNMMGKRVNFAARSVISPDPNIETNEIGVPLVFAK KLTYPEPVTNHNFWEMKQAVINGPDKYPGAAAIENEFGQVTNLKFKSLDERTALANQL LAPSNWRMKGSRNKKVYRHLTTGDVVLMNRQPTLHKPSIMGHKARVLANERVIRMHYA NCNTYNADFDGDEMNMHFPQNELARAEAMMLADADHQYLVATSGKPLRGLIQDHISMS TWFTCRDSFFDEEDYHELLYSCLRPENSHTITERIQVVAPTMIKPKRLWTGKQIITTI LKNIMPPNRAGLNMKSKSSTPGDRWGEGNEEGTVLFKDGELLCGILDKKQIGPTAGGL IDSIHEIYGHTIAGRLIGILGRLLTRFLNMRAFTCGIDDLRLTKEGDRVRKEKLSKAS EIGREVALKYVTLDQTKVADEDTELRRRLEDVLRDDEKQSGLDSVSNARTANLSTEIT KACLPGGLVKPFPWNQMQSMTISGAKGSSVNANLISCNLGQQVLEGRRVPVMISGKTL PSFRAFDTNPMAGGYVCGRFLTGIKPQEYYFHAMAGREGLIDTAVKTSRSGYLQRCLI KGMEGLRAEYDTSVREASDGSIVQFLYGEDGLDITKQVHLKDFDFLASNYKSIISQVN SSDFHTLENEEVGEWHKDAMRKVRKTGKVDAMDPVLSIYHPGGNLGSTSELFAQAVKK YEDGNPDKLLKDKKKSIEGILSKKSFGNMMNMKYLKSVVDPGEAVGIVAGQSIGEPST QMTLNTFHLAGHSAKNVTLGIPRLREIVMTASAHIMTPTMTLILNEELSKEHSERFAK AISKLSIAEVVDKVEIRERITSGSTKAKVYDIEISFFPPEEYTAEYAIKTKDVQIALQ NKFIPKLVKLTRAELKRREDEKKLSSYSTAQPEIGVSVGTVQEAPRGADDDDAPADDD DEEDQDDAKRASGSKNRSNQVSYEGPEGEEEKMVQEQDADDDDDIEDSGEKQTKDVEM DDASDDESDNEAKDTKLREEDIKGKFGEITQFKFNPSQGSSCTIQLQYDISTPKLLVL PLVEAAARTAVIQSIPGLGNCTYVEADPIKGEPAHVITDGVNLLAMRDYQDIIQPHSL YTNSIHHMLNLYGVEAARASIVREMTEVFEGHSISVDNRHLNLIGDVMTQSGGFRPFN RNGLVKDASSPLAKMSFETTVGFLRDAVQERDYDNLKSPSSRIVVGRVGTVGTGAFDV LAPVA AFLA_017540 MADLSGNMLKRPHPEDEDNNTQKRSRSNNGSPLPGQGAPASGKP DIERMVAEARAKAEAVRARLQAARGGSTPSAAPSPSPTPPAASPAMSRLEQMKARVAA ATGRASVAAQQRPVEPSPTPQPPPFEEDDGSSKGRGGLDVGLHPALLSDTVEFRGAKG RQSTQSKNRRTESPVTSGRPDRAGLDLSGPSLEEIRNNPYYDPSLGPKATIAKPRQSR QLIFNQKGKYIQQGAALRRQAQLEAMKKRIAERARQAGIDEDLDIEKAFLVPAPPAIE WWDEGLVDGEDYSGIEDERNLKIDTPDTIVTQYVQHPVLLDPPQDKHMPEQKPMYLTP KEQAKIRRQRRMADLKEQQAKIRLGLEPAPPPKVKKSNLMRVLGEQAVKDPTAVEARV TREIAERRNKHEEANEERKLTKEERREKLARQQEKDAEKGILMSVYRIDSLANGRNRF KVSKNAEQNALTGVVVMHPKFNLVIVEGGSHSINNYRKLMLNRIDWTENAGPNAVREG NREAQVAWLAAEDEQTGDLKDLSSNTCSLLWEGQVKTRAFRKWLGARVCETDSQAKDV LARAKLENFWALGKSAKQSESWS AFLA_017550 MSDRQPPVQPQHSLEDANEGPPVKRTCMNDTPPIALTPADDGSD FYNTPWVARTPAEPSENPGAAIQGQTNEPATTSHPSTLIPGLNLVNDSLKDLQPAQSD PSPSPNPGPQEGAHTDTQNGMDLEETEPKKQEPTVENIGNVEGEQNSEPRSQAEVPNQ PQADDNMTNGDASGTGTVPPPDAMEHDQAEQDEEEHPEWEIDSSPYESSSDDSSSDSS DDSDEDDEDYPILSPEEQARILMQAELGSDDEGEGKGKSGGHIRTANEIPEEAPPIPD VTVTPEMKIVHLGHVEAIVENTVLIAANTSGEYQVLESGSLLCFEDRSVAGVVAETLG RVENPLYTIRFPTAAAVEERGLSKGKDVYYVEQHSTFVFTQPLKGMKGSDASNFHDEE IAEDEVEFSDDEAEAEYKRKLKQKRQERKEARDGPAKAKKGPPGPSKLSQTELNYDDN AGEDGYTPLARPKNFHEMMGRQEAPVENDGPTGRGGFRGGRGRGRGFDRGRGGRGRGG GPRETQRQSYQQQGSDAQPQSSNYSQHAYQQTQPNAYGMPQQYPAYPSFPQQQQQQQP QQQPQPQQQFGQAAAPPGFNFQMPFQQAYPQQNPYQFPHNMQINPLFLAALQQQQQQQ QYQQQQAPGQQPAQAATMNFDQVKAQLDLLRQLSNNNQGPPRS AFLA_017560 MASARKTIEVSSMPTGQAPRSKKRPPPPPYYIPLNITLYVFLIS NAIAAFLAPVQDCDEVFNFWEPTHYLDHGYGLQTWEYSPVYSIRSWLYVTIHAIVGKV ASLALVTKSSQFYAIRFFLALVCAACQTRLYAAICRTLSPKIGLFFLMIVAFSPGMFH ASTAFLPSSFTMYMSMLGLTAFLDWRRQKIAQGIMWFGLGAIVGWPFAGALIVPLLLA ELLVGVISGSLGTVVSGIFNGSIRCLAILAAEIAVDYAFLRKFAVVPWNIVAYNIFGG EGKGPDIFGTEPWTFYIRNLLLNFNIWFIFAMSAAPLLLLQAIFRPQATKKEIPLRTL AVIAPFYMWFAIFTAQPHKEERFMYPAYPFLALNAAIAIHIILTYVGSKDSKGSKGTL LAQAKLTIVTAVVLAAINAGLLRTVGLITAYNAPLKVFEPLERLDIPQAGDSVCFGKE WYRFPSSFFLPDGMRAKFIRSEFRGLLPGQFPDATDYPALFDGTSQIPEGMNDLNQED PGKYVDISQCSFLVDSYFPSRDATELEPDYIHDESQWEVMSCKPFLDASQTGLIGRLI WVPDLPGLPDQLQRKWGQYCLLRRRDVSAETD AFLA_017570 MIFTALPTTITQHHLSNVTAICLLATLTTYLLHSLWKKHHSSKS PESDPEQKSLSPASKFKQPTRKPGEWTPSDFKRPPAAPYPDWDVHTTKPKPYRPFRYG PKYFITMGLRSMKWDEWIELDNHYPRYHADKARRIKERGAKCSKTAPEAMDAAMELLE ELTTYLPERYPTLFRKTPTGITNLLTQETLTTVPPLSEDPMQTCGRLIQDDLALMLER PDGEYYLLAGSILLAGFWRLEDKYGMRLSEIHTSGSVPGYKEKLEKGMLNFFRRLRPE DPVLRNNYFIQVDESLPWSHSIGSEDGETVSWNTAEKNRAIEHHFFRSERQSLRRLPR SGAVVFTIRTYFEPITEVVKEPYVPGRLASAVRSWGEDVARYKGREKYGEVLLEYLDR MHERQVAEGLEVDKEEEVRSYPF AFLA_017580 MASFEDLGQSRCGESRSDGKQWWRRVWYYPVFFPPGFGGLCLLW GADGLTKRLVEHPNSTEGRTKERERHVAREKEWATGATDAVADNRAPIERFRKPPNKA FSVTDLISPAWCELQYWYTLTKFGRKRRTPAMKQGSTIHKTLEDELYTTVPVEITTKE DALALRIWNIIQGLRTLREYGITRELEVWGLVDGELVNGVIDQLSYECPDSELEATAA SYYADVEASRAVLPEYQMSLSDYLLSPSQGGKRLTDLSWNGEQEDSLDDSGIGSQSSS EAFSLPRIYMTDVKTRASASVPTVKSSSFRPTLLQLQMYYHMLNRLATSEDVSIELLA SRYGLDPTRTFTDAFIAEVGGLNDQFFDTLSSQEFDRDFTPEDAAGRRTSYGADSAPP GSQDSTSILLAHNNLTSLWKLMKDQLRLTFLPPAHSTPVSVAPSIPSEFQPGLLEPYP TVLSPLLTARYLSSAPTTDTESRLLGSRSFLFDPTALTAYLSDQMEWWRGERNPRGVE VMDAWKCRICEFRDECSWRQERELAFAKRRRRRSSSLAV AFLA_017590 MRHTLITQLGKRPTLFSRSHLSSRIRPQGNRSTSSSPKSPEKNV PSQNAQSKAAPPSSTQSSCSSATPRTVPASARSRSLRDVIVEGPLGKLGRSYSRIQER RPYATQFWSSIVVYLCGDLSAQLLFPSDNKSVKDTARENSESEDNDAATSGGGYDPWR TLRHLTVGAGSSIPSYNWFMFLHHHFNFASKFLSILTKVCVQQAVFTPVFNTYFFSVH SLLSGATLEETWERLKKALPVSITNSAKLWPAVTAFSFMYVPAQFRNIFSGVIAVGWQ TYLSWLNQKAAREVEANELAEAAASQIGIGASATLKA AFLA_017600 MFGRQIIFFVTYLALTAFNCGSAGAQNIQTLIILRFFAGSFGSS PLTNAGGVIADMFSAKERGVATSLFAAAPFLGPVLGPIIGGFLGMNAGWRWVMGFLGA FSGFVWIVASLLTPETYAPVLLRRRAERLSKITGKVYRSKLDIDQGKVSLKQAFGTAL SRPWILLFREPIVFLLSLYMAIVYGTLYMLFAAYPIVFQGVRGWNQGVGSLPFLGIMI GMLAAVAYSIIDNKRYVKTDEKHGGFAPPEARLPPCMVASLAIPIGLFWFAWTNYPSI HWMACVAAGAPFGFGMVLVFLSILTYLIDTYTIFAASVLAANSVLRSIFGAVFPLFTT YMYSDLGIHWASTIPAFLALACVPFPFLFYIYGPKIRLRCKYAAESDAFMRKMAQQIK QQQGEPEDKELEPAYDRTEAPGVEVSDSSDDESGSHVGELPPVQQVRTKSSVRTAGSA RQSIYDGNPYDIDRVHTRESFK AFLA_017610 MARTKQTARKSTGGKAPRKQLASKAARKAAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS AFLA_017620 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G AFLA_017630 MENSNRACAADCQAPFPGTWDSESAATYQLHVACSLAPFDIPHT ENPNSSGAVRDAIIVYAA AFLA_017640 MSMYPSIRNKGFISEPTSTPFINFQDPVFIQDEFLPDLSQEAAL QFYNQQLPRLQSPFQYHSGLEFYSPPSSAPSSPTSSPASSTTHLPATTAVAAEYPNSF EAPSMTPVSSYNSAFNIQHTATPPSSQPYLSQYPQYLFENSPMLAQQPVANTHGWENN LQLLSSARMQHKASPSTSSTRSAPAGSSYQRSNASTLSKPLPTPVQTPIQNSFLAAPY QQNYDTSVHDGSQAEAEMVRRAVMEQQQKQQQQQSHHQHQPSDYSLAPSVSSVSHNSP VTPQIKPEELDEASKAMVNDYNNHNGNNLPIGIPKINRTMSDIYQDELYNPALMPTPQ VSKQTTNQQNLLNPFRNVFADRLQAANQGHMTARSHSPVVNMHRDRSPFRQNSPLAAE YNNGFQQPQMATSVPMTQNVGQSQGEGEPKTMSPKDALLDFNEGDDAGIPLFPTSQPD FNLGEALGLRRESSSSFPQSQNFTSMESFPTQYTTPNGLPQQYPFAQQQQDHQQQQQN NLLHQTPEFPASLPHFESTNSDAGVNNAHNGDAPSEGRDHPP AFLA_017650 MNIPRVFRELFIRCGEVSEVGVVPLRECLIEIFRNWSSLGFTGQ CPYSFSNEEITTHEHQFAEYQAWDEVQQLAQECLDTDAEGWIAPQLDIEEKRRQNREL LSLYIKRMAGEKSPDEARAMWPFPG AFLA_017660 MEKVAGVPLFEQWGKMAEIEKLELIKNLTKLEAQLAAIRFPAYG GLYRQADVSSLQCQELNGSICIGPSCGRSFSTDSAAVLSTQSEKLDYGPCKNPKFG AFLA_017670 MRPKRTNRQRPQTGNSALSPAALNSGIEGFRPDPLVRLKSKRFS FSAEEQDGFWQRSGHVDQRFYL AFLA_017680 MAEPTKIKILGQESIIADFGLWRNYVAKDLISGCPSTTYVLITD TNIGSIYTPGFQKTFEDAATAVSPAPRLLVYHCPPGEVSKSRQTKADIEDWMLSQSPP CGRDTVVIALGGGVIGDLTGFVASTYMRGVRYVQVPTTLLAMVDSSIGGKTAIDTPLG KNLIGAIWQPTRIYIDLEFLETLPVREFVNGMAEVIKTAAISSEEEFTALEDNAEAIL TAVRSERKPGQRWFEGIEDILKARILASARHKAYVVSADEREGGLRNLLNWGHSIGHA IEAILTPQVLHGECVAIGMVKEAELARHLGILKGVAVARIVKCIAAYGLPTSLKDSRI RKLTAGKHCSVDQLLFNMALDKKNDGPKKKIVLLSAIGRTYEPKASVVPNEDIGVVLA PSIEVHPGVEPASNIICIPPGSKSISNRALVLAALGSGTCRVKNLLHSDDTEVMLNAL ERLGAATFSWEEEGEVLVVNGKGGNLQASPSELYLGNAGTASRFLTTVATLANASSVD SSILTGNNRMKQRPIGDLVDALTANGASVEYVERKGSLPLKVAASGGFAGGRINLAAK VSSQYVSSLLMCAPYAKEPVTLKLVGGKPISQPYIDMTTAMMRSFGIDVQKSTTEEHT YHIPQGRYVNPAEYVIESDASSATYPLAIAAITGTTCTVPNIGSKSLQGDARFAVEVL GPMGCTVKQTDTSTTVVGPSDGILRPLPNVDMEPMTDAFLTASVLAAVARGDGASHTT RIYGIANQRVKECNRIKAMKDELAKFGVVCREHDDGLEIDGIDRSTLRQPAGGVYCYD DHRVAFSFSVLSLVAPQPTLILEKECVGKTWPGWWDTLRQKFSAKLEGKELKEEESSP LAGAGRATASVFIIGMRGAGKTTTGRWVAKTLNRPFVDLDTELENVEGQTIPDIVKQR GWQGFRDAELSLLQRTLKERSSGYVLACGGGIVEIPEARKLLIDYHKNKGNVMLIMRD IKQVMDFLNIDKTRPAYVEDMMGVWLRRKPWFQECSNIQYYSQHATGKLAKASEDFTR FFNVVTGEADSLSIIKRKKHSFFVSLTLPDLRTAGDILEKVCVGSDAVELRVDLLKDP ASDSDIPSVDYVAEQMAFLRSYVSLPLIFTIRTKSQGGRFPDDAHDAAMELYRLAFRS GSEFVDLEIAFPDEMLRAVTEMKGYSKIIASHHDPKGELSWANMSWMKYYNRALEYGD IIKLVGVAKNLDDNTALRKFKSWAEEAHETPLIAINMGDNGQLSRILNGFMTPVSHPS LPFKAAPGQLSATEIRKGLSLMGEIKQKKFAVFGTPVSGSRSPVLHNTLFSQAGLPHE YGRLETANVEDVKDFIRSPDFGGASVTIPLKLDIMPLLDHITPEAEIIGAVNTIIPVA DGDKPARLVGSNTDWQGMTLSLHNAGVETANKDASALVIGGGGTARAAIYALHSMGFS PIYVIGRSAPKLQSMVSTFPSSYNIQVIDSPETLKTIPTVAIGTIPADKPIDPVMRET LCHMFERAQEADADVVKTGEKAHRVLLEMAYKPSVTALMQLASDSNWHTIPGLEVLVG QGWYQFKHWTGISPLYEDARAAVLSS AFLA_017690 MGVPALFRWLSNKYPKIISPVIEEQPYEVNGEQIPVDTTRPNPN GEELDNLYLDMNGIVHPCTHPEGKPPPANEQEMMLEIFNYTDRVVNMVRPRKLLMIAV DGVAPRAKMNQQRARRFRSAQEAKEADEKKEEFRKQFLKKSKGDQEIHEEVIQKTWDS NVITPGTPFMDILAASLRYWIAYKLNTDPAWEKLKIIISDATVPGEGEHKIMEFVRSQ RAAPEHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQESKARTCHLCGQAGH KAEECRGQAKEKNGQFDEKGKGTSLKPFIWLNVSILREYLAVELYVPHQPFPFDLERA LDDWVFMCFFVGNDFLPHLPSLDIRENGIDTLIAIWRDNIPVMGGYLTKDGHVEFKKA QLILQGLAKQEDAIFRRRRQVEEKKLANEKRRKEEAQARDRARKRRRSSPNYEPSEPP ASNRARGGGGDSAPPNDVELIIPGRGELSRENRELTHSMVVNRGAVYRANMANKSAAA ILKSKLMKGSQEGDDTAESTPMPDADGASDSKIEPTSPSVLGKRKAEEPEGETDTPAD NTDSTPKPSKDDEMPPDTVRLWEEGYADRYYEQKFGVDPQDKEFRHKVARAYAEGLAW VLLYYFQGCPSWNWYYPYHYAPFAADFVDIGDMELSFEKGTPFKPFEQLMGVLPASSN HAIPEVFHDLMQDPESEIIDFYPEDFAVDLNGKKFAWQGVILLPFIDEKRLLAAMEKK YPLLSDDERHRNTVGREVLLLSDGHPLYQDLVANFYSKKQGAPKYTLNMRVSEGLAGR VERNETYIPHSSLVSSLEEYGMPTLEDDRSLTVNYEIPKSNHIHKSMLLRGVKFPPPA LDNADIQATRSKAQHSGRSFGGAPFRGGHGNRGGRINYASDRPNPFAAHLDPNFMPPS NAGAQGMPSGWAPPVPGSANFSRGPPPPPRGNHRNHYGSGHAQQQGYQQTNYGRNDYY GRGQQGHQHQGSYGNQSGQYSGRQSGYGGAEYRGGGYQRGGYQGQGQGRDYYNSRNQG GYGRY AFLA_017700 MFRILESQAPAKQTATDTINTLSSRLQSATLLEDRRAAIQGLRS FAKIYPASVASGALRPLIGCLRNDQEDVDTVKVVLEALLMLFSPDESSPEASDEIALW LSDEFTQRQDNITALLDLLDTRDFYSRLYSLQLIFQISSARPERTQECILTAPLGIPR LVSALGDAREPVRNEALLLLIALTPSSEELQKLVAFENAFDLLFTLIEKEGSLSHGSE VIEDCLSLLANLLRLNISNQSYFRETGCVKRLAKLLADVNHEQESDEPTPQWTLAQRD KNIWGLLVIIQLFLVRGGINTPANQMAFWHSGVMEQVLSTAFSQRFSVNVTSKALATC ADLIRGNQPLQERFGDVEVFWGAQPAEGASNGELANQGLQPINVIEALLKLSLEPATL QLLDARLAACECVKAFFANHAGIRVHVLRRAIDGHLSGQDEIPNILTALLLPPESRGN ADPYQTWMASVLMFHLLFENAEAKAIAMEVTEGDAESGEEVITCIQSIVGNLLTGMQR GDDERISVGYLMLLCGWLFEEPDAVNDFLGEGSSIQSLLQEIKHRYVSNVLVSGLCTI LLGVIYEFSSKDSPIPRKTLHQLLTEQMGREQYIDKITRFRESPMVRDFEVLPQTVGG QYEGGLPEIFFDKTFVDFLKDNFGRMIRAIDREPGLEISVITNGIERGVSRELVDSLK AELEERSQAVQKLESDLVALHNRLEQEQLEYRKARETSAMEITRIQQINESLQKHHAQ ELAALEEQHQHAKNELVKQYGEQLRTIDYQLKETSVEYEKKSYKARQHHEAEVADLQK KIRSLEAELGRAQEQHTGEAANLKATIQALRSSADKANENHAAEVSNLHNTVQKLDFE ASQSQRQHAAEVSNLNQTIHSLQSEVEALKQQNESNSAEHKITNERLQKELDTAKEKA TQDIQAVHDDYTSKCAALEKRAEEAEQKTGQSELAERKSAQELQEARERLKKAQSEVK EKEAAARKSAKSEAEGLRKELEKVRSEAKKAEEAALKSANSEIEGLRKELEQVRSEAK NAEDAARKSAQSEADKLRKELEKVKSEAKRTEDATRKSSKSTKSEAEGLRKELEKAKL EVKEKEAARKSTQTEITELQRELEKVKLEAKDQAEEARKAKENESVAQKSTQEIDELR KELEKLKSEVKEKEEARKSAQSELEDLLIVFGDLEAKRNEDKKRLKDLGQEVSEAEED DDDDDEEDEDDEE AFLA_017710 MSIDFPKEEELTLKRWREIDAFQRQVELSRGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGHYVERRFGWDTHGVPIEYEIDKKLGMSGLEAV EKLGIEKYNEECRAIVMRYASEWRETIERLGRWIDFDNDYKTMNTSFMESVWWVFKQL FDKDLVYRGYRVMPYSTALNTPLSNFEAQQNYKDVQDPAVVVSFPLVEDPETCLLAWT TTPWTLPMNTGLAVNPTFEYIKILDEASGKHYILLESLLRTLYKDPKKAKFKIVDRFK GSAMKDWKYTPLFDYFYEEFKDHGFRVLNAEYVTADDGTGVVHQAPAFGEDDYRVGME SGVISETRLPPNPVDETGCYTAEIRDFVGQHVKAADKPIIKHLKGIGRLIVDSQITHS YPFCWRSDTPLIYRAVPAWFVKIPCIIPQMLEGIEGSHWVPSFVKEKRFSSWIQNARD WNISRNRFWGTPLPLWVSDDFKEIVAVGSAEELKELSGYQGELTDLHRDKVDKITIPS KQGKGVLRRVSEVFDCWFESGSMPYASQHYPFENKEQFEKSFPGDFIAEGLDQTRGWF YTLTVLGTHLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNYPDPSLIMNRYGSDALRLY LINSPVVRAEPLRFKEAGVKEIVAKVLLPLWNSYKFFEGQVALLKKSQGVDYVFDPKA EATNTNVMDRWILASCQSLLKFVNEEMAGYRLYTVVPRLLGLIDNTTNWYIRFNRRRL KGENGVDDTLHALNTLFEVLYTLVRGLAPFTPFLTDTIYQKLLPHIPEALRSEDSRSV HFLAFPEVREELFDEVVERRVSRMQKVIEMARVSRERRTLGLKTPLKTLVVIHQDPQF LEDVKSLQSYILEELNVIELILSSDEEKYNVQYSVTADWPTLGKKLKKDAQKVKKSLP SLTSNDVKKFVSDKKILVDGIELVEGDLIVRRGVKEDASSEGMEPNADDDVLTILDAN LYPELAHQGLGREIINRLQRLRKKAGLVPTDDVKMEYAILSDPENVGLGEAFQTQAQA IEKVVRRPLERFELVDGKVPSGDEERMIMEEEQEVQKATFMLRLLKL AFLA_017720 MPPVPPQRRHLSYEDPSLSDEDVGLLYQVITRAERDPEVERLPY RVLFKAYDEVIAEHGVDPDPRYACLRFLLKMGSKNILGDSLFEKFENLLERMGIVIEI GEDDYEGNDTYADSVPSTDGRLKAKVDRDTAYGESTTQPTPRRRASFNSMYDVGDDPT QRSFANRPSSRSSLSRLPIDKPEFPSTRPSPKRALAKQADSTDRTQLIAQFLDVGRRL MNKMDLLDRDTDGRNNGDHVSNGVHARYAVDRDRSKRMAKASRSRTRHSLSSEGSDGD AESSSVSAEGDDSVEKPEVPLELLYRPSLSDLLRDASTFNMYRQRAINRRLLTQWLKK AIQTRQSHQHMEVVAINRDRGTLLRQAFETWHSIIQTQRRAAQTDRFFKHLEERASRA RDLYLVTKAFTHWAQVASEEVARTSAARRHVLSVKYFNAWREITAVNELKAQRFALRR PFNIWKRKVHHIKDSEKEVTVAHDKRLIHGMYWRWFWSFCERRAPQWYDYCLKRRSLL YWLRKFRTNRERNHEIEVKNKHLALSAAWQMWSQRSKAIATGNKEAAVKQRQHLLHKT LGEWKIQSHLAPPASRVSGMVDRRILQTAYVQWVKRIRMLQHAREMNRLRILRNSWTT WNDLLRCQALSARIEERLKIETMYKWILAERFSLMQRIRDQRITREVFSRFVTNVRRT YTRLLDHAEVHEDRRNEELLKAKLICWRDQFALQRHREFVAFEFYAPRLLQESLIAWR SKSQHVAKLEGWANNAQFYFTAIKTLNKWHAATLESAKRRRQAAYAKTRRKLKMNLAA NALENWRARAHDVFDMDQQALQFSRRKSLSIASELLHRWHEQTAKRNQDNHEAEVYHS RRVAYDQLIRLAEALLECHRLNQQADSMYRVHVLGQANVCLRRLSLRIFQIRSTNETA EAMKERNLRRHSRSIFRHWLEKLRLNVEARDSPRPLVTPARNFDGPSGNFRTSRSIFD PWSQTETPFKSNDLIADAQNVSTTPVTTPNYLTSPSKRAVRARALAQASTTPATPLYT PFASRLLRAGTTAPRSGSNPRTRNGRGSALATSVRFVDEEPESPTNARRSANRRI AFLA_017730 MRAVLPGRPRAKLQSFSTALWDGLRVVVRETAIQSLNRVMRRSS SANTWSVVYISGHALVILGGPYTLLQTIYVDDTESLEAVTIDEASGKIAVCGGPDIFI YQPYGIKHETLKWSLVQTFRYENDDETIRTLSWGSPDELLLGNSHLTLWFLNDVPRPV WKQKLANPVKFAQFSPDSTLLITTGYYDRLVKVWRRLSFGADDVRFEVSYLPHPAIVT GVHWRKPFHKEQSIDNVLYTLCADNQIRIWAMMEHHSPTVLQLWTQIDMGASVQPRHT ADQRDMSRRYGFVIDSRDFCVATEQAVQRSAGSKGNHALEHIIEVANKSPEICVVIDG QGHMSAWALEDVGSKSKSDLNAFNILHVEGLNFAFMRGLAADEDYAQICAFQSTHSGD SLSILVHHFDGRIEWYDSQVDTLFDPSPRKDRIALKASWTGHNGPVKKIVRNAIGDTL VSRTDDNKAMVWKQKRRNSGSMLIRKSSLYSDEHIHRTCVVENGDFLVNLHHNGISVW DLRSFHARKIAASTFQLSSKPLCVLPIPTTEKGMVYIATIGADRNGIAWEIRLPTATK QANGAHSSQCCLQKFCTFNLGLEEDMSYILPVDPAGPKAEMSGFFDIFSPDIALSYTR TGIVHTWAAKVDREKSRVEWLLTSTVETGIANPSLASGSSIRKAALVDEDRSHLTIWD TNGAQLEYEEHFGQKDIIRDLDWTSTPDMQSILAVGFPHKVILLSQLRYDYLDARPSW TQVREIWIRDLTPHPIGDSCWLNNGHLVIGAGNQLFVYDNEIDANDRLVSQLRIPSRR HGFVDLFEVVSRLNGPLPVFHPQFLAQCILSGKSNLAHSILIRLHRKLKFYTEGDDLD GFLDMSLEDFYLEHDTSQKASSKELHSAYEDLSLEDEPSVMDENTAIVLNENLARVAL PQLSSQEQFRLADTIECVATVEKHRRSMDDNAARYLLFFRQHMLRRTQGVANKDTVSW REIVWAFHSGSQDILLDLVSRQFGGKMVWKAARESGLFMWLSNTTAIRAQLEVVARNE YTKTEEKNPIDCSLYYFALGKKNILQGLWRMAHWNREQGATQRLLANNFQEPRWKTTA LKNAYALLGKRRFEYAAAFFLLADHLRDAAMVCINQVGDLQLAIAIVRAYEGDDGPVL KEILEERVLPEAASDGNRWMASWAFWMLGRRDMAVRSLISPVETLIPSTPASPGSPGM IPLHAKSYLSNDPALVVLYKQLREKTLQTLKGASKVSGPAEWGFVIRNARLYDRMGCD LLALNLVRYWEFLAEPPPAKGVREISFDLQQNGVDYRKMLRRRSSLVVADMPIKTGVP PSSKAPDAPKKPQPPPTMIHEPDPNSLLDSFGF AFLA_017740 MHWTNILPLLTLAHLPVTSALSAARVWANFSPQCPADDHSSYPD DPNLSLHEEFTTAVDVVAGKCQEVPVPLRYTMEVDHVSIDAELFWQDSLDQCTIAVHE LPGCTEPPLIQKKIEQGKALSECEERNFATFTQVWVKLECEQMKPVHHGIASWYHGRP ANDTHRTVQWE AFLA_017750 MHFSTLFAASLALAPAVYGNSVSVVEVEVHGHDNCHVGRLPGNN LEEHSTIDSKPKKVFPTENSCPSVKLPDHDEVDTYSFTVTALNKKSFEQCRGLGVYTN KECAGNPDWIVPFHPGELRASSPCLPEYGFEDYVSLQLICNDDPVHRDEGKSEAHGEG NKEGEGEGNKEGEGEGNKEGEGEGSNKGEGEAEQRQGQAEKPAAKSNSIFGKLGL AFLA_017760 MAPVIESRTDVLIIGAGPSGLAAAYWMARCGVNARIVDKRATKV FRGHADGLRAATSELFDSMGFQHRVLHEGVEITEFCFWARDEKGNLKRKKQVRSETLD NAPYRMHGLSQGRIERYILDAIKDSSDLVVERGVAAESLEYDASLENNHEEYPITVKL RTLTEGELSAASAYGCSQSLSRDNVAPDEVEDLTPERKHEPGTVEIVKAKYLISCDGG RSWTRKQLDIPFTGSTTEHIWGVLDVVPITNFPDVRRASTVASELGTLLVIPRERQLA RFYVPLTEVDVSSGRFDRSSITLDMMREKVQQMLKPFQFDFKVCDWWTTYQVSSLRGF IFTIGQRIAQNFTKGRIYLAGDAVHNHSPKVGLGLNISLQDGFNIGWKVALVAKGVAH ASILDTYEPERRPLAEMLVDFDRRWSPLFLKQQGGSSPPDAEARFEAMKEVLDSVEPF AEGILSHYGDSPLVHKNGQRIAKNLSPGEKIIPAKVRNQADGITRWTTRVFQSDGRFR ILLLAGDIRTEEQKRRVLTFSEYLTSPDSVLRRFSRKPRKLHATIDVVTIHSAPVEDV QLFDFPKALRPFDDDNGWEYDKIWGDEKCHWDLQCDGKAYEKWGVDRLKGAVVALRPD QYIGWIGDLEDVEGLSKYFEGVFRSPKQRARL AFLA_017770 MSPPQLPNHHHMVVLQGDFVQIPEFNLPEPYTYTKTVYPQTTLA NLHERIYDASILVLCAFPVDATALSEEKSPHLKLIVIVASGTDCVDLEVCRKRGISVT NCPGANIAAVSEHAIGLYFTMRRRLVDMHAQTRAGEWQKKILMFRYLDKYGDPPLTCE DEVVGIIGNGGVGKRIANLARGLGMKVLISGRKNFGSSIGPEGEDRTPFDTVIKESTV LFLAVPLLETTRNLISTPELEAMSHHAVLINVSRGGVVDEEALVKALREDRIAGAATD VCLKEPAGPNNSPLLAEGTEDLNLVVTPHLAWLAQKTAQGYSKLFKDAVEGWFTGNRY NVVV AFLA_017780 MADGDGSHFRDSDDYIRSRTDNDNAGFPSSSVLEGSPVTSAQSF TTRSLLVGLIIGALITFSNTYFGLQTGWISTMAMPSALIGFSVFKVFSKHLSYPFTPI ENVLIQTVAGAVGTMPLGCGFVGVIPALEFLIRDGEDGPSGDGGVGEGGPLKLSFWKL VIWSLGVCLFGVVFAVPLRKEVIVREKLRFPSGTASALMLRVLHGSGQSDEKAKGRVS DNTGLGRDEEEEAPILSRVSEESGTGTLLRKTTLEEESSDKKDWRSKMRLLVGAFAVS GVYTLFSYFVPQVRDIPIFGLSLAHNWLWTLNPSPAYVGQGIIMGPSTCMHMLFGAVL GWGILSPFAKARGWAPGPVDSWDDGSKAWIVWVSLAIMLADSIVSLGWLVLRPAVKHA PKLKAKLLSSRLWRRVSSRGSAEYHPHDYISYSALSPISEESSIPSHLPALLAGMQAE AADEEDAPPSQLISTRTVLILLPLTLILNVVCMHFVFGDVMSPLLSSLATLLAVLLSI MGVRALGETDLNPVSGISKLTQLLFSLATPASHFSRRTALVTNLLAGAVSESGALQAG DMMQDLKTGHLLGASPKAQFYGQMIGSLVGAVLSTAVYKMYVNVYEVPGPMFQTPTAY VWIFTARLVTGQGLPPMAWEAASIAGAVFVVVTILRIVGTSSFANGGKRDATAWWRSY IPGGIAVAVGIFNVPSFTLARAIGGLIAWWWSRKHAKAQDLEPPTQAANEEQPQGNVR GADTRNPAAGPAPGQAIKDADAASSTVVVLASGLILGEGIISIVNLLLASGGVPHL AFLA_017790 MTLSVSFADHFHEGLSAFSYVSSFTAKCLHISHLTEYTQLFNRD SIMLSNICVDVK AFLA_017800 MVHAHNHHHGPSLEARSPDHDDDGQEVTVVYVTASADFDGPIGG YVTGEDPKTKTAAATVGVGPAVQQSRTSTKEEPTTTEKPSKKTTAVETKPTATTKIET TETTKEQPTKTKETTKATEKETSTTDQKDTPTAMTTFSTATSSTSSLSNTGLEEAAAT HTSSLDKSAASSTALPGASGSEGLTGGAKAGIAIGVILGVGLIAVFIFFFMRKKRQGQ KIDEIEPENEKAFAASSVAPPPPPPKFEPMTPTKPPQLNVRPVTQFAPDLTPSATGAL SAAGAGATLGATAAASRNLTEHASPPHTPQSNAGTSDPFTDPVNPFSNQAEAPSPSNV ARPINPPTAPETMTPPDPVPAEAAVGAAAAGAVVAAAAGGAAVAAVASSKSTENDHPS QPESSEPKIDSQPEHAETSPVNAPDDNEAPGPSPPVVAAVPAFGPSEHGPPPPPAPTN VHRVQMDFSPSMEDELELHVGQLVRLLHEYDDGWALCIRMDHSQQGVVPRSCLSSRPV KPRARPPPGAVPGPRGPQMMGPHGPKPPGAMPNPRFYPPGGRPMSPARPMSPGRPMSP AHPGYAGPPPPRPHPQRPMSPAQFPPAPRSFSPGPGPRPMPPRSMSPGPYGLPGMQRP EMPVNQRQRSNSAGGPVPRTTGPPGPSPLAAPRTPPPSGALPAVPNPAPAPTSAPVEA PVPGSNTPPQ AFLA_017810 MADWKKALGFTDRLTAIQSLTTAYQRASSSAAFAEAQSQAKRFE TEAYDQATSKEEYDQFCQKAIDAAEATGSAAPVISCPDLVQNKEGVEDWPAGQDIGPY KACLHHFDGLHSTIYKSRRTDGTLVAVKVTVPHLLVPPHDAKCEVQLLRETAGTHVIP LLETLKLDGGRLILVFPFMKHDFEHLLRRGMLTATQTRSHMRDLFRALAHVHELGVIH RDIKPSNILLDSPDGPAYLADFGIAWKEGHNETEPADKKITDVGTTCYRPPEILFGYK GYDSALDLWAAGCVVAEAVAVGHKQLFDSGPLGSDLSLIQSIFQTLGTPDEEIWPETE KLPDWGKVEFYRYPAKSWDDILRGASSKGRDLVSQLVRYESSQRISATEVSFPGMGEL L AFLA_017820 MMDLSRQEYPALLASLQPGQATSVLNDRIRLINKINADIADWLQ ERRRVEEAYAQGLRKLATRQQLDNGAALGVFQIPWQRIVNATEMVASSHETFAQKIEE DVERPLRDYHTKNRELASLPGVQSDLATLAKNLEASLKKVEKAREKGPKGADKLAGAV AASEGVRQEWESRAPFAFEQLQAADESRLNHLRDALTQLETHESDQVERCRQAAETCL NVLLNVETADEIKTFAEKVNGGRPVVLRQQVSPTPAAAPSGPPPRLHDDTASQRSETS GPVRTPPAPEPQPRHTTPLGGLKRLGTVMNRRKSIIQPSGGAAFFSDKKHRSPFASFK RGDSREMQIPESLPDEERPGTALTTQENHNEVTRITSETNDHEGHGPMTSIPSTQPAP TTANGTTSPEPPAETSFAASDSNQQPRVDSEGFSEPPQTIDEITRAQREAAGMEEAGI NLTIRDQPIFEDEDQAKQAMDDMANQLRMRAQQTGVRRNVGTLRGRRDVRNTVFIPNP TQGSDVPTQPSGSDVPMPVSPGLPSKHAASPSIATEDHALSDTTSVRSGHTLHGSSGA VAHPDLHEPGLNASIIETVNAWFSDGVVTKSSVIGELALAYNGTPNANTTVRLENFQV LEKVAANPHFVSEEKDKDLSDEKRGQYSIHIPTIARPSPIVAFKYQLHVDASDSSAYC PVIFKPVWNIEEHQASVIVFYSLNPSFTSSVPRESVTLKNLILTVNLDTSPEEGREVA HATSAVMYPNTGASFRRKHSAVTWKLPEFEVTAGSDGKLLVRFSTATSWPRKGKVEAK FEVHTLDAGSRLGISAASPTEEITPKGSDPFADEDSGAPDDAQPSLTWKEVPTTRKLV GGKYVSS AFLA_017830 MAKDKVEKKDKREKKEKRSEKDGVHKSKKDKKEKKDKTALADAV EQELTTKVLDGIDDAVAAEATANIETEVMDVDVRPIGALVPFAQPLVEDKSAKKVLKS VKKAAVNKCLKRGVKEVVKALRKSPVPAPNAPAGTPNGVVILAADISPMDVLSHIPVL CEDHGIPYVFVTSRAELGNSAATKRPTSVTMVVPKSAAKGKKGDSADDEDFSSVYDEL VKLVQKEAKKVNL AFLA_017840 MAEHVVAPIGKRLMVQVIDDVADRDPERKVCAVPKGSEISDGFF DLTFRELAHAVNYMSWWIVEAFGRSSTMETLTYLGANDIRYLVMVMACNKTGYKALRP DLTTVEIPAPTEILRGATMPYLFSKSFEEIKDDVAFIAHSSGTTGIPKPIQLTFGYFG ALDAGAYVPIPPGRFSGVPDRLSKDDVILAAAPFFHLMGFSLLIISVFHGIRCVVAPD KPLSTEFLTSMLNATKPTAILLPPCILEDMTLSQASMEALWNLKYVFWGGSSLSPEVG RKIREHTPLAGFMGMTEAGFILSLAPEDKEDWEYFEWWPTNGIEMELVDDDLYEMVIH RHYDPFLQPIFHTFPDLDEYHTKDVYTRHPTKSNLWKLRGRLDDVVSLSNGEKFNPVT MEKIIEGHALVARAVVVGNGKFQPALLVEPNWNQWSEDDPPRDLIAQIWPTVQDANRI NPAHGRIMKNKIGVALKNKPFQTTPKGSTQRRLVTRDYEKEIEDIYTKQDDGFTEYQI PDTADLSGIQEFVRNVVSDALGFSSFSDQTDFYSLGFDSLQTMQLSRTFQGSICSCRP STKSRAITAQNIYGNPNVEKLAKFLYGITSNVEQSTVARSEKIDALVQKYTIDLPERE AGTRKATDQHTVILTGSTGSLGNYLLHALLRNPSVVKVYCLNRSEAKDRQVKSFQEKG LEYDFSQGRVEFLVASFGEEKFGLSGPKYEEMLRTVTTVVHNAWRMDFNISVDSFEDV HIRGVRHFIDFSLQSIHNAHIFFMSSIGTIGGWTLTHGPSVPETPLEDCDVTLRQGYA EAKHICERICLAASQKAGVPTTLLRLGQIAGPTTENGMWNRQEWLPLIVATSKAMGKI PRTLGSMPVEWTPVDTLATIITELIQTRSTSQTDPPCSVFHLVNPSATSWESLLPAIQ ERYNVQPIEMSEWVNELESITNPSPAEVAAKPALKVLGFYQGLVEGEGALSAPMEVEK TKEASITMKSMRPISIELMANWLKQWNF AFLA_017850 MDLQGQPIGQGPRSTKVTTDPEASRNPIQESSGPVIGDSLAAES ATKGGVYSQNRGAQPLGVTGQQTTLNTKDTSAASELPSAPVGAARENLDRQEKYPEAL GGQGDFPGPHLSTSGYVGGPTGSKQQQGTQQQSNASQNQQRGTANASGSGYQTRSKTS AAPSYAEDVVGDFSSKKPHGKNLQEGGFDDSNNASFTTDIGGENDPGRLATKGFQQKQ TESGPTATPAGREQKGVDNQHWYQHLQSDQRA AFLA_017860 MSDQTFHTTTQDIRKPESKVSQAHQGNVPKNSDVSAMKSLLSEN TDKKQQIEQTKSNLPLPDQPPVASDWNSLDQRTVNVGSGRHQGPVSGEGNSALREPAT AGSSVRQDGDELHKQTQPMGNVGRQGKDNLSDLPKDALAR AFLA_017870 MPRDPLIGLVGKPSSGKSTTLNSLTDATSKVGNFPFTTIDPQRA IGYLQIECACQRYGVSDKCRPNYGGCIEGRRSVPIELLDVAGLVPGAHQGRGLGNKFL DDLRHADALIHVVDVSGTTDAEGKATRGYDPSQDIEWLHSEIVRWVLGNLMQRWGSIK RRHMAIKATAVDTLQGQFSGYGSTSTIVARCLDRIGLKEPLENWSDETVEKVVKAFID EKFPTVYALNKIDHPDADKNISKIAKMQDPQSIVLCSAISEVFLRRLAKQNYIKYVEG SEFLDTREDLIDMGEPDGGGLKEMDEKLKTRVENLKDMVLYRFGSTGVVQCLSRAAEL LGLVPVFPVRNIHTFASGAGSDAAFRDCVLVKKGTTVGDVAHKVMGDIPISYIEGVGG IRVSEDEIVQVGKHDVGVNLSITKLILVANSHPSFLGTILQAWPIDFSIQFIYS AFLA_017880 MPSSSRLPTPTSKLHHLLTPRPPRLCLLPLYSVWQPAAFHTPGS VRVRLNSGITQDWKGTSTDDHAVDRSKKNDNTDPTVEGASSGMKDREEYEGVARGDKP QAATEREGLKHWKKAKEEHPKAPEPIIGMNDERAQKGH AFLA_017890 MKREYLPIETLSAWTRLNGISVDGVTFRKVRTEDGIDKGCAIVA TGEKSNESSETGEVDAETLLRVPSDLILSLRLVETHAKSDRYLREVLDAVGDFGRTAR GAILIFLVLQITYSSPDFADEHHRIGVSNPWTEYIQYLPSSITLPTFYTVEERELLRG TSLKLAVDAKIVSLENEFELLRQSTENISWCRKHWWDENTGRFTLDDWKYVDAMYRSR MVDLPSSGHAMVPCIDMANHASEDIVKALYEEDTEGNAVLQLRSGRKLHSDEEVTISY GDDKPASEMIFSYGFLENERGGAKQIFLNLDIPEDDPLIMAKKRVCKAPPGLRLFDAP TAERGSTDWDSPFVWWLCVNQEDGLEFEVLQTNDGGREVKVSWKGEEIKDPNDIKSLL AKDPLWDIFQLRAVVTVLNRLESHFLILRETQIMVEEINHNEDMLALFRPEVYNTINS LRELEGKLLEKGIEDLVQQRQDLMASEAVAAYLTQQQADDVEEDFS AFLA_017900 MPGILPMKVIKVGNGAQSRIAQACDRCRSKKIRCDGIRPCCTQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRALEAEVRDLKNLLDEKDEKIDVLSRIH SFSPSSQHRAAASARSSSESVKSSAPDTNEGVIQVQEPVLVGELSDVETGIASIRGYS GIFTTRLMDQGRLPPNVSTKALTASPTPIAASRTDQVIKTAPRLVSDQLINIFFQEWA PLYPVVHRPTILKAYEQYLSNTETLQGSKHDMAQLNLIFGIAALASTTRTNQDPTFFE DNWSPVLESLSGDVSVSTLQCYVLAQMYCMTKGDYTGLLRYRGSAVSLCHQLRLHQSQ RRFSANALVAETRKKVFWCQYVVDRFTAALTGLPVLLREEDIHTEYPEDIDDENVTET GFLPTLPGESTRISSAIALFAACRVLNKALEDLYPSDGGYEIPISKLRSVAGQLDGWV KNLPPHLRLEFSQDKPSTNVTSSRSPLLSLVYYFIRSLIHRPAVCFGEEQIRSPSSLA VSDCSKRIVQILQLLDERRLCLSVSINRRELVFSSGLGLLWQSIGLKRDSKLIKESQK LLTAIIDQLESESPAAAAEFSTLASALVSLDGGKRATSDKPREMSPPEQKPSRSPKKQ LQALKSRLAASAGFGQPAKQDSPSRRNTISGASPHIAQRQIRSSSWASLPTPENLRLP GEKMYYPSHPLGYDQGHMLSSSVPSDVAHGAITMSDWEFVLSDMDRGYSNIFTGIYGG KECGDDAGPFASLTAEYAPKPDSMTAPMPVSHNDLQGLSPEAWSSSSNSDVAPTREMA AQSVLSYSDESMGSTEEAVPYNDLRLSPEEQANLLDPFQGVVIPAAEDEVTEYGLMNG WDRRLAV AFLA_017910 MGYTDLDQLAINTIRVLAVDATSKANSGHPGAPMGMAPVSHVLF NKFMNFNPQNPDWVNRDRFVLSNGHGCMLQYALLHLFGYQLSLDDLKNFRQLDSITPG HPEAHDTPGVEVTTGPLGQGFSNAVGLAIAQAHTAAIYNKPGYDLINNYTYTFFGDGC AMEGVASEAASLAGHLKLGNLIAIYDDNHISIDGDTKCAFTEDVMKRFESYGWHHVWV KDGDNDLEAIEKAIQECREVKDKPSVIRLTTTIGFGSKLQGTGGVHGNPLKADDAESV KAKFGFDPKQSFVVPQQVYDLYHKTASQGAAKEQEWNQLFEKYASEYKDEHADLTRRL AGKLPEGWEKSLPTYKPTDSAVASRKLSEAVLEKVHSVIPELLSGSADLTGSNNTRWK NAVDFQPPEYNIGDWSGRYLRYGVREHAMAAIMNGLAAYGTVIPAAGTFLNFVSYAAG AVRLSALSRVRVIHVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSA AYYSALTSKHTPSILALTRQNLPQLENSSIEAALKGAYVAIEAPNAAVTIISTGSEVS IAIEAATYLKENHNVVARVVSVPCFEVFDAQDKDYKLKVLPDGIPVLSVEAASTMGWE RYAHEQFGLNRFGASGPYKQVYEKFEFTPAGISKRALATIDFYKGHPVRSPINRAFQQ IL AFLA_017920 MNPHLARASSSLSLSSLLFSSFSSSLSRRLPSRAFRHTLFRSHF SHSITMSEKTHPTIQDGWFSEKSNMWPGQAMSLKVNQILHHEKSKYQDVLVFESSDYG TVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPEKVLVIGGGDGGVLREVVKHDTVK KAILCDIDEAVIRVSKKYLPGMSIGFQHPNVEEFVGDGFEFLKTHKNEFDVIITDSSD PEGPAESLFQKPYFELLRDALRDGGVITTQAENQWLHLSLITDLKKACKEVFPVAEYA YTTIPTYPSGQIGFMVCCKDANRNVREPVRSWTREEEERLCRYYNQDIHRASFVLPNF ARKALN AFLA_017930 MSDLSKDPPTILADQHASEVLRLFRAKHEAFYKNGVESAARHLV SQTDMTRFSQTAVEFLATLISLPESIKLNVREGLVEWMSDIVEERCPPTTVVPFLEIL VGANKGRNKGVDSFDIWKAVNRHFGNSNTKIIFPFLSLPFELRLIIYDYYFELETTNT SKKLIDNIAPQGENRLSLMITNHQIYSEARKVLYTNFAFGLKSVPHLRHFFNNLRGYS YKIIRKLQIEDISAEHVNTLAQVLSGQGLLGVRSLKLIATPHYMGPASLRTQVGRSRK RLVYPVFKKKLCIAVDKLFYRSGLSIPKPPTLILVDFRKDPAWDVIFPRFWSVSVTWK E AFLA_017940 MTEINTFDLLDSINWSALRHAYGSAWDVPAQLRALRSGNAEIKE NAQRSLCGNIFHQGDRYEATAYAVPCLLKVLEDSSSSAFARVFLISLLVHLALGYADT FLPNGVNFPEWQEFAEKKQGPEFEAEMHQSHEGFVNRAKNHEERASCNEFRNRMLEKH CRRAKDELAAVTVLKGLLEKEEDTVVLASAIISLGLLNGRFDDARPEGIDGLVSRLRS YSTDTRPLVRGAAAVALIRLRYEEPEHVDTLISILADRSFKGLDARECSARTSFPFQE GDVAGYSVKVLGTINADDYPGAVTAIFDALPGSSGLGIIMLLEGLLALVFGPEPEHMK VTPFEQLSLVQQLTVAALAGMDDKMWERADSKYPLDIWNIPAGS AFLA_017950 MGGHLDPKNGVFLGTWGDFGCPTPQRIASYSLSPNRQRPLAGTA HAAFFNTFRRFRHQVLYVAPPFIIAYAAMNWAIEKNHYLNSKPGRLAEGGDE AFLA_017960 MAANGLYNIYRLALPVATGALIFNNSIYDVRGGSRAVIFDRLSG VQEKVVNEGTHFLIPWLQKAIVYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVPK LPAIYQSYGTDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRTDLMKRAAQ FNIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEA ESADIISKAVAKAGSGLIEIRRIDASKEIAHTLSTNPNVTYLPGNDGKEGGKNTSLLL GLRS AFLA_017970 MPKKSSPGQHTRDNKKEKKKPAQAPRRQTNPQTDSEETNQIDLS ATIPVTLQQLLLNVFRSALLNDVYGGDANAGKGDATDATSTESRQLDIKTLIQTIKSH LYNRDFDSAFTDAKEDLLRAYALRWSASRALGYAGVFRSLLKVLVEQDGRLVSSTGSN HVVCIGGGAGAEIVALAAAWRDLVDEVERREALSAGVAGVSLDGGDCAADDQGKSISH PALSVTAVDIADWSSVVDRLSYTIRSPAVMGSKSHPAPLLNLGKRGDGEDEGEDAAGF AVRFRRSDVLSISEDELKDLLYLETSDKGNTTVMVTLMFTLNELFSTSMAKATAFLLR TTDLVRPGTLLLVVDSPGSYSTLKLGKGKAQEGAASAAAVQERQYPMKFLLDHTLLSV AEGKWERVYSQDSRWWRRDAARLGYDVGEGAGLEDMRYQVHIYRRLAN AFLA_017980 MASISLPLQPQTTSAFSQYLDSADQFPGRHSRRNLTMSTPLPNP PFIFPARDPDATTQTPTAQPGGPPPLPAFSFNPGSAHSSQPSMPNLPVNRAGGHRRRC SEFVGAEQIVSSGTVEASRGDEVNFNTTPTKLPVPGPGFSAGGPGKRRHAHRRSAAIS SVDLTAISNTLDLKPAVGSAPLFSTGAKRDLAASDEALRPTCYSATTLGRFTPPASPQ IMINDESLPAVQLETASDRLTDERPISEISKDSGSTVRPEDKARNSEKPGPPTSELPS SVDRAQKPRPRTADASLMLDPNTISGTDDASRMKRPLSATGHSRFRKSISSGIIDAAL RRHHGGDSRWTESSRHSSSDDGDSYASTEDARGSFESTSASKKKSKTKKRQKKVRSWA GAILTRGKGKRHSSKEETKDETNQSEVPPPAIKRTNSDLGSAVDVNFDDDEDIVIIRT PTNPAAPRSHEPTSEVEPHISLENSWKPRSFYEQTTQNDALSPIIDLDAALGPFNTPD GRSRCTPESGFSAATKRMYSGGRRGEFVGPEMRYHRRAESAPEMPPFDRSFLNQARLA NNASLENPDVFYEEEEDAFLAATSDSPKHSDEIIAAQAVSSGAESVDLQSEGSKVSSD TLTREHTSEVNTQQSGLGIQKDGLPEPSEPNAISAYQDNSSLVGQQYAIGQLHNAKNP FSSRPKSPGPAEIIKQEIWQRRLGVPPSPDVSPRFLPADNRPSTSPIELTPNIPPFSL QGGSSLSNSSFPSPDFTGSSSDAPRSITTSSTTDRNFSSPSYNPSMDFPHASVEDVPS LTSSASTTTNPLNRFSATFFPRPRLSTDRSASFSAAVHRRSSQANSSKRSSLASLSKL VVGSHAERSKLSYEEKPPSDEPEKAKRKSHRISRLMHFWKTKDKDKLSESAVPEERLS AFLA_017990 MSPSNARDQPNVAPDQGQPPPFNEITPIVSNGSDGRNYQSTEGL RNRDAGFGDSQPKSSGQRDTREAAQQNLQSDSAEPHVSWFSRVADRYGSLELENKGSV ARDHLALERTFLAWLRTSLAFASIGIAITQLFRLNSSSSSTAGADYSSQALPPLLSPP FYDPTTIKVTATSERLRSIGKPLGTTFIGVAILILLIGFHRYFESQYWIIRGKFPASR GSIAIIAFVAAALIIAALVVILAISPGSVEA AFLA_018000 MFPRGNALRASREIITIQAGQCGNNVGSQFWQQLCLEHGISQDG NLEEFATEGGDRKDVFFYQSDDTRYIPRAILLDLEPRVLNTIQTGPYRNIYNPENFFV GQQGVGAGNNWGAGYSAGESVQEDIFDMIDREADGSDSLEGFMFLHSIAGGTGSGMGS FILERMNDRFPKKLIQTYSVFPDTQAADVVVNPYNSILAMRRLTQNADSVVVLDNGAL SRIVADRLHVLQPSLQQTNQLVSTVMSASTTTLRYPGYMHNDLVGIVASLIPTPRSHF LITSYTPFTGDNIEQAKTVRKTTVLDVMRRLLQPKNRMVSINPSKSSCYISILNIIQG EADPTDVHKSLLRIRERRLASFIPWGPASIQVALTKKSPYIQNTHRVSGLMLANHTSV ATLFKRIVQQYDRLRKRNAFIEQYRKEAPFSDGFGEFDEARAVVMDLIGEYEAAEKET YLDPDSGKEKEMGV AFLA_018010 MTDALKPIVDNLGFADVQFDYLTAPSNESVTLEDGRVIEGVPSI NSGEDSATSALHCRPFVEPFIPKYDGFLVACYSAHPLVGMLKEAISKLENSALSVNSK PRRKYVTGIFEASVLMSLSLVGSFHMTGGSGLHKTQSKDMFGIISTGSIWRDELRRAV EAFLVNSDEKTSSTNRFAGVETTGLTAVELHTTPAEEVRRRISEATRRLIQAAPHPLT AICMGCAGMAGMEEAVRDGCVETYGRQEGNRVRIVDGVVAGAGMLVTACKAGF AFLA_018020 MKAFRVNLGALGLITEVTLKVQPTHFLKKTTKVLNATTDYTKLY TELADLYKKHDRMTVWGPHFNWDAKAEDWVIEPTFYASWWEPTNYTGVRNCTLNYCAN GCGDCIKDYICYDETSDAVSCPPQGICSSQFYAEIEHFFPMEHFVDAAVNYTKYQQAQ TPKMKGFENEKMIFQFRALGGDDSYMSPANTYNLGSENSGVFAVLEIDWMQKVNHWDT LYNNQKFAYDFQDEFGSVYNARSHWNKMSPNDPKHTLAVFPKLPEFLKIQERQDPNCQ FANDFLVSQLGIERCRSALNL AFLA_018030 MLIFTGICIWSLIAMKRLWSCPFRPENGTMPPNQEIPGDRNSNK STALGIQLMHAQALGDLEAGERQCRIQNEPEEEKAKKRGRRKEKGSLDVDQIRSSYIS IKP AFLA_018040 MKSAIFSPILFSLALAQNYSLEKHFDVQSSLISDPKEVSEKTFD YVIAGGGLTGLTVATKLTENPDIEVLVIEKGFYESNCGSIVEDLNEYGDIFGTDVDQA YQTVPLAVNNRTELIRSGNGLGGSTLINGGSWTRPDKVQIDSWERVFGNEGWNWDSLF EYMKKAEHSRPPNEAQIAAGHSYDPACHGTNGTVQAGPRDNGKPWSPIIKALINTASE RGVPTQQDFHCGHPRGVSMIPNAVHEDQTRSDTAREWLLPNHERPNLKVLTGQRVGKV LLNKTESGAKATGLNFGTHRKVNYNVYAKHEVLLAAGSAISPLILEWSGIGLKDVLSA AGVEQVVDLPVGLNMQDQTTTNVRSQAQASGAGQGQAVYFASFNETFGDYAHKAMELL NTKLDQWAEETVRNGGFHNVTALKIQYENYRDWLLNEDVAFAELFLDTEGKINFDLWD LIPFTRGSVHILNGDPYLHRYANDPKFFLNEFDILGQAAATKLARELSNTGEMKKYFA GEIIPGDNLAYDASLEQWADYVKENFRANWHAVSSCSMMSREMGGVVDSAARVYDVEN LRIVDGSIPPTQVSSHVMTIFYGMALKVADAILADYSKN AFLA_018050 MPLVAQNPLPRALLGLMTFGPDESKGARITSLEEFNKCLDYFQQ QGFNEIDTARIYVGGAQEAFTAQAKWKERGLTLATKWYPHNPGEHKPSVLREKLELSL KELGTNQVDIFYLHAPDRSVPFAETLEEVNKLHKEGKFVQLGLSNYTAFEVAEIVTLC AERGWVRPTIYQAMYNAITRSIETELVPACKRYGIDIVVYNPLAGGILSGKYKTKDIP DEGRYSDKSISGALYRKRYFRDATFDALRVIEPVVEKHGLTLPETAIRWIHHHSALNM TDNGRDGIIIGVSSFAQLQSNLKDVQKGPLPEEVVEALDKAWLISKPTAPNYWHLDLK YTYNTQQALFGPKSTA AFLA_018060 MPVVIARSLQKDAIASVESDSSKSSDVEKNPIILTSKPTTASDD STLGDTSDDRRFWFQRSKSHDSNAIATQPSVFDDPELISEYRPRPEWENAHRFDPSAR WTWGEENVS AFLA_018070 MGEYRCSLTLIQKAVRRLDMRIMVLACMMMTALELDRSNIQQAN ADNFLSDLGLSRNDYNLGNTIFKLFYLLSEIPAQLIGKYIGVDRWIPIQMTSWSLVAL CQFWLRDRTSFLVCRALIGMFSGGFTPTMILYLSYFYKHHELSIRLGFWYSAQAVADV LAGLLAYGILHLRGYAGQAGWRWLFLIEGSFTLLLAILSFLFLPPSVTQTASWARGKK GWFTEREEIILVNRIIREDPSKGSMGNNEPLTAKLVWQSFKDYDLWPLYMIGLIFLVP YSEYIALFSTSALSIQSTISQYFTLLMTDFGFGEFNVILLAIPCSVIGILTRIILTYA AEILGSLAWMGAVAQVWTLPMLIYMNVVDFSQTKRWVAWTVLTLILSFPSPHALQAGW NSRNSNSVRSRALSAAMYNMCTQLSGIIASNVYQDCECDESEAIHQGYGVRIPWLIRQ PFQGTLLAMCRATECFSLWSAPTSPCTP AFLA_018080 MTTNRPPMHRSVTDGRAQQPLLKDEHRRLSNASFEQDTRRKYII ASGFLLLSLASFVIQTETAVYIAGELGWDKPYCMLYLTHGSWSLLWPVQLLILRLQKR KLSWDAFWRRHVFLLRTTAQMVESQDMHVTSRRSPIPYMLKTTAFVTMSLTVAGGSWY LAVNMTTASDLTAIYNCSAFFAYAFSIPLLKDKLRFDKVFAVIVAIVGVLVVAYGDRD EGKHADGTPGKGEGQVNNRLLGNLIIGIGSILYGLYEVLYKKYACPPEGTSPGRSMIF ANTFGSLIGCFTLFVLWIPLPVLHILGWETFRWPTGETAWMLLISVAANATFSGSFLV LISLTSPVLSSVASLLTIFLVAIVDWLRTGQPLSTSSIIGGVLIIAAFLLLSWSTYRE MNEERKKCLENEQVESDSDD AFLA_018090 MAEMPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRTEEQA GDIVVKDIMCGDEAAAARSMLQISYPMENGIVKNWDDMQHLWNFTFYEKLGIDPTGRK ILLTEPPMNPLKNREKMAEVMLEGYNFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIIPVYESTVLNHHIRRLDVAGRDVTRNMIALLLRRGYALNRTADFETVRQIKEKLCY VSYDLELDKKLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQPGIAE MLFNTIQGADVDVRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLHGDPERLN KFKVRIEDPPRRRHMVFLGGAVLANLIADKEDMWVTKQEWQEQGARALDKLGPR AFLA_018100 MTRIGWYGLGSMGLAMATNLQKHLATKNAMNLIYSNRTMARGDP LKTLGATPETSFAKLVGQCGIIFTMVSNDSVLQQLITSAIGSGHSLRDKIFVDCSTVH PETVGLTVSKLKEQQASFLAAPVFGGNPIAVDGKLVFAIAGPKKASEVVKPLIQDVMG RKVIDCGEDATKSSLLKIAGNIVTVNMMEAVGEAQVFAERTGLGTGPMEELIGEAFGA VAGGYSKRLTTGAYAPPLESRPGFGVSLAIKDANHAFAMAKEHNVELPGLKVAHENMV AAREYAGECLDSSSMYGVLRQKAGMAFWNEKSRKE AFLA_018110 MANRLPPLNDVAPSSALDSSSGTRETPVATDTVNLDTEYVKLED SPTPSALTPADADAETPAPDTGGPAGRKRKLNSSSARGVANLTPEQLAKKRANDRQAQ RAIRERTKAHIDSLEHRVRELSSQKPFLDLQAALKQNEAIRAENRDIKQGLKAIMDVI QPLVGKHEASSANPPASAAAPSGTHSTPPLSTAPPFAKTSYFSDTRPTNVDHSYSEAS ARIETPSSTHSAPLLGTIRRESATNGTSASFRIAFDYQRHNLTHGLDFGSDERMAFNF LLDASQQVPKVEGFRRSPGNFRAPPMNAPPAYPSPIHGSMAEQSLPAYMTPIRNIAPT CTLDAILLDFLHHRQREAASGVPQQKLVGPPYPSVSSLLNPERGAYSHPLSKVFTDIL RTFPDISSLPEQVAVLYVMFLLMRWQIYPTPENYDRVPEWLTPRPSQLLTPHPAWIDY LPWPRMRDRVVMAHRDYPFDNWFIPFTRTLSVNWPYEETDCLLSTGDNDDLIINPVFE RHLRNLNNWSLGSAFAEAYPSLAETARIKNQP AFLA_018120 MSNLPSHASPTFTAQASSSHRAVDDMSLDSTSAAPPSLNSRIDE LRGDAASQTDATEHTRGDITPAVPASLLSPSFTPPATPGGTINQAQLLQQTQQPTHTK PPKLLSCLPNVECIVRARIPTTNGAEMFLHLYHNDLDNKEHLAIVFGNSIRSRSLDAI RPGETEMDRMIRGAYIGTLRPGRVSSWYDSSANTESASSGQGRSTPDAPVSSQTSELA QSSTNQAPLVRIHSECYTGETAWSARCDCGEQLDEAARLMSLPMETLNEVASQQDLSV PSNASGGVIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATA MLVDLGLGVDSNPHGIRLLTNNPDKIRAVEGPNREVVVKERVPMVPLAWRTGGKMGIT STEVEGYLRTKISKMGHMLQ AFLA_018130 MVGVKPSLHEHNQLDSLYRQMLKLCEDERAILQDEPSDVIKNLG LLTALRQASEAEAPLNRAAALGKSRKKRNDVDGSATDSPGLSGASLPDKAGRMKGGIQ RGSSVSSNQARDSRDSRDVHVKVEEGTEGTKGTLAERNGHLVVGAEVVFKHNKNKQGA EGEGIQCIIKGISGDGHKKRYDVQDPEPNENGEEGAVYKTTAAFLIPIPQVGSTLPSF SVGKQVLARYPDTTTFYRAEVMGSRKDTYRLKFEGEEDDKEMEVDRRFVLDIPGK AFLA_018140 MQVPLLRLQCGVNSYDWGKIGQESAAARYAATTAAPDFSIESEK PYAELWMGTHPSLPSKDVETQRTLLDMVQDNQALLSKEVSEKYGGKLPFLFKVLSVNK ALSIQAHPNKKLAEKLHARDPRNYPDDNHKPEMTIAITPFEGLCGFRPLAEISHFLNA VAPLRQLIGTDAVDQFLGAVKGSEDSEDPTVMQKNKDALRIVFTALMNSSSENIEAAT KELTAAAQNSPETFGTSASTPETNPSNPAELAAVITRLNGQFPNDIGLFVFFFLNFVK LAPGEAMFLKADDIHAYVSGDIIECMASSDNVVRAGFTPKFKDVDTLTDMLTYSYAPI EEQKLEPKEYPYAILNASAYSSASSSMLYDPPIEEFSVVKTDLKRTGAKATFDALGGP SILICTGGTGKITVGHKTEEVKEGYVFFVGADAECIIENTGSGADEGNVFTTFKAFCD LTGKEDMVNGH AFLA_018150 MTSTSISLWERVDLMHGQLTVLGTALYNAITGIFRGQSGASGYG LHIGNAALRKLCNRLSAEQFQYMNGPTRSVYETALQKKGLQPETVPLKHGAQGHWIGN KNAKNVVIYYHGGGFAVPGAAGHMTFYGSVIDTLNAEGHDIALFLITYSLTPHAVYPT QLRQAVEALRYILTETNRDPANVIVGGDSAGGNLAVAVLLHLSHPHPEIEPLSDIAPL AGLFAFAPWVSFVHEGASMQENQYKDMIGPEILNRWSHMYLAGKESDAWSEPNRAPTE WWRDAKVKEVLILAGRDEILFDSINAFVKKFQVFGCTEHEVSCRAWGDSCCTGLRRWF YWKGDTAGERIEGVAAVSSLGC AFLA_018160 MDPFSAEGELINIHNAFHQGQYQEVIDFDTSALSSENHLPARVL KLRAKIALGQTDQVLSDVDGEEDTPDLAAVKALAQQTAGDSEAALKLTQDLAENYPDN ATVQALGGTVLQAQGLSEEALALLAKHQGNLEAVALIVQIHLQQNRSDLALKEVQTAK RWAQDSLLVNLAESWVGLRVGGEKYQSAFYVYEELAAAPSTSAPLSIVGQAVAEIHLG RLPEAEAALTAALEKYPEDAELIANSIVLNVLAGKPTEELESRLQNVQSSNALLADIQ EKSAFFDTAAAKYAPRVSS AFLA_018170 MAYAATSSASTVNGDARSSLKLTASSSNPPKLHHVITSIPPAPN PSSAPSYTSSLTTTPNSLRPAYFPSNASSPSGTPLQIATDGLRGRIPPFPAIPSTTFE LGESVMAVPGNAPASGSGDAVISNSKGPGLMRRISRGAANKLTRRRQSASHNEKRDRS SGPVTMRRRSDSKTGSQNGRDNALESSNEEDSNDALDSLGVWCGSESSSLPNESFMAA SRHTGTIAPKIDSAIQRGTVLTKVTKKRRKQVRFFLDLDAGKVYWDVSNPAKRFYIDD IKEIRVGVDARNYREEHQIPQDTENRWFTIVIADDERSKGRTVKTLHLIAPNTPILEL WTTTLEHISRYRIGLMAGLAGSGQSEAVLKAHWQREMSRLFPHGTRSAEQGSLDFGAV ESICRSLHINCSKNMLRAQFSKADSDVNGKLNFSQFQNFVARLKERKDVKEIFKDSAT DAKAGLSVDEFLKFLRNIQNENVDSDRSYWVSVFDKCVRKSKSRVPSIPEFEEEQVPR MDLDAFSSFLASPWNGLYASRAPQSRFDRPLNEYFISSSHNTYLLGRQVAGASSTEAY ISALQKGCRCVEIDCWDGADGRPIVSHGRTMTTSVLFADCITVINRYAFITTDFPLIL SLEVHCNPEQQLAMVKIMKDTFKDQLVLEPLLTNSFVLPSPEELKGRILVKVKTCDEL QEGVRQEPVGTFAVHGRKRSASSPFIRPTASESSAITSLPPLSSPPTLGLETVGSFLT QDRRSFTTTSISSAAEESDGALATIRKEKKRRQKSKITKPLSDLGVYTRGYKWHSFSS AESQRYNHVYSFAERSFEGICRDAENKALFEKHNRKYLTRVYPSGFRLRSSNFDPLKF WRRGVQMAALNWQTYDIGMQMNQAMFAAGSDRTGYVLKPESLRALCPADEEKTKATEW KLVRFSVDVISAQQLPRPRSIGPDDKINPYVEIEMFSADDRGQSFVYGEGGMNASARN GMSGIGFPHRRRTKIEQSNGYSPIFNDRFRLSLETKYPDLVFVRWTIWSSQDGRSAGS NSSVQLATFTAKLSSLSQGYRYLPLYDGGGDQYLFSTLFCKITKEDPVSVQRLDAEEL RAERMGILRQIGQTVFKRTSSTERERGNDMATSAEDKDSSPDLTPTVSAASTSSLPP AFLA_018180 MPDSRPHHFFKDLAAFLLIVHAWHRIRRDGKCANLVCLKQNSII SLSDDLHAPLMTSGGLNTQNDKQNKEDKHCQLSNMAQL AFLA_018190 MNITTHTQLQPHLPPNNLPPQILRHMNNMTQPIRPKPQYGLQLP LLRRRPHLRKMHRNAQPSRFGVRQRGPENRHIPLTRVPGDVDPHDERFVGNG AFLA_018200 MSTSGALPQTLRSITDIKIAELSKQRSLFEKQREGILKAAADAP DLRSKARVLLEGITKLNGYPNDAFDREDLDTHSEDSGAETTVKDATARAAHVNIRRFL LQSQFDPSVSDRSLGDWVAQLEEELNFLHTRHEHASFYSNLVTEWLAGLETEEEAAKA KEQDSESDATRRAEMQEQRATWEQYVFNAANVDEKTINEYLDGLFTKTNQSQQALKTL RQKMKTFGEEYTSTRTHFDVDTLQWVSKALLRSDSLTKEKSDILKDFMLNKAVAQEVA DVLNMRLATLDNWHWPPEGLPQEMRRQLNGKYRVFQDEDLLDSLLLQYLGLHWAVTFR AAFVNFQKTWAWKSLRQPISKSERARRQHFLGRDHARCIRSVNEERRDVYRTEYFMTQ LPRSLTAGGLGYDDDNNDPDDIEEENRKNALETKHSLLHLLIAESIVQTRIHGQFTAI RSDFKYFGPSIPHTTMLTVLAYFGVSQNWLDFFKRFLECPLKFTQDGPEAAVQTRRRG IPISHTLSDCFGEAVLFCMDYAVNQSTDGAYLYRMHDDFWFWGQKQTCVKAWNAMTEF AQVMGLEFNEEKTGTVHLENKDKSGQQPYQDLSSSTKIEETEGSDTLPTGDIRWGFLK LDAQEGRFIIDQEQVDNHISELQLQLSACKSVFSFVQAWNSYFGRFFVNNFARPVMCF GRSHIDMAVTTLNRIERTIFPDSHSGVTDHLRKMIAERFDIHDLPEGFFYFPVEFGGL ELTNPYIPLLAIRENIESTPSGRLQKAYNKDEAEYHAAQERFEKDGPEEPASEEVFGD DEDPTAFMSIEEYTRYAETYSYQLLGAYNDLVGARREVMIDQSPKFRTNQMTLEEDPS SRLVLSNNWDSMPPYWKWTGELYQGEMVKKYGSLAAVSKELMPLGVVQTLKQGKFRWQ G AFLA_018210 MVSSTAFIVVQVALAALAAHVIYQCYFHPLARYPGPFLARFTNL WRLFTFFGGQHHLSEQHLHDKYGHVVRVAPNWLSFSDLHDFDAIYGFNKSVEKDDFYL FGRPRDNRVPSVFALKTDADHRQRKRKVVGPALTTAKITRYESVVTKHVDLFFTRADA ASASRQDGEMAAVNLAPLAHRFTMDVMLELIYGPDVVSHPYTDSATGADMCSAMRKLV KMAWSFSLCPSYGWIMNSRLISGVLRTLTTSKQGGPTGMMALMTSSHTMIFRRPEQVS LPAQPGIVKSWLDIPLDDSSRMTQDEVFSEAVNLVFAGPGSVAAALTAMVYQLGTQEG QLWQEKLRKEADVEAPPFSLELQAVVKETLRHCASFPTAFPRVIRRGAETIVSTLPAP LPIGTTVSANSYVLRRSRKIWGHDADQWLPQRWLGDESQRQEMEAKLVAFSKGREAAL VKNWPG AFLA_018220 MGMEFVFINVKEPKDALQLAKEPEIRSHVARYQWKKIENRPSLK RKRNAVLSFCMDISCSATWQSRSDSEDDSPEIPDTSSTISIPLQLGGLRDDPFRSYPA SFKPFMPVLVDHYLVHMAVDIPELDQPGNKGLLRTSWFPLVMTNRALFLVIMLLAASH YASVSEHAAGMKIDLLNLRCKAVQAINDALKYQPPDRVNDALIGAIAKMGSYEAMYGD MASYSVHMRGLTRAVGMRGGLSMLGLNGLLRRIVVWIDRNAAFLHGSALYYPGATFAP GQAPEPNPGHFLASS AFLA_018230 MALNGKVALITGGVKNLGAAAARELAGSGANLALHYHSDSSKGD ATTLEAELKKSYPNIKVAFYQGNLTSAGAVTKLFQDALKDFGKIDIVVNTVGKVLKKP ITEITEEEYDTMFAINSKTAFFVLKEAAAHVTDGGKIITIVTALLGAFTGYYTSYAGS KAPVEHFTRGVCKELQSRRVSVNNIAPGPMDTPFFYPQESPEAVEFHKSNGMGNRLTM VEDIAPIVRFLCTDGAWITGQTIFANGGYTTR AFLA_018240 MARIKEWVEKLEVPSEPGLTNTQLMLTNHDLRPVEPERRQWKWF NFVAFWIADSLNINTWMISSSMIVDGLSWWQAWICVWVGYFIAACFVCLTGRIGAVYH ISFPVVCRSTFGVWGSLWPVFNRAAMAVIWYGVQGYIGGQCVTLMISSIWPSYNRLPN TIPASSGVTTRDFVSFFLFWLLSLPALWFPVHKIRHLFTVKAIYSPIAAIAFFAWAIA RAKGLGPIVHQPHTVHGSALAWAVVKSIMSCLGNFATLIVNDPDFSRFARKPKDALWA QLLTIPIGFGVTSFIGIIASSSSAVIFGGEMVWNPLDLLGKFQVGASSAERFGIFVIS TGFALAQLGTNISANSVSAGTDLTALLPRYLTIRRGSYICAAVGLAMNPWNLVASSNS FTTYLSAYSIFLSSIAGPMLCDYYIVRKGYLRVKELYTAQEGSAYRFVYGFSWQAYAS YIAGILVNIVGFAGAVGRKVPVGAQYIYNVNYFSGIVVSALMYYILTRFFPVPATSST WSEADQDVDSLSIAYGQEVDAYDVPEPVKADSLNYGTLQERKGPKAGSSAAV AFLA_018250 MKYRPRSSQEALLRLEKGSGSPISQLNEAAQIIGLDLALCASHP EINKTTYVQARAAPKEEWVLRWLLKKLRAGKNYRVEPASFLLLRQLIDLIQPKTLATT LKDQKFLAILDHAITDLEDDVFAGLENGMTELGHSDSESSKTLSDSSPQSDKKGTKRK RTGDSEQDGMDIDEQPQTPTSCFLSFIRALDCLYSLVMLASRTLEIDEVASSHLKHAL KGEPETVAVTLGKSFRLAAVASTQFSNARKTTDLQHLLYVFPAILDLWDLRSNRRVDT DSGSSNESFAKYCFQSALRLQHSVRSIQLDTDERAQVLHGVERLIALHVVLPARAAFF DRGGSGIDYSASEPDWSAVKPVSDTFRPILCELELPSQNTSGDIVKKKTLWKTAELLP EFFDIACRSVPRDTFRRQTHDAPWLETLFVAIAELAFSIVKAENTTTYLAEFVGVLER LFRVALDRNVQLSLHTLLTHASYTGLHRDGLSQVEWSLTALLIELGADIFLPNSGLSN STQLLDALLKKINLYWRSGASQTGGSYETIKNGVLIPLMRAFMSARDLAAFMQLWYEQ LIEVEEARSQDSSLGLFTVWEDDDVCNVYGDLMRSPLTHTYASAQMHAAATEIRAEDG KFSKSAGAYAQFVILEAGLRNRDLNATDSYDDLVSIIETLKSTLSSKQQLHWRWRLWR LARSLLENNVHYTDSTLAATIMGLVEAAAKSIKRHQKDRMQKLCAPLECFEAYRFTVT AISVSAKSSYTDEFNTLTKDIAEFIESSSGTSTQPNNSPWNGRVDTLDSMYLVLAYFL TLVRCPDVWRQVNVESRRSLFQNMLSLATSQYHPSSTLETPPSEARFLQAWASVVCHE YLLNAPAIAIDLIAVLSERVKEDASNRRLYVESLQRIPTALITRRQRGLLLDLLQDVV VKEGSTAEITVGLLSLMAKLADMPKSTAALTSDWEPIWTVAKAVSLQGTEVDLQIMKA FRNLHRAVVSKLLVLSEEDCRRLFKKMYRKVSSKASKLRSIDRNSMDCFFLRISLSQL WLHRKRLSGVDETELAACRQKFFDLVVMEVKSVKDQCKKQKLEETITLIKILDALEDF EDLATDHTEVEKFLTKIENYVEKSVDSGSSLRRLIRRRVLAGKGTEKSITLPVISCAE TLPLQHMYGEEQQLFIRSTTARFQSMTVDQLTQAIQDIRELGFDGENAAYHLLVSGLA VASLTPVKDKESGTAKELSLLCTAITDSVRRSRSIEHFTLATECLDILLRNHTRCITQ WNIDSLLACVAVCASKAGPLISPEYSASIYIRLCRLMGVLFGIHRQKLGGRFHLILPA MQRLLNCLFARSKKRTRSMLAEKRSAQQPFWLAPLQAAHAVHFTRLLTSLCDPTVSAV SRPTQTGLSHEGLTDQTKKAKRIAGQYLQYLIMEYAESSLRGSLAPEVKAAILPGLYS VLDVMSRDTMRALNAGLDVSGRAIFKGLYDDYVKFGKWNKG AFLA_018260 MADSNESQPIVRATKPVSEALLNEKWDRAISSMIIRSSLGLGFG VVFSVLLFKRRAWPAWVGLGFGAGRAWEEADSSFRRGDSPVRDALRR AFLA_018270 MSNLICTVACDYWWICDSAEVDTERHEAQFNRPQAYLRIGTVLM NHVSVAIVPQNVNISTD AFLA_018280 MTPEGRDTAGQALGNVGISRARDYFAVPAPIKRIFDQFPLVTYS PNDLPQRGASNRTGNRLFVFTDAAGAKSHKPSFNPQCLKWQAYLRFVGIEFDIVPSNN HASPTGALPFLLPGLPVNNNAPIPSSKLQKWAIEQVHCEEEQQLNLRFEVYTSLLDHR LRNAWLYTLYLDNENFNAVARRLYVDPSTSNSLVRATLAVQLQQAARDELLKTTQYID VSALEAEADDAFEALSTLLGNNEHFFERSSPGLFDASVFAYTHLILDKGMGWKRNRLA ELLQKHENLVQHRERLLKYF AFLA_018290 MARTIQETLASGSLSDTASSQKPVQYVETVEAYNRWAEVYDTDG NFLQALDTIEMQQLLPQLLNKVAANTQPGPAKLVDLGCGTGRNTRQLLKFAPQDDHIV GLDASPGMLDVARTAIDKEKELDPSLANRVSLEIYDLLRSPPAPPECSLGAAGVISTL VLEHIPVDRFFEGAAAVMRPGGYFLLTNMHSEMGSISQAGFVDTVTGTKIRPTSYSHT IEDVLGAAEKAGFEVEELGGERVRERKVNEMMVQDLGKRANKWIGVTVWFGICFRKRL AFLA_018300 METLLAHSFDYLSSYEPSKVRKGLRQVEGLLAQICLSKSKQPAS DKRRSLLSFGAPQPVPKALSELKDDPAFREFFKLQEGFQWNVAMRLVTCLEHLLGRGS NGTNDLLILSTLDLIQGALLLHPPSRTLFAREIYMNILLDLLDPINCPAIQSATLLTL VTALLDHPANTRTFEELDGLLTVTSLFKQRATSREVKLKLVEFLYFYLMPETPMIPAG AGASAANAAAIGLQRSPTKLGGPRPRSANGPGAHHGGRGNRDTRTTDEKQALLGRYLN NVEDLVEDLKETAPFGATVY AFLA_018310 MSSETSSIQPPTAIPPRTSSAGATDGASTNPSVTGQSNLAAIPE TNGDQLQTNTNIRRKHTRNRSSLDGTKYKDGQWSPENEVIVLGPYDYMLQHPGKDIRR QLINAFNEWLKVPAESLAIITKVVTMLHTASLLIDDVEDCSILRRGAPVAHNIYGTAQ TINSANYVYFLALQEVQKLNNPAAIDIYVQELLNLHRGQGMDLFWRDTLTCPSEEEYL EMVGNKTGGLFRLAIKLMQAESATGKDCVSLVNVLGLIFQICDDYLNLSNTTYTKNKG LCEDLTEGKFSFPIIHSIRSDPSNHQLINILKQKTKDEEVKLYAVKYMESTGSFTHTQ NVVRDLRDRALTLIAEIEANDNSKEPEGHGNRVTMRAILDKITESTLKDARKTDD AFLA_018320 MHEMSHMGPRAFNHEQSSDAEAEYDRLRGLAREEAEKRGSCFQR SQEAYSAGDGAKAKELSEQGKAHGRKMAEYNKQASEFIFRENNAEGRVEPDTIDLHGQ FVEEAEEILEERIKYAREHGQTHLHVIVGKGNHSANHVQKIKPRVEQVCRELGLQYAT EENAGRIYVNLTGGPADMSEVPAHSGYGQSHGQYPGQQQHQQPQQHQPQQQQHQQQQQ QDPVEEMVNAVLPRVLRKLEKACCIVM AFLA_018330 MNTEENTNNVWDPESWISTLEMTEQDNFAKYLVNQSYYLIYKEL RIEHLSLIPGCN AFLA_018340 MGCGMSTEDKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIYSNTVQSMRVILEAMESLELPLEDARHEY HVQTIFMQPAQIEGDNLPPEVGNAIGALWRDSGVQECFKRSREYQLNDSAKYYFDAIE RIAQPDYLPTDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGCRLRCRL AFLA_018350 MGHEKDNDAVKDIRVLSFWISFTVGCILCILLLVQRIRRRHLGR YAPPERQMDPPPFSFGEKEKRGGDEELAGLVGHGMPYYPGDPSTYGVAGLPSACDILL PLSRSTHLPSSGYLAAVLGRERSVSTAQSYQQTQAPCVVAPSELSPSSGDSRPSTAGM DAGRSNGLLPAEGSGSLGSCRRTADRPAESQTIDTQEFSGILAPERTCSVQKRNQIVQ HLYDVDEGGVRTYKRTIVQYN AFLA_018360 MPFKSRWQVHVPDAHLATVLFTSPTHPLSKTHRCFSEAARPDTH YFTTHDFRLWSKRFAAGLRKAGLQPGDRVLLFSGNDLFFPVVFMGIIMAGGIFSGANP TYVARELAYQLQDSGATYLICADGSLDTGIEAAQVAGLSRDRVFVFNNAIFDGRGEGK MGCRYWGELVASAEEGSQFEWDDLSTPEKANRTLALNYSSGTTGRPKGVEISHKNYCA NMLQANQSFYLNPDWKARNARARFLCFLPMYHAMAQNIFIANALKREVPVYIMPKFDF IKMLEYTEKFRITDLILVPPVVVALAKHPAVRSGKYDLSSVEGIGSGAAPLGREVCDE VEALWEPGRVNVKQGWGMTETTCAILGWDPTETSHTASVGELNPNCEAKIMADDGVTE LGRNQRGELWVRGPNIMKGYWRNPQATKETKTEDGWLKTGDIAYVDDQGKFYVVDRKK ELIKVKGNQVAPAELEALLLEHPAVADVAVIGVSVNDDERPRAYVVLKSGQSASAQDL IAFMDGKVSAIKRITGGVVFVDTIPKNPSGKILRKVLRDRAKEEVASNPSIAAKL AFLA_018370 MAPVPEAYFPSLDKCFSGDVQLLSWKRAFLYTCNPEDDLGDTGS LHAFLSHPESVQLLARCLDGFTSSSAKTKSDFESKTAAIHSETTAQASYNLKELKEDA LWLSQKAGIDEISALRIAVLEWQNRPATRLLSGFSEEESTSLQSAAGVENFRMSLAGP SFAEIFSQKVGREDNASGFVSEESRRLRLRELYLSERTHIIKTARRLFALSLRNDVNE PAPQMSEQKRSDLLCQLGATLFNDNLAGDKCRTFIQACIKAIQGRLSALESDGGWLGL AESNEAVEDLWRTALVEEILHIVQILFLRLQSSAELPSADVFVSWLRLMGDYNFLETL QIPCQNPPEVLLPLQAFVSLTTLAFMKLPLTIPSIINKTCTPESNTKPPYFLSKDEIG QVNEIFVSAVVESKVASPAAFAWGLVLNTMRELALNDKENRELEQFHSAVDSFQSNTP QSTAGGASEISLYEELLECARTPKCTADDSIALLTSDEVKIMAFEIIMNLATKVGSTS AVDDMLTNRWTRTVLLDLIRVAVVYLDYSPEIVESVLAILVGSSTEPLWPINNLASPS SDPRCVFIRDELLMDNIFRLARSRFPYETVPFLKLCRALISKDLVNDEGIPVILNEME NMDTFTQALPPDFQGYETIREDENANFVTLVESLPMLGSSPRRQLSEKQTSNALVVTG SSQVPSTTIGQIVSESRPAVIMWQHQYSSLSYLGSWLEEWNENGGYSAGWGEDSIAEI IGLLADLLITSKDTQRQNGDDSGAKRILEMASDGLARQSDIISVVLDILERSLSHIGP RAGSETVLDSVIACLRFITALIEVLPGRVWPFLSRSSLFGSDGKGGIMTAVISALEVT SGEYPFLLSCVRFFESIVDDAVSRAVLRRSPNSVMGKTATVSDWTAGVPSHMMRGVLL DFVRIMVEVYNSSINWRFNSPAQRFEINAALAKTFERILYYAYGTNDSTKLDAKVTGV FSSSATYILDVLRPHSTDDLPFNPILRLIADGLQTPPTLYLRYLTLVEKQVSSTLVLS TRLLQAAQLLSTPPSLLEEQLFKAAPVLVKLYAQHDAYRLPIISLLNTLITGAALNSD KEPPSLIGHLGAESSCLFLDVLSQFDKPLSDRNLHLGIWQLLSTFVTKRQQWLAVYIL TGSSPRQTLKKTDDQKAPAMRGTPFLKIALDTLSNIDQVDLQVALALLEFVSHAQENW PWATSELRKHSLFFTSLVQYVSKLKIASLSVVDQIFTTRIAAVVADLCTVYLHSAKEM QDRSFYKTLIPLVSWYAKDAVEVSGYNASLHANLKKNFEMRYSGCKLADFKRTTLQPR TLGRDYCYDIHLGEKLLSYDFAWAGTRNQGFDQEFERANLNLSLIEAKVSLLHSWKFF AIEHCSDFMTDREVQKSMAVVVQRCLEANTNGVPQEAIFARIQQTRVDFAQALLQRLV EIGSRGAEVFGLLGVVWDALRSRRATYEEAIINDDTEYYRSLLNVLFLALQFHQDSPS RTAPETLTPEVAAFLNQFEGQLTRASEAFAADRTAGSTARRICLSMTSEAYSLALISF ILDRFREAGPSAGMDAESIQELKWDRAHVKEDIEDLLERRQLLRARIVATNDKEAELV RQKPLNASSGAENRLEEKIVSELKATLVCLGGEEA AFLA_018380 MSQTPRLHNSLLSPSKRSSSWRAPISLTIPPHHPTKAARLDSAS NVILIDNYDSFTWNVYQYLVLEGATVQVFRNDELSLDELIAKKPTQLVVSPGPGHPTT DAGISNAAIQYFSGKVPVFGVCMGQQCIISSFGGKVDVTGEILHGKTSVIKHDGKGAY EGLPTSLAVTRYHSLAGTHSTIPDCLEVTSYAQLGEDSSKTVIMGVRHKELAVEGVQF HPESILTEYGRGMFRNFLKLTAGTWEGNGKQSSAQSGTSVATGLAASNSTPKVDKKTS ILEKIYDHRRAAVAIQKTIPSQRPADLQAAYDLNIAPPQVSFPARLRQSPYPLSLMAE IKRASPSKGMIAENACAPAQAREYAKAGASVISVLTEPEWFKGSIDDLRAVRQSLEGV TNRPAILRKEFVFDEYQILEARLAGADTILLIVKMLSVELLTRLYHYSRSLGMEPLVE VNTPEEMKIAVQLGAEVIGVNNRDLTSFEVDLGTTSRLMDQVNENTIVCALSGISGPK DVEAYKKEGVKAILVGEALMRASNTSAFVAELLGGSYEKSTQTSRASPLVKICGTRSE DGARAAIEAGADLVGIIQVQGRKRTVSDDVALRISQVVKSTKRPVTHTASTTQGTSNA TSVDYFDHSTNVLRHPNRALLVGVFQNQPLSYILEQQQKLELDVVQLHGSEPLEWAKL IPVPVIRKFGLDETGIARRAYHTLPLLDSGAGGSGELLDQSGVQTVLDSDAGLRVILA GGLDPTNVADTIKKLGSSGHKVVGVDVSSGVESDGVQDPNLSLRTTLELSLLSNRRNI LFIAIIPLLRVISFSTFTQPSWYVVVARPSGCLLESSSFQRSTRTYTRTFNMGKSFAK VHLCSAGRLGDNGSKIPQWVQANGGTYSRQVTQDVTHLVTTKDAYMNNIPAACLTYKA TLVRQAITKRNEKFQLTFDKLQIYESSEEHVTYETECRYSRVGKSNSQILAPAGSSLD TALVAFERFFEEYTGKSWALRGNGILPQPKRDSAGNLLPPHEGWYIYEDNTNMFLDFI QKGSASTTDAFGK AFLA_018390 MLRRQARERRDYLYRRALLLRDASIAEKRAQLKASLASGKPLDP SIANDKQLREDFKYDESLPTSDKKDKDADMLDLDDEYALTSGVVDPRPIVTTSRNPSV RLGAFAKEIRLLLPTSIRLNRGGLVLPDLVSSANAAALTDMVLLHEHRGTPTAMTISH LPHGPTASFSLHNVVLRADIPNAARGTVSESYPHLVFEGFKTKLGLRVVQILKHLFPP REAGKVGNRVVSFVNREDSIEVRHHVFVKTSYRDVELAEVGPRMTMRLFEIRGGSLEK GSSGDVEWALTQYTRTSRKKDYL AFLA_018400 MAALGDDSRELDDITGGLRPRRHDDDDDGSDNGDDDLESTTSAP AAENAENQRHTEEEKELPPHACAYCGIHNPSSVVKCLSCSKWFCSARGNTSSSHIVNH LVRARHKEVQLHPASSLGDTILECYNCGTKNVFLLGFIPAKSDTVVVLLCRQPCAAMP SSKDMNWDTSRWQPLIEDRSFLPWLVAAPSDQEQLRARHLSPQLIAKLEEMWKENSQA TFSDLEKATAVDDEPAPVLLRYDDAFQYQNIFGPLVKIEADYDRKLKESQSQDGLIVR WDLGLNNKHLASFILPKLELGDVKLAVGDEMRLKYTGELRPKWEGVGYVIKIPNNQSD EVTIELRAKGDHKSVPTECTHNFTADYVWKSTSFDRMQLAMKTFAVDEMSVSGYIFHR LLGHEVAAAPMKTQMPKKFSVPGLPELNGSQINAVKSVLQRPLSLIQGPPGTGKTVTS ATIIYHLAKLNGGQVLVCAPSNVAVDQLCERIHRTGLKTVRVTAKSREDVESPVGFLS LHEQVRLNDSNIELLKLNQLKAELGELSSQDEKRLKQLTRSAEREILNNADVICCTCV GAGDPRLAKLKFRTVLIDESTQSAEPECMIPLVLGCKQVVLVGDHQQLGPVIMNKKAA KAGLNQSLFERLVILGCSPIRLNVQYRMHPCLSEFPSNMFYEGSLQNGISSIERLRRD VDFPWPISDNPMMFWSNLGNEEISASGTSYLNRTEATNVEKIVTRFFKAGVQPGDIGI ITPYEGQRSYIVSSMQATGTFKKEHYKEIEVASVDAFQGREKDFIILSCVRSNDHQGI GFLSDPRRLNVALTRAKYGLAILGNPKVLSKHPLWNCLLQHFKERHCLVEGPLSNLQE SLIQFSRPKQAYRGPQRFQMAYNHASSVTSGMMNGKNGHRNDFHDTGSVVGYIPDDVS SVHSSALGGVGIPSGYPPMFQNFADSWPALPGNRRANGNRGKGAPSIAGESIAATESD VTASIIDGKSVDQGGVSLAGLSIHDMSKQPSLSQSDRLKRYVESGGREPYKPGVADNN SIFGGSSASIRVTRGVPGHIIDDDDTRSVSTAFASQVGGNYD AFLA_018410 MGDGSDYPSPRSEGPGGPATVLVTAAQEPLPPAPKMTDQLSPSF MEGTRPRLSVRRARDPPKNAAGQIYCDHPECQHAPPTFRRPCEWNKHMDKHDRPYKCL EPGCDKIQGFTYSGGLLRHQREVHKKNINAKKPLMCPYADCNRSTGNGFTRQENLKEH LRRRHMHTDNGHASELPIVPVPELDGTAALPVTSPVKRKRDSIDSVEIPDEEENGVDL RNEIKRLRREAQMKDRRLEELERIVADLQQRIPQTAVSQG AFLA_018420 MAHSSPFTEVPMSQQTDSPYVRTQQYTRGAGKLLQPPAVPMQAE WSLPHLDPVTSATHIFPEGEFVPHGFFEKIGPEWFVPETEQKEWKYYMRREAQKILPF LYLGQYASVKDRHWLKGQGFTLLLAIRDERFPHICGKIAAAELGIEAATFAVPRIQDF VSIGSHVIRTINDHISSSHTPVSNEWPYKKVFVFCETGNGYSGLALVAYLMVMLNLKL QHALAAVHMQRLSVEADEPSRQMLASFESIVIAKRNVEEARRAATEGTSLMVPTRTVC KKRSFTDRDEDEAMEDIMDIGADEHATFDRKPVAPFQDR AFLA_018430 MEREESQMKNMRRKQLRALLKIDNSARHLQDSHQFVFRKISRGT TGHLRRGTSPDYLMCHAKEVMKAKEYLRQQGLDPRYAGDWGNSISPTHPSGNDQDQDM SNLGKQVNCAQYLELATDTADDYMRDFALDYKAPASILDKQSFINTIGAAATASPRDM ALCRGNLDAAPRWLNLLYQHSIRNYQPAFRENKLVCLKIGTERAAQIHDTQQIACIQP AKLNGGLLQELCIRQASLPIRITAGIFGLNLVSRIRYKLMKNLKD AFLA_018440 MVSLRFEQVFVAIMADKMKKQQEEAKLEAAKQREQKSAEAMTKK KPTAEPVGKRATRTSARQSAAKEPVAEEEAKTEEQGVAKSKRGRGRKAAAPAAAAKSN TISSYFKKADVQVPEDNPTIQEALEHAADEYEANPSVLGGQDLVATQQPELITGGQMK KYQLEGLEWLKSLWMNGLCGILADEMGLGKTVQAISLLAFFKEKKISGPFLIAAPLST VSNWVDEFARWTPSIKTVLYHGSKDERAEIRRKMMNMKNQRDIDFPVVCTSYEICMND RKFLGQYQWRYIIVDEGHRLKNMNCRLIKELLTYNSANRLLITGTPLQNNITELWSLL HFLLPEIFNDLDSFQSWFDFSSMLDSSDKTNIIERRKRTLVSTMHSILKPFLLRRVKT DVEMSLPKKREYILYAPLTAEQKDLYREILNGTGRQYLEIRARERLMAKNERLTRSGS VKRRVDSSGDTTPNKSLKSSRSSTPASTTSTTRRRKGPQSYKEISDREFNSKLRKLEQ GIEDDLDIEGPSETEQEEIERAKTFKLAKQEVAQKKMQNPVMQARLACNSPHNFYWPW NDEPVDESLITASGKMLLLDRLVARLLANGHKILIFSQFKSQLDILQDWATQLRSWNC CRIDGAISQTDRRDQIKAFNTDPDYKIFLLSTRAGGQGINLMAADTVILFDSDWNPQQ DLQAQDRAHRIGQTRPVIVYRLATKGTVEQTLLEKADSKRRLERLVIQKGKFKSLLDP TSQDDVEDLRKALGEDEFERFEAGTDPSRLLSDKDLDILTDRSEEAYARAEKGLDHSG RAFLAVETKKDGLMAQITGKG AFLA_018450 MRSWVPFVAALAALSPATASPTSMDQWDVLAGKALGNQILYHYT NPEASSTCTPYTASARREWGALSKKERRDYIDAVLCLSSKPSKSDPSFAPGARSRYDD FVAVHINQTMFIHSTGNFLTWHRYFTWAYEQALRNECGYTGSQPYWAWNKYADDPVNS PIFDGSEYSMSGDGAFVPHNATEAAPGIFLQPSNGGGCVKSGPFKNFTVNLGPLLPSL KIPGLVAQNGTGLNYNPRCLRRDISKQAAQWTTTKNVVDLIVNETDIWDYETTMQGDF PRGFLGLHSGGHYTIGGDPGGDFFASPGDPAFFLHHAAIDRAFWTWQNLDPVKRTYVV NGPTVLPGIVESPPNATLDDVVDMSAALAPPKTIRELLDTTGGTGGPFCYIYL AFLA_018460 MYSSGDLLPRQAAAASANIPSDSRAPHILAIIGSLTGLSGLLVA LRCYVRLFLLRKFLPDDGVIVASLLCAFGVLACFIGESHHGVGLFSDDIKPEDFQILS EYMFYHAIVIVLGISLVKVSLALFLLRFASPNKNLKRFITGALGGFGSVD AFLA_018470 MGILSLGYFACAAGIVKTVLQSQIFDEPDPYRDCQYLIWNCIEL NVGIIAASFPTIKPLVKSVIGTTLSFTSGVRSGKRNGQGYHVRSSYIMHSLQQNRTVN EDHKYSVQIGTLDGSDRGSEENLTQRPRRGSLSRIIQTTEVIVHSEESADLGVLRIGP ARTVDDRV AFLA_018480 MSNKKIEQWEIERYWEIFASLANGQPRLNNSQAASVLRNSRLRD EQLERVWDLADVDGDGELDFEEFCVAMRLVFDLVNGELQTVPAVLPDWLVPESKSHLV HATRALSTQPEQFERIEDEDDTPGLKDGFEWYMKPADKSKYEEIYNANRNQRGEIAFE SLQPLYDSLDVPDTDVRSAWNLVNPSASHTINKDATLAFLHILNYRHEGFRIPRTVPA SLRASFENNKIDYQVDNARPAQKWGADGDTETPTGRKTKFGDTYLSRLGAGGKSSYTP KGTNFSDTIQDEEWEKVRLRRELAELETKLNSAQQASEGRRDQPRNDGRTSWGLVKKE ALQLLEYKERELRELREGTGRSKEGQNLERLREDVKAVGEQVDGLKSHLANRNEVLAD LRRQIDEEKVSR AFLA_018490 MRLFVIPISTRRALIYARPLRRDHAKELSILDRITNKAAETWAK WEEAEKGWQKHLVVWGNKVQQRIPYEEWGLKSIPSLNAQRRLDESYGSKKIDVLFPGN AVKTEKLKSILHKIATDRQDLHRKRMWWSFIASPFTAPIGLIPLLPNIPFFYLVYRGW SHWRALNGSKHLEFLVEKDLLNPISHPALERLYAKRVSRALEMSNIEQSISSMVEDVE KSDDRLLLRMDDAKKLASILEAPELALEAERAIVQVEEKLRTDSSSPGDDEKKNNPKE KES AFLA_018500 MAQDARILRALPPGPSSVLDPQLNEKTTNTEWMSGANHAHNVQS NHDQFPELPHNGALELSPNVLQALEEASTEDGQDNVVLGKRLRTLPAWIRSVDYKKPE GESSAASRLLPSHPDDAVVAQHNHSPYTTAKVDFAGRGTSLEEGRATSPRESRWKSFS RSIAYPRDPGVEEKLVTPEWLNENHGDYSQPWRGRLEDWSGEDTEDPLRMKRRREIWF KRFHHTLLKSPIVPLIFRLTVWVFSLTALALGASIQHMSREYAHPQGPSALMAIIVDA VALVYLVYITWDEYTSKPLGLRSPSAKARLVLLDIFFIVFDSANLSLAFESLSTVSGA CTIGEVNQQVAPKNDAICDRQIALASVLLIALLAWLTTFAISVLRYSHLSLSFSTMRR TNCFEQTRRSCCTVILLSAF AFLA_018510 MSFKSPSDIEVTTRQIPEWGDIPNTSIQSKPLLIYRHAFDASPS QLQARLEEIGEVEPQWVYTMYQQTHFHSTTHEVLGVVSGRARLCFGGEANPRRFEPTV EKGDLIIVPAGVGHRLLDDLGTESFSMVGAYPRNKHWDMCYGHPGEERKAKAIERLDW FHRDPLYGADGPVLHV AFLA_018520 MVVPGEGCRQCDVEAGGGSGYEDSWSLLVNGKGFLLHADNSLGF LVHGFIYAVGNGHGLQAHRGGSSSSTHKSSKIYRAPGSNTASAHLLGEELYKLLGEYL SRHLDAVHHESKGHAEEALLGFYIREWTRYTTAAKYINHLFGYLNRHWVKREIDEGKK NVYDVYTLHLVKWKDDFFMKVHEKVMEAVLNLVEKQRNGETIEQSQIKSIVDSFVSLG LDESDSSKSTLEVYRMFFEKPFIAATKVYYENESRQFVAENSVVEYMKKAEARLEEEK ARVGLYLHPDISKHLTDTCLDVLVTAHSELLRDEFQVLLDNERQEDLARMYRLLSRIK EGLDPLRTKFETHVRKAGLAAVEKVAAEGEAFEPKMYVDALLQVHTRYQSLVNEAFNG ESEFVRSLDNACREFVNRNKICASSSTKSPELLAKYTDSLLKKGSKAAEESELEEMLV QIMTVFKYIEDKDVFQKFYSKMLAKRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQ RMFQDIQISKDLNASYKDWQDKVLDDDDRRKLVDAHFQILGTGFWPLQAPSTDFLAPP EIVKTAERFQNFYFDKHNGRKLTWLWQLCKGEIKTNYIKNTKVPYTFQVSTFQMGILL LFNETDTLTYEDIQKATTLAPEILEPNLGIFLKAKVLTINPEGSKPEPGNSFTLNYNF RHKKVKVNLNIQIKSEQKVESDDTHKTIEEDRKLLLQVCGRTTQFVRSLSNMSIVCHR PNHEIAQEDEARPTCPGSDPTSQIPFPTQGTRYQEEYRSPHGEGLYRALGR AFLA_018530 MFQKFRESLVINLNKDESFKDQNLGLSLREMIHEFKYQTLVLFK ALLLQPKMLFFGSRCERLCMIQFSLVSLIPGLINYLQDCADPAFESYTKTVEKPTTLK TSDRSSLLAYMGLPLQIFGKGSMFGPYTPLQQLDLLADHGTKSYVVGSTNSLLLQQKD RYSDILINLDEDTINITSPSLRNALALSVADRRWIDLLTQIINDTWDEAHPEQPKTHG YMGSEEFIRLQFEEYLLALLSCMKYHEELDSFNAGDPGRRSREQLEAFNIEGDPALEF NAEFLAQWQNTSNYELFKRLTSDALLYSIVEPRHPCAGGLTMDDVQRRLSQQVAELHL DERVRESREALNRHISTGQKKVTTAFNNFWADIEAMREAQRKRNEEKAQSERSSIDKG PSPPFSPSDTASVHSTSGSSWFGGRKAPSVDIAQAQASVSAAGQRAGAYLNSWSTWAS EKRKEWQDKSKTPSSPSSVTSPSAPTLAGTADQSDPPERGRRSMQASRSEDSITLSRS GSRRKRWSNIFLRRDSGEYGSPNRKDDGDGSEYDTANSRSHLSNEVPVHDDSSHLTEQ PSKEAAAFAEAQVSSISETQTPSETKNTTTTEASTEQPKPSVNPQTTIDKKDTITEEP ASTECKTQTEAPTTTGQAPQSQ AFLA_018540 MGSCVSTEPADNEPKKRSQAIDRRLEEDSRRLRRECKILLLGSG ESGKSTIVKQMKIIHQNGYTVEELALYRLTVCKNLLDCAKSLVGAYHQFSLEPSSQKV RDYVQYISDYNIDPDPHTTLDAKVGEAITYIWNDPCTSTVLEHQNEFYLMDSAPYFFE EAKRIASPDFIPNVNDVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHCF ENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVDL FRQKLPRSPLSNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTTNIR LVFAAVKETILQNALKDSGIL AFLA_018550 MSEARRERKPSVGAPVSELQGPIGPGFSRPKHKRTYTGLAPAEV KSVEASIPEPLREAWRKHSATGFTNQDEFEQELVRHVETTLARSLYNCDELAAYSGTA LAFRDRLIIDWNKTQQRQSSTDQKRVYYLSLEFLMGRALDNAMLNVGMKDAARDGLKN LGFRIEDVIDQEHDAALGNGGLGRLAACLLDSLATLNYPAWGYGLRYRYGIFKQEIVD GYQVEVPDYWLDFNPWEFPRHEIAVDIQFYGWVRKYQDDNGKTVHSWQDGETVQAVAY DVPIPGYGTSTTNNLRLWSSKASSGEFDFQKFNAGDYENAVAEQQRAETISAVLYPND NLERGKELRLKQQYFWCAASLHDIVRRFKKTKRAWAEFPDQIAIQLNDTHPTLAIVEL QRILVDLEGLTWDEAWKIVTNTFGYTNHTVLPEALEKWSVPLVQKLLPRHMQIIFDIN LFFLQTVEKKFPNDRDLLSRVSIIEESHPKMVRMAHIAIVGSHKVNGVAELHSDLLQT TLFKDFVQVYGPDKFTNVTNGITPRRWLHQANPRLSDLIATKLGGYHFLTDLALLDKL EAFVDDESFRQEWAEIKTANKIRLAKHIKDTTGYSVNPTALFDIQVKRIHEYKRQQLN IFGVIHRYLTIKSMSPEERKKVLPRVSIIGGKAAPGYWMAKTVIHLVNSVASVVNNDP DIGDLLKVIFIQDYNVSKAEIICPASDISEHISTAGTEGSGTSNMKFVLNGGLIIGTC DGANIEITREIGEQNIFLFGNLAEDVEELRHRHYYGDFQLDPQLAKVFDAIRSGTFGN PGDFSALIASIAEHGDYYLVSDDFNSYVTTQNMVDEAFRNQDEWIVKSITSVARMGFF STDRVINEYADGIWNVEPLAVKD AFLA_018560 MAAQSKLLPPERSVRQIFSSLTTLYLRHRTGISRTVYLALFAAL AKRIHNAISEQKAASQQQVELRRRPGTSSIGDGGDRPRKKVGVNREFFRNLLRLLKIV IPSWRSKELRLLAGHSVFLVLRTLLSLYVAELDGRLVSNLVRGKGKDFLLGLVWWMIV AVPATFTNSMLSYHQCKLALSYRKRLTDYIHDKYLSNMTFYAISALDDRVKNPDQLIT VDVSRFSDSLAELYSNLAKPVLDMAIYNYSLSKSVGGEGLFIMSLLVQLSANVMRALT PPFGKYVADEARLEGEFRFLHSRLIDYSEEIALYHGHEAEKDTLDKGYFTLIKHVNRI LRRRLYHGFMEDFVIKYFWGALGLILCSIPVFFKIPGQITQTMGDRTESFVTNRRMLL SSSDAFGRLMFSYKEISELAGYTARVSSLLEVMDDLLAGRFEKKLVSSASTEENAAVL SGRGEVEESDSIEFTDVPIVSPNGDVLVRKLSFTVHPGDHLLIVGPNGCGKSSLFRIL GGLWPVYGGKVKKPRFDEIFYIPQRPYLSRGTLRQQVIYPDGLREMRAKGVTDDDLYD ILSIVEIASVVDRPNGWDAEEEWRDVLSGGLQQRIAMARLFYHRPKFAILDECTSSVT MEIERVMYETAKRLGTTLMTVSHRRSLWKYHKKILQFDGQGGYIFTGLDWERRLKLED EKEELDLHLRAVPELQRRMAELSAS AFLA_018570 MFEEWTRIDNDTEVHVCQQDGSLAIPKREFEWNLEGTAQHAAWP LKVSGCFFRRDVALMFFAQAQRLGIPITFGVGVTKYLEDPARGLAIIHTDVGQEMSAD IVVAADGLGTKSHSVVLGYPTRAVSTGYCVDRAAYPVSVLKDSPVLLKAISELGRPQW RMYNGDRCHIVVCITKDRVAINSTHEDDGTATESWSTGVTPEQMAAALPNLERWDPLL VEAIKNTPPDNIVRWKLCLRNPQPKWTSATGRVVQVGDAAHSLLPTSANGAAMALEDS ISLAECLRLGGKEGAAVATRVHQILRYQRTALIQHCGFVNRRELHNTSMKEVTDGGHA FLFYGKWLWQHNAENYAAANFEAARQSIELGSVFKNTNLPRGHVFEDWTMESELEKEK AGIFVQDLKTNGEWTLL AFLA_018580 MSSLPSPVTATDKPHRLEAYGTSYARSLLDQAGITQDVLEFRYR GSGTSRDPYVVVFIDNDPTNPLNFPQWKKWTITILQAFAVLAVAFASTAYTSGVSEIM QEFQVSRTVAILGVTMFVFGFAFGPLIWAPLSELYGRQIVFFVTYMALAAFNAGTAGA PNIADLIIMRALAGTFGSSPLTNAGGVIADMFRAKERGIAMAIFAMAPFLGPSIGPII AGFLNQSAGWRWVAGLMACLTGTLWVIISLSVRETYAPVILRRRAEKLSKLTGNIYIS NLDIGKPERTLGTEFKVALSRPWALLFNEPIVLFMSLYVAIIYGTLYMMFAAFPIVFQ THRGWSLGIGGLAFLGIAIGVMLGVCYSIWYARRCAKVSDRTGGNLSPEARLPPAILG SILLPIGLFWFAWTNSTSIHWVVSIIGSGFFGCGQVLVFLSTMNYLIDSYVVYAASVL AANSILRSVFGAAFPLFTTSMYNNLGIHWASSIPAFLAFACLPFPILFYRYGETIRMK CRYSAKAAHVLAGMESRTRDEE AFLA_018590 MKTNLSLRGSELAQQKPVFLDILSDAWDPVSNPEGVVNIGLAEN TLMHSEMLQFIESRSQVTSHILTYGDGFSGSRLLKESLCHFLNQRFSPLTPLSPSHIS VTSGVSNAIECCAWALCDPGDYILLGRPYFNAFKSTFGLRAGVAVYDVKFGAIDPFSH EAVRQYEQAYNQAQRDGIRIKALVLCSPHNPLGRCYSEDVLAEYMVFCNRHKLHLISD EIYALSVWENPALPDSVPFKSILSMDIKSLMDPSMAHVVWGLSKDFGSTGLRIGCLIS QSNTHLLEAADGISLYNFPSSLADNIASSLLRDDKFTSSYIATNQHRLAESYSFVTSL LRRYGIPFYESNAAFFVWINLGAVTKNGANDTEIMARLREKKVYVGAGYMYASEEPGW FRMVFAHPTPILQEGLQRIAQALEI AFLA_018600 MDLRLDEDLSLYSKYVTFYIPGDFEGGLERNALIKIDPTGFFIR QPPEPHNLNETQTPEASLFQTIHMGAAVVDPIKWRLIVDGLVERPISISLEQLKQMPQ THVTSFHECYGSPLAAPTKNVWRIGCVSWTGVPLRCLLALTRPDLSIGTYVWSDGLDS GTFAGVQSDRYQKDLPIEKALSSEVLVAYEMNGKPLGKERGGPVRLVVPGWFGTNSTK WLCRLSVQDRRSPSPFTTVFYNETDPTDMTGKKIRPVWGVEPNSIVVRPRPDEFYERP GNIEVWGRAWGSEEIIRVEVCIGHGKTWTEAYVEPRKRFEWQLFRLTILFPDVGTYII SARATDRRGVQQPLTMRRNHVPSVRIHVGRSIH AFLA_018610 MMAQQRLPKPKPTQDSLVTVRGHDLPQSLWSQKDVQEACLMRYF VDELAQWFDTCDPSRHFAKVVPQRAANCPALLYAILSASARHLSRSQYLRTNEVLCLG KKLPFLGDDAALEYQSSCISQLMSLSGNPAEVADENLLAAAVILRFYEEVDSPLVGVD DETYLRGVQVFLDAQGTVAVRDGGLRLAAFWVGIRQEFHTSFIKQRIFQFDLSCCDHS TYRLLDQAEDPTWANRVILHCAHTLTYCYDERSHTMKEYEKLWDYNQGWDRMVPPTFN PIYLRQPDRSKGEVFPELWFLDDCIGISSKAF AFLA_018620 MKNEFPSTIPIKVKGAELETKQSRSQVNPYRHKDIVANYALATP DQVNIAVDAALKAKPSWEATPFEDRAAIFLRACELITGKYRSEMVAATMLGQGKNIWQ AEIDAPAETVDFFRYHVQEAWALYSQQPKVHPDGNWNKLEYRPLEGFAYAIAPFNFTA LGATLVGPAALLGNVVIWKPPDSALHASWLLHKILLEAGLPKGVIQFLPGDAEEVTNT ILKRPEFSALTFIGSTATLKGIQKKIGDGIGQGIYNSYPRVVGETGGKNWGVVHSSAD VRSAALNTIRAAFEYQGQKCSANSRVYVAESVWPEFQQHLKEETEALKVGDVEDYDNF VTPVIHERSFDKLNDFIEAARTDSELELITGGKASKVKGYYVHPTIYKTSNPRHDIMK RELFGPILGVYVYPDAEWEETLKLIDTTSRYGLTGSIYARDPYASRQAQTALKHAAGM LYLNTKCTGSTVSQQPFGGSRDSGTNDKTGTMAHLQRFVSTRTIKEEFVPLVKVEYPS NEV AFLA_018630 MPPTERPKKKSLRACERCRSMKVKCSGSHPCSRCTRSNRSCHFP VEEVTRSAASGRYAVSARAGHHVQPPLMGSEAVGFSPTPSATPYLPPDDQQTAGWQTA ADDSPIRNPGRRVDTDERQEYHDSEFSKNPLVDKEEWTFAATPDGRYCEILNPILAWN TADVNKKGYMGPSSSWSFCRRVLALLGKRVPESNSPPDPWHLDGMAFKLQWKQVSLEE SPDVTNLPPLDYALFLYNAAKYYLASLSFLIDETLYLQELHEFYRDPAAKAASRRSWF AQYLLVLAFGKASITQRNPSGSPAGHQYASRAMALLPDLSGIHEDPLTSIQALSLAAL YFQSIDMRKAAFQHVSN AFLA_018640 MAQILTTVYGVDSASKGALIRDIQSILHALADLSHDITEFLDNS FHGMVGRGSKMAVRLMLAQHHTILQTLCSLADDDLMDAFLPFQVEHASSAALVLYLIR SIAPSLITNESWCDNLDCVIEKLIAKGNIAAPLRKLELKHLDLMLTPLTPRSANRTMP SITSDDIQGNNDDTYALDQVDIGDEVEWDLLALNHSVSLPPRELLDLADQLDVDSIMH SMEA AFLA_018650 MFSPRAIRSISSLNHLFYSIPSPALGNRSISTTAPIFTPVTSVQ TNRAYAPFAHYSQTIKAGGHVWLSGQIPADAQGNLIKGSTVQKTKAIIQNTKAILKEA GSGLDRVFKVVVSTL AFLA_018660 MAATATATAEASESSTAQQNYKIVQDIGNFAETEAQRPDFDHAK PIETTKSPYPTWDYGQGVPDHGASQSQEHHEVDPYAPDRPSVNNYRLLVSAIAPRPVG FLSTVNSKGQKNLSPFSYFQVIDHDPPMFIVGFSSRPGRVKDTYRNLKETGECVINTV SENMIEAVNATSIDAPYGVSEWAVSGLHEAPSTTVKPSRVKESVFSVEGKVIDIKEFA DHQRPGMSIAATVLIKATRFWVKEGGCGCGF AFLA_018670 MEGVGTVDVSWLHHTQKDNLSRCKSASSTHSDKPNIDTDPTVAP RTKGIPVERTSPPPPYEPPSPAAAPTPDAQKNAQRTEKEVRSDDKTTDGKSTGSSPNP QKSAPKPIGRRNSWISTLSSKFSSGSTPPSQSSLKSQPTVKATSPVSKLDMHNPFGAA YSPKDRDDDRRDEPNPFTSTSPKGPSFLQNAFRKLSSSASGGSGKVATNGVICERRIM NIDQGRDRCKIPDLDQAKLRRVAFCVDVEIAGISRRESDDESSPANAKHRIVPDLNGK TKKPAKPKDKDEGSALKHPQTVLADKEKRNQDNTASRKQVGQPEATPSDGKVNGEAKE PTRKQEKKKRSEEERKERRERRRRQAEANGSIPLQLTADDDEDHPPAPLPGNPRSRTQ SHPTTDPVRIYRRCCQLRETPVLKRIVDEISAPSSILAESPGTVGVLDLTNFPMTPQD MATFCDWLAVVPVRKVILEKCALTDDSVRSILAALLSTKTVEQMRQRRRRTGKSGSQA PAKEERNSVVEKLSLKDNPKIGKEGWRHICLFVHLSKSLKAIDLSGIPLPKTLITTHE LNGQLPKTSCSTGSAVDVATLFSDSLSRRFGGDHLEELLLSECKPTTEEVKKICDAAT TLGLRRLGFANNELNREGLEHVIRYLKSSKCEGLDLGGNPIRDHLDLITSAIEKETNL YALSLADCALTPTAISPLLQALARLPNLIFIDFSHNPELFSSQPDALATFRRFLPKMP SLKRIHLADVNLSADHAIALAEVLPECPKLCHLSILENPAISALAAATDSSNQEEACA VYASLMAAVRVSRTIIAVEIEVPSAENNEVVKALASQIVAYSLQNLERGAIEGDLSVP GDPSGARETVPVPEILQHIVGHGGGDEVGDEDDEPAPDEDYVIGGTGVVKALGVCLGN LDHHMPGDQSGPPSGTTTPRHRKSRSYAAKRPRDMSKNLLESARNIRTRIQSALVRED RAGNDINYRRLQFLDFTLHRMIQRFEDEYPETRIIPQPVFATAPDSSSQNSGDDVTGG ATFGTQPITDQGDECAIDDEDADQYALGISRASSMTSLHSRAMTSEEGHVHRLGQNLR RDFLNPSFNQGDGDSSLTLDDAHIAALREKLERLQGEQEHSHFDGVGADKTFEQLGTT VEELWAAHKQDAETFEKFKQSQIAAQINSGMRTSSSGNGAGSQNRQD AFLA_018680 MKSWIQGTILGDTIRLLLGSRWLSYPEDDPTFDIRQLKCASCLD GHSHRDLESGQETFRYAEKLSAATKTDKSLIIDWYTPDDPENPLNWSLGRKAWVIILI SVYTFIVYCGSSIFIPSYEFMMHRYGVSLEVVQLTLAIYVVGYGVGPLIFSPLSEIAR IGRNPPYVLSFILFVIVSIILSVIDNFPAIVVLRFLQGFFGSPSLASGGASIQDLFSL IDAPYGFISWVTGFYCGPALGPLLAAYAVTSDWRWPLWEIVLMGAPLLLVIVCLLPET SHETILHRRAQRLRRFHPAVLAPAETKRLDFKVILIDALIKPIEIAVKDPAIAYMCVY SALVYAIYYSFFEAFPITYGGIYQMPQTMLSLIYLSLIVGCALAAVLYAAYLKYIFIP RCHNGNPSQEDRLIPALAAVWLLTAGLFMFAWAARRSVHWIVPTIGIAIYSGSSFVVF QAIIVYVPLSYPRYVASLYAANDFIRSIIAAGFVMFARYMYLDLGIGKGVTVLAGLSV GGIFGMIFIYLYGARLRARSKFAVGD AFLA_018690 MSHLTYHNFEGSATKRNTNTVKPANIGGWNPVTGEIHPDVITQI DQAFANVDMNLKHAGGKGWEQVFRVDSYHIPLDDEALEAMVRNLKEYMPNHEPIWTVL GVSRLAEDDMRVEIEVVAHDPK AFLA_018700 MPFHKQVKNSAYYSRYQTKYRRRREGKTDYYARKRLITQAKNKY NAPKYRLVVRFTNRDIITQIVYSEISGDKVFASAYAHELKRYGITNGLTNWAAAYATG LLLARRTLKKLGIDEQFPGVEEADGEYSLTEAVETDDGERRPFKAFLDVGLARTSTGA RVFAAMKGASDGGILVPHSENRFPGYDIETEELDAETLRNYIFGGHVAEYMEGLADDD EERYRGQFHKYLENEVEAGDIEDLYTEAHKAIREDPFKKDEDEGSKKTKEEWKAESKK FQKKKLTHAERKARVEQKIRELAA AFLA_018710 MSNVFTRNDVGEPEDQGRHETVYRARQNDGTFNGALPDILRRLT SLEQSLTAAPCQSSAAGDQRGFPPPGLSALFHNEILENPPSNGNYFTVPDIVQEHIPS CHTEQTTPNSLVLSPDTGDALLWDDALITKNMAAKWVNGFYEFSWGPELTVGKEFLLR LPDLFDLPHVKIDTSALLVYYNVLLQGLFMDRGLGQRRKDYASYMYRKLLEHAKDWDF EAQPTPTDLYAALLLVRCAVIMNRNIYSTDSNPVKDFCNKLVFR AFLA_018720 MFSSPGHEPPSSDMVLAMDGGTSSGTQTYANSERSDLEQKDKLA ENRAEIDEQLTNLARKLTTKSEQLHHRSPFEAPEGGCLDPNSPNFRARDWAKAFYNAR YNADENCPPRVAGVAFKNLNVSGYGSPVDYQMSVGNALLKLPTQVYQFLGGKKRKINI LQGLDGLVLPGEQLCVLGPPGSGCSTFLKTIAGETHGFQVDPAAYINYHGITPKQMST DFRGEAIYTAEVDAHYPQLSVGDTLYFASLARAPRHLPGGISSQEYATHLRDVIMAMF GISHTINTRVGNDFVRGVSGGERKRVTIAEAALSYAPLQCWDNSTRGLDSANAVEFCR TLRTQSDVFGMTSCVAIYQAPQAAYNLFDKVIVLYEGHQIYFGTAHDAKSYFERLGFL CPESQTTADFLTSMSSPTERIVRPGFESLAPRTPEEFAKLWKASPERQSLLRQIDQYA TEHPFDGADLDRFSQSRKTEKSKNQRQKSPYTLSYWGQIRLCMWRELQRLKNDPSVTI VMLINNFFEALIISSIFYNLSGNTSSFFSRGAILFMMVLLNAFSSMLEILSLYAKRTI VEKHNRYALYHPSAEAISSMIMDMPYKIVNSILMNITLYFMANLRREPGPFFFNYLIS FMMVMSMSMFFRLFASLTKTIQQALAPSSIILMALVLYTGFAIPVSYMRGWASWIRHL NPVAYGFEAIMVNEFHGRTFPCASFVPSGVGYENISKDERVCSVVGSVPGSDLVDGTT FVKSTYGYENSHRWRNFGIILALTIFLALCQIIATELVASERSKGEVLVFRRGSSQKA RAKQHQHDEERTQAPVIQNEKHSEGPDSTIGVEKQTSIFHWENVCYDVKIKSETRRIL DHVDGWIKPGTLTALMGSSGAGKTTLLDVLANRTTVGVVGGDMLVDGRPRDSSFQRKT GYVQQQDLHLHTSTVREALEFSALLRQPPQYTREEKLDYVEKVLDLLNMRDYADAIVG IPGEGLNVEQRKRLTIGVELAARPKLLLFLDEPTSGLDSQTSWSICNLMETLTKNGQA ILCTIHQPSAMLFQRFDRLLLLAKGGKTVYFGDIGRESRILMDYFTRNGGPALPPGSN PAEHMLEVIGAAPGAKSEIDWPAVWRNSPEYQNVRHELSNLRALANQPSPVSDTNDKS SYAEFAAPFATQFVQVGLRVFQQYWRTPAYIYSKVLLTIGCSLFIGFSFFRADNTAQG LQNQMFGVFVFLFVVIQLIIQIIPSFVTQRTLYEARERQSKTYSWQAFVVTNILVELA WNSIMAIFCFLVWFYPVGLFHNAEYTDTLHYRSTLTFLFIWVTFLFASSLAHMLIAGI ESEEIASSLSNILAIMMYAFCGILAGPDALPGFWIFMYRVNPFTYLVSGLLSTSLGEA PMHCAEKEFLSFSTPANLTCGEYMQDYISTNGGYLLNSKAQGGEDCHFCATGNTTQFL QHVNIDFSTRWRDFGLMWVYVVFNIFAAISLYWLCRVPKGKKNK AFLA_018730 MTETTRPIGLLFDIGGVCTNKPTTNQKNQVLSPFQAILDYEIAN KIPPGWVNFSISRSAPSGSWHRLERGEIKLDADFFKAFNKDLSNPELWKKFHETLQKK QSATGTPSSSSSPLPPLPQLDAEFLFWEMMRISRTPDPYMAPALKKLKASGKFILGAL SNTVVFPDGHAYNTDESGVKGQFDFFISSAHTGLRKPDPKIYEFAIREMNRLAREKGF REVGASDIVFFDDIGENLKGAKKAGMRTVKVNLGRTQDAVKELEKITGLSLLEGSDRA RL AFLA_018740 MHSDEAPRFSYFSSQTQQVVSSSNWEEIFSDQFITHLQESERTE GNVWWLDICDATEQDVDIVSQALSIHPLTAEDITMREPREKVEVFRNYYLISFQTLVT FADESEEKGRARSPASIFWSTPSSAGCYILVFKNGAVTFSPSGNGHIRRVRDRIRRLP DPSILSGDWVCYALIDDIIDSFEPYMQAVERESESIEDQVFIARVDDVKSLIPRAENL RKKITYLIRALSGKVDVLNGFVKRCQAKDKQPVFPDGDLIMYLGDVQDHLVTTMSSLA HFDEIVGRSQSNCLAQISANNLRLSLNINEVLSKVTVLATIFVPLHMVTGLFGMNVTV PGQDVPGLTWFFGIVGCFIAFIVVCCTIAARFKLL AFLA_018750 MAVSQAAEVGRMTGNTNTYSFIQWGSTFFAKGFLLSRRVLEKSS ACSRPLLPGFPRYESPHLQSTQSGCWMPRSFDKAVILVIDALRYDFAVPPAPQSSGKD AYQPFHNALTILHEKATQEPQNAVLFPFIADPPTTTLQRLKGLTTGTLPTFIEAGANF AGSALLEDNLVTQLQNAGKRLVHLGDDTWTKLFPSQFLPNLSRAYDSFLVADLHTVDQ GVEEHLIPLINHHQDEWDVIFGHFLGVDHVGHRFGPAHPEMSKKLKDMDRVITDVVNS IDENTLLVVLGDHGMDKHGNHGGETENEVQATLWMYTQRRYFGHLLVHPQELASYLNK SAVYQIDIVPTLSLLLGIPIPFNSLGSPIKEAFLGAAGDNWGQLVRAYMLSFAQIERF HQEYSIAEENARHAEEHNHTNFLRYIPGEELDFQDQEILKTLYHELWDYQGKILQRYK SIWVQFNMAHIIEGLVILLSGAVALLLRVCAWDQCNLIPSASSRTIKMGTAAFIAIYL IHIAIVKPEKSRMSDGVIVGATMSSITLFICQFHLFGMIRVSTKSQMPTFWDIMAIAL TILLSIGFASNSYTDLGQKEEYARGSTLYIIHGTGQDGVTKSLLSGRATSILRNDLPS PG AFLA_018760 MDSTRTISELKSSFIRAQVRILSESLEAPEDWRSYAAASEEDDL SDKVVGDVLQKLNSALKQHNRIVYSSQAIQHVAQQIASLYWTSVNDAIRDQNSFERGI EKTVDLSNHLNLTQLPVELEDQSASEEERLRYQKLRERLVTLDNQRQQQRRRLEQLRR LQRLLEPFQEPQQNIQPNLITRDGELVQELEKMRMLVARVGGRIQHSKKRFDSQEDPA SYQLDSDRKLEALLDMTG AFLA_018770 MLTALEGDPEDRNPASESPVLDQFGDSEGDTAEHAEQTTTPSRT VRFLLPVSLTHSLAILTESVVAPNQPIIIGETTPETPARRSFVPGHRRRSTHVTRLDL ERFRRDVLGIDTPGFGFDDEASSPAVDPSFDPQLESLNRDFEAVARSMNSGSTPDSGS FSSSVDNASNASNQSNMTNVPRQSMSPAMSHTPSQVNGAGIAGMNAGIPLNAGHQMDL HHLYDMVLELSEVLKNNRQMTQSIVSSAEEVMRRSASEGTSPSLQQVNGEISAARITE LERALAREKRLNEVLRNEQEENTKLIGEYEQAVGTMVEQIRNYCQNNNLQYLAQKRHY NNLLQNERDAHLQSRLERDHWHNQTMKCAEMIRTAYRIRCEEENVPIRVVAGLQNEVR AYRNALGMEPERPEEEYGWEILKDVPGGPAPGE AFLA_018780 MPVRRIAQIVHLKPSALAAYKECHANVWPEVLQQIKECNIRDYS IFFDNDRTLFATFKYVGDDFEGDMEKMKANPKVREWWAMTDGMQESPTPGAVGSAEGP SWWKPLEEVFYTD AFLA_018790 MDSVKLLFLSPEVNPSNRKARSIPILNPFDKYGRVYFFSWLGFM VAFLSWYAFPPLLTVTIRKDLKMTQPEVANSNIVALLATLLVRFVAGPLCDRFGPRLV FIGLLLCGSIPTAMAGLVTNAQGLIALRFFVGILGGTFVPCQVWCTGFFDKKIVGTAN SLAAGWGNAGGGITYFVMPAIFDSLVHNQGLPAHKAWRVAYIVPFIIIVVIAVAMFFT CEDTPTGKWSERHLWAEETSRFEGNIVNINSGISSSHPSSPPSTTNIVADLEKKGNPS PPESIAPMPGQLESLRTDTVVAPTFKEAMNVLLSLSTAAVAIPYACSFGAELAINSIL GDFYAENFPYMGQTKTGQWAAMFGLLNVICRPAGGFIADLLYRHTQSVWSKKILLSFL GVGMGAFQLALGFSNPKSEATMFGLTAGLAFFLEACNGANFAVVPHVHPFANGIVSGA VGGMGNLGGIIFAIIFRYNGSHYARSLWIIGIIAIAANLAVSWIRPVPRPQMV AFLA_018800 MTDLEDFGKVKLIDRNKWVLARQLDGDAGTLVTRKIRELGLDVM HQKRVAKIKTDDNNNVTGIVFEDGEEIDCCCIGVRPRDELGTTAGIQCASRGGFVINE SLQTSIPEIYAIGECASWENQTFGIIAPGIEMADVLAFNLTNPDKEPKSFRRPDLSTK LKLLGVDVASFGDFFADRDGPKFLPGRRPSVATIPRVKDTTEKESPVKALTYKDPFGG VYKKYLFTMDGKYLLGGMMIGDTKDYIKLNQMVKSQKELEVPPSQFILGAQNGGEENG DDLADDTQVCSCHNVTKGDIVESVKSGTCKSIGEVKSCTKAGTGCGGCMPLVQSIFNK TMLDMGQEVSNNLCVHIPHSRADLYNIVAIKQLKTFEDIMKAVGRNPDSLGCELCKPA IASILSSLFNGHIMDHEYHELQETNDRFLANIQRNGTFSVVPRVPGGEITADKLIAIG QVAKKYNLYCKITGGQRIDMFGAKKQDLLDIWTELVNAGMESGHAYAKSLRTIKSCVG TTWCRFGIGDSVGMAIRLEERYKSVRSPHKLKGAVSGCVRECAEAQNKDFGLISTEKG FNIFVGGNGGAKPRHSELLAKDVPPDMVIPIIDRYLIFYIRTADKLQRTARWIENLPG GINYLREVVIDDKLGICAEMEQQMQELVDSYFCEWTETIRNPKRRKYFQQFANTDETV DTVELVKERDQERPTYWPSEGAKEDFKGHQWSALSWQPIIKADHFSDGPPAISSANVK RGDTQLAIFKVKGKYYATQQMCPHKRAFVLSDGLIGDDDAGKYWVSCPYHKRNFELNG EQAGRCSNDEAMNIATFPVEERDDGWIYLKLPPVEELDSVLGTEKWKVKKGEAPDPFQ KCDKKYKGTRGKKVGDRPSPTKQSKTIDW AFLA_018810 MATITEVRTDALVPTDLVLKTGQIKIESEEISTRDLSDIPLPPP SKRPTEVLSVDKGTPDSHVPRDPRLIRLTGVHPFNVEPPLTDLYKEGFLTSPELFYVR NHGPVPHIKDEDIPHWEISIEGLVEKPLVLNFRQVLQQYDQITAPITLVCAGNRRKEQ NIVRKTKGFSWGSAGLSTALFTGPLLADILRSAKPLRKAKYVCMEGADKLPNGHYGTS IKLNWALDPNRGIMLAHKMNGESLRPDHGRPLRAVVPGQIGGRSVKWLKRLILTDAPS DNWYHINDNRVLPTMVSPEMASNNRNWWHDERYAIYDLNTNSAVAYPQNNEVLNLLSA GPSYTVRGYAYAGGGRRVTRVEISLDKGKSWRLAEIEYAEDKYRDFEGELFGGKVDMY WRETCFCWCFWSLSITIPELENSDAILVRAMDEALGVQPRDMYWSVLGMMNNPWFRVT ITKENGNLRFEHPTHPSMPTGWMERVKKAGGDLTNGNWGERHEGEEPTEPEPVQDINM KKDGLSRVIGFEEFKENSCDEKPWFIVNGEVYDGQAFLEGHPGGAQSIISSAGLDVSE EFLAIHSETAKAMMPEYHIGTMNPEGLKALKDDASSSTDEIRPVFLQSRSWTKATLKE RKDISWDTRIFSFKLEHEDQTLGLPVGQHLMIKVLDTSSNNEAIIRSYTPISETSQKG TVDLLVKVYFATATSAGGKMTMALDRLPLGSVVECKGPTGRFEYLGNGRVVISGKERH VRSFKMICGGTGITPIFQVLRAVVQDRQDPTSCTVLNGNRQEEDILCRAELDGFMATD SRRCNIIHTLSKAPDSWTGRRGRISEELLKEYAAPEDESMVLICGPPAMEESARRILL AEGWKESDLHFF AFLA_018820 MEQEDYDTDPFSSENLWRLSKFTLQSLQPLERLPWNEELPDLTG GFFRSPLELLNEDCFSSSQLNLFETDVFDPDLLPESTTDASSETSAEPSIARSERGDG SEDIWVLNDVDVKFDGKRFLKTWENCQNRLYQEPTSAYFSESGSRGFDASLANQAATN GLGKLGRVARNDVFLRSLFRLGLGWSSLFFRYNRERQMFERVFDDIRLSGVSVAALNS LTDEMIQCGTDMQRVRNFVGKAPSKSTKLSALSTLSSAVAVVIYIVEKRLLRDADNIL SLIQVRALFQRCGELAGVLADLVEAVEGVVSDAQIFSIVLEKAAHYSQTFGHMEDLFR EIVIRTVYPFLEHAETWIGLRLEASTLKELAANGRSFVVLEPSEENPKTTSSQSTRLE YRYNPAEMPSFIPTDQAELIFESGRSLRLLKRFHPQHPIASDEICNGDVPRLSCASTW TDIERIQEKAKIYENRLRSEILRYNRGRPSATKNLIINSQPPAHTEASDTLKDTFDLF DIDDAQNMTGLLANPSSIEKDELSRLIEQGNHKDLEVPEDHRCNFGPELASALYLSLA PLLSSQALLIDFSCLHLLFKEHKLRYHLSLQWRFQLLGDGFFTSLLSHALFDPEMQSG ERKSGVVRSSVHTGLRLGSRDTWPPASSELRLVLIGLLSECHGFDDRSESSAGNEPRK ERELPGGLSFSIRELTAEEVTKCKDPNAIEALDFLRLQYKPSDVLEAIITSRSLNKYD RLFKHLLRLLRMVSVVKGLIRDSTGRDSLSGHPRNVYQKFRIDCQHFVLSLSDYCFHV GIGSTWQRFQDSLAKIERCLDRGDIDGTIEAAHSVPRLRDYHEDILDQMLFALFLSKR HADAAKLLESIFGTILTFAPLSRMDGTSGVRHESEAIAYQLFATFRKQTSLFVNYLRN LDGVNASSKSFGRSGTTFASREAPTCVFDHLLARLDMRKYY AFLA_018830 MKLSRHGLHQLPTRVLCETINMPPRKKPKLTAQSEAAQPSANTP ASDSAAQPNTDYDPVTDPWTDEQETALLKGIIKWKPVGS AFLA_018840 MKSQGYAPAHAEHTRIPGIWKKLGTLYNLPALDERSPDPLRRRQ EVGSQVVEAANRLEVLGLHGFK AFLA_018850 MFATRNFALPARQCLRSTRVSPRIASPLSQLRGYAAATDERVAK FKGQKDTDGKYTVTLIEGDGIGPEISQSVKDIFAAANAPIKWEPVDVTPILKDGKTAI PDEAIESVKRNYVALKGPLATPVGKGHVSLNLTLRRTFNLFANVRPCRSVAGYETPYD NVDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITKEASERVLRFAFQYARSINKKKVR VVHKATIMKMSDGLFLNLARDIAKEFPDIEFDAELLDNSCLKIVTDPTPYNDKVLVMP NLYGDILSDMCAGLIGGLGLTPSGNIGNECSIFEAVHGSAPDIAGKGLANPTALLLSS IMMLQHMNLGEHAARIQKATFDTLAEGKTLTGDLGGKAKTHEYAEAIMKRL AFLA_018860 MADADIANYYNLPSAFPEEWPAELDESDHSEDEALTRTASRRSR YFALERSNSHQKGVNLGSFKGNNGRENLAQMDEPDPLGTGESVLKILKKGGLSVEEES RLRNKFLLSSTSFSPALFLSQAHSDASIKSLLNGLEFLSRSIDQKSASLKVLVEANFE RFVRAKATIDSVYTEMRNQGKAESLSVSQVHRRSGHFRSLSSGKHDITPATISDSGPG KNALTKESEYGMKGIRGPLLEASVKAEEVWGPALGGREREQVLKSVVETMEKHRDVYE IGGLLSKSIKQRDYDSVFEQYTRARTLAKEARNIADMATSKGRPLTDEETHMILAMGR MWMDVDQQIQAFKHDLWRRLSESPIASTTVTALGPVDEHMELIGALLELGVEDNPIWV WLLGRYEYLKTKITTFCERCKTELEILRRRLAAGEKPTPQALASYLRLAPRDGAASDP TTLDTDQVIELWECVHTYLIRLLSSQGGLLGEVFDFWEAAQSFIEGSKQKLLPTGFEG ESRKHHRLSPNDSSELQKGVVELINLIRESILSLFADPPVEDFTILASPIPPPSPKSP MSGGITPTESRFKLDPKNMPFPTPKRGEAWEDFAFWPPFSNSLSGIHYLGQFLVLIGR AASEMAALAPVRSTENAYDLLKSLVSVTRERSVRAACYAWGKDAEVCKMLEDWTRDSE KKDLTKMPGLFVAFENAILSGMQKILYISEAMVKSGDVDVVTQPPAKLLQMVRTQFVS SIYKALSGLVENAEHPTLPQEDSEWVLAEPAARSLSDTSTALFIADAVDARNRNVRIL LTLSNIKAFQADLVPQLVANFETSFSVKLTEEANTIRDVLSQIDDRLFQSYTKPTINK LNTTIVDGITAPDWEPTVPRPEQVRPYVYNAMLTLVLVHTEISTTIPSSSSAASNRSS PAGQPPLLIIILTHLLTKVSSSLLSAFSSRSSYTLAALMQATLDTEFIAQTMSQFSTD EASAVQSQIYVELDRRTTHEARARLQSELGEMRGTLKRLRERTKGEFACFKKQRSRTE PKTPA AFLA_018870 MSAKRSRDIDAKSEEQRTTEPPVKKKKGFSVGPANLPDGTYRRK TQKIKADLIQKAKVKKAYAKVRAEELAAAPRKSVYELAEENAEKDNALEAEPASLELH PDRQAMLDQPAPENTPRPERARNQNGEGRRKRKPKRSAFAKEMELAEKRRQEAQKRQE ERDFRQKDREAMARARRPDQHGKRRLGRESNVLLSRVQRMVGQT AFLA_018880 MPIFQDPDRQRFYDLWSDDHPPIREDERQLGGSSWAHHHRELES AGRRTNFPGETFLSDCSPSDLRTCDREELIHYIKNADTSAWAHRLYGDSNAGHSISRT PDKTFDMKADFNARGIPSTSPGTNGQELGSPADIQRPRSALHSGDFREGTRQDPQPLP QSPLPGLDAGSRFPLLGSSPTAPWFTAPVFASPTRTQPVTANVSNENARPPSRTRAPS VGSFSSSYVLKAPTSPLVYQANNTDLDFSARTDSTEQLGPLEKASRRRTLPPETFRHL QSSPTTHRGAFNFQSSHSSGKWDEPLPFHHCNPRRSLNSAYTLQLASSVQSPSLRTRR PSLVSEKSSRPHAPLVGSYEESILRGRMSMNPSKPLDFTAQIGVLGKGKCKANLKCPP HVTIPFPAVFYSYPTSGSGRSISDDNPSPYVGLIDLENSLPKDTAVTSRRRRHHHSPA EVCGEMTDNPPPPKANDQDALRRREKRHRRAESPKCPPGGCYRIPQQGQLQVMIKNPN KTAVKLFLIPYDLSDMEPGTKTFIRQRSYSAGPVIDMPLTARKNYGTDRPEASLSSSE DPNDKPILRYLIHLNICCPSKGRFYLHSSIRIVFANRVPDGKERLRNEIQHPEPRYAP YKPARDVNQTQMNTKLVTDRGCRRPAADQGSIPASLPDLPSPCGREGQPATPMPVIEA QAVSSNLKDARSGQRAPHPFRPIPSLREEVLPLHDHDAAETYQTGSGSYSKLTKGDHG YGGYPFSPLGGSEAGESLLAKRLRGLDVQKHNSSGMD AFLA_018890 MAPSTEGEQSKAHAYTKWQRDGFDCIVGLMPPLQTGSVQNNSVQ MLAAMRDNLRSSENSTTGHLHERATGLKVMIVGDSISQGREGDFTWRYRIWEWFQSQG VAVDFVGPYTGTVQPDKAAPPSPPALYGEPQPTGAIKVSGGYAKQVSSDFPKSHFAVS GRAAAVDKGLIKEVMAAHPADLMLLLLGFNDMGWFYSDSIGTLDSIHTLISNARAANP KIKFAIANVPQRSFIGGREDLPVSTNIYNSLLRDTIPKWSTTASPIHPVELEENYNCQ PSSCPVGSDGLHPNAMGEYQIARAFSQTLVKDFRIGSSALSIPNDVPARPLPVPSNFK VFTSPGGVTATWDPVYGAYNYDVRSKIKGGIPNFSSGSVSSNRWDATWPIDGWEYEVQ VRASAGDTIKGDWTTTLTATAHPQTVEAPQNVIVSATTTGFDISWDPPTCSYSDSVIE YNVLYWDKDAECDFITGAAFTGTSAHIENLVAGHRYFVAVETWNAAGQGFPAVVRSVI PGAGTPPPPTDLKIIAADQTTVHLTWDSLSAAAGYRLWLRNVNATGSKLEALNYTVEA ACSDQYYLFPGTWNYEWCVSAFNGNAESAKGKCVLAPSPDEDGGAAPTCPPAPQWCPN GGSVGGGSGGGGSRGGGDSGGGSSSNSGGTTEEPWPVVTNGQCKGPDCKNSQCTGLLC ASFGCSGSGCLNGVCTAFGQCTVHGCLGSGCRDGVCVADDCITAGCVGDDCGEDGKCT DDRCIGLGCSGSDCGKDGICTGPDCWEGTCSGSGCANGVCSGSKCSSYDGCVGKDCNN GSCKGDDCSSCSGLDCHDGDCTGDNCIGCTGPDCHNGQCTGSNCYGCTGRDCHHGACR GEHCSSCVGSDCVVSNGKSGCKGEHCTSCTGPGCSCFGLGCACSGSGCSGCTGLGCSC TGLFCSCTGPTCTSCRGLSCMCREAGGCSGGEEGGGGGDDDGSTPTDPSCSIKETATI CAEYCTVTTNAAATTTTSCTSTTCMPTVGCSVTGTTTRTITSTSSADCPFVTDPVAGE NPSDNCRPCAWAFDSVSDVDMEGNLRIRDGFQPNYATITARAVAPTHAGLEKRAPART YTALGGCRFTPGASVTIPAYKGPQNYVQSAIGGALPASLSMSRWYSKTTVDCAPTITM ISDEQVQPFLGNNFRSPTIEHCYELNWMDGFWKYLFGKPGYSCDNFNQLMFDSCNKLQ PIYDNLPGDDHWDFVGVTQELNSIKAQMGGAYEQNIVQRSVKARKKIIARQKPWRASE AIINEWMELLQTIVLACDLWTSSAIFGPLDSTNYRIYSALKDVDANLATTYRYWMTNV RIPGQMEAGSEQAALLITSIEEGLNLATDKALETADGAARLNEYCEALQAIRERYHLD GTGNVCNKPINLNWDRATTDGAPRPPLARRDSCPFPITTKDPANPTSTSTAPTKTTTS LSIPTATTSSDPIYCFNEHNDGSYVPFKVDGAKAAMEALCYNGNSLKPGGPPYTYVYS DPSGTNVIGSVQWAPDQSGCKPEKEVEMKIHCETSMEHCFSKCRNPTEGYGGAFVENQ GFGCIQWMLYAQKSNTQCSCNENGCTPDSPACCANGSCGTSNALMSTEMKLISLDEVD PALSLSLSTKSTEDD AFLA_018900 MDFHPSERPSEGDLFEFERFDKGYDAEDICCVICGAPSFVVEAE VRDKNLERYKWFVPQAIRAENYHYEKDWDIASLPNHDLPLEVLGIDDIEIDGVHYGER LLRLEAVRYGEHTSKLVHYLYTEYPYVTVHAECLDMMRRLVDYRQALLKAGVSVGLKH PTTLSQFYEVFEQRLTRVHSKYPLGRNGSFNPRRVVEPHWYYFRDTNLYHNVAWAFGE QKAYEYEMAPSPIPGLTQEILSYLQPLPPSLRGQTGLSPELEALPAEVQDMIYNNLHP FVNPGQTCTRSLPSGLWRDMLFNRHILPWLWDLDISALRSYPVTPDAGNALTYEAEDV WDWERLVRTLAQVEVFEPGNPLQHAPLRLRNRRRIWRLLEEAKDEDIEEWLDTHVYKR K AFLA_018910 MHVVPFTSLLLAIASFANAIVNGVEATKDQAPFTVGLSGTRLFC AGSLIGEKSVITAASCVKDKDATSINVRLGSLQHASGGTVIGVASIDIHPQYDADSLD NDIAFLALADSYSGATPAQLPTKQKALGYGSSVQIFGWGETSKGASFSRTLKTASVNI ISRSNCQNIYGPITTITRREFCVITKDGKGACQADQGGPVVDSAGTLVGIISRAKSCD AGNYPGVETQVDAYLDWINSKLA AFLA_018920 MLVHSLASLLLLAPLATLAQVQTSFVQNVDDITHVYKQGMQAFT KGHSAQSQYIVVKIRIQQAYEKTDYAYTELRYGDNYRGLVWRKCSSYSSI AFLA_018930 MATSHMHNLTTLIKRLEAATSRLEDMAMGLDDPSSPKTLNAAAA PETVAPEPPKPAFPPAPAAPAVPPQIEDFDTLINKDVRNFVDLGNKIGDLVAEQSKAV LQAFEAERTYLYVSTKAKKPEPQPAELMTELHTASDSINMIRESNRASPLFNHLSAVA EGIVALGWFFEPKPGDFVSEIVGGIEYYGNKVLKEYKEKDKTHVQYIQSYYQIFKALA AYLKKHYPKGLTWNEQSGIDALEALRQVKGGSSTGASGSAPPPPPPPPVPTLNVPGGA PPPPPPPPGVPPPPSAAPGGDMSAVFAQLNQGEAITSGLRKVDKSEMTHKNPSLRASS TVPERPDSQGSISRSKSPAPSKKPKPESMRVRKPPRKDLESNKWYIENFDNPGEIVEI PAQQNQSILISRCNKTIVKVSSKANAIAIDNCKDLSIIVDSLVSSLDVIKCTKFALQI DGVAPTLLLDQVDGATVYLGPQSLNTEVFSSKCTALNIMLPPKEGTDEDTKECPVPEQ IKSYVKDGVLVNEIVEHAG AFLA_018940 MTEQSGPTSFANHVDFCTLGMFILDDIDFGGTRPNVKNILGGAA SFAVVGARLVAGKEHSHAVSWIVDVGSDFPSEVLDVINTWGTACVMREDNNRLTTRAW NGYGPNEKRGEHLTFFRMNSRKDTDGLDFKYLTPKLRLEPWMLSDSQVFSRTFHMVCS AGRCVSIVQNILQRREELRREGKAPSSSQASERPFFVWEPVPDLCTPEEQDKFFVANR VVDVVSPNELELGMMFGQPGWNEESEFGKDIVKRILDSGIGPNGNGHLVIRAGKDGSY TFSRGQRIWLPAYHQPDASANTPVVDPTGAGNSFLGALTQGMVTVDRAPAKIVGSVLA GSAVWERALEALGKQSYILSSLIFATVAAGFVVEQIGVPHLSTSTEERELWNGTEFTE RVRLYTQRLYRTLEESPRKHLQIN AFLA_018950 MGGGGKIPYPKEVWSPAGGWYAQPANWRANTAIMGAVVIGIAAV TWSISADRERRDRMPEPGRFFPSRYWSKQIIEHERQQAAKQDS AFLA_018960 MKRLQLQRWSSSVLSPRARTGGRLQQHLYYNLRRQSTVAPAPQA ENEPLFEEQSLNSSTHIPQSSHFKYLLPSPPVEAARESAKLAALHARLYLPSRLPLET LARSLVDASADSNPNFNNEALATLGNDLLSHYTSEHLVCTYPRLPLTVIFAAMYAYVG PKSLAAMAKEWGVEMAAVPGGEVDPGLLQFKRVLPGTDVNAGPVTGTERPNEHRKSWR KSMTSRVVYDNEYGDPVGVSGESATPESQNTQGVTAEHANATFVRAVMGAIYLHAGRP AAKRFFEQHFLSRHLNISDLFNFSQPARDLSRLCARENFEPPVAKIISETGRKSRHPV FVVGIFSGQDKLGEGAGASLLEARSRAAVAALKGWYLYSPLNVRVPSSMEEEGAAPWK PVHVDLGEVIV AFLA_018970 MLPVDSHSLPTAISPADPSPNKRSTVPPGPKLRLQINDTRHPGS NAFLLLVPDIASTIDKALADIIENLYTPPHPQDEPRTAKGPTTPTFTPSIPPTRSVTF FLRDIGGVAYTTGMELDDDHKEIHLSLQYILTAMKLPDPKAEIVGVLTHELVHCYQHT APRQDNASVPRPPGGLIEGIADFVRLKAGFVPLHWKRPASAQERAEKWDQGYQHTAFF LEWLEDVRIGRGAVGMLNDRLLRSGYIGESEKLEDGRPGFWKNLFGSGVSELWDDYGR YLDKHGQMSTDRSGGDWEDEIVNPSE AFLA_018980 MGFYSLTSFVTEFAFCLWVLNLYFVSPLYFSIQLGCQPVSTFEF ILILYVKTIRRLLVYSDVVLLYVIRVVCRIEHFLAPNMEGKATELLAVLKNNNLAIDV KVSHLLSIKSDIKQKNVPDNAVHLIFESLRLAITSHHAALYAAGFSTFGHFLKRLFIQ DQAHIVSAYARHFCPVLLERLGDHKERVRAQAAQIFTDLWPAASADVEHYVLEVALTG KNPKAKETSLIWLSNLARALE AFLA_018990 MRPGAKPRRPELARPATADPYSSRKTAATDAVHIDSSPRPRRVA NLGQAPSSTKSKPKKLDIPMTMAVDPVAPSASNENETQVATQVASKVRKRSSLSEQFA AIKRGIRARTSPKTTPKTSPETSPKLSPQTPPNEPDDRDINALSELSINKSEHPDEIA VGESSNNEADRHDDHAPCEISFDKLDRHDENKLSESPTPGPDHHDENALGELSINVPD RRDETALGELSINKPQRRDENALGELSINKLDRRDENTPSKSPPSEQDRHVEKTIDSA EHTSQAQRRVEESEPFFARFKIRSRLSNKRRNISPHSEHLENAKEMVRVAGQRIRSKS FDLFAYRKLQDLIHYHGEKLFTRPVFDDLLDGLLVELRKEPSPDRKHNGDYADVKTQV VGTLRLLEKSCPNLFVIDYDAIDAIFHARRYFETNSWIVQELQQTALEWFRNCEPSRL EGMLDTLVQYVQRETRDEPGYRSILMALSLLTDLIGDANKKGAWFSNEILEQVGTIAA RDILAEDTDIRKKSIELCVQLYVMSTNLYQDKGVSFWRLVKAPEGGTRQLIMYYIARQ AFLA_019000 MNWTGGRLHRHSTTNAPKHKHKGQAIRKPTQGPQQVTLFHKFKQ TKAQDPDKHTSNDKDNQTSHQKQSPTILASLSPNHRSSKESTRLESIKHQLLAKTDWA AVSAARPLKMTFPPLEEQFGKRRKLTEADRRRLDKSDNQVFRGEGPLFRYGFRKNETL SKIGTIDGLEIRINGKNAGVDQVPAFEDIQQSNLSSQPMLLDREETVLREPSRTSMVT NVMSEDMPTGEYSSVIPDYHSSRTSLLSSPSNIWISQSRVLSGCLSDY AFLA_019010 MPCHIKNDPEQVSLSQSALKNMDHISLPRHTDLIPGISNMASRS IQDDDLSSQDNFSLCSSTHQSHGTPHGLPSWALGDVDSLDNGVIPSTKPESPEVQMLS FNLSHQLMPSTVGPTDVMYHTGSEYPGFHDNDLDLTHPQDFNPYSMMDLTAYDDVSGQ SGNQSCTDDALSSHSSHTDDSHLAASDAWNSMVTDTRNYHGSPLEQFSSSMFHPVPVS PPLTEASNDVSVTSSCSHTGYPSFMTHEDAMLKDVTTTPVGSHGINLGDPLFPLTPPL AEQDPNKTIRASKNARRPALHTPPSQTQVKQDPEFFPPLPKEPVRQRSTKESTELRNP RDHPYYSLPTHNDGKYYCPFANGDKPCNHAPTTQKCAYHKYLDSHLKPYRCKVPACMD AQLQFSSNACLFRHEREAHGFHGHGDNPHLCLFEGCDRSIPGYGFPRRWNLFDHMRRV HDYASSDRPSSPDASPTTGQAAKKKEAVGRKRRVTGVSGAQTMKRTRSTQSQTNPLKA AQQTSAHHSQRLQNAERNYYNCRSRLLEELSKITPQDSSMHEKVNASLQELITLGLNY RHIEASQAAAQIANGLPA AFLA_019020 MLGKDSFVILDGMNYIKGYRYQLWCEAKALGTTCCVVHVGTPID QCVANNEARLNRQSQSQSEPEPESQSQSETTTPATDTNTTTEKPTDPEQPYPPELLQN LIFRYEEPSTHSRWDKPLFTVPWSDEKPPIADIWTALTGIPHPSTAAAETEDQLPTLT SALTNTHLSSAASIAPSTTTKGGGSFRRERPKIKPHLATVQPTSTDSGLLYSMEKRTS AVVSAIRSFVVENPSAEGALAKAGDHADGIRIAVPEVETPVFVPAHVAMTATTDELGG AGGILAVPRLQRLRRQWITLNRAYVAKVAGGDKTSLGADQVGDAFVRFLNADFAGEGV A AFLA_019030 MRNRPRWLTLWTMSVVVAVNPIPTPASDELSDSAWVGLRIFTRI LYTITPPTAIIITITIAIAIAIVITTLTFLYITTH AFLA_019040 MYGLEQREPSLYGDRPSTLLRRFSHALDDIKEDFSLQLDPRNTT DKIRSKRRQSTLMMMDTSAPGSRAGSLSGSESPAEAPRARPMSIMSTDNSFSPPSRRL SRRLTLLGFRKNRLRGAQAASISQPNLIGSSTQI AFLA_019050 MDSRVQIPGNSNNHANNNMSTAQQALLTTSPQPHNPLSLASKQP IPTSGASIHERSASHHPHTQPTEYSQQQLSQLPEASGQQPNALESYPHQVSGSGGPTA TAPFLRDFSLVAEAAKRAQMSVVMRDLEAVTL AFLA_019060 MADADDFETGDLFKDPEGFYEPEKEPTFAEHHMLSGQTVRVRLV GDHPLYRSFTDQSLLSIACVFTIRFAAACFLIALVPLEHSRTLRPSFLLLFYLSTDLI FNVVPIGSPWPADSSLEVKRFLAASIGIELILLALETVEKRRWLLTYHAAVPRESTSG PFSRLLFLWLNHLLKAGYTNMLTPDCILPPVHEGLESILLFEDFAVAWETSELALFLA LIKCIRWEIAKIVVPRLCVVIFNMAQPFLLSRAIVYFGSTGSRLPRDTAGDLIRDSAI VFIGIAISNATYEHLGHRAMAMLRSGTTALVYHTMMWLHIDIAHEFGAISLVDADIDS VAEFVRRTVCDTWANALQLGLATWLLSAQIGATCTVPIIFAIVFTSISLYIVGSVSRH QKSWLEATQKRVDFTTKVLGSTKAIKMLGLIEGMVYMIEVLRTEELKISKKFQRIQAA RVLLVNLPLILGPLSAFAAYSIAARLEGSSVFSVSQAITSLSLINLLLTPLQDLLFAI PDAFSSIKCLDRVQNFLTRKSQNDKRMVGLTSPGLMPSLQPPVPLPRAPGNASPRLRT AVLELNNVTIGTGLDHSKHIGRLTLRLSTPSLTMIVGPVGCGKSILLKTILGEIEPVE GEISISDLEVAYCGQLPWIVNKYIRENIIAGSRCYDGEWYRSIIHACCLDDDLREMPV GDNTLIGRQGTKLSKGQRQRIAIARAVYARKPFAFFDDVLNDLDQVTARKVFDRVFSA RGILRRIGCIVLFASHSVNHLPQADLVIAFGEDGLEIERPRNSREENIATDEMAAKRR MTRGAANIEDQTRETNGLAIYATAVVWPKVALLLGFLVMEAGLGVLRCMLPPIFVLSV RPDESYP AFLA_019070 MARAKTKASLLSHFMDSYDGLVTIRSFGWTSSMRDTTYYLLDTA QRPYYHLICIQRWLVLVIDLIVAALAVLLIGLAVAIKSPIDPGMLGAGLLMMTMLGQN LSGIILSWTSIEPSLSVIAQLKHFVEDTPRELRHRNVINPGVWPAQGAIEFRDVSVSH DSTSDPVLRNITLDAAGDSEIQAVIRSEFSCCTTIMIARNLRTILDFDYVAVIDEGRI VELGNPQSLLSESQSIFGTLYHAETFDQGSDL AFLA_019080 MLHLKLTIPKPINDSVIESLTARLKKIDEDFNLTSIDQRFAEAF YDCPDSSESELDVVRTDIQQLLKDPNPLIRGYTIDHHW AFLA_019090 MGTAIPGVAALAVNNSDSDSGSGSGSGSDSNNNSTCDDADYKLK EKALDNHDVAIGVGVGVPLGVIAIASIAWALYERRQRRSAVRGVGEKGDAGGYMGLNG GSLSGSMNMGGNGNMGGNMGMGGMPLAELNTTQASTQRPVELDSDAVILVIQSCPGGL GFSVEHCEWLVRIF AFLA_019100 MSVMTRNLDCIPYDVFYQVASTLDCHDFVHLSRVNRSLNALMRN ESLARKTVENHLLHTKEGQQANEKKSGYRKALGRLYDIKEAVATADPYSASIIGYGSA FLYSGGALCYVFNDEIRALDVHGASQVEHVLNLHAVLSRVIPDCDPTEDPTQLSLMNY SHGVLAFSVEIVERRETWLLAVDMRRKTEGGKGRLRLRRQLENKQRLFVRHNQSYLYY GTHSGAGYHGYKQWIVNCFELATGKQTNEKPVQLHDFAGNEIGQTVCFDVHQDHLYAV STQTAFEMEEVDWTSFYVWVCVAPTNNTKRVTLNRTWRRQHREGPINDSWSDISLRHD EATKQLIILECRREWHKGGSENFRTYYVQPLPSPAEIMQSKHQGEACRPVSLPDEPLT KTLDPSSKPNYERPRKRLRRHYHPEYTLGHDDPVHRQDFILNRTKLRTYNLSASTFVD LVNDPCPTPGSLIPHDRLRLRMVSRKRKCPIDEAGEEGTPNLLYQPEITEEDQEEDGQ AAGCSEERFASRGVRLWPPDNAPAELTQLLCPSKRTGKVEAMADERTIIYTVNQEGLS SDLQAIILINFDPALRLPGLQRLDMSGTPETQPNTTTGPPTFNPASPRRANHKEPSVR EERAMHLHIQRGFWLR AFLA_019110 MMLEIWLPFKSNNADGKRIIAHQLRLALPPSGRGSIRYPPEEAG HPDRRTEAQVGTRSPVNPKSPRHVVLHVTPRDVSTIFRVREHPDWSTRLGTWSMDSSG SCAYASF AFLA_019120 MSPGQGWMIGQPATEDSIIVLPPSSFMGSPHVPGCRPRPRQLCW CLANIPEGCLLGTSAELVKIPGEMKSMDWLGGHPLWHVPWNQGCRAVPSKLTWKGEYV SSVEKHEAKRSARRVEGRILFAEITGIHLQDSAVRLTPDGLPMDDVVID AFLA_019130 MYHPNYNYPPPQPGWGGGYYPPPQQHQQQQQQWSPPPPQPYYSN GYPPPSQSPHSYSPPQYPPHGQYEYGHHTPTPPPSSGSQYRSYHSHSPSWGQMPPRPP MEAQQFGKGAPSNYRFQYSACTGRRKALLIGINYAGQPNALRGCINDVTNMSTFLHER YGYRREDMVILTDDQQNPMSVPTKANILRAMQWLVKDAQRNDSLFIHFSGHGGRTPDL DGDEEDGYDDVIYPVDYRTAGHIVDDDMHAIMVRPLQPGVRLTAIFDSCHSGTALDLP YVYSTQGILKEPNLAKEAAQDLFSAITSYGQGDFASVAQTAIGFLKKAALGESARERT VKTKTSPADVVMFSGSKDTQTSADTFQDGQARGALSWAFIKTLQARPNQSYLQLLNSI RSELEGKYSQKPQLSCSHPLDTNLLFVM AFLA_019140 MEEKQHYLGSKAAKYALGIHRGPLPQDDGEGVAIEHVETANTIS LEKREKTRAERARRHWARFWCCYIFWSLIFLAVFLPVFFTVIIPAIAQRVLDNASLVV TETSVMQPRPDSIMLSLKTALKLPIGVPVRIEPIAISLFNRKEKGNGTWAKVYLDGAT IDGNTTLGIDNQFTPLNVEQWKEYVHSVVFEKNAPLSLNGKTTAYLGKLKNHVTMDKD VKQNSMFNDPFSKNILTTVPALNSFSGFSVADPQLLLPPREDGTNLIANATLPNPSVM TLEINQGTTVLDLKSGDLVIGNATIDNLVLKPGNHSSPIHGIMDLKVLLKNLVPILQS QASSLKNGYLTLDTVGKSVVYDGVEVPYYTEVMRNLTMTAQVPLGGLITNTLRGILHD GNGANIFANLTDNSSSDSGSSGGGLLDLLGRSDKGLANMLTSRAVDELLNNPEKRSSM INVLEGFL AFLA_019150 MSLDEKKSPDQISQTPSASSDSSRLEALDQLEILPQILQEGILF AGSGSALLLQAAFPGIRNRTSDTHNASNGHSSNLATELGDALQANLSYIACLVFGTRE EKKTLLELISQGQPPLRGSENFSSHRPTQLWVAATLYATATDFYQRVYGLVNYTTAEK AYAEFTILMHAMGLPSGTWPENRQAFWKYWDDQVEQLTVTADAHKFAQDLLHRTDYPR WVSVMKPFLRVLTIEMLPPRIREAYGLKSTFGTRGLYRTTMGFSVAVYPALPTSTRGY PLRYYLQELRKHMNVV AFLA_019160 MRFFKYLVFAAPLALATPRPNPVAAPAPDGGLLSELPALIDAVK ELLSTDTLNDIQTIVKGGAVLLGGDTPKNLQKLLSSENIDKLQGIVDNADGLLTPKFV NETTTLIDAASPLVDNVSKLLAGLLGSLV AFLA_019170 MKFAWLLACLSATVLAAPEKRDAGPTTTDSVNWSALGQALKSVT LTMPTGSANLDNISPPPRSLIPEIIKNVPPSALAQLVVPAQRSALASEIDAGNTPSWY LALPSDVKSYMSEVKKEMSDGALTATTGKYAPAKATAESTKDGGASSTTSSGMAAAAA KPTGGLVLGGMGALGVLGVALAL AFLA_019180 MVEWGLWAASSQHYKIPLVSLVFILFFSLLNTILLDILFTTFLS RPYSHLQKWLGAGVCLPSPFKEYPYLNTSLMADYNTPLDESDEAHQKVYGQEKHEGKL SHELIAGAASFEAMKAWEDRQRKEGKSVKHSFAKEAISGIVGSQIDRLVETKGLDAID AHKAKKHAEENAHQMYDEHYGRHGEEYDPSRYARHERFEGRW AFLA_019190 MFKRGLFSSLASLFLRTVTAVALPATDSGTFQVLQQPNLNFTKN AAAIHFSDLAKYNVTLNSTYAVTAITAAKQSGGTPAIPLIWESEYVCPVVIGGQTVYL DFDTGSSDLWVLSTLTNVEGIGHKIYDPKFSPSSKLLPGATWDITYADGSGSGGIVFE DHVVIGSSVAIDQAVEVALFVSPQFAINPFNSGLLGLAFSTINTVSPVHQLTYFENIL PTLKEPLFTADLQHGRPGTYNFGFIDPAAFKGPIAWTPVTKPQGYYAYWQLDVTGFQV GPEPYHEHIISGIADTGTSLLYLPPLVVLEYYSKVAGAFFDSSNAAWVFPCTSPLPDF TFGVGAYRGVVPGSYILFQPLGDDLCYGGIQVNTGLPFSIFGDILLKAQFVVFDHAGP RLGFANKL AFLA_019200 MPTIPARRFAMQRLHAGQSIKVIDSSGGQVIDTWAFTIPSTPAF PRYMSMTHTRSTLQKLLPSVNESFLDNRRDPILTIVEDTSPGAHDVLYAACSPERYLQ LGGHKDHDNCADNLRSAVQQCTEPSFSHVVGFLESGWMPDPLNLFMKVNINGTKLQCL DPDSKAGDYIVLKAEQECIVIMSACPMDLSACNGGEPSSAAFEVL AFLA_019210 MLQSTPSLVHERLVPLAMDLNDFQQVQSTARGILEREERLDISV NNATRMSMPLHKDQHGISISFATKYVISQGIAAGRDYVLMNVSFLGPYLFTTELLPLL KMTARQAPGVRIVNVSIIAPNMNIKHSLVCRNN AFLA_019220 MVLFSKEIQRRANEEGIPMVATSMHPGGVRTDGALTPLFAAAHP LPFIERGKYGGAYLVPFGDIGKTSENGDSEQLAKDLWDTSERVLKDVLSAGL AFLA_019230 MSDNGASTLKSYVDSAAGMVQSAVGAVTGNSATKAEGDASQQKA AAEHDASHTTAKLGPFSADPNTGATAKDREQRSTGAWDQTVGSAKESLGNLIGNENLR KQGEDQNLRGKGAEAEGQLKDFGEGAADRLQGGLGKVAAAATGDRSEEAKWTQIHDEG KVKQRGAELDMQKHA AFLA_019240 MFFNDSFEDFHLDIVGFLAILGEGSVSVNYQVSTLSAFTFLPRL LPAPQAFMRPSRPLRLDDVPGTVLGIHSGNCRPHVYRIPHIILPGDESMKSDSDYTVR KYRITINPGGDPKDALIKAQAFSLLSLLAIIGCAMSIALLGLSIHFNDGWALIATILL SCLSSLLGIMCKWSLKLGKRVTGRDDIPTGDVIICYPNGAFIIVECDESVARPLFFAP ERCNYLLSGTWYRSLALLGTMMLMFGVIALGNSGARMQVAFGASYLLLNAAYWMVAAL PERLHWDYSALHIQEVGPVSQAPREKRSFRQALWNAIKLTGSTRWVKTGRIAPDTEAW DCWLGQAQLAVNGEDGLNPDTWEWSDRLDDCLGLFNDRPRKPVPEERACTV AFLA_019250 MLAKLLLISKLFGPIFGQIYAILGEAVSTRLHRLTYRAVEHPRN VVVIGASFAGYHAAKCLASSLPTGYRAVVIEKNTHFQLTWVLPRFSVVNGHEHKAFIP YGPYLDHVPKGSYQWVRDSVERIVPGENGHTGKVELASGKDIEFDYLVLATGASGALP SRVPAGSKQEGIDQLLAEQEKLRAATNVVIVGGGAAGIELVADAKSRYPEKSVTLVHS RKTLLGRFGPRLGEKALQALEELGVRTIMGERVLSDNAEGRNVTLSSGETLACDYLVK CVGQSPNSKLIQALSPESISETGHVKVRPTLQLSDTSFKNIYAAGDIVDMDNIKNGRA AVEQAQAVAQNIVRSIKSQNQLEYRPQWWEGMTKLHVGLGKALVWMGDGSAEIIMSMK CRAEELDSAKVWKFFGVKPYMDEGYELKRD AFLA_019260 MESNYYTTIFAVQCCLRLWLVAPQTPSPRHIILTSSTAAFLGLP GYIAYTPTKVAIRALADTLRQELLLYGKDAFRVHCCFPGTFLSESFSQGQEHKPGLTK VLEGTSMSKEDLEQKIPGAREVARKIVWGLEKGKTYIAVDFQTELLLNNMRGPSPRFW AVCDFFLGLLASFVWWIVRIDFDRKTTRYGATRNARDSRV AFLA_019270 MGSISSTSPSVVKADSTPYFTPANNAGAAVNPDDPNTPTLFKPL RIRDVTLKNRIIVSPMCMYSAESDPTSPFVGALTDYHIAHLGQFALKGAGLVFVEAQA VQPNGRISPHDVGLWQDGADSEQFKGLQRIVQFSHSQGAKVAVQLAHAGRKASVLPPW VAAQAGKHSLRADESVFGWPKDVVGPSGGEENIWDPAEGTYWAPRELSTAEIKEVVQA FAKSAELAVKAGVDVIEIHAAHGYLLNQFLSPATNKRTDEYGGSFENRVRIVREVATA VRAVIPKGMPLFLRISATDWLEGQPVAAESGSWDLESSLRLVEILPEVGIDLVDVSSG GVHKDQKIKLGPGYQVDLAGELRKAIRKAGASTLVGGVGLITEAEQAQSIVQGADEAH QAEAIVTAKADVVLLARQFLREPEWVITTAKKLGVKVTHPHQFWRAL AFLA_019280 MALKPGDSFPENVVFQYVPWTEEKGDITACGIPIPYNASKEWAD KKVVLFSVPGAFTPTCSVNHVPGYIQNLPQLKEKGVQVVAVVASNDPFVMSAWGKANK VENNDIVSVPLRPRRQVLGQHRLGQQRSHRSLCHCHRSWQGDLCSDRDREGCG AFLA_019290 MTTFKILITFLTMFIFSMQTVMALPGNTNSVSFTPVHASETGAQ KSPAPAEDEHFQRGKYCHGTCNKQKKCNCSEGKATYSCSCVHPDLSCSCWVV AFLA_019300 MNPLRYLAPPRPFTDISTSTTKEIKERICYVDAMKANPHFKISE DRDEALFDYLESCHTLTNGSPTNEERQAAQACIREYEKSLENNEPARLSFDLATKVKL GEELDNLWNMWLFNRYEKYLPEDIANAAKSHPSSQVSDPWHKAFWTPFNGRLEAEKAS FDKVLVGQNYHNECPTFLLLALLCERHSLDWDETLQLIKACASEGDKVDLPAVDLVDF LKKRDVAGLATRLDRDEASISLSTEYVMGVGSLVLAFFATHLPEKFFNRDEEADPANW TPREPLQILFDLNEEHLEKTFRFMLQEMFHKMADGDSDDDDDDDDVYDDWDNDDDSND DIAMSDASEDY AFLA_019310 MKLNAILLGAALASAAPSLDLRALPNAPDEYAPANVSCPAVKPT VRSASKLSQNETDWLESRRKEVVSPLKNFLGRLNLTNFDASAYIDRVSTNTSNLPNVG IAVSGGGYRAMLNGAGALKAFDSRTTNSTASGQLGGLLQSATYLSALSGGGWLVGSVF INNFTTINDLQTTENTWDLRNNILEGPDVKHFQIFKTIDYWTELVDTVKTKKEAGFNT SLTDYWGRALSYQFINASDGGPDYTWSSIALMDNFQRGQTPLPILVADGRNPGELVVG SNSTVYEFNPWEFGTFDPAIYSFAPLEYLGSDFKGGKISDNGSCVRGFDNAGYIMGTS SSLFNQGLLRLNGTKIPKIFKSAIESILEDLGQDNDDIANYPNPFYEYTGATPNIANR SHLSVVDGGEDGQNIPLHPLIQPERHVDVIFAVDSTANIHNWPSGKSLVRTYERSLSS TVSNGTVFPAVPDPNTFINLGLNKRPTFFGCDSKNLTKPAPLIVYLPNAPYTYLSNTS TFDLSYSYEDRDDMITNGYNVVTRGNGTEDANWPSCVGCAILSRSAERTSTTLPEVCS KCFKDYCWDGTINSTEPENYEPQIMIKTSLAPRELPGSVAAIFSFALALAMMF AFLA_019320 MREVVKVAGKVTVAVDEDVSDNSATGTASRLLEENMSLKMRIRQ LESVLSRPEMLKVEANIPRRTAAVEDFQSSESILSEFQRLPFQLSVEPGQCHADSTRP WDDHVMLCLPARHWSEVIVKFSLRELGWVHCALDASVFIREHDEFWDSLIANEGNSLR DHAWIAVYLSVLAVGVYFISEEKIENLQLVYESFSARDPSVRSSLGRGSIELSLNWRA AALKELNYANYTGKPSLRTVQALAILNVIHKNLGESDQEYILHGTAVNVARLIGLDRL GHDRGTVSTHMESLESNSSQQNVLRRLWWTLVLCGHGRSRSTRSLSQQYWK AFLA_019330 MAKEADAAHHEFAVTKDSAQNVPTGTVKLVEDGEIVLIPTPSPD PRGKEIHFVHERSSRLAWFSAIQSIGSAALTIATAYLVQSLGWRWWYGIFAIISGVLF LVSFVLVPESLYERPTDAFSGQVHIQHEGEDLAIVRATTKRRVELDFTRYQPRTWRHS LKIFHGPAKWSVAIECWKHMLQCVLFPNILWIALMNSVVLGIYVIMVTVFGSILTAPP YSYPATALGLVQGGQIVVSMILVPVMGYGGDKLHEWIARRRNGIAEPEFRLIPILLPV AVSLISCVIFGHAGSDPYHWSPWAITVGFNGIYFGFIGIILIGYTYSLDSYGERAGPI LVLICTSRGLISFGISFGVTKFVGNLGYKQAFDICAIIMGVISAFGFIVFFFGSKIRS LTMKYAVDKKTAEI AFLA_019340 MAPSRIDVPEPTSIPNPLKSHQSTKQETHYGDFRDDFFKNGYTV IKGVLSKERAREYQSEALTWLESFNIGFDRNDRSTWKKENLPQSFKGGMYLHFAAAHE KYMWDVRTEPGVIEPFAKLWGTDELVVSFDTVNITLPQSIVGEYDSKPWPHCDQAPER KGLACVQGIVNLSESGPNDGGLVVMKGSAALFDKFFEENPVTGPMPWRTAKHKDFHPF SDKDLDWYREHGCELIKVCAEPGDLILWDSREMHWAQFGDSDLIRTIVYATYTPAAWM TEEDRAAKKELFENYETTTHWPHTNLYTHGKATIKVDGEEVPDPLERDEPLTKPVKTE RLLQLAGVVPY AFLA_019350 MPSPVPVATRPIDKPSVGRNNYQPFGFREEVLPAGWSQNGSRPL PCDIHASHDVGVKVRDGSTLYCDIYRPANTTKPVPAILAWSPFGKKFNGISMLKFLPW GLGIPNGVLSGLEKFEGPDPADFVPRGFAIINVDARGSGDSDGTVGIMGTQEAEDGYD VIEAVAKMPWCNGNIGLAGNSHLAIVQWFIAALQPPSLKAIAPWEACGDLYREQFVRG GVFDAGLFDFIIDTNIQGHGGVEDFHEMYRRYPKADSLYWKDKRPDIHKIKIPTYITA SYTSFVHTMGSLRGWLQVQSPHKWLRLCPWQEWYDIWNCKDSADDLARFFDRYLNGAD NDWEKTPKVRTTILRFNQEPLYNIAEEDYPIPRTEYRKMYFHPGGRLSVDVPETKTSI SYNSEKYLDCASFTHTFDTRTRLAGVPKAVVYMSCPDFHDMDVYVLLRKLDANGKALL NLNIPWSSIAHHGVSQDNIDDIPPRNKNNLMFHVGSLGILRASRRAIDSSRSLLENYP FHPHDRDEYITPGDIVKLEIGIWAMGVEYEAGESLQVQVHGNSPLLRGEFKEDNEFQE LASHGTHNLHIGPDYPSCIILPFV AFLA_019360 MRVINLLQTWSVGGSLIVFGLWFQSCNTESLGNPVTPGTLPLLD NSTTVDNCSAYSDNALKPLSIRGEGKHEWESDIGSFVEGVLKDDHSLVDEKTTLYKGN NDPSDNYGHAIGWERNKRNVRFRCGCSRPRVKMIETAWLNIVTMVSLKYRLGIEGKTT DW AFLA_019370 MASNENYEHSLSYYTPSDSRRDKLFLACGPIALLLVFIFFGASG LLPPTSPLQAPQDLADYYQQNKDNINIGIFLLLLASSLWPLFAVGISNQLARIPGINI TILILQMAGGCLLGFALALIGMFFAAATYRSGRDPVITQLASDLAWLLYMCIGSPMIL QTFAISWAIFFDNRAKPLIPRWVGWTVSTISVWAIPTEYVAHCFHEGPLAWDGLLSFW IPWLWGAVSYGPLFLSMWQAAGVITKKHVA AFLA_019380 MISKTSLNFVEGSPPRTCCMAQLRPSILPYMLWSIPSQKCSDLT AQRQRKYSLKLVACSDSVCASCRLNQLYSHQKGFCEDFDEGKISLPLIYYMQSSGLES DQVKGLLFSRRSSGDGLPLGMKEFILKINREINFTLVIYLARMFIQWRSIRQDLQWLV GKLPSFVHTVNQSPF AFLA_019390 MDLTLHLILFLCFWACYLLFEKQLQQRSPLPPGPTPLPIIGNIL QFKRKQAVWETISQWSDQYGPIMSFKVASRTIIVLSTNQAIRDVLEKTPVNSSRPRFI GVNENLTRSMMPIFLPISDKWKAIHRVQLSLVNSRSAKGIAEIQLLTAKQHLFNMLEN ANYDIADHINRFTSNVVSTVLFGTNIGSVSQTKSNGKFGLADQFIASICVEHALVDLF PILEHIPGVARLGASKGNAWFESIRQQYTEDIQRAINSPTWSMIKAAHQQKIGGMSED AFRMWNVEMEFALGMTSSMMIANLVAMAVSHSHEFRQVQSEIDRVVGPERLPTADDLA HLPRLHAFVKEGIRVAPIVPFSVPHAAVEENEYMGYRIPVDAIILPNQWHINREPKYF EDPAEFKPQRWIDNPNLPGPALFGYGIRICPGRQTANNGGVKEPPNVLETLIMKLPTS SIRYSCRSSVHRDLIQKEWLASDDDPSSILNTLGSSLGF AFLA_019400 METASIALCQERLCSKIDRPHLLSIQYCYDWIQGLVPYVQPTSL LFNDYMDCQPDSPLSIPNRAEIHALLTQHSIKLDPVKANMPWRSSITNVRQNIHWQAV VDGYTKILQAFAQDETAKRTPSPDVITVADMAMKELKRVENGSSRFVTYMYPFASEHR MRLLAEMQCLSLLFDESWEHHEHDHNQTFFLSLMPPINNKDLHPQNPTPRSRLEVN AFLA_019410 MDYRFNDGGMMVCWNSAKFSIGSNLSLQEPKMARIVRLFSDQML ESNDIASFDKEKKHWLSGNAKQFLTTNGTAIIRDLFSLKSDEAAKALAYANQMETERQ MDRELGDLLSTGQLSTDEWHLVNAMLYCVTGNLISAVTMCRYGGEAARIPTTKKRPDA NRLSGGMWPMILLFSAAVALTSQWYSGAFKSVGFW AFLA_019420 MVVYNRKSEGDVTVDSNSVSEEFADTVSGINEKRLMFKIDAHVL PILCILYFLAFLDRINMGHAVVFGLEEDLGMDPKSNQFNTALTIFFVPYVLLEVPSNI VLKKLQPHVWLAGCMFCFGILTIGQGFVKSYGGLLVTRFFIGVTESGMFPGCYYLIGM WYRRPDALRRYTYFFNSTTLAGAFGGLIAYGTGYMQGMRGYGAWRWLFIIEGAVTCFV AVLAWFLISDFPEQARWLTEAERRWMKKRMEIEHGTESAEDPIRFIDVVEVLKDYRIC LGALIYFSFLVPSYCYAYFSPTIIKSYGYSTLQTQLHSVPPLAMAFFLSLGIAFTSDR LRHRYLFVLFNLLVAIAGIAILLSVHDKPRVQYAALFLVVFGPYCGMPVAICWFTMNV GGHRRRAIGTALQLGFGEIAGIVSTFLFKAKEAPYYHTGYSVAISFFTLAAFWSTCYF FACWMENRRRGRLMSDAVDSPESTMVGDGDLDVSYRYML AFLA_019430 MSQPIYESTSAVKLSIAIVGAGIGGLSAAIALARDGHHVTVYES TPELSEIGAGVQMSPNGVRYWLNWGINEDLWQKSSLPSELNMRRWRDGGFIARTELNP DFENRFGAPYLVIHRAELHSVLCQHALKQGVDVRTSSRAVDYDMDAPTITLATGEIVR PDLVVAVDGINSFARTKLLGSTEKGGPRKTGVAAYRLIVEVSDLLADAETAWIVSNPN LNLWLGNNCSAMAYMISNGTRLNLVLSHPDASDTSNMSQEELTQEMLSYFHDWDPMLM KIVQKKKSIHNWPLFEVEPLDKWVSDSGKFILIGDAAHAMVPYLSMGVTMAVEDAATL SKALAYVTDKRDLRLVLQLVEKLRIRRAKQVQQASLANGRVLHLCDGPEQEARDNAMR PSVEGIPLEKSPYGMTDPQTQAWCYGHDVQRDFEEAWERIVSDRRVDASL AFLA_019440 MQDVASYSYRNSLRPWNVVGFIGYATISLEADKVGSGIHETEVA KEDLVQYAKLANASQIMYGPLIFITKLSILLLYLRVFAPAKRSWMYIFIHGLLWFNAA FYLADTLLEIFACVPREKIWHPDVHGHCVNVNVMILATAILNTISDFSLLILPIFSVW RLHMRNTQKLGISAIFAAGLFACFSSAMRISISVQKNNTSDRAYDWFPEFLWTSAEIS AGIIASSLPAVPSFFRHIRGKASTAISSELQSTRRSNRYNLSKRQRWSSNGASGWRNG PIESLSQMEDNELDEIHEWRCRGSRLVDHGATSIADSRTSQKGILKTVEIDVEETEIR AFLA_019450 MILSSEQRQALLDGPAASPPSGVVPNLADPPNLQAVGRALILVF WSLALITFAIRIYTKAFIIRSFRISDYRPGC AFLA_019460 MYSIIICFIKISILRQFLEIFSLKRDYFFWTCHCLICINILYYT VFTFTIIFACNPISKYWDVLRTEGKCLNTELQMFVAGIINTISDLTILILPHLKVWKL QMSPRKKHAISVVFLFGLMYVHPSSPHSCLESASGQHSD AFLA_019470 MIRLSAPSLTAQQLAKIPGVEIIEQNWTEITADWLQEHQVVRAF IASHNAPNQFVEESAFHVVALKAGVEYVVRISTTMPTVRPDFGGYYPRAHWAIEALLS SPEFSALKWTSLQPNVFLTYYVAPAVEYIKQYKRTGEQGTLRLMAAKDAPVGPVDPNE VGIFAAHLLALDDPSSHSGAKYVLNGPEDITGEQLVGLVEQHIGTKVKDVSYQDLGFL DALLASGFGGPGQSKTVMASLKYGLLTMWEGTCSASTTSKEVLEIAAPRTTPAEMVNK LLEE AFLA_019480 MALRYLITGATGGLGKHVLNYFVENIPFSEFAAASSNSQNKSKF EDRGIAFRHVNYDDPQSLEMGLRDVENLLFVSSSGYSRGEQHARVINAAKKAGVWYTS LAFGGFSDDSKAPVQQDHLLTEKLLRESGLTYTSIQEGIYAEAFTVFLDWYPAKTTVT LPADGEVAFTSRPELGEATARIMIRGGYENQIVLFTAEETTTDKELVDILNDTTGRQV KLNLVSREEYLDTHSIHDQRGKPREHFEWIATIWDEITKGSLRTIHPLMHEILGREPT KPRDAVRKLLTDNKDYTFL AFLA_019490 MRQRRKPTQFTHPDKMAPKVLVVLTSQAQIPDRDHATGWYLPEF AHPWEVLHEKVELTIASPKGGEAPLDPSSVEAFKSDPVSSKFLKEQESLWKNTHKLAD FLPRVSEFDAIFYVGGHGPMFDLHYDETSLSLIQAFAAAGKPVSAVCHGPTVFIKATT KSGQPLLANSTVTAFTNVEEDQAQLTALMPYLVEDELNKIPGCKFVKADQPWGEKVVV SKTSDGATLITGQNPASATGVGKEILKALGL AFLA_019500 MIAPDLWDRGRISLTQCRAWSSNTGDTKDEETDKHPRTNILTTS HLGNRQGGPGTKLAKTLAAAKGVAALQNVCCFIASAHVRQEAVRTHVVFTGSSPLHPA DEEICKGWC AFLA_019510 MVYSFVVIFFGRFVMVQFTALALLALPFVNAIPAPAPVPTPPGI PATTTAENELAGLTVAAQGSQDGYDRDLFPHWISQGDSCDTREVVLARDGEDVEKNDS CSPTSGTWYSPYDGKTWTDKSDLDIDHVVPLSNAWKSGASDWTTDQRQAFANDLENPQ LLAVTNSVNREKSDDGPEDWKPPLTSYYCTYAKMWVKVKSVYNLTITQDEKSALVDML DSC AFLA_019520 MSQAPDKKNSLWNKTKVIGKKNFDKAWDALDKLGPPVNRLTNKL GSEAFWPMTIDKESEKVARILQSFCKDGVYVEESKESTPVPETKGGKQPIDKPRGKPK VLQKIPSEVIKQAKGLAIFTAMRTGLWFSGAGGSGVLIARVPETGEWSAPSGILLHTA GLGFLVGADIYDCVMVINTYEALEAFTKVRVTLGGEIGVTAGPVGIGGVLESEVHKRR APIWTYVKSRGFYAGVQIDGTVVIERIDENERFYGRKIPAKEILSGQARTDDPSVKML THTVRAAQGDVQFEQTPAGVNMATGPSPSDLQYEDPASTQMAQVQPPPNHGPQYNIGP QDTSGAQYNSQPPYNVESQHNAGAPYNAGPYNAGPPHNPGPQPNVFPDDPSAIVTCPI CEQSVSSLKINEHIDSNCQNFVEPKSSTGDLTSSQPNHGPPYNAGLQSNAGPYNAGTP YNPDQQNNAGPYNTGLQSNAGPPYHPGPQNNSGPYNAHPPYNADPQSNTGRYNAGPYN TGPQDNLGPYNAGPQYNAEPQHSAGPYNAGPPYNPGPQSNAGPYNTGP AFLA_019530 MKTLLDVVKDCDNFPYAEDPDSAHEQVQSSLWKFYLPEDPRPHG LLIDAVVEDMPWTADFRVIPTPKKEVHLIRPEGANWQEKCAEIIERQAEIAREKGVFP KFGKKRHEQFPIVGAKFPVGIDRSFFSYLGIIGRGVHMTAYTRTESGLKFWIPQRQFH KAYGGLLDNTVAGGMAIGEQPLECLIREASEEAAMPEDLVRKNVRAAGTVNWITISDE RSGGHPGLINPGVLYVYDLEVDSDMVFKVVDDDVYAFHLMDTDEVKEAMLNGKFKPAS ASVLVDFFVRHGLITAEDVEDYPEIVSRLHRKLPLATTPH AFLA_019540 MRRMSSCNLCLWNPSRSECSAFKLPKVEMRERHRNKEPCSEFPL VYSFRPIVANPIDKGPCQANPSSRYKLLKENKTTSAQIVEEYLAQIDRYEPALNALIS PAPRDKVLKIAKARDEERQKGQIQGPFHGIPIILKNSFVTASELGMSTTAGSYSFLGA KVSKNGGITQWLIDAGLIILGKANMTVILEERDDKKEKVATGVEVVGGEKYFASREVI VSAGAYRTPQVLMLSGIGPAELVNHNITQLVDALEFGRNLHDHFSFVRWWKLRHPEQG FLSVLRYGVTRHIPPCEVLVEALKADGESESALGAHPYLAPDSCHAEIVIVYAPAGAA IAGADIPMGGTHIASAILGMVPTSRGCVTIASADARTPPLIDPIYYSTEVDRAILRAA IRQTTHVLSETPEGKEMIECEAPYPGFIPLRPDSTDEEIDVQVKQGGNTFYHPAGSAS MGSVVDTELRVKGVGNLRIVDASIIPLPITAHYQAVVYAIAEKAADIISK AFLA_019550 MDHTRGDIPTYQEDHRKCPSLDETDTDSEDLSDETANHVNSLEL ERINTYRLQQKTTVGSTRGPLPREQWLPMGAGKEYPPLLPDPEQYVVEFDGPDDPLHP YNWSMLRRAFLVCILCYATFAGSFASAVFSAAIGSVSEQFNIGTEAASLGVTLYVLGF AAGPTIWAPASELIGRRWPLSVGLFGCGVFSIACATAKDVQTIMISRFFAGLFAASPI SIVPAVFADLFNNAQRGIIMSIFCMAVFIGPFAAPFVGGFIAMSSLGWRWTMYISAIM VFLGFILVLIFLDESYPPVILVRKASELRRQTHNWGIHAKQDEVEVDFKELIRNNFTR PITMLFTEPILLLISIYIAFIYGLMYALLGAYPVVFQGVYGMNMGVGGLAFVGLILGE LLGGCYVLFLQGAYKRKLAANGDKPIPEWRLSPAILGAVLFTGGMFWFGWTGYTSSIH WMAPMASGVLTGAGIFLIFLQCFNYIVDCYPTLAASTIAANTILRSAVGCAFPLFSRQ MMQNLGVQWAGTMLGCIAAVMIPIPVVFKLYGPWLRARSRLACSPVYDVEKKAYDV AFLA_019560 MNDEMEDEDDDEEDNTGNNTEGVANLSRPTSLPIEPATEKEQNL ITNVPTDPLPSFKDHINEISSLTLGETIHAISNLIPGLIITVTSNGEYLVTHHNHEGT VGLDRLCEVYDNCAKRWLQEHTSLEKNLLQGSLDTLVLSLYRFGDLVLNVGLASIETM ELPDDCGHQAARVA AFLA_019570 MTKSTVWNDGTVATEEFTRATGQAPGAIEGETLKHCVSISRQLV IMSGSVASPAEHAGARSSITNDQAAQKHPWRFWAITIALSLTGLLSTIEGTIITSALP TITKALGGSSAYIWVPNAYFLASLAILPLIAQASDIFGRRPLLLMAVALFILGSGLCG GATSMRMLIAARTVQGLGGGAIALLINTVVTDLVPLRERGKYMAWIQMTATIGAALGP FLGGLITDHSTWRWVFYLNIPIGGGKDRARILSCQHTLTRKTAAFVALFLFLHLNYER DQTWKQRLGRLDIAGNAIFIAAIIAVLIALTWGGTIYDWGTYHIVVPIVLGFVGIGLF ITFEWTISKEPSFPCSTVSNRTSIAALILCFTHSICVYWTFYFLPIYFQAVRGVSAMR SGINTLPVFAGIPPFAILGGLLLSKLGRYKPLHFLGFIPLTIAMSLFSLLNANSSTAA WVCFQLLCSVGAGLLSGITLPAMQAPLDESLVAVTTGVWSFARGFGSVWGVTIPSAIY NNECRKNARSITNPAIAHYLTGGRAYEYSTKAFLDSIQDPASREQVVQVFQKSLRTVW LVAIAFAGLGLLVTLVEREVKLRDKLNTKFGLDEKGGDESSDKV AFLA_019580 MGKNLGLVRAYYTVALACTGSFLFAYDTGIIGGVLTLKSFQNSF RYTEKQKSTINSNSNSLLQAGAFFACFFVWPFTAKYGRRWSIALASFIFCIGAIIQVI PTHSVAAFYVARVISGIGVGMATVIVPMYTSEMAPKSIRGRLGSFFQGFFVLGVFFSY WIDYAVEKHIPETSDSQWQIPIGLQLVPGGVLGLGMLFLKESVRWLAKKGRHDEAMRS LVWIRGGEETEEITGNTSLAYYAPQIFQAVGAGDNSLFISGFFGVAKVISCWFFLLFL VERIGRRWSLIIGAFLMGSLMLIVGILAKLFPPDPDATTISSAGIASILMVYFEAMCY NMSWGPVPWLYMSEIFPTRIREVGIAVGTATQWLFNFVFSQATPHALDSMGWGMFLMF CIFNWILVVYAWLFIKETTGKSLEEMEEGQCLLDASLSDSLLTMLCSVQLEGWIIS AFLA_019590 MSETPAAKDLTETFLNIIRQQRHLGVRTVISTQEPTISPRLIDL CSMTIIHRFTSPEWYRTIRKHITIGDQSNNNSTEESLDGLREIACLRTGEALVFAPSA YLLDENNSVINATHKGFKMAVRKRITWDGGRTILCVR AFLA_019600 MKSPCFDHSGKTEDRNAASHGWAPTFELYSLKLSTNVESGCFRA PKVGKGKEQFSDIQVSLVKRCPPKVNVTDDAASGMRLPTALDPTYEGREWHICV AFLA_019610 MQAGGDFDVYDVREPSNDPNPPETYSKYIARPDIMKAIGARSDY QECADAPGQKFGATGDEYRSTLPDLSEVIKAGVNVLVWAGTADYICNVDGSIAVANAV DFSGHDEFQGKALEAYKVNGKEVGQFKSVDNFHLLTVYDAGHEVPYYQPETALQAFTQ ILQKKPLSST AFLA_019620 MKISAAISTALLAVSAAAFDKNQPWGKRDYNCVNVFQGIPDNST VAPGAEINIKFNRNSKNCESLTQYKGADYSIYLYNNPVRNLDTIHFDKELFIKKDIPE QDGAVTITIPSQEQLGTVADDSVWYLRLSTSLNDAPQMPTLFNAAGPFAIRA AFLA_019630 MGIRGSGESGAAILPVFIFFGGMIQILGGIGEWVIGNTFSCALF FTYGTFWIVQGTGLMPLFATGLHYSSTGNALEGMQTPSYNATVGFYYIALTILTFVYT ICSIRTNICLFTALFLLVITFALFAATYFQLALGEVALAARLQMAAGAFSLALVVPVW HIFIAQMLEAVDFPIAIPVGDLSTVILGRSQKIQMRAEE AFLA_019640 MQPGQHQEPNSTTVDDVNAPTVPTIHNDGFDSAPLSTRSSFSFH NRTASTPATGAQTPDPLLGPKPVNNEDLLKKLPTTITQAAESGDEYELGEKRGRAAAI DGLHNRRPSAIPGRNMADESASPPPKPSQGRPPEIPSFTAEIVLVLVCSAGLMLFSFL LGNMLVVQLELKKALGIGSTSLPWLVGAYACSNGVSVVVSGSLLDLTPPRRAIVGAFA WLTIWNVVGAFSLDPSREVLFFVVRAMQGLAIGVLVSGSMSVLGRLYSPGIRKNRVFA AISATAPLGFSLGAIEGGLLHGNLPWIFGVNAIITGLCAVAAFFSIPPLRPVADVEGT EAPTLRQFDYIGALFAIAGCVCLLFGLTQGSVTKWSVYTCVLVALGIVFLICLFVAER YVARPLIPSRLWRTKGFTPLMVAYFLGFGSFFGAWQFYAIQFWLRIQHATPIAVALYH IPNAVVGIIATFIVSRILHLVPGHYIYIVSMFAFTLGPAFFLPQTPNTIYWALSFPGI ALVTFGPDMAFAAASIFITSNVPRSYQGSAASLLVTNQNLSSAIITSIADAIGAKVDQ GPDGDVGLTGLRAIWWFALACQLLAALVTVVWVRIPKEEEKEHVT AFLA_019650 MAPSSESDDNISAYGATRSTIKGQPLDADEVRKMDAYFRASMYL CLGMLYMRDNVLLKEPLKVEHLKARLLGHWGSDAGQSFTWLHMNRLIKKYDLDVLFVS GPGHGAPAVLSQSYLEGVYSEVYPDKSEDEKGLQRFFKQFSFPGGIGSHATPETPGSI HEGGELGYSISHAFGSVFDHPNLITLTMVGDGESETGPLATSWHSTKFLNPCTDGAVL PVLHLNGYKINNPTVLARISHEELKALFVGYGWTPYFVEGNDRESMHQAMAATLEHCI VEIKKIQKQARESNKPFRPRWPMIVLRSPKGWSAPREIDGKLLEGFWRSHQIPITDVL TNPAHLKLLETWMKSYKPEELFDKNGKLVEELKALAPSGNSRMSANPVGNGGILRRPL QLPDFRDYALKDIDPGVSVRGSMTNMSKFLRDVVKENMTTFRVFGPDETESNKLAEIY KAGKKVWLGDYFEEDKDGGNLAFEGRVMEMLSEHTCEGWLEGYVLSGRHGILNSYEPF IHVIDSMVNQHCKWIEKCLEVEWRAKVASLNILLTATVWRQDHNGFTHQDPGFLDVVA NKSPEVVRIYLPPDGNTLLSVMDHCFRSVNYVNVVVADKQEHIQFLSMDEAIEHCTKG LGIWDWASNDQGQEPDVVMAACGDVPTHEALAATALLNEHLPQLKVRFVNVVDLFRLI NEKDHPHGMPDRQWKAVFTDDKPIIFNFHSYPWLIHRLTYKRPGQHNLHVRGYREKGN IDTPFELAVRNQTDRYSLAIDAIDRVGSLGNTASHVREKLINQQLAAKQEAYDNGLDA EYIRNWKYPKKA AFLA_019660 MTQSTSLRYADVAVTYTADQFQGIYRGKQYHEPDFAEVLKRAKE HSCEKIMLTTMTLPGAHENLKVVKQFPDMCTMTLGVHPYHAGEIYAENNGSEYLQNLR KLGETLRAEDPSPLVAFGEIGLDYEYLDRADKEIQQRAFRDQLDMAVEMQLPLFLHVR ESCADFISIIRPYLSKLPRGGLVHSFAGSKGEMLQLVELGFDISVNGVCFRTEEQLEM VRHIPLDKLQLETDAPWCEIQSNDEKIAPYLTNAKPLPPSRKHNKFILGQMIKTRNES CTIERVALVVAGLKGISLEEVSRAAWNNSVRMFGLGVQGR AFLA_019670 MLLQPSNDPQNARRYISSHYDDSDELFANFLSPDMNYSCAHWSG DPDEALESAQKRKVQRLLQKAQISVGQHVLEIGCGWGDVAITAAQTTGCRVTALTLSD NQKRIAEKRVKEAGLEERVRILLQDYRSATGPETNGGYYDRVISIGMFEHVGAEYLDE YFRVISSLLHPGHGVMVIDGITMTHKMRQSKSSVPTFIDRYIFPGGYLPAIHALLGAI HNGSNGELEVTSVMNIGPHYGKTLLAWRDNFLRNWEIIETAFRTAQPDASDENVEAFR RKWLYYFIYCEAGFRLRLLGNYVVVAAKTPELSIEYDETLGEMLQ AFLA_019680 MADTDDLTLTIHHQSKPHTLTLPSTSTLEDLSNTIATTLHIPPE TQKLLISPKPGMQKAPFPPTPLRTLLPLDSPKFKITLLGTPTKAIETLHAQSEETARR AAARASAFAAAAKHKPARTAGSGGVHTLSDSSSSYTFHRLLPLSYLPRPERSLEFLKR LRDDPGIKAAMAKHKFSVPVLTEMNPAEHTTMESRTLGLNRNKGEVIELRLRTDAYDG YRDYRTIRKTLCHELAHCVHSDHDRAFWDLTAQIEKEVERADWKHGGNRLTGQDFYVP EDWEAEKDMEIFDECGWTGGEFVLGGLREDEVGMRAGAHGVEGRREMLARAAEERMRK KRDDEGREGNK AFLA_019690 MATDRLNSILSHLKGGNTGLAAITQKNPDDVVITLALRTPLAKA VKGGFKDTQLDYIVYSLLKEVIDKSKIDPALVEDVCLGNVNDGKAPYLLRAASLAAGI PNTSGASSVNRFCSSGLKAVQDIANQITLGQIDVGIALGAELMSAGGDAVQPFSEEVL KNQESADCLQPMGQTSENVGSDFNISREVQDKYAAESYRRAEEAQKAGWFDDEIVPIT TKVKDPKTGEVKQVTLTKDEGIRYGTTAESLGKIRPAFPKFGNRSTGGNSSQVTDGAA AVLLMRRSRAIELNQPILAKFCGATVAGVPPRIMGIGPTAAIPKLLSKFNLNKDDIDI YEINEAFASMAVYCLQNLGLDHAKVNPRGGAIALGHPLGATGARQICTILSEARRTKK KVLVTSMCIGTGQGMAGLFVNEQV AFLA_019700 MPTPVAKGIIITVSALVAAGIAVYESPQFRQWVNTSRRKIAVAL HNLGDEIHPRTSASPTRQDISMTEELGPEAEERRRIAREELQRRRSVLEEHRKRRESA PAGSFDALVDDDGRLLRTLSPEPSGGLGNSSAVEVTPSQVIQRGKNTGSQTPVAETQT TGAALTKQNLQVAIPAAAGAAAASATLIDYTPTSETSGMDFSTSTLNRTEEVERPLSR SSSHTEGYSEVLFAHPGSSTNDTGRDLRSPFSDLSDLDSTGAEHHERPSTPSTAGSFS QIYESAADEWSDDTLSDHGRSTQGVATPASWSEIGSVVSNEDLQNHL AFLA_019710 MPRHLISDAPFEGEDDDLPTTPGRPFRPQGYSFNPRDELMSSSP FVPPVSHEKFSTSGKSPADPVLHRLQDKTYRVQATPLGKDYGAGRSKFTITPKLSTSK HGYDDSPISSPEPEAPQLHAEIFSSPLKTPGTNRKRRTSSHLRATPKPGISVLTPVKS GGTGRPVWDSDDDFDNDEDEGLGPSPPKTMQFHIPQSRLMKTPAKEASRRIVEDLLFT AGANDTTDDIAAEQSPSIIQRVQRIEDETF AFLA_019720 MVPREPENDGGEFLIPLAFDPSEEQRSPQHSKSPGSLHRSPREG TESVPSGSSSPHIAYQEKGRERVDVDSARWRQDDTSAYSRPTTSDDSRAPPELPRRAR SGSIQSSRSDLPSGHKETSTFSNSSPESTRSLVTPHRNISTADNSVAPPIRPSHELRR LHENSGSLDSARSFLSSGSMQHPPKRGDSLESKLHLTRKEPGVSPRPQSIAPGEPWLD RTRGSPKLGESPRTSRNDTSLEHSKPPRPNSINTFHQPDLQRQVDQAGSPSVLRYSGG GDFSMDEDMARLMSSDDPLSAQNSESFLRRVSNSVRHGRSFSDKGSRLSKDAKWPKSP VNGSAPYQDLGSPTAVSPESGGPEEVAWLRSELRKERQRVLERDQKIVEMEAMLNATA DVKQANTELNEKRSTMVVLDARKEIVMRELSVLTDHLEAEKRGGGGTLDLGKLTNQVL REFVESIQKLKDSFTPQIEELVQKRNETAEELANFNRMKDKSFQEFEQLSSKNAQLAE LNNQLVHQIQELYKANSSEGNRGANGLGIYSHGKEKSLSSIDALKAGSNDLAPSMSTA NMSEEAEPATIVPGPQVVSIRKGQPRKFNWKKGGQNVAKGVTKGLNRAFGTSESATEG VPGLPRSQTQDPSRQGFGFFGNQRNKQAGTRMPTTDSVPALAEVAPTGLFGTDLEQRM EHEKSIIPAIITRCIQEVELRGMDMEGIYRKSGASSAIQAIRDGFERQPQDYDISDPD LDIHAVTSALKQYFRKLPNPLITFDVYEMIIETGEIASPTERIETLQKCLLELPRVHR DVLEFLMFHLKRVVEREKENLMTSQNIAVVFAPTIMRPQSLAREMTDVQKKNDVLKFL VDNCQEVFMGLQ AFLA_019730 MESPAVYPESPMEQDDTPFPCKGCGEILEEGKAFELAGNRWHIE CFCCSTCGTLLDSDAHLLLLGDGSLICSNCTYSCSSCGNKIEDLAILTGEQAFCAQCF RCRNCKRKIENLRYARTSQGIFCMDCHESLMQRRRKRNRAAAPTKKPAPGVKLDKSLP SLPPEEADHARLADDLLPDTYAEPVTEGSSRGAAPALDAGRLPGSSGTRAAADQGNIP FTKLRDTY AFLA_019740 MSQTENVDSGQKSLNDTNNGFMQAVKRHTPTKEDLGKSFAYIQL LFSLDYTITDVFLIICGTIFAIAGGVPFPLLGIVFGDLINDLNTVTCSASTDATTELS DSVTQKVLYVIYITIANFCFIYIHTSCWCTVSERLARRYRRRYFESIVKQEANFIETL PSGDIISRLVSDVEVIQSGTSEKVGLVITTISYFVAAYIVAFIKVPKIAGMLISVVPC FMVMSLVGGHYIKKFAGRITENIGSATSIASSSLSHLTLVHAFNANDRLESRFASYLS ATHMDALKKACTHAAQLGFLYFVAYSANALAFWEGAQMISHSVANENSGVSVGAVYTV IFVLIDASFILSQVAPFIHVFASAAGASQRLQAVINRKSAIDGTSDEGDKSAAFGEEN IEFHDVHFTYPSRPDVPVLQGMNFIIPPKKHTAIVGPSGGGKSTVVSLLERFYDPKSG YVAIGGTKFQDINVRYLRGNIGFVQQEPSLLDRSILENIAYGLVSSAHARHQELAPFI MDASLPELAEKVRGGVTEKDALSACDSRVAEIVDLVKKAAATSNALNFIEGLPHGLST SVGTAGNQLSGGQKQRIALARALVREPSLLILDEATAALDSTSEQLIQAALAKVSQHV TTVSIAHRLATAKDAHKIVVVQSGRVTEEGSHSELVAHGGVYAEMVRLQNLGKLSAED VVISEDAISDAPRGNYSTSGSVQAIDEKGGGLVGTGGSDVTEETVVAETPPGSKDGTE KDKKKKRSGWFTTKFTFSLMRPSLPFILIGLVMSTIIGGSYSAEAIVFGHTVGSLNPC NGADAISHSGNLYGLLFFILALVELTANVVGGAVFGWAADKILYRIRVLSLRSLLGQT MQWHGMDDRTPGTLITYITGDASALSGITGSTIGLLLATAVNLVAGLVISFAIAWKIT IVLFPTIPVLLVAGMMKLRVQAKFAERHQKAFAKATAITVEAIDNIRAVSAFSLEKQS YEVFGRALIQPYRSTMKAIVHGNVWLALAFSISNLVYALAYWWGSKQIAAGLYSQTQF FIVLPALLFSTQSCGQMFALAPDISKARVASSNIVELLNTRSAEEELTPESSDSFKPS SSLLEEKTAMQDVEAVDSPRGQRKRTSDTAIGAQLRGVHFTYPNRPERPILRGLDIDI KPGQFCALVGPSGSGKSTTFSMLERFYRPSSGSVIIDGVDVTRQLGTEFRDDIALVPQ ENVLFEGTVAFNIGLGACPGHEPTQEEIEDACRMANIHDVIMGLPEGYQTMCSQDGKQ FSGGQRQRLSIARALVRKPRMLLLDESTSALDVESEKRIQEALATLAGRTTIVAIAHR LNTIHRADQIFLIEEGRCVEQGTHQELIQRSETYRTSVIHQSLET AFLA_019750 MIDHSKWVFRQSEGTVLEEAFFWLEKERQNDKKSVVEVRINGDP LQFNIIPIMQWNRTDAERPVVGF AFLA_019760 MRTLALLAIPFLSSAIPLHQPKTTESAPLPLVIWHGLGDDFQRE GLLSVASLAEKTNPGTYVHLIHLSDTASGDRQATFLGNVSEQIDTVCAQLASDRILST APAINALGFSQGGQFLRGYIERCNVPPVHNLVTFGSQHNGIAEFQECGWGDWICRGAE ALLRAGRWSPFVQGRFVPAQYFRDPTELDEYLASSNFLADVNNEREEKNETYRENLGR LNKFAMYMFEEDQMVHPKESAWFGELDGETGDVIGVRERDIYREDWIGLKKLDEEGRL VFRSVPGKHMQLSEEVLVNVFGEFFGPVEVDVDVEGEGEVRGKALVKQIGY AFLA_019770 MEGVRQKCRPKHQVLVLKCYPQYQKGVQVVKPNSSELSYLLYYV STRRSKLTKVGAFLEKRAARDVWRRKIGNVQVTLQILSALIEKVPRDLPIYARSVMTV LETVVRSQDISMVEDSIETFETFCRHQDMAALSAEQDFATQYREVVRSYAGFAEGDPS TQSKLAAGPPLTVRWKTAGLRAIKGVVSSEAGLAADGGDSIRVILPVILENLYSPEDN LVGSLELKLLEADKNESETAHRRRVSTATVETVDAVEGDASLAAQNTADMDRKAEMDM RLLALRCLEQIVVNGSSRGQIRVTTQVVLDFILRKSRVTGNGLGHNHKDSWATSLIEL IAKWCPVQVRFIILVAAMDILHDIPPTEESLDESFAITYLIDRLLKSPVNMIGLSVID VLLGLLRHMSFLISPSRAGKSTPDEKQNGHSNALELSVKRTEVLSLLQDCIGNLTTHI YYGEQVVDMVRTILTRFRPSRGNEQAITSSPTQSDVLGGASAMISSGEDGLIAFSLPN AKITALRAIKNILLVANTKRPGFTVTTESRHQVGLYVWEGTQWLLSEPDRDVRYAYVD AFLTWLNLETSTDDLKVKERTGRPASQPAKNDLSDPTERPGKRTASMSGNQREKVILI AQSNFLRLLHLTIFDLATDHPTSVSEITLLHLLLVSLVKHLGVNAVRFGLPMVLKLQD NMTTGDGQSFPALVNIGSLVYGYLWALSEKFDLDTSRIGNGIQSEVQKRQQLGVWLET IRLPPVNLDKIIHNSNVQASGRGAQDVSLLIPFDGGEELIQRIEASYGSFITLLTHSP PSSPGSVGSPPRSITAPVLPHVSASAATPKANVFPPAVREQMLSPWSREACLAAAENE RAEAKSLSRSRTGTLVMRNHVHQNGTSSPSASSNASVPQSAYASAAGLQNAQRTSVPN SSGSQLISTSRESPVHVNELRRVLSVNEEGKARRMSPLRGRLDGSNRSVISSSSDSMV SGYSLSEFDDGASVKPQSTRGGRISLDGEETPKASALSFMADTNDIPPVPPIPPSISI QGGLTDGRQRSVSASRPSTAPGPRRPSVTNGKAGTPSTSPGRSLSRDKSRSSTGLAAA ATDGVEPNAEKIDSARLDVQKLLDGFLSPADAETRGSRRKARSNTGRRGVSGGLGRPP Y AFLA_019780 MDQPQPPTGHPEQPPPPTLTNPRFTLELEFVSSLANPYYLSHLA VTYPHLLGISNAGDEGDATKDTADPDAQAFAAYLAYLYSYWKTPEYAQFLTHPGATLR ALRLLQEDTFRRDIIRPQVIEGLAGTGISNEEGGATTEQEGEQDKEEQEKQEEAGNSN KSKT AFLA_019790 MAPSSDHNQRKPFHYFPQKQPTCPARTLAWYTLPSHSWLGGRQG PSSKLPIERPASARVEDGGFRFVYRTLANGSLVEFAKHKPASFHPVRDA AFLA_019800 MRFLSIAGAALFASSAVAQTYQRLGGCPTLGCVFPPDQADFLPG QYFDIRIEVHSPVNGSEARQGQPDPDFKFTIAKKGEEGVAAAEYFEIDEPQLERWNFT WYEDLFAKDAQKPSLVNVTAKAYRRVALHEPGEYEATLTYYGQEKTVANWLVRDLPEK RRAKNVVLFIGDGMTTNMITAARLIAHRSINGKYLTKLQLDKFPVLGHQMTHSMDSFI TDSANSATALYTGHKTTVNALNVYVDSSKDPFDDPKFETISEIFRRRYPDAGIGIVST AFLADATPAALAAHTRDRGEYDHVISAYYEGLTKYEWTDWDGPDVLLGAGAENFITSE DAPRDYYKLFSEKEYSISWNKTALQAAPNDTKALGVFSTSNLATWLDRNVYQENLRNQ SNYPDGSKRDAEDLPGLKEMTLKAIDVLNARHEDDGWFLMSEAASIDKQMHTLDYDRS LGELLELDDTVRATIEKLKALGQLEDTLIIVTADHGHGFDVTGSVDTEYLNAQEDGRD KRRAIGTYQNSGLSQYTVRGPNALRYSEGVHFPARWDPRYTLHAGVVAFPDHQENYEV HKEGPRKPAVKRSGSDGYFANYKDAVTGFLINGTLPVDADQGVHSLTDVPVFAQGPCQ ELFGGVYSSVDIFFNMAECLGLADHGKN AFLA_019810 MNASQQPPTLSYAYPPAMALGPQVSPVRQPSPQVPGVNLGSNNP FRNRALSPSNSIASGSRPERPTSTNPFLDDYGPLSPQSAPTGTGSMVSPIDRSDMTNN TRDLFENLSLNSNPAPQPTGYRPAPSRPDRPFQNGGISSSHRPTTSRERPERREKDSL DIFADPPSAGLPRPRDRDRRPRRNSESSIMERPKLLDPEDERRRRERRRREREARHRD GKPRSSKKNNYQLDIIDKLDVTSIYGTGMFHHDGPFDACNPNRNRKGQRTAPMQAFPA DSANMALGGSGPVNQDINLDLFHGRSEQGYNDYGAIETRKTEGVNFDPTSRIEPVHGE QSMGLGTSTFLDGAPASKAAIQRRESENDQQIRQGAGGLQRKKSLAQRLRGVGSRPSN GRVVSPEASYMAPAGSGHIGTIKANEKNPFFQDYDDAWEKKGARIAEESQGLGRARST SSPKQSSGLERRHTEDRSYGYDEGRNANGGGGGGFLNRMKSLRKPRPERRISDD AFLA_019820 MMDIKAPNVTDWVLQPQVLRSIYREFKAFLTEFTDDSGASVYGN KIKTLGEVNSASLEVSYDHLSSTRAVLAYFVANEPTEVLKVFDQVALDVTLFHYPQYH DIHNEIHVRIIDLPTVSTLRQLRQSHLNSLVRVTGVVTRRSGVFPQLKYIMFVCGKCN ITLGPFQQEASQEVKISYCQNCQSKGPFTVNSEKTVYRNYQKMTLQESPGSVPAGRLP RQREVILLADLIDSAKPGDEVEVTGIYRNSYDAQLNNKNGFPVFATIIEANHVIKSHD QLAGFHLTEEDEREIRALSRDPEIVDKIIRSIAPSIYGHQDVKTAIALSLFGGVSKQA QGKMSIRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQGASAVGLTASVRRDPL TSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKAGIVTTLQAR CAVVAAANPIGGRYNSTAPFNANVELTEPILSRFDILCVVRDLVDPAEDERLANFVVE SHHRANPARPLRDEEGNLIDSDGNRIDEEGYRLDREGNRLPFTPEEIAAREAANRKIE EEKEGEIPQELLRKYILYARERCHPKLYQIDQDKIARLFADMRRESLATGAYPITVRH LEAIMRIAESFCKMRLSEYCSSQDIDRAIAVTVDSFIGSQKVSCKKALSRAFAKYTLS RPKPQSRRKAGIAAPNPYVPKATAPRAY AFLA_019830 MDNSETVPSNADQAKNAHNVNNRRESFSSQNSQTAKEFIESQMQ LEADAREILPYSFDSCTKALGPLRQTLYACLTCNPPPKTADEPHAAAGVCYSCSIACH GEHTLVELFNKRNFVCDCGTTRVPSSAPCTLRNDPKTGTKGVHSEEPHPENKYNQNFQ NKFCGCSEDYNAEEEKGTMFQCLGLGTAESGGCGEDWWHPECLIGLPRDWYKDFKKEA GGNGQIEDDEETPLPPGFPGEDDFETFLCYKCVDSNPWLKRYAGTVGFLPPVYKEGGL PKTTKTAPETTATDVKEEEPTNPKKRKMEDEEEGEPTAKRIKEDSENTPSDSKPEPNS APKEEPTQPPPLKNKHDSLTDPVPSGAFSLFLKEDFRDHFCRCRDCYPNLAPHIQLRE EEETYEPPLSEDGEANGGGSTGTGSLLDRGEAALSNIDRVRAIEGAMVYNHLRDKVKE FLKPFAETGKAVGAEDIKSYFEKLRGDEQHIRDAAGQASALSGKDDSNDNEGGGGRRE QNGY AFLA_019840 MLKEHLDPRKPSGTGYTSKVRVRDKYHIVGFISSGTYGRVYKAL GKNGQKGEFAIKKWVGSVRYFVSLGSLTSRRFKPDKEGEIIQYTGLSQSAIREMALCS ELDHANVVQLEEIILEDKAIFMVFEYTEHDLLQIIHHHTQPHRHAIPAPMVRSILFQL LNGLLYLHTSWVLHRDLKPANILVTSSGAIRIGDLGLARLFYKPLNSLFSGDKVVVTI WYRAPELLMGSRHYTPAVDLWAVGCIFAELLSLRPIFKGEEAKMDMSMPEYSQLQSLA MSRAPGHFPRSSNLEGWYQSCLKNGGYATSSGAGTPGADGYDLLSRLLEYDPTKRITA QEALEHPYFKNGGPISANCFEGFEGKYPHRRVTQDDNDIRSGSLPGTKRSGLPDDSLM GRASKRLKE AFLA_019850 MGHSAGGTLALLMGSAPEVPVKAVLDFYGLKYFSDPTWRLPIPE FEQSPSWSQEYIDKIYEGHQVSASPRFLGDGTLPPRDAWFATHMKQGTLLDELVKNED KSTIDGTVRFSTLFPQTMFIHGTADKVTHHRFSERAHEELKRLGVVTDLLLADGEDHV FDLTLEEDDLKFVQYVLPGLKFLARAVGLL AFLA_019860 MVLGGSSGSKVTPYLIYLVFITTLGPLQFGYHLAELNAPQAVIT CERKSIHSTTTRGLPQCIPMNPSQFGLVSSIYTLGGLLGALLAGPVSTKHGRLFTLRA TTIFFILGPIAETFAPSIPVLSMGRLLSGVGAGASIVVGPIYISEIAPPSAKGLFGAF TQIMTNVGILLTQSLGYFLSKGSMWRVILAIAGAIGCLELLGLFLVPESPIWLADHQK GNVARQVLQRIRGRDADIEPEVEGWRTSAAPEHSSGEEQSLLSPPSGNMPPKQPPVTM MRAITDSFYRPAIIAVVGVMVSQQFTGVNSIIMYSVSLLQTILPTTAALLSVIISAIN LVITLACSPLPDKIGRRSCLLLSISGMGLNSVLLALGIYFNLKALSAIAVLLFVASFA AGLGPVPFILASELVGPEAVGAAQSWALGANWIATFIVAQFFPMLNDLLGGRGKIYWI FAAMACLLGSFIYWWVPETKGKANADEVWGRTNQRRQD AFLA_019870 MRIPDAKPLVLKVVVLRGRCLAAKDRNGTSDPYLVVTLGDARQS TPMIPKTLNPEWNVTFEMPIVGVPLLECICWDHDRFGKDYMGEFDIPLEEIFAEGQIH QQADEAHKPKWYTLTSKRKSGKKKDNDISGEILLQFSVVDTANPTAPPSETYQKFKTL IGCGEEDDDYPQIPATISDEAERDEDISDEVDDLGRPDVNEKRRRRLRLARLRRKSLA ARAYQFSGAGNGVQGIVFMEIVKVTDLPPERNVTRTSFDMDPFVVTSLGRKTLRTPVV RHNLNPIYHEKMVFQVMKHEQSYTISFTVMDRDKFSGNDFVASASFPLQTLIQSAPES DPETGLYKFLDPMLDPTGSTESLSNNKAGIKIGVSPSPSTSSLSKLSRPGLVRSRSST ASLSNQAAQEQSTLPPPSAPKSIPTSVPSSLPEEGSSSYLSTTPTSVQNEGSVIAPLE GEGLETYRIPLLMKHKERWEDKHSPELFIKAKYMPYRALRQQFWRLMLKQYDADDSGR IDKVELTTMLDTLGSTLKESTIDSFFERFSAENEASETMDLTFDQAVICLEDTLQALQ KDSRTAGRRLTPTPSTGSQDSEEPSSDDNDLTLESSTGMPVNADPQRTAIPTLSSEEQ PITNEEDLQPDDLGDERGEEHVIELRECPLCHQPRLAKRSDADIITHIATCASRDWRQ VDNLVMGGFVTSSQAQRKWYSKVITKISYGGYKLGANSANILVQDRITGQINEERMSV YVRLGIRLLYKGLKSREMEKKRIRKILKSLSIKQGKKYDDPASASQIQDFISFHQLDL SEVLLPLEKFKTFNEFFYRELKPGARPCSAPDEPRIVVSPADCRSVVFDRLDEATSVW VKGREFSIERLLGDAYPEDVHRYKNGGLGVFRLAPQDYHRFHIPVDGVMGTPKTIEGE YYTVNPMAIRSALDVYGENVRVLVPIDSVAHGRVMVVCVGAMMVGSTVITRQAGEKVT RGEELGYFKFGGSTLLLLFEDGMVNFDSDLVDNSKGPLETLIRVGMSVGHHPDVPQYE PDLPKKAENVTVEEMQAAKRRIEGSLAPPTDASEFA AFLA_019880 MTVTVLETPRIKTKRRAPLKSIDMATSQAQARPASSAAPGRKGT RSSARLSLSSVEKSEEKTASSKRKHVFDEEDEGFQFTRIKTKKSKPTVESIPEIPQPE APAPNPSPRRGRPPKKRPVEKLESAVASKKSSESSTRQTRATAKPTLPEPETQPASAP RTTRTTRRQDNGETAPVEKKRRKGRPSKSHDDQRNGFVSPEPQQAGTSKITLPMADTP VIQRNKELRGAAKSGKGNRRSSLGMRGRRASSLIDSGASNALPHDQVDTAEFYKHIAS DLPEPRRMRQLLIWCATRAMSDKRGRSEDASARLAARVIQEELLKDFSTNSQLSNWFE REDVNPPAVVVKKPNPKNIQNADKIKELEEQIQRLQRERHALNALLRPPSIPQIKPSK QQDTAPEGQQEPPQSDSEEKPRTESEPIDLSLLDPSQQRIYESIDPNSAKQKSDTETQ AASSSELSLPPITPSAISARLSRLTHSLAPTLDSLAAGIHDIELYRTMSDTVSSRVLR ICAERLEERDAGNAMRRLAAEEGEGESKDLTLRPRPREDLGLILGALSRLER AFLA_019890 MSTSTITPRPTLDPAWTPSVYGCNRKGDFWSWNFHAENDQRTVL GGPSQTTNCLPSTWDPSQAYAGTQCPTGYTSACLSTGSAMPTTTVCCPTVYAFSCVDN ASKNPHGPWFPCMSQYDTSTKRRVTVTDFAANTIDFTDVNQATNLHLFAMGISHTSPT ATDPADSDATPSPSGTSEPSSSSSISAGAAAGIGVGSAAGVMLIALLGWFFLLRRKKA ESNTPLVAEYPVQTSDTKDMPRNAQPQELYGEAARELPG AFLA_019900 MSTCLITDITHTVQEVPFTIPELLTLHDALLQTPFVSPRSQLQV HLCKLAILHSQISEFTINLNSGHTSPTTITNILNSINTTTHHLSQWPNSLPKDWIYNT MVVPSDWNQWTPIAHTYSSFWAANDWARYRTLQICTCHLRLRFYHLLTTTNQANTACI SLDSLAGDISTTHVKIRNLANDICASMLYHLGYKCMGSAERLYPIEAYPQGKYARLMS ASQVTWPLYVAGIVEGVDAAQRVWIASQLDVIGGDAGVQQASAMAEVVRELALRG AFLA_019910 MIVRPSAIHLLLVSTISMVACLEHINPSPDEATVFSLIYGYPLV SYATFALRTLARLNSTNSFYHLRELTTPETTAVVRPNVDTLYSASVIDLSHHDVIVDV PVVDERFWVFPFYDV AFLA_019920 MQMTARFAPHNPPRNISDFARVNSNLKAAGIHDGTYRPQVRNLT AAAVTAAATGDFNTNYEMRAFVTNWAYLIVDNIVALYPMYDPTANSSVHDLGANEAYV FTFNRKPALAENGFWSLTLYNADKFLIKNSLNRSSLGDRSNLTYADGIPVYGDDHRDG PFQLLIQPADIEPPANWTSNWLPGPSGGGQIDINLRFYGPGEGLQDDSWEYPVVEKQQ AISGTGA AFLA_019930 MSPRSIVITASLILRSGGASGIGLGITRHFISQPETHITVLDIN PKTGAQTLQELQAEHPSASISFEECDVSSWESQAAVFEKIYAEQGRIDIVFANAGITE KGSLLPAKHDGNEGPPKPNLATLSVNLVGAIYTVHLAIHYMYKNATKDPAASSNGLIV CTASNAGLYPFPMAPMYATTKAGVVNLVRSLARPLVAEKIRINALAPAVIATNIAPSN DLFKSMILTPMSTATNAVAQLVADESLTGKIAELHGENVTFAEPPAYVDEDTEKNIEN FWNLGYA AFLA_019940 MPLGILDDDKLEHIPGTAPLHGLHETDTYSGINPSLLKHDSTGQ IVLVPQPSDSPNDPYNWPRVKKELFTIAYGWGCGCVGAVGPLLGGAFVPLAAEFGVSL STFVSAVQGGLISAIAVGSLIFNSLAVKYGKRPVYLGTTVGLMVSCFWAAEAKSFESF VASRVLCGLCMAPMEALVPASIADIWFVHERGFRTAIFNLGVLGGINLAVPIAAAIIE YGSYRIALHAMGGAFALTLIMVFFWMPESAYAREALNIDSGETKAAGDEKTDLEQLET APSTGITAAEARHSWAKELLPYSGYGSQATPSHHQDPTS AFLA_019950 MPPTKSISVSLHPALPTDAPTLDEIHSKAFPNDLLLEVMYGPRE ENTVGLAQDLEKAIRENPNARFTKAVDDESGRVVGWSWWIIYRDAEAHVKAEQEAVKK RATPPPRSICPRACLEYRQLVVEKRERWTGGRGVAILQILVVHPEYQGCGIGTKLLMA GVQEAKSLQLPAWLEASRAGYTLYKKCGFRDVGENLDLDLAKYGATGQKHGFCMLMDA VHVD AFLA_019960 MHTPRTSICLRFVAAISSLAALIAFGWSQSMFGSDTVMVADLGH EVVSPVTGATEYTFVWSLIIASIELSLPVPIHPAIYLTFDLCAWAALVSTLIIYLTLH EPYYTGDGYGCGINGRPDCDGKLVANVEHFGTAMAFLALIIHVGFFAWACRATHKARK SDSKGQDIDLDRTV AFLA_019970 MYLAWIIISLILSVFAIAEPGGESSTGGDGFKGGLRRISSKIGD SVIHPRRELHVCSKVEATTLKQWDRTRWHIVAQCPHRDVGKLHKHKPGETQQQDAPNP NENPGVGVSRLPIDKCLGWDEQNGLFTWTKNGNGIEKGHCSDCKVVEGGLIKRGEPVE GKTADKGKAPDKGVSFTLTCKCDKIKGETGQADAKFELLGKVKVESSGVISCHRYKDR MHPDID AFLA_019980 MINDERIMIFSGEFHPFRLPVPGLWLDVFQKIKSMGFNGVSFYT DWGLLEGNPENVMVGDNGINNDTDIWNLDEFFAAASEAGIYLIARPGPYINAETSAGG IPGWVLRIKGAIRSMSPDYVGAIKNYMSTVGKIIADAQITRGGPVIMVQPENEYTTWP GLTEEEFPSQMNREVMAFMAEELRAAGVEVPMAMNDNEVEGYFAPGTGLGEVDIYGID AYPMRYDCAHPDVWPTYRFPYDWNILHEEQSPTTPFTIMEFQGGSGGGWGGVTEEGCA MLVNQEASRVVYKNNYSFGVKIFNIYMTYGGTNWGNLGYHGGYTSYDYGASIAEDRTL TREKYSEQKLQANFFKVSPAYLTATPGTGQNGSYTDNPRIAVTPLVGNGTKTNFYVVR HADFTFTGNARYRMTVSTSIGNVTLPQLHNTTLSLNGRDSKLHVTDYDVGGINMIYSS AEVLTWARALSSTRVLVLYGGEDEVHEVAFSRALSEPVILDGPTSGIIIEQQQAAWVI QWRVTATPRVIQIGDLELHLLWRNDAYDYWVMEVPAAEPIGNYSSPSKDLIIVKAGYL VRSASIQDNHLVLSGDVNATTTVEVISTPQEVRGIVFNNQSLNTILSSRGKLQGSVPY HPPTISVPSLYDLEWRYLDSLPEIDPLYDDKAWTVLNQSWSNNPRNLTTPTSLYALDY GYHTGSLLYRGYFIANGQESSLFLNISGGAGFGYSIWLNDNYLDSWAGSSDSSFYAQN ISLVPTTNNAGLSMGKPYTISILIDHMGYDEEAPGTDAIKFPRGILDYSLSGHEHQSD LRWKMTGNLGGEQYHDLIRGPLNEGAMFAERQGYHLPQPPSDTWETRSPFTKGIEKPG VGFFTTSFPLNLPKGYDIPLRFVFAFNGSTNVVHTRNYRCQLYVNGFQFGKFVNNLGP QTDFPVPEGILNYNGNNHIAVTLWGLDGGAVLGPEGLQLVASRPIWSGYRKPTAVEWP GYVKRRGAY AFLA_019990 MQCYLKAVTVKTITQEQKAEIRQAIKEQIEAEEQRNIAKASALV AWKNDPDAQANHRTFQDWAIDNADSYLELEEQFILTSGQVDLLQSKYYGALAGTLREK LENLAADTKYSDPGYNMPCFIRDYAIDEAAIDADRRVEDIDGRDLVYQPLYTIDGYDD WKELGHSKNISRRANKFFFFFSKSSSTSTAETHLNFNGSEWKQPGRQRSRRIWLGLHR CSTSHLAYVRVSKILVGYEVSLKIRFAESLKTQVRNMVSQAQSESNGGLRIFGFQFGP DQASGTSFTRDVNSIKYNETTNEISLPASPRGCPVILGILGRKLGFELQQSLGMCT AFLA_020000 MVKSLLVSGLFAATALAASRMTAPAGAIVVAKSGGDYDTLSAAV NALSTTETATQTIFIEEGTYDEQVYIPSLAGKLIIYGQTEDDTTYTGNLVNITHNIKL ADVANDDETATVRNHSPNSSIYNLNIINTCGQVCHQALAVSAYGNGQGYYGCQFTGYQ DTLLAQSGNQVYAHNLIEGAVDFIFGQHARAWFQDCDIRVLKGPSSGYITANGRSSET DTSYYVIHKSSVAAADGNDVPSGTYYLGRPWSQYARVLFQETSMTDVINSAGWSVWST TQANTENVTFAEYGNTGAGAEGTRASFSEKLSEPVAISTILGSDWAQWVDTSYIN AFLA_020010 MKSTLFKSLAVLPVLSIGAIASPLVSYAGYVYESCTGPSITATN IAATYCANVEDIPIKSFTAYVFSGVCDDAKSPVLNVYTGSNCESGLFDTVSVNSEKQC FEADTTIVSLGVECV AFLA_020020 MPNNTFSSSQDSGVTGAAKFVTSTLGNTVGGVSRTVGGVTGAAT RGIGDTITGATGSAGKPLGDGLGSIGTGVEDGANRVAKGVENAGQWKS AFLA_020030 MVSKPLLTQLLLLFSIFGSGSLARRGGGGDNDSNNDSDSSDGSG SSSGSSGCGTTNNLLTTTYIVPNNAWNWTSQGSRSADASPTIYDGSYFQGEGYISYNI TGGSRCRDANGELRILGYAWVGPQPPYPTGPLNPFIVGFKAWESDKPVNEIHTSYNPI KWTEDSVCPMEPDLVRIATTRGWIDLTAHTSGASDVMIMNVSMDSTKSTAVSFNATTA SNPEPAIDGGEGLIRLPGRTCSSYGISMGWPSTTSLNGSVTNTTLDLRFVGSGNTSSD YKNYRGTEDELHIEFSVTFSGQLDSINSTKVLNIQSGNQTLAWVPNDGVRVLPDRWWY MLMWVVGLCVVNWS AFLA_020040 MEGETLPLAQYLFKRLRQLGVDSIFGVPGDYNLTLLDHIVPSGL KWVGNCNELNAGYAADGYSRIKEIGAVVTTFGVGELSAINAIAGAYAERAPVVHIVGT PMRASQESRALIHHTFNDGDYQRFDAIQEHVTVAQVSLNDHRTAPSEIDRILLQCLLH SRPVRIAIPVDMVPVLVPVAGLSSKIQIPPAVRQPQVEEAALSAVLKRIYSSKKPMIL VDGETRSFGMHGRVNHLVRTISWPTFTSGFGKGLVDETLPNVYGVSTLAYKAFVDSCD LVLVFGPHFSNTNSYNYLLKPADEKSVLLSPNSIQVNKDVFRDLPVGYFIEQLTQQLD ISKIPTHKHDLVHPSLRTLPEVSPTDLVTQTGGFWKRFSPFLRTGDIILGETGTPGYG VNDFILPPQTRLFKPATWLSIGYMLPAALGASHAQRDLVISNKYHSVSNPRTILFIGD GSFQMTVQELGTIIHQKLNVIIFLINNDGYTIERCIHGRNQAYNDIAPWRYLKAAEFF GADQEGEYKALTWEVRTWADLDRVLNDSQLANGKGLRMVEVFMERLDAPDVLMGLLNN QVLRENAQSRL AFLA_020050 MLTLAVAERGVVFFRAQDNLTNDLQKELILRMGELTGRPSTHGL HIHPVTNDAREFGDPDPQISTINSEGRKTLYKGSDYTKMAAVWHSDISFEKAPADFSS LRLVQLPKTGGDTLWASGYEIYDRISKPYRAFLETLSATHAGVGFMRLAQTGKFHLYE KERGAPVNVGGDLTAVHPVVRTNPITGWKSIFPIGSFPTQIDGLTRRESASMLQWFHD MITHGHDLQVRFKWNSPNDIAIWDNRSVFHTATGDHEGFGPRSGNRAVGVGEVPYFDP SSKSRREDLGIEGDLAPCHW AFLA_020060 MSKGALAQRIEALEAHLSAITEGLPSNIREQPIESPERTPSALG EQTEREPREKSRATAYFGPSSGVTLTESLSTIVKDGAWTDRSIPIHGTEHHEPLSPAP TAEQGKAAAPDDTLGRQLLDAYFINMHTRQPFINCAEILQLHSRRYDAPGTGQLEQYG MFKLFMVYAIGAAMLQQLTEQYESTTASAYFATAMQFDSTLRDSLSIMGIEGRVLMVI YELRSSSSSSVWYNIGMAMRICIDLGMHRESHYRTLEPLEAQLRRRLFWSVYVIERHV SWSLGRPFSIAEDEIDVQIPVDIDDPTSRYFGVEHAMGDLSSDIAHGTNIPTIRRFIA TVHLQRIMSRLHTKIYRVDRNMPDLIPEISPLLASLEEYKRGLPALQPEDNDFIQMHW YNCVRALLQPFLSILDPEDDLIRTCLHASGQMCQLFKRSQQNGLSGYSFLLANSVYIA GLTMCFCLFRSPQLWRMSVANDLRACSSAIFAMAERKSSFRKYRDDLENMINRAMAFV DDASSHNLCISNQPAAGVEGSSGSHFANLENSKDPMASTLGLQSAAILEAQEQEDSFN AQNPFADMSLEDFWTGENLNFPTLDVFGFGWDSLGS AFLA_020070 MAGGYFDLPVASSRRSSVTEVPEGPGRSSAGPRVSSLPSPNNEA PQDRSMDLRGAQGRHRNAGILKNTNRVKFTVSEYGLGEVPSGSTSESLFDGRGSSLPQ KPLPTASIPSTEKASAEPQSFPLVDINDSSPTGVTGRTIHSRASSPGFIGDNEVSYEK GRAIYSAQERAQRLASLLGRSSKSPKPSPRSSLPSSIASTPTEVALPSEDGDEIPMIS LPEKQYDFFSDDEDNLIDKRQSTRTAEAHQLVRQMTRKDFNFFSRIRAPSPGLRSGQI TPLEERDPDAYVERPSHYRGGILSTLLKLYDQPSQQNYSRGRYGHSRQSSSSGLSGRG LSPDPGWKPQRPRKWYEKSPNQSSISLSGSTAKNSSSSPIAMLKRSRSIGAIPGMPKR MGKPQLEDEIRVTVHIAELLSRQRYLLLLCRALMKYGAPTHRLEEYMRMSARVLEIDG QFLYMPGCMIISFDDASTHTTEVKLVRSHQGVDLSRLSDVHQIYKEVIHDVIGVEEAT QRLEEIMKRPDKYPVWLLILIHGFASASVGPFAFNARPIDMPIAFVLGCLLGILQLVL SPRSYLYSNVFEISAAVLTSFLARAFGSIRYNGERLFCFSALAQSSIALILPGYMVLC ASLELQSRSIVAGSVRMVYAIIYSLFLGFGITIGTAVYGLLDSDASSDYTCPASPITN EYLQHFPFVIPFTICLALVNHAKLKQIPVMIVIAFAGYVTNYFGSKRFYSSTQVSNAL GAFVIGVMGNLYSRLRHGFAAAAMLPAIFVLVPSGLAASGSLISGIASAEQITSKITP YSVVANGTQGFVDAAKNMTTTTSNDQFHGVVFDIGYGMVQVAIGFTVGLFLAALVVYP LGKKRSGLFSF AFLA_020080 MASACHDAEGLQETISNPGSFEEKAQGKSSGNAVLAHTSTRASD VVYSAFSKAQKRYIVFCTSWAGFFSPVSSQIYYPALNTLANDLHVSGGLINLTLMSYM IFQGLSPMFVGDFADKAGRRPAYIGCFILYIAANIGLALQNNYAALFVLRCLQSAGIS TTIALGSGVVSDIATAAERGSYMGFVTAGTLLGPSVGPVIGGLLAQYLGWRAIFWFLT IFAGTFTIQFLLFFPETARKVVGNGSLPPPTWNLSLINWYQTRSRRTEEEQEEATNTT EERNKITFPNPLRTLSIVSQKDTSLILLSNAILFAGFYDVSASIPSIYQDLYNLNDLQ IGLCYIPFGLGATLASIISGKILDSNYRRLAKQLNIPLHNSTSRDLKDFPIEKARLQL AFPLLAIGSLTVIAFGWVLHFGVHLAAPTTILFVMGLGLTGAFNTVSTLLVDLYPGNA SAATASNNFVRCLLGAGATALIDPMLNAMGRGWCFTFIAFVMLATAPLLGVVVRFGPR WREERLGR AFLA_020090 MAEQPSSHSGSSTDMTVENKEKRTWYHSTLFNAFIIGGVGFFAP GLWNAMNSLGAGGAQSPFLINAANALVFGLTESIWLWFRTGGPLLGGAIVLGLNHSAE AKSKGKVGSQTYLIFVALQCLAVPLAWFLSPPEKVQRSDGSKVRIVLQDSWRAEMREL WKLSCRREVLLLLPIFWAAYFNQYSGNFTTYYFGVRARALIGFVSNFARLLSSGMISR FLDYRGISIKKRVTYSFFYVVLVHIATWVYAWVIQEKYTANPPSLDWSDKGFTEGLFV ILLWEFSQQALQNWLYYLVSTMTDNISELARYSGILRGQESFAQAVSYGINTRNWYGG RVPLAVNTILLGLAVFPTWLVVRRYAPIEHDKDATIGQDEEQGSAQHVVGTDFGDKNV VRETVQAK AFLA_020100 MDTGGLAQMTYNPSFNNGSSLGAPSSGFGSRRKGPNVKRLSVPP PHISTIDESQPSAPIPTPRTSRSHLLAGLRTAPKSATVPSASARQQHLGVEGDRYGNL SNRTAERVPQTAMGTGFPRHSMALNQGLDMNTGRPVYTLPEQVLAPPAIDIAGDMPID ESLYAELMSTNLFLAAQQQRLQQQLISVTAAAQQFQGLSLGMPMNQQQEYPSLAVPGM GLYQQQLQQGVQPVVQPVPGQPGLFSVYNPLTGQQNYIYDNSCQQESSSPYQEEETQS PAMQVPAFRAEVSPPPETKQLSQPASPPSASPSPPQETAPLPPPSANAFRRGHKKSSS FNPARFSIDTAKANSNIAPAAPKTAALSQTPATGTFGPGQNRAGEHPIRQPRGPPSLE ELVAKPTSNHEGSKNFATRQRRRAVHNLVRAGLERRGDSRSFGCHSSGGTNTPASEKE FTFSDGDDATVRSGSLSSKPSLGSLRAAANGAIGSERKERSSRERRSQDSPYTTTPIS EDGGFFGGKLADVRTDPVSSPAGTPSVAAVVAGQKTAAQGPERRKTPMLVLSSAEKRK TPIM AFLA_020110 MRSPNNGYQEIIHSENHHFPMANPSFFKRTSQNASVKGGQPVSV SAPPIMMLILQEDSFPLFSSLLLFFLFSYSPTLSFPPPPVPSLNRLSSILSSPIFTSS LPPFRVALTNLIYPVLVVFFSTCSPFPFHFRLLRLSIRKSFI AFLA_020120 MEHRQRISRYDQPIRPQNRLCSLTVTPICTPYPNPHWFVPELLT HSSLGSSRLSAIQRGLLAASQPLPSQTDSPLLLPLTGLMFPLPPVWFGIALWTNLHIE PMDLGEWEGR AFLA_020130 MGPPSFTHEQYEMNPGFDEDESIEQATLESSSMVADEEMMHITQ NGNYPRKRKRGMNEVTAMSISEQEHILYGDQLLDYFMTVGDAPEATRIPPPEPPANFQ VDRSIDDSGNTALHWACAMGDLEIVKDLLRRGADVKTLSVHEETPLVRAVLFTNNYEK RTFPPLLDLLLDTVSFRDWFGATIFHHIAETTRSKGKWKSSRYYCEVLLDKLRVTCTP EEIDVLLSCQDSNGDTAALVAARNGAFRLVHLLLTHCSRAGDLVNKKGETAASITQRA NQSDRHIPPPPSSITMGNDHIDGEAAGPINADHQSVAPAQDPSPSTSALLTKIGVIMA EANKKLAVGYGSSKANQPDPDDVANPEALYEQLELDRQKIQQQTADLAAKESKEEHVD AQFERYEQLRSRYESLLEQIQHARLRERIASSTLPTNEDANSTSTDQNKLLTVYQLAR RLCSAQKARRAAVKDLAQQTADAGVSTKFDVHRKLVSLATGLKEEELDPMAAELVETL EFDRMNGKGAGGESPEPEPQGSATFSLPGPPVSVDA AFLA_020140 MTVAESVKSAVGLADTPATRQEMSDARLPIQYRDSCGHLLIPLN RCRQQEYYLPWKCEDERHSYEKCQYEEFKKRVAKMDELRAAKNGARSN AFLA_020150 MGLLALGTALDWPEAKKRADQVRKWGIEQLLANWRRAKGKERDA LLWGDEVEYLVVAVDDEAKKARLSLAQAEILKSLAEDEANWKSGGSNSIQNKEHDGED PPHFHPEFGRFMLEATPGRPWGIGFKDLLKVESNMKWRREVAKSHMASNEYPITLTTF PRLGTKDDYIQPYYPPSGAALRSQFVPDEIANPHIRFPTLAGNIRSRRGRKVELNVPV FKDTNTPEPFNDPTVNYDLHNWPEDDDVRNGAAKEGHVYMDAMAFGMGSCCLQITFQA KNMTEGRKLYDQLSPLGPILLALTAATPIYKGFLVDTDVRWNQIGNAVDDRTREELGE APLKNDRWRIPKSRYASNSTYLSQDPRLRKEYLDPDLIVDEEIKKRLIDGGMDELLAT HFAHLFIRDPLVIFSEDLEELDLNKADHFENLQSTNWQHMRFKPPPPEKDDIGWRVEF RSMEIQMTDFENAAFSIFIVLVTRAILSFDLNFYIPIQRTTENMETAHARNAVLDRKF YFRKDPFSPRARRPHPQSSTNGEVSSATSSAVNTPPPSPPLKPVESEYELMTISDIIN GSADGSFPGLIPLVESYLNSVNVDVETRCFLATYLDLIRKRANGTLWTGARWIREYVA SHPAYKHDSVVSEEICYDLVKAVEEMSVKEGKHGSVGWELLRGKK AFLA_020160 MRLSTFQSLKNRDSRGIRFSCIYPRVIYPLFSRICRVWWTILSP EPLPMTPICSPPSPEEEAIITVIHGFLAALNAKSSADFEKYCIRAGGMSLWPPPPMVP RFCTIGVFVEQIANVQDEIDERIWDPEVKVYELGNMAAVWAPFRSKINGVVNHVGVEL FVLHKLNGEWKVTGKQN AFLA_020170 MLTTIICLSALWVAGNAAPSSIPGPTAQVRNGTYVGVKNDHYQQ DFFLGMPYAQQPIGDLRFTVPQSLNESWDGVHDAKEYSNICVGYGTDSIWYPMSEACL TLNVVRGSSASEGSNLPVGVWIHGGGFYMGSGSDERYNMSAIVANSYRIGKPLIAVTL NYRLSAWGFLSSSEVASSGNTNLGLRDQRLALQWIKENIQAFGGDPEKVTIWGESAGG MSVGTHLIAYGGRDDGLFRGAIMESGGSITASPMNDTGYQAMYDEVVAKVGCSNAANT LQCLREVPFEELNTVFNGTDGNPAYSFSPIADQDLVRGRGSVQLDQHEFVKVPILAGT NTDEGASFGPTGINTTEQFYAYLTG AFLA_020180 MFTPPDGSSGFKLPSSTANEILDLYPDDPSQGIPAFLGDQRVPS EGYQWRRTSAYAGDYSMHANRRRQCEAWTETSTPAYCYRFNMRGADVPYLSGAAHFEE VAFVFNNIEGLGYHYGKPFAGMPESYSQLSTLMASMWASFIHDLDPNSGIKDGEVRWQ AYGADQPVDLVFDANVTSYMEPDTWRKEGIDYINSMAETYWR AFLA_020190 MICYATAVVVGYLARHGVTVFNWNYDPSGRKDIWEFTKETANHL EHVIGKLDLWLRDRSIWLAHFQCGVFRWYKYFSCT AFLA_020200 MMIGAYVSYEVIASSATFNSAVKEENGKIYFMIYSGFHDQCGCG LAGRKLRMQPEEATVKLLVKAVCIEGITLKNKELKLRFSGNRPQKKHAADGNTKIRKI KI AFLA_020210 MYAGQPLPCSYPTSSASVPSVQPGYISPTDSRRALEEEKEKQQS QPQRQSLPSIHEALGNDNPLPYPAPTSAAPQQPHHAPHSHLLSSNVIGRPTGEAPSGP PNPFSNVVSSGPYVVRDSAYPQSQLQAEASRASLASVTTQDSRNHSIQSLSSGKSPTQ SQKTGITSIAGSQTGSAYEYSAPTSAGSIASPNGYGTFPQNFSFQSQPPPNAPTYPVA YDARPYGTTWKSGVPETARVEEMRNGLAGRAIAGQIPGDSVKRHLDVYDVETSLNEIA EMSTRTLDFSRHYAARAHQTQRSGPVIGSLPSLQEVEEMLSVQRRNQDALLRIRAAVV SQEHALAEQMAQRKAFKAGGVREDDHMAMYQDEYKGSGGFAGPDSKKRRGKAAPPGRC HSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRKMGAKQASSLGSNLKPKTVDSASP TGR AFLA_020220 MSSLLGHSHPEIVEVVQKYVAELDHLLSNMITYPVVELAERLAR FLPAPLEKSFFLNTGSESIEAAIKIAKCYTGKFEIVAFAASYHGLTQGSGSATYSAGR KSGGPCMPGQLAFPAPYTYRSPFRKPDGSYDWEAEMDFGWSMIDRQSVGSLAAFIMEP ILSTGGILELPQGYLQRMSLECKKRGMLIIMDEAQTGVGRTGQMFAFEQDGIVPDILA LSKTLGCGLPLASVSTTAEIERGCTEAGFLWLTTHLNDPLTAAVGSKVLEIVERDNIC QRAAERGAQLRDGLLKLQEKYWCIGDVRGRGLLQGIEIISDAETKAPGPDLGQLVSDR AMACGLSCNVVNLPGMGGVFRLAPPVTVTAEEIEEGLRILDEAFGYVLNEKRN AFLA_020230 MERDSGVCHDGDSAEARPYRSHLYPACLPCKKRKSRCRTTDSSG KCIMCRAHGTDCTYPRPGNNSQRGSVASPRKLTPKSRQAPRTAAQPKKSQQAFTHPVD AVSPSRDFAPRTTSRPAAILETQSCPPSHEHFTREAFPNLVGIVTEVGDNSSHIVSPV VAEDNDVLESYLSTVPDARRRSIIRTDPNSRRPVRPVLFNTVPRRPLGVSATQSLPAT KCEFIEKYLEPEVNDIVDLCSLAYGTPLQIHRAQYDVPYPTMEDLCSPNASHSQIAAA LVFLSLITLTDVLSTYLQHVYKVSESTAYPPEMSSVDLERLLTDWEESLSGDVRRIAL RGTHLNAPGAANFRLAYLAVKLLLRRIQLDLDADNIKADDDTTSPFYTQAQRAAEEIV HLVRELDEPHLRGFWIPVHAFSLTSATTFLLRSGLRRRNAHSNAPLKLAEDMISTLRT HRDRFSWDLADNCLTTCSDLVEKLSSAEAGNDSLSADPAFQDIDFYNSSFLDELLMGF PGLADTIETPA AFLA_020240 MPPNPEFKHALQQITQQFRAPISYSIAYGSGVFPQTTNKTSSNP QLHPSPPPAISQAQKAHPKMIDFIFGISHAHTWHTINLQQHPHHYPPLLRSLGPRAIS KCQENFGAGVYFHPFITVNGILIKYGVVNLETLRRDLVDWNTLYLAGRMQKPVMVLQD NAAIRDAGRANLVSALRAALLLLPGRFTEWELYATLAGLSYMGDPRMVVGGDDPGKVE SIVGGQIGAFRELYGGLIGGLENVSLNLGCVGGIEQDMDPVVRGDMVRLLPESLRTRL YWRYEAKLSVSPGRFDRIWGEMGKCVRHSEDGLFERRIAGDGGLGSEIRKTIEETVRW PSFTQSVKSAVTAGVSRSWRYAMEKRRKAALGRSRD AFLA_020250 MSRRTLGGGRILGSANALSPSASTPSPQPKPRLLSPTASSVSLS SQASASQFSSETQDLTSRISLDNVGTSIPAAPAAAGAQLACPICSEEMVCLLAPGPLC PSSSHLFPARGDLLTTVQVTLLQLNRHLDDVHQNLEDERQDEVKDWFKTQMEKARRFQ PLAVLNQKLKGLDVFESNDNLQTFAGPSRPSGPIQAPAPEQPKPLDPDDIITKDHWQA RGLYDVCLEPSCGKRLNATNGCVNCRKCGKLFCEEHTMYQMKLSRSAQHEPVRGLWCL VRDLTDDFKSARKQTVDKAFLEVSRLEKRLTRLTQLLASLPVEQIQSNTSKIWSIAWQ NDQRKALEQTVVSWQDDSSVLRCPFCQQDFTSYTFRRHHCRTCGRVVCGDPTTGCSTE VPLSITPLSKASTEKSSNMDIMNIDVRLCKECRATLFDRRDFEADLMRKPPDLRAYEN LVQFERGIRILQPKFQKLLTALQDPRRPPSSAQIAEASKIRKRLIDSFAQYDVAARRI RDLPAESSAQQRLQKAIYQQASNFLHLHMLPLKSLPKVLKHSTPNGRLPSSPTSSPSP SNGSTSGHRRQGSALSNLKYNSVAASVSNSSLASDTSSAISALEAEEKSLRERLIVLE EQKFFVSEMIADANRRRKFDEVSSLAMNVEDLSREIDRVNGMLERLDFESVYTSNPST D AFLA_020260 MDQFKVICYLHRIGKSSRRLTRVVVIRVLTMAKLVDDPQIKYAS FHNPLPVQLHTYVWPFLIVWPAFFSVYLSPERYDTYIQGQEWTFVWLASIITAQSLLW LMTKWNINIQTLFTATSTKSIDSAQLIKVIPEANAGSAEICPLIRDSMGGKITLSFLF QKRRFLYYPERRCFGPLSYVLDAEPKPAIKVFQENQGLTSKAEVERIQHHYGDNKFDI PVPGFIELFQEHAVAPFFVFQIFCVGLWMLDEYWYYSLFTLFMLVAFESTVVWQRQRT LSEFRGMNIKPYDVWVYREKKWQEITSDKLLPGDLMSVSRTKEDSGVACDILLVEGSA IVNEAMLSGESTPLLKESIQLRPGDDLIEPDGLDKNAFVHGGTKVLQITHPNSNLEES EKSTSKVPSPPDNGAIGIVVKTGFETSQGSLVRTMIYSTERVSANNVEALLFILFLLI FAIAASWYVWQEGVAKDRKRSKLLLDCVLIVTSVVPPELPMELSLAVNTSLAALSKFA IFCTEPFRIPFAGRVDVACFDKTGTLTGEDLVVDGIAGLTLGHEGAKVEKDGAHTGLA KGGNIAVDTTLVLASAHALVKLDEGEVVGDPMEKATLQWLGWTLGRNDTLTPKNASAA DPSRSPESVQVKRRFQFSSALKRQSTIATVITNDRKSSKKTKSTLVAVKGAPETISSM LVNTPPNYEETFKYFTRNGARVLALAYKYLSHEAELSQGRINNYTREEVESELIFAGF LVLQCPLKEDAINAVRMLNESSHRVVMITGDNPLTAVHVARQVEIVDREVLILDAPEH DNSGTRLVWRSIDDKFNRDVDPTENLDPEILKTKDICITGYALAKFKGQKAFSELLRH TWVYARVSPKQKEDILLGLKDAGYTTLMCGDGTNDVGALKQAHVGVALLNGSPEDLTK IAEHYRTTKMKEIYEKQVAMMQRFNQPSPPVPLQIAHLYPPGPGNPHYQKAMEREAAK RGSAAVANAPNQGDGIPTITSPGAQALQQSNANLTPQQQRQQQASAAAAGLADKLTSS MMEQELDENEPPTIKLGDASVAAPFTSKLANVIAIPNIIRQGRCTLVATIQMYKILAL NCLISAYSLSVIYLDGIKFGDGQVTISGMVMSVCFLSISRAKSVEGLSKERPQPNIFN VYIIGSVLGQFAIHIVTLIYLSNYVYSIEPRKSDIDLEGEFEPSLLNSAIYLLQLIQQ ISTFSINYQGRPFRESIRENKAMYWGLVGASAMAFSCATEFIPELNEKLRLVPFSTEF KVTLTVLMIFDYAGCWIIENVLKTLFSDFRPKDIAVRRPDQLKRETARKAKEELEKLS AQEAQRKV AFLA_020270 MIQSQALNILFALCGITGAVYGIGRKLIDLEPQHIEAALFWWWL GQTSYVFTCVIAKISIALALLRLTVAKLHTILLWVVIGVSTVVGLVFWFMLTLQCQPV QYFWQRMRPGASGTCMNVDHLIDIAYVYSVFATVCDFILGLLPIALVWNLQMNTKTKA ALAGILSLGCIASAAVIVRIPYLHHYKDTEFLYATTDISIWSNVEAGLGITAGSLVTL RPLFRWFRGTSYGATHSVKRTTGSVPLSSMNGNGTGQSKNDRAAATRYWRPDLDPEDS HAIVTTVQTQHSGNSSQEDLNPKQHPMNGVNVHKSFYVSSDEM AFLA_020280 MASKTWFDGLKRSFADVPVGADNSISTTEFLEASESLTTLFDVL GSVAFTPVKNDLLGNVKKLRDRQLAAPAESETVQSLSVNELKTKKHTASEGLLWLVRG LDFTAQALRRHVDKTGEELASSFREAYGVTLSKHHNFIVKKVFSVAVGAAPNNKNFYK SLASSTDDVSAETEAKIQEQLTREVKALEKIVSILQKFQEQPDAKW AFLA_020290 MSTHSPLAQHAGDTMETDLHTAFDDHHHGLEPEFYTAEKLRYAS ANHVHVTSRRFFIGPIPKGWLQNHRKSWYKTRLKFKNYSSKTVTFRAETDVAQYTQDP ERIELSPEQTKNDEPEDTTEGEQAEDSSEQEAGGNRALRTLSEPLGETTEAPSPHTSD TPGPSMLVPERHNRTPASTATDAQSYMTAREIAPSTDSVGQTSGDHSSVETIRPLSKP TSRTMSQYEASQSSPTASQSELGSTTPLLRSRSPLKGKGRVTRALSTPDPETQEVEST EDLGDERLRFHKRAIKYNDNLLDRQQRLKSRISRTHNMVSGTLSRRRKVKAGEIIKAE RMLVRIEETEHELPEDYGENDSWSMETRVADNWREFLVVFRATSDEDAPFTLQMYKTR VIPEIQRYNTRAKPYHEIPLGRKKAKLNLYSSLDKTIVIWGPSKHGTKIYIIRPKSTA HAVEWYTSLGYALGRRRASSLSIDVPDLGVSLTFKNPFEQLEARLEENERSGILAHSA PQKAAAAEIIIRGCFEVLDKHPEWTDVLHKWSKTERMGLAWKRYDRLEWVHGINEERM YGTLAMQRTHQLQLRPRQHYRTHVHNDDKRDEEPEPVEGFLIRLTSQRGVHQRMNKMF FKRLYCFTQDHYLFFCRPAKSLPPAPPRLRADDSNIPSTQDILNEMPLSYDIDPFPIQ DGEITWLSSGNKEHIKRHDEEAFAQLRRNLHNLTNADGYIDICQVHEVRDVHRDSCPA DRNIREGPDVEFNPEARDTRRDDGATQKFDDDKTFEMLLDNDLVVRFQAYDERTKNEW MKRLDALVKYWKARCAADAAELKILRQRNLEILDIDEEMESVVGQFAQKWEVRRAEAS PLLHNMCALTGCRAINMSGQLFRKPRRHSTFKRCNVILTDGKLLIYRNSLRKRNGVQI PHVHSSLEATIDLSDCYVYSGLLTESDLLYTNQTFDSNRPSHRTLPRAYLSTDAYTSS DEDTAITFVVWQPLRKNLFRARERGVKGETKQTLKQVSKLGVHGRTAVFKARSRVDKD RWVMSIASEIDRLQESKPEDVRVIATNS AFLA_020300 MPTNPSSSQKPPGPKYQVLTPEAAKLRSQFGSSPSPPPPPSSTA PPLAQPAPEPPRKPFSLFSHFRSRYATLPVPVRTGLRVLRILAPIVPIGLFFSEHVLG VMWVSGPSMTPYLNEDYEQMHTKRDMVLVNMWPWGGAGWPWERTRRLERGMVVTFRSP ANPGHIAIKRVVGLPGDRITTRDPCMKPSQIVPFNHVWLEGDAADPKRSLDSNTYGPV SISLITGRVMAVMYPRFRMLKWTDWEQGLVEGDVERKLGDNYRHEVRDRVSKEAVKLE RPVLS AFLA_020310 MAHVVGNKGLADVNKLNPVPTSSLWDILPVEIQVKVFAHCGITD LLPLKLVCKAFYELLTTHEHAITRQYLRQRRHGTLPSPIDGEKTYTRHPEDDVVLLSD LFPPTKSAKGGHIYTFRYVYSLRRRQKLCSKLCYYIADRVMDRFTCSEPAFMKSMFPS RNERNDFVKRATARIWFYLTPLITLGRLSNDFARYYTLYFLESYTLARREHTNVLLRD FEAGRLPVPIPPDVRKSMYRSLQVKILRSPPFTNTATLIATHHCMQLLVSYLQYTVPP DEPGTSDDSWISSLLTVSPFIRLVEYFSAEIGDGGNQRMQRKDFMHNFHNDITSNEKD DMNSLVFERAPNNHMHSSVQDVWFEVARQELASRRAMQHRAEHILIRDNLPVLLGCQD CRDSMGYRA AFLA_020320 MVSFPSWGSWAFLQVYARGFADADKYAFADKITHFVGKICPSLA TAALIGQGRNREPSG AFLA_020330 MAPSIPGQMLNTSWTLHRLSPLHHEKEFQSLLDNPEALKTYANR LRNQLTGNVLSGFQVGTSAPSTEEDTLSRTGALKTCTWEAISSLSLEDPNALPPENPC GILVVLEYENITYKAALLAPPEGSHFRKTSTYLPLLLTRLPGPLRQTFISFLSANFDT YCSVFRLPSQFLCAGLASYVDTLTQGRDRESATSRAILEDVVKEIQITVSFSTNVAPA LRSLNINIPRGSIESFLPAAGDSDQPSGSILSGLSSYIEKHLAMDLDLAGSSARDSPA RKHVRISKIACNGFVLGAEGKMKLVAQPIRTGSAGDDSAENDDDARNEKKRLALRASE VLLFSVIHRSLVGENQES AFLA_020340 MESSAVQEPTQQRSLRDRIFNLFRTSSSNDAPGLPARLVTAESA AQNEGSALIYPPREPDARTRLLESYDRGERGLRNSGVHGTFSSRPEQEEIQKWDASSL QNAGNEERSQPPGGADGHIGSPGDVSGYPQGPENIPSLDSSFTALHMKNHKSLTLKKM LQPRYPQVIYLPDRILVVILSAVLTWHLGWDDKGLEILGPLKQNANGLFAFKWPFQFS QMKHVRAAMSTSFVIALLGFFESSVAAKGLSGEARQEGVQGMPVSANREMVALGLANT VGGCFMALPAFGGYARSKVNASTGARSPMSSIFLSIITFVCIMVLLPYLYYLPKAVLS SMISVVAFSLIEECPHDVAFFIRLRGWTELALMLLIFVSTIFYSLELGIALGIGLSIL ILIRHSTQPRIQILGKIAGTTDRFDNAELHPESVELIEGALIVKIPEPLTFANTGELK NRLRRLELYGSSRAHPSLPPTRTPEHNKNIIFDVHGVTSIDGSGTQVLYEIVDGYADQ GVSVFFCRVATRNVFRMFERSGIVERCGGITHFVHGVDEALRLAESEDEIEI AFLA_020350 MSLTNCRFYEEKYPEVDSYVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVIVLRVDKEKGYIDLSKRRVSPEDVIKCEERY NKSKAVHSIMRHVAEATQTPLETLYQNIAWPLNRKYGHSHDAFKISITNTDVWNDVEF PSEAVKKELTQYISKRLTPHPTKVRADIEVTCFGYEGIDAVKAALRTAEEANTPDSQV KVKLVAPPLYVLTSQCLDKAIGIKQLEEAIQRIEAKIKEAGGGCSVKMAPKAVTEHDD AALQELMEKRERENMEVSGDESQSESDDGVPE AFLA_020360 MALWRDILKADTGRARRHLQLERRRLLPAYDSDPFSTTGPAKEV TKVALRLKYQIEQVIPCEIEENIITSPTSRVITGNVVQTAKEAGGEDLRGCIVFCLLV CLRWFKIQAIEELWDSDLHAGRALACEVIAKRIIETEEDQDFLLLHTLLKRYSIFIDG EETVPANVIERAVDLHALRVIGSSGYQKCIKYLWNGWFCQQDGNPTNFVPYHEKDNTN FAVHFHPDRMRTPLYQNTCQIAVSLVYLALYTQVINTVNRTGDLDVGEGILYVMTLAF ICDELTKVWKIGRHYFDFWNAFNSTLYAILAVSFFVRVAALTHSPSADDQKRQMLNEL SYNFLAFAGPMFWMRMMLYLDSFRFFGAMFVVLRVMMKESLIFFALLFVVLAGFFQGF YGMAQVESDIPVVRNIVQGMANSVMQSPEFGTFEDFAYPFGIILYYLFNFIIMTVLLN ILIALYNSAYEDISGNAIDEYMAVFAQKTMSYVRAPDENVFIPPFNLIEITLLIAPFE WWLPRKLYAKLNDVIMGIIYSPILLVTASLEVREARRIRWNRRRGEEDDDDVQEWEHA AEEVDFAIDDSWKQTVQDTTPNVTMDSCTLEVVQLKEQIRELTEMVRLLTEDKATKGT LYGGSSSTILERE AFLA_020370 MAAPYVPITEIPTVSLLYKLGRLVPVSSPLLQPSKPLNDTISLI RNDITKLQGVDCIVNAANRSLLGGGGVDGAIHRAAGPNLLQECRVLDGCDTGDAKITS AYELPCKRVIHTVGPIYRYELRGGDDRPEALLRSCYRRSLELAVENDMKSIAFSAIST GVYGYPSDEAARAALDETRRFLENPNNIGKLERVIFCNFERKDKVAYEETIP AFLA_020380 MSAEDSVVNGKAPAQPGSHHDRGHSGLVSVQPARLADLQPRYAQ KLEHDAENPEAHGWYAGLIHSLGECIGFLGAIPCCVCCPNPYKPVAQGEVGLVSKFGR FERAVDPGLVKVNPLSEHLTAVDVKIQIVEVPRQVCMTKDNVTLNLTSVIYYQIVSPH KAAFGISNVRQALVERTQTTLRHVIGARVLQDVIERREEIAQSTSEIIEDVAAGWGVQ VESMLIKDIIFSDDLQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILSSAPA MQIRYLEAMQAMAKTANSKVIFLPAMNQTVQQQLAAADNAGEGPSRYGTGNVDDGFQR AMNARVVENI AFLA_020390 MAPSQNPGPARAAARTPISRPSFDITPDFTDPSFDPAEFLNDVL PPLTLASPQSYTPRGSTAVSLAELSTQVQSILTQINAQNVRLSNNLTQLTDEILRSGN RLAYEVEVLRGEAIGLSETLTETLRDDIARFVPDTVESNREGNLESEDDGEETQAADN REETVQDPDFIRKLRTLNQVRARLEEVVQTFGDSMEWPLPPSETSLTSSFISVSAPEP GSEAQSQEEKGQEVAKKLRTEVTELLDSNGGGEEGLEAATRRVEALRVLATVWKGTAE EKARTRFVDGLAKMIDDRRRALENQGKINSSQQQGKPPVGHRRQESEGPGGGIFKNLQ RLREEIYLE AFLA_020400 MATLGVPNGPWADRYHLARSTITTHLQQLYHFRPRARPTRTLFL TVAAFLLFIVLLSHSPTEPAVNYWLKYPSYRSSRDRPEDLQIVQTGRPTLTRNDTLPG SLQKNNPSFHLVIPAKSKSPALCRMLTSAMILNYPPPTLINYGKKLPEGAKEYDVMKD RITGIYEFLDKTRHVQDNDFVLIADGTDFFFQLPPDVLIQRFQKLLKENNAKLQQKYG LVMVEKAFEQTPPETVQKYTQRVLFSASKECCPGLSHDAGCVAAPESSLPPDIYGWKT DRYPDGTLTRPRWIKPGAVIGQVADLKAIYAEILRFVEHNHNAQGDYVALTQLFGRQE YVRELERRRTSNPFMEWMYTQIGISEASNLTGLNPRLETGRRYEYGIGVDYESQLFFN MWNSKNDVEWLQYNNVSKTSSVQMQHGVPRERRLLLPEDLNPEQVSNPFIQPKVGKDE PLTPPYNATLDALPNPQHRSWHNLPLLTNVHSATVPALVRLDGDPKLRDTWWSKMWYY PWARALLRKYVRSPSGFEAAQSALLGGQEWWDLRGGKGGIWTEKGEWIDYSEVCVGYE RDLFNDGFGKWRREDGDSDEPVYNQFGQLIKGKED AFLA_020410 MSGSAVASRGGRVRTACDLCRHRRIGCDRAKPACETCTLAGVPC TFTPTAQQRKSVREELADAKARVRHLEEALKAERENRLNSDQYTPPKALSSGSSPLEI PHQFLSSWSATTYLLESYSFDAALSNFRWHLGFCGPRAAPFALTPSFSSTVYERTGFV LNIEDFLNQLAQSFKLQYPTHSTRTIVPKWPPRSLIQRSIEYFSKNRLYSIFPAVDIE NTPLHLDPKALGNPDITTSPANYACLVALTALVTRIRGDDMAFADADPDAYVQAVLTL LPELMIDNANLRSLEALILLGTP AFLA_020420 MFVSKLIFAALAATTAIAHPGPDHAVPRAEIQRRDGLAKQCANH AADFNRRRIAKRAMQKRWEGSGHNTTFEITTEAPYYDTIQNDTCVLNPEVTQGPYIWP RSQTLRQDMAEDQAGVPLWLDVGVLDMATCEPLPNVLLDFWHCNATGSYSSFTHLSPN TPFEKLLAELNITDFEIGVTDLHTDDTTFLRGMWPTDENGVMEIKTIFPGFYVERAIH IHVQAHTDWSVRGNGTIVSGNTVSTGQLYFDEALSQKVMSLEPYASHTQINRTTNAQD TIFPEGTDGGFNPVVSVVPADGEDISKGMIGYITIGVDTAAIETFEK AFLA_020430 MVQLASTLTLGLASIASIVSAHPGHNVEVEAAERANFLKKAPIR SRSLAHCATSLKARGVEDLNVARRENAVQLLRRDRGLDTGARYLKARDLDSVLATDHH SKLTHVDPSTDPRVLFGSEGTCIVQPEVTQGPYYIAGELIRKNVAEDQQGVPLFMDIQ LIDTNTCEPLPEIYTDIWHCNATGVYSGVVASGNGNSNDDSNLNTTFLRGVQPSGHDG VVRFESIFPGHYTGRAIHIHVVTHPANETKILPNGTIAGMYDGHSSHVGQIFFDQDLI NEVEKNIPYSTNTQELTENADDSILSTEADTTDPFMEYVLLGDDVSDGIFAWISIGID AKRDDSLSPEGYWTETGGEVNDNFSMNMAGMGDLPTGAVSSAAPSASA AFLA_020440 MSLTPNSFYIALYIRTDPPIPDNFHWALYLHHHETGTKYHITNE STGWIAAHAPESAILNSFLLVGLIRIADFPSSSQSVLNEVDQLIRSYDDRVNDMGVTC RTWLLKVLELLRKRGLLCGGKVLEMGVLEREVMDWGNAEAGDAVNYSQLLLQNNLYCN NIPPSCLKK AFLA_020450 MTLFILTETSAGYALLKAKDKKLLKRDDLATEAATAEGVSNLVK LKSFQKFDSAATALEEVASLVEGKVTPRLASLLDEVKDEKKVSLAVADPKLGNAIGKL PGLDIQLIADSTTTDIYRAIREHLPTLIPGLAPQDMSTMSLGLSHSLARHKLKFSPDK IDTMIVQAIGLLDDLDKELNNYAMRVKEWYGWHFPELAKILNDNLAYAKLVLKMGMRT NWESSDLAEILPEELEGSVKAAADRSMGTEISEEDLENIQALAEQVVGFTEYRQQLAG YLTARMNAIAPNLTALVGELVGARLIAHAGSLTNLSKSPASTLQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGKNKGKMARVLAAKASLGIRVDALAEWDEDATEEDKAA LGTEARFNLERKLAGMEGKPLKPRGVTIAPNGTPAQAKKFELNEARKYNADADAVDEP SSAKKQKKLVEEVQDTEMADADSDAEADSSDESEEESSKKKSKKSKDADLEKMAEKAG LSLKRYKRKLERGEIEFDAEGNPSSISKKDLKKAKKEAKKADKGEEKKRKRSDDNEDN EKKQKKKKKKDE AFLA_020460 MEGLFFNVNSGYVEGIVRGYRNSLLTGQHYNNLTQCETIDDVKL QLAPAYGDFLAALPPNPSTSALAGKMTDKLVAEFRYVLTQATGSTARFLEYLTYGYMI DNIALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLIETPLAGYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYQFVNTHPDFKGTPTQEVMSELLEFEADR RAINITLNSFGTELSKQERRKLYPEFGKLYPEGSLMLSRADDLESVSLAVSISADYKA FFDAVGLTQGAGFGGGADGKSLEDMFYQKEMEMSKVVFTRQFTPAVVYAWMRLKEQVS PKLFLTRGIRTNHPLHRKFETLHGSRSALRSTRKSGLATSSLSSKRPVSAPPNLRFVR SVHMHKTSLLPRTM AFLA_020470 MVRNIVVIGGTSHPQLTQNICGVLGIPPADVLLSKFSVGETRVE IKESVRGKDVYIIQSGGGKVNDHLLELLITISACKTASAKRVTAVLPLFPYSRQSDIP YNKTGAPLVKSSVPGKSEPANGYTFESTPTTPYPGKPESGSLLNGVDNLQKSLAKAQI EDISNGSPVKKRPTSGLSRSDTLDSQPESSKAAVSNGAFGEDSVNKINNFQPRPGYKQ WVAQAGTLVADLLACAGADHIITMDLHDPQYQGFFDIPVDNLYGRPLLKSYIQRNIPN YKRAVIVSPDAGGAKRATAIADSMGIEFALIHKERRPTRITDRQNATMMLVGDVKGRT AILIDDLADTSNTITRAAKLLKKEGAAQVYALVTHGILSGDAIDRINASALDKVVVTN SVDQTEHLRQCPKLEVLEVGHVFAEAIRRVHHGESISVLFQYD AFLA_020480 MIMGERSRSRSPGRRLDRERSDRERPRKNGGGGGGGGGGGGFRW KDKRRDGDSRHDTDERRLNRGYRDREERARSPRRDRDTYRPEDRNKDSDRDRKRPEGD ERDGRDKKKKEKKEKKNAVPQSSEPMIIVHVNDRLGSKASIPCLASDPIKLFKAQVAA RIGREPHEILLKRQGERPFKDFLTLADYSISSGSQLDLEVGTGE AFLA_020490 MWRVQSRLCSNLSRITQRHLTKRTFLFLNHPHAQVESQGMSGAG ESLPPQGQTDYSTWTPENLISRITELERQLHSRNAEFATSSKVANEFVPSNPALDTPA ETAKFSSKKGARKYQPPPEDDITHTRAPNRPPKQPRVIDPSRYNTRFIALKFAYLGQR YNGLEHANGNVTPLPTIEEEMWKALRKTRLIFPENGEADGFEDTRGPRELKPYLINWE GCQYSKAGRTDRGVSAFGQVIGLRVRSARPKRDDVAQADPSSDTTMQTGEEASALDDA AQDNWDDIADELPYVSILNRVLPEDIRVLAWCPHPPEGFDARFSCRERHYKYFFTQPA FSPTPGPLGFTSRANNKAGDSRAKYREGWLDIEAMREAAKYFEGVHDFRNFCKLDTSK QIENFERVIYHSDIELLDPRSSPLGYVSQPGFQALEDPAAQQTPGSPESPSPTPSQVY VFNLRGSAFLWHQVRHMVGILFLVGQGLEPPTIVPDLLDITKNPRKPTYEMASDAPLV LWNCVFPDENSGSREDALDWVYAGDSRQIKSQVGRGDGKYGLGGVVDSLWSVWRQRKI DEILAGTLLDLAVSQGDQGVVNGEAKGVKDEQRKRSQKVFYGANEPRMGGQYIPVMQK RKTDPVEVQNARWLAAKQRKTEKGAEPSRMEM AFLA_020500 MTNQESPDQENTVTILLLGDPGCGKTTFLSALKQGRSRLNGNDS HSQAEPLRDSDQPFLYDIRFSKKSFSLELYDTACPNQHWSTLKPDVVLLAFDISNRDT LTNLKTWRHDIIRYFQHGQGERIPVMMVGLKRDLRVEGEGIIYPQETYRIAQELRCDR YAECSAVTGELLAETFEDLARLAGMTTTDRGGQTQGGCVVS AFLA_020510 MAPSQLPPIFNPTQQDIEQLLAAQCHLGSKNLQVHMEPYLWKTR PDGVNVINIGKTWEKILLAARIIAAVENPADICVISARPYGQRAVLKFAAHTGATAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDSQAIKEASYVNIPVLALCDTDSPTDFVD VAIPTNNKGRHSIGLVWWMLAREVLRLRGTLATRETEWDVVPDLYFYRDPEAEENKEI ADESKVATAEEVGAGAIESGFAGENWDTQGAGAGVPGTAFAAASAAGPTSWEADGADW AASSAPAAAGESWAETQPAEGKW AFLA_020520 MPPRFLFQPSFKAFTGSSHVQSPLAALSLNASRTSIRAGSAETR ERRRHDPFLMAQSRQRKAANLSRQQALAEERESSLGDPVESAPTPFIQELKTVKSGPQ APSSSDARLNYFVTPEGLQEAMEYSKALTSPLENPDRDTADPQLEKEASERHLQEHRN AQEAISRIVKISNGNTQDQMRLHIQNCIETFGRHNTDKTLPPKPAAVSHQSATEHPEK TPRVGQDTGSPEVQVAILTAKILNLSRHLQTTNKDKHNKRNLRLLVHKRQKLLRYLRR KERGGPRWQHLIETLGLSDAAWKGEISM AFLA_020530 MDNISDIGSPLSERSRTPFFDGGGISLSGLPFEEALVARIGEPL VLGVEPSISEPAVVEPTAAECRESAVLALAAFTADDFIACWRDSQIRWFLPDRRQLRG NCYFGCLC AFLA_020540 MPSVALLFSFAPSRSYQESVDRPYEEPRLTDTVNRKRAHEDTSN EDRTAPVTPAANKRRNLGPPGSTPFANRRTPLSRRIQTRAAPFSARLARREAEKHGRI ESTLFRLPDYLRQLEADRQKADRAPSSPGPQLPQTTFDFTMEPNLPTNQNPAEESSAP QEPSTPGRSTPETPQRGWNLRGLLSSVPRSFSRLLPFGRPSESSEDQSTIEPSSERIT RTRSLDPESAGPQTEGRSRWRLSEGPSQPPKKRARNLSYSLFPAPIDRSLYLGDIPKP STAPTEAAAPDSHRTEQVQAQKPGPVASDDQAERRQTSQAISDAEQRKRKRSPSPDVI PNPAGSSYGLDLDYFCYSSESEDEAAETPSKQSERKKADSLAKSVARSALRTERQSSK KVRFDASPEDTPSKLRSRARSTDPYRGTHFVGMGSDSPTPPARTEQPSQRHAGFVPNT QGTFQLDYDAVSDEFETSSLSSSTDVTAPSPSQAAREEDGRASTQPEGHDAQSPASRH TSRAQPSTPGKVDEEALARVRSQAEKYKPKTPSGLRTTSRYASPLTATPDTVASKQTT KKSDLNPQPGKADEPGNFGDDEFARDAEWLYQNCPSGDLSQLKWPARQSYEESLGVSS TSMKLLATIWDDSEIEPAYLAFRQSFEEFKKTLK AFLA_020550 MAPKPPAGTSSRAWDGVSPSLSEWVLEAVSSMGFTRMTPVQAEL ASQIYHVLLSLLAFHPPSASVINPSEDDDVPRQKFPSSTLKVVPQLLLGGSTTPAEDL SKFLKQSPNLLVSTPGRLLELLSSPHVHCPQSSFEMLVLDEADRLLDLGFKETLQNII RRLPKQRRTGLFSASISEAVDQIVRVGLRNPVKVMVKVKGTSGAQDKRTPASLQMTYL TTPTIHKFDALKHILHSVDPTPQKTIFFASTCSGVDYLSAILPLILGDDFQLISLHGK HPANVREKNFNRFVNSYSPAILLTTDVASRGLDIPSVDLVVQIDPPSDPKTFIHRCGR AGRAGRRGLSVVLLHPGREEDYVSFLEVRKTPVAPFPHPISFSESEATAATKAVRKAV LADRALHDRGQKAFVSWLRSYSKHQASSIFRVADLDWESLGKAWGLLKLPKMPELRNF TGDRTLGVNLDWDDYKYKDKQREKRRIELLQESKEGDGTQESSNKRKATETTAWSNKL DDRNKKQKRREQKQRRQEKNKWEKMTEEERQKVRETEQMVESIRVKNEEERRLRRAGK AEAANAGKDEEEFEGFD AFLA_020560 MSAHDAIPETPRVISPSPAPSESRSRSRDGYSAPTTRSAARRQR LVDVSEESNNERQSGSRRSRTRSRSPNSPAGSTRQRKRKSNPMRPAKSPEPQTNGGAK PNGFLSPLAKADGIAHSISRSPSPMGLIPLHTRYRSFIHRHEIPRKVLHVSIGFFTLH LYSRGIQTTQITPWLFGALVPIAAVDVVRHRSETINKLYVRCVGALMRETEVQGYNGV IWYLLGAYAVLRFFPKDVGVMGVLLLSWCDTAASTFGRLYGRHTFQLRKGKSFAGTLS AWLVGVITAAAFWGFFVPNVGPFPNDPENAFMFTGRLNLVPDTIKNLIGWTADTVISG PLALGVMSVVSGLVAAGSEFVDLFGWDDNFTIPVLSGIGLWGFLKVFG AFLA_020570 MGIPGLINAIGPGERISLSKLAITHLERTARPIRVAVDISIWLF QVQAGRGGKNPELRTLFYRLLKFLALPVHPLFVYDGKHKPPFKRGKATGSTYGSAPII GLSKILVDLFRFPRHDAPGEAEAECARLQRAGVVDAVMSNDVDALMFGSTLTIMNFSK ESGSGTSAATHITCYEMGNLNSSSCSRLDRAGMILFAMLSGGDYLPSGVPKCGSKLAA EIARAGFGEDLLEVLNSEETELNVKLNEWRERLQYELEANESGYFQTKHKAVRIPESF PDRTVLSYYAKPIVSDSKDLEVLQRRLENAWDQEIDALELRRFAADTFEWNYRSGARK VIRLLAEPLVSYRLRLRKDLTAFPFRLSESDVPMLQKVYKSRTSFSTDGLTELQLDFV PIDIVGLDLLAEEPNPPLPPQETAASGDEEDAEANAEPAPQSPAKKRVTKRFDPYSSE KIWVFETVATIGIPEVVQAWKQEESEKASAPKKPSNRKTGPKKKGPIDPGMKRGSILK YGTLTKERTEITQLKQAQLFEAAISSTSTPKKHSASNVQGPLSASRDLSSHGLYYQQQ TTAFDRSQDFDYSTNMLSLCNISPNVGIKRHPASTRPPLRGRRGAVFSGDSEVEASKV PDADSLDCSLSSVSPGRIRMSYSNVSYNDLFTTDSTDSAQPVRAKCRNMSKPDQSISK SQGSQGVQKLEQAMSSLLLDDIKPQGSTKERSHSLNITIPPAKRHLQKPEIRKVHYDR GIPKPRPDDTLIDLGEVSSPTVQNIREKLAFRNRATQRKSSVETLATSPKPCKKDTSE PAKVETTTEDLRDRKNDANKPSSHAESVIVYDGYWALEPNPKAGMASDESKSEVDNNT TNESSKSHRGKKRLSRVSILDLS AFLA_020580 MTSGSTAYNLDDRRTSVSMSLRHSQSGRPSTPQHHLRSNNSSFA STSSASSSLRGDEDAIVFEFGSRWLRAGFEGDSTPTCVVGCGPEDSRRAGDYRGWLKA SLNADTLRPQPVKAEEWTGAYELWKMDVRDVDLGLVEDKIERMFRETYNKYLLTDAGT ARLVLVLPSIMPHPLLSSVLSTLFSRWRFPSITLLPSPTMAVAAAGLRSALVIDLGWA ETTVTGIYEYREIATKRSTRAMKSLLQETGRFLTRLSSNSSGDADEISVNFEFCEEVV SRFLWCMPQAGDNGSFTSSQSTDSQGGDSEPIGRDITGLSHKTVSIPSPSNPSSNYLE IPFSKLAEPVEKVLFAKGVADCDLDDEEKPVALLAYNTLLQLPPDVRGICMSRIVFVG GGANIPGVRQRVLAEVASIIDQHGWSPVRGKVIEQQRQKLQNLSLNERPTSANNEKDT TPPSPKTSIEKGDQGKRGNEEDEIDPVEEKLRRNRDKDIKPPVQGVLREVESLGPWAG ASLVASLKIRSLVEIEREKFLQHGLAGASRDLDTHGHVPDRRSGLRSGGDRSSWTLAG WG AFLA_020590 MHLKSYLSAALYGLPTLALDAAASSTSNTSAVDPFKVYTITAEN ITAKLIPYGARLTSLLVPDRDGNEQDVVVGYDDPKDYLKDTETDHTYFGTVVGRYANR IKNGTFQIDGDKYEIPRNEHNGTDTLHGGDVGYDQHNWTVTAQSESSITFTLLDRALE GFPGDVITHAVYSVDSDVTAENPKGLPQLTTKLISLALTEKTPIMTANHIYWNLNAFK ETNVLNDTFLQLPLSKRIIGTDGILIPNGTILGVDSYDGAPDFTTGKLVGQDIEKAEG LCGTGCTGYDNCFIVDRDNAYGPANSIVPVVRMNSSTTGISLEVASNQQAVQIYTCDN MKGTIAVKPSQAKRNKEEGIEGAKSVNQYGCVVIETEGWIDGINNPEWGQLSDQIYSP TGAPAVNWATYKFGTV AFLA_020600 MSPANFKLLQTPRFAKRRGSRINLSPAKSSHSIRFDDVVLPGSP SSKLIGRQRSLSPEKLQPDGNVSPWRIRVTLEATQDEEMNQDSPSRKRPRNSTMTTKV PLKDEADTMEQTPRKRRGRPRKSDTMVQSATPNGGSPGHTPGPGGASAQKRKRGRPRK YLPEPDAVDDVADQVANSQVHEPSVVEPEPSWAPLNLAADGESDDGLPDDQGYAEPFE GEAQMEQFDDNPQNQSPGVEYERTYDTPNVDYMDDVYMQNDENIHSTPSKMPSPSRES QIISPDNTIYAGRTPRPPRQYPTPASSSLVDEERQDRGAQNSISRNRFRQSGVHATND PTDEHREFDSIMESEGFSMVSLDTLPSAKQHGLSASSQVTKGALKPFLERESNGVLRR KSSIRNQANEEEAGLITQPEPSALAQEKATVDYRSARAYSSPTSPAPVPVQASSRRRR RPIARFVRLVRVGIALESALRRPYDREYPRGLLSSPEIRVSQDQMSSLETSRKRLELL FSEFDSEIQRDLQSALKFGQELAKRRVQAEIENARKAPEMETITETTPKGLSETSGSR EMSREPDGLRDYDTPGSEMRRRMEEWQREREAISREIQLANSSQVIVIDSDVSGPPSP EGGMAAPKADEERDWTSDIDRGDADALSVHDKPEDGRFSEQEEEDEDDGYEDIWQQEA NDRGDLSDRSSVSYVHQSLDNNRQESSPQTNSSISERSAIDNSYSPAYWTNAHDKVPF LGKSRIKELREQDVDISALLRPGATPKRSRYYYGQSRPPSTENGRDPEQPQPTAVPYS EEAKEDEYEEEEVQGVRQDEEDHLLEPPQSDDYLESSPQRAPGEETFQLDPTTNFENA RQHSDLWLEGYGDGNLSDAASPEPQTAHETPVLTPERQQPSSTRKQASSWLQKITNLT PQWLKVPKRRSFAEPALSVYDEASEDEDDDGSRSRNVEENYMEEAPRLHRDHEQQSLS SPLDDQSPNVEAKDDTYDRPLPLAVSGYFSDDHYILLRRLYRLAKRHPERFIYYPGPG RSDIIGDWIWTSDGLHGVPITERQFAIIDRFVQELAKADLQAGGTGQVGWTEADLHRR LISVIIGEQIREEMKAQLHDEQSTAGHSRRRRAPTSSWY AFLA_020610 MRVASTIQYIFHLPYLTKRTERSIWKGKGIDDSPSIEVTVHCHD DDSQSTGSEMAVNASELDLHHGGSSNSSGSRSRLVRVVSWASIVCDKCRWTPEQEREL AIAQSELGRCQKAWSSEQELWLSHIEALMEEKEAHEEFLVHRAKQQDDEQQHFRKAWN RRRSSEEQPVDANAHRASSKVRRFRKRHTGDFY AFLA_020620 MSVTTSLWWPEDRIKATLSSEYVFGQLPSDILHRLVEPLPWGEG LTSETYLDWILSKAGRLFLILVDIGIPDRIFALVDESFDDSDLPIAAHSVNRLHLSPE IEDTSLESKFFLAQWRFIVRGIGEGEHVKYTENEGVPVELLRTGTALVREGVEKVVLA GAVCRVYLRTQVTVGGAPHFFDEDEVLEEIKSMRRLAHDHVYSIYGSYFVDKTVCILF TGVYERTLMSFLTDIPQHFKRLPKAQRREILLNWPHCLANGLSWLHAHGQVHGAIRPS NILVDADYRIFLGQFEALDTLLPPVKVDDVESYQYGAPERWVRSASVQQAGPQKIDLP SGGRTARKQSAHSMKLNLSILKGSHRTEHESLSPRSESMVSQSTAIRVGFPGSRFSFA ASSSSSGSSIGSARKRVISSVKRPILYTPSITSSNSSGSSSNRASIMTNPVGLPGTNS SAAVVHTWESHQTDPEASDIFSLGAVTLNIFTHLCKRKISAFAHHRGAKNRTAGRGGG VADCSFHLDRNLTQVTSWITLLDHDSKKQKDPVFQAVRPMLAVVRDMLNKEPADRPSA YQVEHHFSEAIHQVSGVANMHCSSHLQHHTRRSKNRLHEAPHATTPRLTLPSISRSAS PHSPLTVATNSPIYEEGSIGFTPSSSPSVAGFNLSSSIATYVEDSDTDQDSSGYAGSD TAPWYDPSWSYRMAV AFLA_020630 MRPLSTVSALAWLGGFQALPINAIQTISAVGSKFFHEDGTQYFL KGIAYQLIPDDPLVDTAQCKRDFALMAELGTNAIRVYHVDPKANHDGCMEALAAAGIY LFVDLDTFDTSINQDKPQWTHSQFERYKAVLDEFQKYNNTAGVFVGNEVINTKEGSAA APYVLAAAHDIKSYRNEKGYRNIPVGYSAADIAELRPMLQNYLACRPDSADRLDFFSL NAYEWCGPSSYETSGYKRLQSQASDYPIPIFFSETGCNAARPRTFEDQAAIFGHEMAN TWSGSMIYEWIQEANDYGLIKYGPPSGASPDKMLVQDGFPRQGEPIPVDPDFHNLKAQ WARLRPTGVALSDYIKSTSSIKPASCPTSTPGGWAVDSHQPLPTLQRATSDVAAAGPN PGSGSGPDSGSRPEQLSARVTSVAPSDYHSSRAVNGASAGTRGFDRLAGGSLFLCMLI GALALWL AFLA_020640 MSTSGVASPFRISRKDGEKLDRNETFIAVREHLRRQEMGMDAPS FCSHHRHSCSDQDKESFRLHRDIIHTLLLPLFLLHHQASRVAARALPSRKAAESERAF RGEARSAYAWLQCILTEEHDWYLTERCPACIVLHVLHSEPTIRFVAVACLLSDHLQGL DLLHGKNRLPSFEFWLEALETAVREDPFWGHDLWPDIEYRACALTDGVKQLVLQCLEL RSALDRQSHQSQAYDSSAHFRRESLRQSNHPIMKPSAATSRMAGEEQKLLSKVAATRC MSSYWQDRPQRLHARRHGDSRRRSVTS AFLA_020650 MASHADLDPHTNPAPGSLKQVYATSSPFEEKIGYYRAVRHGRQI FVSGTTAVDPASPSDAPQILFPGDARQQTRVALQECIRAVQALGGKGAENVVRVRMFV SRHKDCVAVGEGYTEVLGRASQPGVGAAATMVVVNGFVDERMLVEVEVDAILED AFLA_020660 MPPTGPRVMDSPIRPSPPGLERRWKAPGRGHKFFLGCQSLVSGP LGHFPSVIILLASRWPDLTTLLLSFFPLSFSSSFLYGFSLLPSTRFLPFSCFSFSPAI SLLSPPCHHHSSPSTSSLPSFYLGLVRLSSLCRPRSSFNPLSPSPPISRPTLLRVNPR ET AFLA_020670 MRSPYSDSSSSINSSYMPGEPGSPQQGAVTSPERQNSSQLSPVS PQQPQVPFSSDTGSSRLKRRASQGSLLTKPSPVSRFLSRSNASLHLPSFEHLGLSKTK SSSRIESRTHSADSRLRLHTTSAASSSLATRASQSTSTVWDFETKGDYPTALPPTPPE DDEYVAWNPRSGMLLFESPLSREPGPMPMDEGPNVDSAARGATSDGLSSPSDQLSNVS PSSSGSPGSSGDMDCDLNSWLESGIETTVSSLPFPSNSGEAVKIVSQMLPYPCTADKN AAVHTNDDVFCSLIQAVQRRIQSGQSPSYINITHAVPEQFSLSNLPYSPPNTPRSLFA ADDYFNSSVFSSAAVVSAYHDFRGIIQGKALRFPMPVVPPFSVHLSVLERYLPPSSPQ EYKDLFNPGRPSFLVDRMSELSRDGGSLLFIYPTKRGGSTFKSQYLGPILDPLLRQLV VVNELSADVGRYLGKLSTVSHMEDFDTMRSKLAQLCSDLSSSSSQFTIADARKGSAHL DRHVWTEWFIHQERTRMKEVLSLYWQNGRRLPAAKAASNMSTNYLLEDKEVTSAMLLG EILDGIRKRPYGEETEPRDGIELGVFVIRRSH AFLA_020680 MAYSPAPASPVPGGPGPSLVNNLHSRGRSASPPTSVPLSKRDKR RSALQERLQDLTASFSQNRDTQFRQQLHALQCDMTLINNADPYSPGPLPDSSEEIAHL IETTVGGGKFAKEMSSLAGMWYSRFVQEVNQAKTEKDADLAMLVHRHNSNLERFQKEY AFRVHFAEEEYKHLSATLRERLVQTITGKRTRLMREKEQLDIADTNALLLHPNQFSIT NPASPGGIHGNRKTRHTRHRVDLVDELGNGILADFNKRKRNAPEEDVGSPVREGGYTN PAERAKAQVVQQQHAPSYSIQSLFTEKELSAHANQAHVATVHFFSTSKRADQPSGAAT NGNNTDAEDASGADGTEDNGTPATDMARTASQNFHATRSTRGHGNHALNALAELSDKP AVRPNLPYNILANYHARPSNNGAPPLPPLMNEEVDDDWARMDRLHTKPAGYVDKGLLQ LLVEPVPAEIDGIPQNPHRFSMLHPDFPPDMGIHLHPIESGKTRPEYSSDRAKKSRTG AFLA_020690 MRVATSVAFASLLAMAQAHPSGAWWGTDDCYTSPDNTNNECSDE MRGGFNWAGLAVGSFDFFAGFEFSGFSFSSSFSAAISGGFAGQCVESKLSKDDETSPE ISSGSDKTFSISKLHLVTAEEADIKIIYDMPDGSSCKHVAPCSPKGTVVTNDQCGGAS SVRFELAEEAAVDAVVFGIQNVEFECSPGQKTPTPSHHHTSPTATGHSSTPVIPVPSS SNAVTPPAPSTPVRMTTSTVYTTSLITITSCAPTVTNCPGDSTTVVTSTIAVSTTVCP VTSTETPAKPTETSVKPTETPGKPTGTPGKPTGSSPVGGSSEVPSPSSPAPTGTAGTS SGVHPTSHGGSSSIPPDSTTTVVTWETLTTCPVTTTATSGSVTTTSVYSTVSTVTLTS TSTICNKCTATPPTGKPTGTPSGTSPTPTGVSPEEPPEDSTTTVVTYTTVTDCPVTTT ATAGGTTTTSVYTTQSTVTLTSTSTVCTKCSSTPAPTGVAPISSTPAEGATTVVTYET VTTCPVTTTITSGGSTTTSVFTTVSTVTKTSSSAVKPTGVPSSPAPSSPPPANCPNSV PKCINTWLPLVPKCTSNAEPGCFCPNHEFTDKVISCIQAWGASKEEIQAALSYFTGIC APYIPGNPGIVTAIPSTITLIPTPAPTGVAPVTGTSAAPNPTAAPEVPRTTITYSTYT LTVPQVTFTTGVSGHSTTVGLIPGPAPTGVSPGHSSGIPNPWVSASSTWISSHHPSST AKPSSTYTPPPLANTASSVSTSFWLAMGVAALFSIFF AFLA_020700 MPPTAHPPRRPSKDAGRDTRRLNSSPMSRIGQHSPRISNKAISL GSLTADRKRRASSSVSSVSSVSSVDLSDDVNESDADDEEDPPAVRGPSYGRRDKAHKA GMKSTKRTKKMRLSEDDGYDGQKSSGDNDSEDSSDDVYAAVDYISDGDDDEDQDVEKL EELLIVESEDENDFNGILTASTVSVANSHDWAGPNVFDDHMLLSAASFFDEEQLYTAM EAFGETDLASETAVETPVPRRVHFEEDSDSSSDSDSHTEDEIPSDFLQQDSLDPQLRR MIENDNETYSNRRRQSDELFAESDYGHSNIYHVESDAVSEESESSGYETDDGETTDED LPPPATITHPRSILRRDSSASLQATTAEETNDSTSRRRGPIMGTFVADPHKPVALVDC TGKHLVIIPAYASSRHDWLESATNSICGTANNSPRATTMHLVDESDTDALASPNHVDL SPMLASSANLMMTALGNEVTTGGQVMGPPEAFYPSRDFAIDSSFEDDEEDDPEAALNV DDFIDFGNGSSDDDMDKEFDDDALASPMAAPTIPAAAGTPTPTRGGDSQTNSAERFLN HLDRGIVTAFRRNHNRYQALLRLPQHREFMPANSPSRPASVFRHAKHADQRTPTRKRK ANGYNGGEAVRRKLMDAHRRSQLPF AFLA_020710 MTEILEKLNLAADNNRVFSVSDEMQELLRKFKLIFKDLINGVPT AYHDLEMLLTNGNTQLQGAYSKLPGPIQKLIEKLPERWTETLAPEMIAVASERAAKSG VNIDNIGKAAAAANKMGIKVPSLKELVGKPAAIVGMLRSIMAFLRARFPAVLGMNVLW SLALSILLFVLWYCHKRGREVRLENERLVTEEEIEKLNEQSSSDEKIRTTETLTTTAP QGASAAEIREGVKDAQQSRERAIAAAESTQLKNDTNDNNPKPTRSKSILSIWGRSGQK PEPATKIQPYPGT AFLA_020720 MKAVDYEEDLVSGRLPGLSVSCSVYESVASFVYINQLVRSFPFV WLLLSIFCLYVWMSLVSFLAKFMGMDDRLKTANRSFTRSDRVGKPRRSPRKTHRHVKA KKMQEKVESQSPANPLTSPIVTMIVSHEQRVFVAHEEILCRSPLFRSLLKDEFVGDST NKAVALPDEEPEVLSCVLEFLYKGDYFPRLIRNKDTGSWELENSQNATTHTGGRGSSE ATMFHSAVGDIVLRDTVVYCAAEKYGLEGLKSLAIRKQGLQSGIPIDVILRSARYAYD NTPDSEYRLRSHYLAMIIRTRQIFKTSGTMQYEMEMGHKLFFDLFVAMCNHMDDLEEM SNNESPKMA AFLA_020730 MLLSSEQASTGRAGCQNKECKDEKVKIAKGELRLGTWVDTERIQ AFFWRHWGCVTPRIIASLNENLGDGDEKDYEQLDGFEDLTPENQEKVKKALEQGHVDD DEWKGDVEMNRPGKNGFRVRGSKKKAAAPEEREAEEKSPEPKTKKRGRQSIKDEAEET PETKKPKRGARGKRASEGDKTTKEEADDETATPAKPKAIRRGRASKNDTEDKKSPEAP AKAAKPTTRRQRKSIAKDEEAEPVVEEPAEEKPAEEKPKRGRRKKTA AFLA_020740 MDVRSALTNEATRTGGKKDVKFDIDDACYLKSNPTLVGHICADN DEPLDLGECLILSYTSVPQKDLEVFLETGVPPKGYVFVSFAEPSQGSSLIHESDLELI NRTFDLGDTVKRYQDDTISGTVISTAATCSLEPIAYRALDPETGEYGSLKFSEKAIKR EGSLATENDATNPPLLHEIPVGELKKYEEFSEGDYIVYRQKLGIIHGIDRDAILLLPN QSVVSPLDPSALEIPFSFETESLVALPSNMSATRSYPLANGGTIWSTESTFLFPGQFS FTARNNLSRGDLSSSAASISQPDGYVLATPAMDIQVDWLCPNVFAAGTSHNGTNIDVI RASTLLGNAVVCDFGQAPQGNPNTKPVHSDTWLNIGDRVRFRDSISAAARYPSYQHLP ADQTFGYDINILRVTSMKTEATVQWQDGTTTTEAATSLHKFFGVEDEVWPGNVVVLKD GIETIRESCKNHTGPFGHRMKETLHARRVGVVQNVDSRERIALVRWYKDPNIKMTHRG NMLVPGSFLGELSDAVTEVSIYELYAYSSMQRALNDLVLLAPGMVHRTSMPAINSEPT RAAGPCRLSSLSPITFSATISYLESMKLSIVNSEWFKRTTEIDTSPVPSRYSLHHEEF NVKLRTNFIGKIISIDTEGTTTVRTVGADNVHDIHVPWERIMMVIDDDNAIPPVSLPP FELLSLADIGRFVQPDDLPSTQTIEYEGGERLDNDSGDDDWTTEGESELDDEDFEDVE NNSDDTDRLMAPALSIINPPEKLDHDEMEQSGTDEAGAEDSRVDNRLLMVSLALPPSC PPSFSLLESSPPSDHHFFSTVPIEAPGLRIKRIQKEFEILQSSLPTGIFARTWESRMD LLRVLIIGPQGTPYEHAPFVIDFHFPDEYPTRPPAAYFHSWTDRNGMINPNLGENGNI CLSLLGTWPGKNPTESWSPTNSTVLQILVSIMGLVLVKMPFYNEAGYETLAAEEDRRV ESTQYTEKAFLLTRKFIQHALENPIAGLEDVLAWHYFAGPQQEHNDTCIRPRLLRRAI DEALSMIEHHNRTPAGGKLSEEHAASAFVSRLSLGAVVMLRKHITTLEKIELAANSFE RP AFLA_020750 MSEPGPESIPTSADPRSKRPVKRRAVTAQSEQASQIESLFRDPA KEIKLPDSSKPRSSGSLPPPPEIVANVQGSSAGAGSGEFHVYKASRRREYERLRMMET DVSREKEDKDWEKQREEARRRDEEKTEKNRKRREKRNAAKNKKKGAGSNGKGPDNMAV DGPTKGALDGDKNEDQNWLADAVEHAETPGVIIHED AFLA_020760 MQAPVVVMNTNSGERQVGRKAQLSNITAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EMLAQALPQLERNIHPVVIISAFKRALADALAIVEEVSIPVDIDDDKAMYTLIQSSIG TKFVSRWSELMCSLALKAVRTVSFDAGGGKREVDIKRYARIEKIPGGQIEDSEVIDGV MVNKDITHPKMRRRIENPRVVLLDCPLEYKKGESQTNIEITKEDDWNRILEIEEEQVK RMCDAILAVKPDVVITEKGVSDLAQHFLMKANITALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTACGLFEIEKIGDEYFTFLRKCQNPKACTILLRGPSKDIINEVERNLQ DAMAVARNVIFHPRLSPGGGAIEMAVSVKLGQLAKSIEGVQQWPYKAVADAMEVIPRT LAQNAGASPIRVLTRMRAKHAEGHTTWGLDGDSGALVDMKEYGVWEPEAVKLQSIKTA VESACLLLRVDDICSGKSAQQVGANLGGGDE AFLA_020770 MSGYFNPTALIPDPPSVHHERSSWEMNRYRSPEDGYFTESEEEV LNNLDEVAMAALPLHLQENMRELHLERVQRLKREKSNDPFYKPSYHATSPVIWYKDSI ATMEASQDTERSGRGGLPSSSSEQVDWYLWQRIHEMNGRRHMEGDTIAMAAPYSHSSS ARFNLAGNLFSKHSTSTEEDMETGYTGGRQSRSTYISQPTGTKARACVIHTVEDTEAP YEGPNAHERFRQRGSSMISAMRGRVRKSIQRMSKVFKKQSSLQDHNRAYETFVSS AFLA_020780 MRHFFRRIFGFRQHSSPHNSPQRRSMSSSTVAVLYALTLSVPSI STGPEDAKEKKHHVSGGFTNPWDLTWAHFLRRQINGTANRPDTTPPTVPVRKPEFLPS RETPKLRATWLGHACYYVEYPSGLRVLFDPVFEDRCSPISWLGPKRYTEMPCQIKDIP IIDAVVISHNHYDHLSYPTVKEISARHPNCHFFVPLGNEQWFKSSGIDNVTELDWWEE RDIVLSPSQSTGTQVKESAGNGSSSPGDIKGRVGCLPCQHTSNRGVFDRAKTLWASWY IESGGRKVYFAGDTGYRSVPELPDGADDHAPEYDFPVCPAFKQTGEFRGPFDLGLIPI GAYGPRFVWSPVHADPHDAVQIFQDTKCKKALGMHWGTWVLTEEDVLEPPRKLRDALR KHEIPEDGVFDICDIGESREF AFLA_020790 MDPNGPPSTSGLPSPGDLILQQRKDAIQAAAQPTGDGGLFAQLS SNPFFTAGFGLAGLGAGLSFAQKGIRHGAALLRRRMLVDVEISVKDDSYPWFLHWMTL YQRSQLNSAQSAASRSGYMETLLQKMTPGMRHLSIQTQKVEHSNGAIHTHFSLVPGPG RHVLRYKNAFIFVNRMRESKSLDLQTGRPWETITLTTLYSHRHVFEDLFREAHAYAAK SHEGKTSIYNSWGAEWKLFGQPRRKRPLESVILDEGVKERIVDDVKDFLSSGKWYHDR GIPYRRGYLLYGPPGTGKSSFIQALAGELDYDIAILNLSERGLTDDRLNHLLTIVPNR TLVLLEDVDAAFSNRRVQSDADGYRGANVTFSGLLNAMDGVASAEERVIFLTTNHVER LDPALVRPGRVDMTVRLGEVTRYQVACLWDRFYSELDTNGKYRKIFLDRLHELGLIED ENGREPDQPKATSAAALQGLFLYNKGNMDGAIAMAEALTYSVHEEAMEHDRPNGN AFLA_020800 MSIKWFRTLSRGPFFESLRQSHRTYPVNADFLRSSSFNFVNPRH NVISTTDCVTQKLLETDIAKLSDEEVLSLFTTGFFGGYAFACERVILRAGGWKLLSVK FSNSEDDPAAMTIWDYTKIPSSKLLPLGSRLFGSFKLIDKHISKAPGLEPSYVDYGFG SDQSRFAGCHRVQVTRSPQTEISLQQFICNPTKNTPSVRGYLEKFHFVYAKLLFADGV RSVLTRE AFLA_020810 MSQFQLFPSPSTTKASKNPFRLENQRTAGTHPMGSIPLNDLNGK DIRTEALLFQIVDDAKSIKPAKKTKTPRSTPVSVPETVQELRTPESLFSKSPKNGTTN QWGSPAYKVSQVSPTGSGKIHIATQLAQGTSEASSSPVIPMRSIFPQYNPDVSLSQQQ YYPQLSANTRKHRPKELSFTPPPEIDRALGPKTVPASVMNFPAGVLDQIEIQYSSITE LRSLWEAANGQRPQDLAGTFNLRVARTEAETYTFGDPQAPFYKMQTYSTNELSVTRTN PSKPNSSIPIMMLKLEDRRREPSNDGLVSILFSRLAAMLAIDEAEAFARKHHLGPSEA AEAEGKALKRAAAQESCRLSWNNAKHLYELHHPSLTKLTPPALVGAAGIPLSPVRSKY SGLLHISVSTPSKESNSRQPPTILVTTPMSANAVEGANMAATPRTSTLPLADSDELLA SLDLGTLTLSISAAAITATIPSLYAIDSLVTAMLAVAVSDEASNTVLTDMELYDPTKE ALSKHSSLNSNIKSLAEQEDAKEGIQLLSKIKFANSQSADSTQRKWFHFWRPQKPTKP KTKKIVVEEFDLEKYGRYGYGSSREGQKLPGLTRGCLRILFWGLDMLVRILTMMMGWD DENAYD AFLA_020820 MRAPHLFMAALAANLATAVPHGQDQGQGPSSPQYKNIMPLPTQR TNMNLPMRASHPMMRVDDPDTDDSQATPAPTATDGPRVITELVTETSTTCNCPTGSAS AGHVPLSSQAYVGGSSSSLAFGVNADAVPSAGVERGPVVGSSSGAGVFRPASTETVYA TPAAESSVRVFGPASSAHVEGVPVAASSEGVFGPASTETVFATVVSSVRVHGPASSEV AKATPVVETSQVFRPASTETVFATVVSSVRVHGPASSEVAKATPAAESSVRVLRPASS AHVEGTPAVESSRGVFGPASTETVEATPVAESSVRAFGPASSEAVKATPVAESGKGVF GPASSEAAKATPAVVSSVRVFGPASSANLEATPVAASSEAIFRPASTETVRVTPVAAT STRVFGPASSANAKATPTTLSSGRVFGPASSETSSRALFSTQVAVPSGVDPLRSGAPS GTPSGRPDQFQTFQGAAPEKMVPVLAMMGLSGLLGAWLVL AFLA_020830 MVTENGDFRYPPSPGEHNLSNRRRVPNPPRLNPLRINPPSPPSS RQFTATAPRAPPLPRSPRRPPAIPDDPFLRSPSPQPGMGGDYISHRPTYRMPATANPT FERLPRAPSRESDFDRPAYRRKTPPPQPRLRTSKSTSKLHSKPRKTLHSLERQSNESP QQGLGLPRFYHNGSTEANGEDALRSSVNSAMTSRSSVGQASGTERSSVLTKSSSITDL SPDTPDGSYEKEGGMSVEDAISMYLDGFSDVTEEPGSPDWRGECKARPLSPRPPTELT LDDGNTSDEHSPELDATKDLPPVPPLPALNPPDQNTLDEQFLGHTQLNEKQFSEPLDQ TTPNRPSDNSPLETKIFIPGTVPPPFLKPTESRDQYGFRKTSHHVTLQQYEAWSRPYA AFAKSRRIKWSELLKEHGMPTTEPRTFPPKSNKIKRLVRKGIPPEYRGAAWFFYAGGY EHLNRNPGLYDQLVSQAMESPSNDDKEHIERDLHRTFPDNIHFKPESTDGLGNSGASS GSSNLKHGSVTVETQMIQSLRRVLYAFALHNPQVGYTQSLNFITGLLLLFLPEEKAFW MLHIITSVYLPGTHEISLEGANIDLWILMVLLKDSTPLIYNKITGSAPGKSKTPPLTV DSRLPDITLGLTNWLMSLYIGTVPLETTLRIWDVFFYEGSKTFFRASLAIFKACERDI LAVSDPMEVFQVVQAVPKRLLDANTLLDECFVRRHRVGQGRIEELRACRRTAVRQEKL RRSKALSKGYLQAATDEWPTTRSRTPVPGVERSIADGWRHMKDAFR AFLA_020840 MGSKRIAKELAELTESPPEGITVELANESDIYQWKVYMDGPEGS PYHNGRFLVKLSLPTEYPFKPPSVSFGTKIYHPNVTNDDKGSMCLGMLRADEWKPSSK IAAVLEFARQLLVEPMPDDAVEGRIAEQYKNDRARYDEIAREWTRKYAMA AFLA_020850 MEPAFQRGDLLFLWNRSPRAEVGEIVVYNVRGKDIPIVHRVVRT FPEIEGKTKKVKEISESSPIPNNMLLTKGDNNVADDVELYARGQDYLNREEDIVGSVR GYIPMVGYVTILLSEYPWLKTALLGIMGLMVMLQRE AFLA_020860 MRTIGAFGHWDNLGVFISSTVFLLAGPPVYEGANFFTLGRILYY IPYHSPMHPGRVFTTFIAMGIVIEVITANGASLVANTSNPESTQNTGKALLKAALILQ IALMAGFVALASKFYYNCHRAGVLNSKVKRALYVLYCSCTLITIRTIYRTVEYFTAAS LNTSNIDDISPILKDEWFFWVFETVVMFANTTLLNVFHPMRWLPRSNRIYLATDGVTE VEGPGYEDRRPFLLTLFDPFDIVGMITKKGKKEKFWEVDHQPSTSV AFLA_020870 MNPAKPSQSSLFQVYLRLRPPISQQDDQAERCLTVEYPESQDVV EHDQGTPAPAATHIILQPPSDARKRAVEKFGFTKVFEESASQLTVFEDTGLDSIIRGV LLEGRDGLVATLGVTGSGKSHTILGSKTQRGLTQMSLDVIFKSLASTIKPPDNSIHPL LLSSVASSDQSESQIFTAQTFLEAVYGDPSADRGRNSRAQTPMSSSRAQTPLTVCFPP QSQLLRSSVLRPQAPFPSRFCGHNMAYGLRNGYSPTLNHMSRLIPNATIKASPRQMVP GLSLALSYTQPSFIGMNRAKQSQNLKEPAPAIIFPRRNLPQRPNVPPRSPDVSHLTLE LNPNSEYIVLVSMYEVYNDRIFDLLSPAIVPGQGSTVSRGGTNQKDRRRPLLFKSTEG SPDRKVVAGLRKIACSTYEEALAILEVGLTERKVTGTGANSVSSRSHGFFCLEVKRRM RNKRTGEETWMGNTLTVADLAGSERARTAKTAGSTLAEAGKINESLMYLGQCLQMQSE IQEGKTALVPFRQCKLTELLFSNSFPSSTQAPGPNRHPQKAIMVVTADPLGDYNATSQ ILRYSALAREVTVPRAPSATESVFSATVELRKSSASDRSTPNMATSEELEKALAEISR LTKENEALSVRLAEEEIMRAELDMRLKSSEETCLMIEQEVREECWAEMDERMEEERKK WQVALEEQAGHNDEHLDKKIELLSRGFQVHTDPEPSRDEKVEELEFEIDQLRSKVTSL ERELMCRSPTKKSKSKNTLEPSRNSNILGRESDIDMALQRMDQLKLADSMFSPAPPAG SPGKRLRKMATRKWDFAPEEEI AFLA_020880 MSTWVALNIEPAEAVEEEVDDTKEIQIEEALKLYQNALKLHSQG PAFYAQAAEAYDALLSSEIFKYPESLSDYKRAATELELTETSDYVGNADGAEPLGDYD INDSTSSTLLQTIYLAYKNHGQFVLDSLRAIIQNAAQESDSTPGLSAEIAERASTALT SFAEALERDDTDLNLWRQSARLCSTLQSYRLARYCLESVLADDENRLEVRTEQLGLEE TFAEERLRETLLSLQDRISVCQVPIKKPKKALLKFLKRQSDPYPYLPGLPDSLQDVLP SKGPLALSTARHDLKPLSPTWADLGKTILQALTDEEQGTIDLGPGTAISVSTPALSPE LKATATKETQVQDQSPRAQDEELSSDPKPITHMSGEDDNNMDFQPSVKHEALDSTAEH ADDHSSIDQRAEKQLIESLEIQTSQSPELANQQETPNADDADPKSSTNGARKRSSTSA VAEDQTESVRSKSRRTRLRESHAEASLQADEVSFDHNKYYEDRLEVFVRADEWMFGTV ESLLSKLGIEDLGSVDELRKQISPTPDGKDLPDSDINGKAEYILPRDLRHILKGWDEG KSQATLQCDNVAALQDIQGMGKSGLAIFLEHSRKSARKLGMKQVLSGIEELLMLMNTI NDGWFHLREAAFEWLKCLLMPDYGRISTQDGVFGTSNFTIINSTYTLFQWPDTLKETV VQILIREDETIYKGVSEYIEALERQILGASADTPFEYTTNHFAYLEMAQAIFELHLDI YASINNPNSEIDQGIRVQQKDRLARWSLLARTSLTHFMDYSSPGSHQDNIVLRHIWAS TFHSNMTTDAEREHVLLCLQELKHLLSRLKDPVISLVNNAIMPEISIEAVDQEISKLE SMDFFMRIFNTESEDPVGLIETIEPILEPASVQFVEENTSEEQGHSLPTSQLHEMGSF LDRGDATLRLFLWRRLQDAYRKIDYAPKVVSCHLRSIETIVRELWNPEHLEEPSEHRQ ITLLRWLKSLDGILNKTVTAVLQEPAKAYECFDMDHVKSSLSAVTLVLKLLHSFVLYE DSVRVGQLSGSDVRGALAKSLESFRDKLREMHVRCWILHYTLVREAIAQNPELFETPL EDRILYLRSVHNALGIRKMCKRSHKQFLKLVKSEIFSLDEKADYEYDICQLLYDIHGI KLSPVDGYLEDHGCPPEKLDRSTAILMIDFVMKQAKKMNIRDLSKSDLKYTIEKMQQA IGTTKSSPPLSYNKRILTAYLKSPLNPTEIFRAVRGVEDLALLPVPTESAVIAKNGWY FLLGHAALTKFRSQKRLSPVPTTDLDEAITWFRQDLEHNTQRWESWYRLAQTYDSKLE EDITWSADKINNNRTELVTWQRYAIHSYAMAVATAARNADPTPETRALVSDLYTDFGI RLYSSSREPLSMAAFSLSDFTRHYNSEENQQMYEALPFKEMRLYSVWNLASYLLKRAI PDKPKSWMTRYMLSKCLWKMFSCDDSVRGTSKHVHLDDLLDSLLDSIDALPQKRDSRS DPIFEPHYKLVSIVHKLVHRGVVTPAEGSKTLVATPWARKVPPPEEGAPWKPYIMSVI RNLKHADKSNWHHRMAVRAAHITYDDEKDAAAAAGAKGELTQQIFTKTMTIQVWRPEN ERPGRHFVYTTRYVYFFVALLEQLEDRASLDQLLRRVRKKQGDFINHAKLWEDLCLTY ARVIRKAGNINEGHDESVFKPIGWDEFVANTARLEGLLQLAPESITLLELLRDAVELK KLNNNLMKVSLLEDLIADIYSRLYEVNMPNVIEQANEENKEKMKVDHLLMASDGAADT PTPPTSAPASEAPAPRGRTKGIARRDIQKRAETIVQRKLAPRAPIAKAPAAAESEPSH GVGAVTSAPEQTKDTATSAAVADELASGQQSDIPNSLHDSADDESELSEIDDEKLSKL AAERSLLFPNLQDRGSLDPEVGMSAAASADGDGANEGAGDGEEDADREEDADLGDEGE TMVEEGETMVEEGDDGADGDEAEIDGEGGGEGEGEGEGEDEEDNEAAGEEEGVGEGDG EPEGEADANEANEMDVDDGGEQPAAAEADQESDHVSDSEAMDI AFLA_020890 MVAPAVPELYEEEDLFAAVDARTESLQNLRELGPPDLVYLVKQP KTNPTPQTGVYHHVTGIDASSSASLAAYVNTLTFSPLDKTHKVVSGIYCCYNAFSHLD MRVEVKIPGSLESYCIDERGDKRVATEALWLETFLCGVLRAYTYADDGSGDSIRKIVG VRRFNPVTNTEMEHKFMDAAERLFFLGRQLSSDPETQVPNTVSNHLTSGLLKYIRTTG RYTSGINLLEKLRIRDVEVSSLLARVLIMADEEVQAVRLMYDSLQDVPMDYALLDCQA AFCQSKGESEMALECAKRAVTAAPSEFSTWARLAEVYVNSEQWDLALLTLNSCPMFTY QDKDTPRMPQPSRIMLPILAESMLDEIDEGQPKQGDPHDYVHPSLRRLHASAYQGTFL KAYNLLTKIAAAIGWDQLLKIRSEVFVMEEEYRVERQHSKSIRRSSSIATNGNEDQQN GTNAEEQDENPETTPSENKEEQAGDSIEKPEQTMASEVVKSGKEEPDPSHSSYTQFRN KRLCERWLDNLFMVLYEDLRIYTIWRTEMAQYRQQAIEYKKSATEWEILGELAERLHH FDEAIEAYQHCMAIRFSPKAMRGVLKLYESKHDTRGMLGALIRLIAWQYRWYSEFSPE LLYLIRKLIEDEGAVKVRSIVQATNLPQPVLDLTHQYCQLCATFRSSGSDG AFLA_020900 MSTRKRKQEAEEEEELQALPSDESEEEEEYEDSEVGESEGEEEG SEEEVPSDEEEEEEEEPAEEQGPPATKKRKTAAAPAEEGEDEVKENGKGADENGVGEE DEEEAEEEDEGEEEAPEETAKTSGPAASAAKAKGATVPKEPEVDTGVEEEDE AFLA_020910 MKRSSSDAGLVSPRRDDRHPSTVPDGRPPPPKISKARACAECKR HKIRCEFKSGETSCNKCIRSGIKCVVNDFSQKFVDDDGIWKSQAAATIQQLQAAVSHL LRHDGLPELSSYPTGDAQNGPSPVASHHEHRPSLDGSQSISNHTSQHGPGVIMDVTRE PSQEPDLQDRELVPAPMRSLYEVTKLRNLRSNLIEQPKLTLLEEDFISRGVLSVHEAE ELFAYFSRTMNQLLWGGIILVHRDLTSVRRASTLLSAAVLTVAALHIPNRTETLNRCY HEYVSLVSSMSLTRAHTLDDVRGLCVGAFWLSELSWKLSGHAVRIATELGLHQSYQKM IRGHSDQYERAQLWYLLYVCDHHFSIAYGRPPVIHEDAAIKNYETFLQSPMVVPGDIR LLAQVALFMILTEAYRMFGSDTEQALTEEDFGQLRVYNVAVDQWRLLWQPRSADSPYV RTYPSKGVVLHYHFAKFQLNSLSLRALSPSNTPVFSMDRKESANIAISSAMACLNMVL EEPDIRDAIVGVPIFTHTMVTFSAVFLLKVAVNWNSAYLSLDGREVRRLVERVIELLN CVSAGERHLTRHIARGLGKMLDRFDSWETAWQGGPPAGGPADRSSSEVPGGANAMAQG FPPPDLIYDMVGTYGFGLDENLLDPSMANFEFLAH AFLA_020920 MQILITGAAGFIGQLLAKELLNDPSHQVTLTDINEPPIPTGVKY PHNARSITADLLKGADAVVDKSLDAVYAFHGIMSSGSEANFDLGMSVNVDATRVLLEA LRKTCPGVRVIYASSQAVYGQPLPEVVDDNIIPTPQSSYGAEKIICETLINEYTRRGF ITGFTLRFPTISVRPGRPTAAASSFLSGMIREPLNGEECVIPLEDRSFKSWLCSPRTL VYNLVLMLSLPADSVPPHIRQINVPGICVTIQEMMDALAKVGGQDKLALLKEKEDPSL RPILESWPTRFDNKQAISLGFKRDSSFEEAVRDYQLEINQ AFLA_020930 MEPTCLPPFANHFASSLLKGIPTNAQLTLTLLRIGEKNAAPLPP PPADSLNKAPSHPASLNPSQVNLDASEEEITQAAAPDPVAQAQEEEEKSRAKKPKKTI GSRIVGFFRGTTATGIESKLAVDRVRAAAGSDHAKSRLGILSRKGKRALPSGPVRFDA RYKGNRGAVVIDSALDPPVLYFTTDPDALLDDQRLESREKGTVSFTLPVTDIRELRKI GGLGWKGKLAAGWAVESKEVVDGLEIVGKDEKKQHYQLTAMKTRNQLFNRLVAIDGQV WESY AFLA_020940 MSGQSFTDPLPAPTAGWDNGPSKLELKEREKQGQLEAFGPTDSH ALSQIDHDEKGLAQKAGDTDEVTDVGWGHSPQGRIVAGLSNEDLWMLIRRFNKQIYYV KAVPDAPLQRLDLNRAEDEHFSPDKLRATLERFYTTIVVSLTAFYKHIVRLRSWREPR RTGLFCGVYFLAWLLDFLVPTIFCFLITLVVYPPCRIWLFPPAPIALVDSNTGGVQKP KAGVLGSHDSVTGAPEKMKGEAAEQEASNLIASAATVAVGSVAGKHDQGTPEGAPMED SVPDAMHTVAEAADAQTAAHGGVPDSTHDKTREPMKETVLNGANIGMRIMSDITDIYE KLGNALSPTPPFSSTTPYVRLASILSLGLLGSLITSSYVFVKMGTFVVGFGFFGDPVL AHLTAYLNRKYPGWIKLLELQK AFLA_020950 MSETCANPLLLGWIKEWLDQAKERNSKGVTVYKKAYESMKACPL VFQHPSEAQQLNGLGPKLCDRLTEKLKTHCAENGLPMPERPNNSAAAANGKRQSGEGA SESQPAKKPRKARPYVPALRSGPYALLLGLATLDENSSQGLTKAQLIDRAEPYCDSSF TAPSDPGKFFTAWSSMKTLLQKDLVYDHGHPLKKYALTEEGWEVAKRIKKTLPENQNT LSFGNQLDTSGAQNSNENGPESHRQENNEDLEAILNPSINQGNDSEDTTVTPITLPPN SFTVQLVLDTREVRTSTDRDYISNELSKKGISTQVRALELGDAMWVAKFHDPKFLSRY GEEGDEVMLDYIVERKRLDDLIGSIKDGRFHEQKFRLRRSGMKNVIYLIEEFAVSYDA NAAIAMKYQEMVASAIASTQVVNGYFVKKTKNLDDTIRYLARMTLLLRKMYTQETTPD SPDGPPTHTLSLIPSRQLSSSQSYLNALTQLRAETPSVTYAVTFPTFAALASKSESLS LRDVFLKMLMCTRGVTGDKALEIQRRWPTPRAFIQAFEALDQKGRETMVSDRLSSAVG RKKVAKVLSKKIAEVWGEDG AFLA_020960 MSPISDHASRNPADQDSRHFKSTFFVSNVHCSSCIAYITEVLSE LSGVFGVDVTILTHEVRVSHAAETSPSTLAKALIQAAFEVHHVTTCDDKGMVVSDIST TSWLSKDSVPFATQQASYSSSTTQNRHLANCDACRKEELQGISEVRNLSETTPTRLRD EKNSQLFGVSDEVSDIASLAPRKSAENAKSLQPLGDNGKFNARISIEGMSCASCVNTV TNEVQQLNFVMEITVNLLSNSATVLYTGPQSNIEKVIEHIEDIGFEASLDEVEQIGVT PPQNRTSSSYVAEIAIGGMTCGSCAGSVTRGLEELPFVTKVSVNLLSHSGTVEFDGHD HIDTIVEKIEDLGYDASVTSVLPQAGEGQDDGKIERRTVAIRVEGMFCHHCPEKVLNS LKELSNVEIDGTLSVKNPIVKVTYTPRPPSLTIRAILQRIDDVHDAFNATVYHPPSIE DRSRAIQLHERRRLLSRFLFVLIVAIPTFLIGIVFMSLVSSDNQIRKYLEQPMWAGSV SRMEWSLFIMTTPVMFYGTDLFHVRAAKEIYSLWRPGSRVPILRRFYRFGSMNLLISA GTTVAYVSSLAVLIIDAVVGASSMSNSTTYFDSVVFLTLFILAGRFIEAYSKARTGDA VASLGKLKPSEALLIVDNRAAEQNDVGASSGEDEIHRINIELLDVGDIVSIPHGASPP ADGVVVDTNTYQFDESSLTGESRPVKKSAGDPVYTGSVNVGQPVKIKVSAVGGASMLD QIIAVVREGQSRRAPLERVADLLTSHFVPIITLIAVLTFIIWLALGASGALPDDYLDV AHGGWAFWSLEFAIAVFVVACPCGLALAAPTALFVGGGLAARHGILVKGGGEAFQEAS RLDAIVFDKTGTLTEGGSLTVSDHELLITDSEELQVVWSLARKLEESSNHPIAHAVSA FCSGKESASILSSDIEERPGQGMKGRFTVSLVDKTDQSKRTTQYEAAIGNQRLLQSLT SPNFDDSQLSKLLSTYQTEGKSTAILSLRKVGSGSSNDPYFTPAIIFAISDSIRPDAA QIISQLQKRKVDVYMCTGDNQTTAHAVADMVGIPRSNVMANIMPAGKAEFVRQVQDGV YPPRTDSEAESQRNEQGTRSIVAFVGDGVNDSPALAAADVSIAMASGSDVAMNSASFI LLNSELNTILQLVVLSRRVFNRVKMNFGWAVVYNLCLVPVAAGVFYPIVNGHEMKIIG GETVMVDKHWRLSPVWAALAMALSSISVVCSSLALGIEKKTIKRLLGLDK AFLA_020970 MSSSRPGMRPPFPSKAGPRAPPMGRLASLKSPNPAAIRRPQPIG RPQPAKPTTHPKTSTCPNPGCPAPHIVEDDGQKVCSGCGTVISEANIVSEVTFGETSS GAAIVQGTFVGEDQTHVRSYGPGFQRGGGAESREITEQNGNRYINQLARALNIPESAS KAAGQVFKLAVGLNFIQGRRTKTVAAVCLYIACRRQDGNTVMLIDFADVLMINVFKLG RTYKALLEELRLGGNVFLMNPIDPESLIYRFAKQLEFGAATMQVASEAVRIVQRMNRD WMTTGRRPAGICGAALILAARMNNFRRTVREVVYVVKVTEITISQRLNEFGATESGEL TVDQFRSVQLENAHDPPSFTRAREGRKPSRSFKRRPTETAADIEGDLTDAATTPSNIA PQQQKRVDADGFAIPSLPIDPALIAADGERRMSTTSVESEIAPEVGENPGKPARGRPK GSKARPLPTPTPDQIASEEALENEMTAYLTKGSNMIESTTVPRKAVSESAEIDEAEFE SDPEVSNCLLSPAEVEIKERIWVHENKDYLRTQQAKALKRALEEADSQPGMHKPRKRR RGRSTRASTPAEATRRMLERRGFSKKINYRLLESLFGEEGADEAAKAEGERMSRSQSY NQGVFSGIVGNEDFLSVVHHPGSATIGIIVGIYNLGCFLGTGVCFMIGDRLGFRRTMW FSMTWIVAGAIAQTCAFSTAQLLASRFFTGIGTGIFTSIVPVYQSELCDARKRGMYVC SQPLAVGVGIVVAYWFDYGMSYVEGPVNWRLPIACQIIFALIVTVMVLGLPESPRWLY RRGRGGEGLQVLCDFHDRTANDPKIIEESQGITKAIQLDNLRGEYRWSQIFKKDELHT GRRVLLAYGLQFMNQMGGVNIIVVSCETYVTTVLETNVGLDKELSLLLGGVIQVMFVI GSFYPTFYSDRLGRKKPMMWGSFGLFLCMMMISILFLGSANSRIGTSLEKAAATASVP FFFLFMLIFGASINCIPWVYGPEILPLHVRAKGQALGVSANWLWNFFVAMIGPTLIND LAWKGYLIFMCFNLVFVPLLYFFYPETANLNLEEIDSLFMRKSPQSLDSSEEWKEPIV VTTSVETLKE AFLA_020980 MAASLLVDLESVEKTSSTVRYGMQHLHIAGKMADRLFHITMCPM MLNSFSDGPAMVLSPPQEHAFLQFPRHSGSIFSSGSSTSFGAKLAVSGPVNGKPSRKR SHDEAAFEEAMNSPSVPSIPAPAPAKKEEPIYGEGMVLLNPRTGLALSAETQTGTWYE ETLESAAAAPSVSSHSQAFQSSQANTSGRKSQRLDPSAPRLDDIALSSVQRLQDSGTD DNRRLLDATNRSPNEPLVDDATRLLGISWQRITFDGDGDMAAAVRGWKKYIDKQYSAY LLDSQILMKNRALNAYLVTARPVTPFGPANSNAFYLFNDDLTQAQLVASAWETCIQNL RSNPIVFEGTQILNAAHRSVNAASLQTQNILGANPADAGLPLLQTLSAQPVSNGVSVG LNGSVGMGTGMDIDA AFLA_020990 MSSEGEKARTSGEVSRPEPTLPTVNPAAERAEPPKPAFHPAVYV TVWITLSSSVILFNKHILDYAQFRFPIILTTWHLAFATFMTQVLARTTTLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNVTYLYLSVAFIQMLKATTPVAVLFATWGMGMAP VNYKVLMNVSLIVIGVIIASFGEIKFVLTGFLFQIGGIIFEATRLVMVQRLLSSAEYK MDPLVSLYYFAPVCAVMNGVTALFMEVPYVTMDHVYRVGVWTLLLNAVVAFLLNVSVV FLIGKTSSLVMTLCGVLKDILLVVASMMIWQTPVTLTQFFGYSIALVGLVYYKLGGDK IKEYTSQANRAWAEYGANHPAKRKSIIIGAIVLIFFLLAGSMAPSYAGGSVDRVKGLL GGATAGNA AFLA_021000 MLSLARRTLNRVPSFQDILQGRMTHPDISVDVLVIGAGPTGLGA AKRLNQIDGPSWLIVDSNETPGGLASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEAL PKEDDWYSHQRISYVRCQGQWVPYPFQNNISMLPKEEQVKCIDGMIDAALEARVANTK PKNFDEWIVRMMGTGIADLFMRPYNYKVWAVPTTKMQCAWLGERVAAPNVKAVTTNVI LNKTAGNWGPNATFRFPARGGTGGIWIAVADTLPKENTRFGEKGKVTKVNANNKTVQL ADGTTVGYKKLVSTMSVDFLAEAMGNQELVTLSKELFYSSTHVIGVGIRGARPDRIGD KCWLYFPEDNCPFYRATIFSNYSPYNQPEASKKLPTLQLADGSKPESDEAKEGPYWSI MLEVSESSMKPVNYETLLAESIQGLVNTEMLKPTDEIVSTYHRRFDHGYPTPSLEREG ALTQILPKLQNMDIWSRGRFGSWRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDF VNGRQNTERRLVDGAQVFAKGKSL AFLA_021010 MRLLLPFLVLPLAALATPFGELDKPVDPKAGNFSFSCRDIKLDG KPKDDAKPVGMGSPTILNAPFGNHVAIHSSIANVLMDRTHYRQRDSGWTVSLRMIMVT SNVSIIRASAFRVPCFYGLYVSLAWPNTSFDIT AFLA_021020 MCLIDNTGHAPTLPKSASADVDFELRAQMITPRQFQVPAQSPDC LTDICLDENPSLLASPMTEPARKTLKTTEGSKIDTSVSPHGEQVGFTVRHNKGSDAEP QKSEAELPHKACDAASLDITSSRNIRTGSGKRSSSPLPEIIDRNHQGDEYDMGEGSDL PASSIERDQHDASYTHSHRGTPNDDTAIEPPLPATQIEDGVAEPNALMFSKEEMDRIG AMLYREADAALRCLVSSQCEGAPIVEPDLMVSTSPKEHNGQDNLVDPHGGRLEPASNE REPSQHVMRASVQFQNQVNTMMAELYHQVEDKAEDFGLASLRRKPLGEWYKGT AFLA_021030 MDGDLSLSQALGGLRIANPDDSSLHSSEDATTPAPTAASEPPAD SESTRESTRSEQLDDHLSTTPPQPSIPPSTEYLHDAPATTEPLAKPVENPSSTYLPQT DSPQQAAQQSPAYISQQPYPQPSQQNRSLGSSRPLSGLYAYANGSTSTLAAREGSYRI RTDSAASSASESLARAESRGGSAAYQAGVPVRDNSHSDRSYRTAQLPIGNGPMVMRQP SRARARPSGAPQLAGPPYGVENGQSSNGEDWHDRGAAVAVRQEIDANGKPVARYIKKG VRDFSFGSTLGEGSYSTVVLGTDRQTLKEYAIKILDKRHIIKEKKVKYVNIEKDTLNR LTEHPGIVRLYYTFQDERSLYFVLDLCKGGELLGVLKRMTTFDEECTRFYGAQILDTI DYMHRRGVIHRDLKPENVLLDSQMHIKITDFGTAKILKDIPEEERASSFVGTAEYVSP ELLTDKNACKASDLWAFGCIIYQLLAGRPPFKAANEYLTFQKIVGLEYEFPLGFPAVA RDLVERLLVLDPTRRLPIEHIKSHEFFRGMTWGPDLWKQKAPRLKAYVPPPREPIKLN GAGEGDAFSAGVNAGQSSTNNANPRVVPRLVTELPPPSQLDIEWSPVLTKSNERILKL GNLLVLSSPAVHSPSTKSGSGESEAPKKFSRFFGGSTTKKRQRLVMVTSSARIIMAAA GGDEKKAKLEISLLSPGTHWRSSTDSKGFSCWVVDTVCLSLHVA AFLA_021040 MTKGCYTCRRRRIICDNGLPTCRKCRDAGKECLGYQKPLVWVKG GVASRGKMMGRSFDDVAQNTSDRSSEDDSNAAADPPPPEVGAQGQDSLDTGSYDVPQT LASDNTPDAAQWGSNFDLIIPETSISVVDHVPTPRGMVDPLFKDMDSVSRFYISHFHQ NVVGCLAFYSNVRNPYRDLTVLVGDSPVLAHALAATGALHYALLASCDFSPTPWLSDG ASVTGALPSPQDVEKAVISSMSRRPASKFYEQFLKLKQRTLRQLSLDLQDPLKQNDTK TLAVIMVLALMDAIESGDGAWKYHLEGAKKLLVSRQSKSPSSPTQRMIDWLDAFVFDS CLIMQVMGATLARPGSLSKPFYSSDIGPETLKRLEETAWIGCPAYLLEVIFFVHEGWC VDPDPSTNPPAMNYSSTFLPKGSNPLLQPPTALLQHIQAFDPVAWAEEMQSFLHLPDL SVRTALATIWRAAVYLYTSRVLSRPRAHGRAKSTIPGLPPDHKAITNLLIQQIPLIPV ADDHFKCLIWPTFIAGAECTDPSLRPILLQTLSAIYYDVTSVNVRNAAWVLSLMWQKR DSRRAQQQQDGDPFGSFVHDDGEDDFDWIQELDDSRIDWLFI AFLA_021050 MEAPINDFNGPGLYLFQVQHSKKYLDLDESKKANHTKVQQWEAR KHHEDQNWVVAAAGHDEYLILADKAGTCLTAPEKDREPATGNLKSATDKHIRWKFVCA EDGAYLYVILLF AFLA_021060 MLKLLIRRISHLLQDDSALYKTHKAWLSVRDLAVVYMRRILGIR NEGVVGALNKVGVRS AFLA_021070 MDEVYARTGESTDGKTDSATSCVILELNRQSRPPTKLEIVEVVR ENSVR AFLA_021080 MGKVHGSLARAGKVKAATPKVDKQEKPKTPKGRARKRIVYTRRF VNVTMTGGKRKMNANPSS AFLA_021090 MPHATSETDAPGDNPRRIVLCFDGTGNQFQGNESDTNIMKIYQM LDRHAPNQFHYYQPGIGTYVKGQSSSSGLVRFWPKIKSKIISAVDQAVGSSFSDHVLA GYRFLMRYYSEGDHIYIFGFSRGAYTARFLAEMVHELGLLSRGNEEMVHFAWETFSNY EQSRGNVPQTEKDRELNEFMKKFKRTFCRLGVGIHFLGLFDCVNSVGQFEIPFFRTSY RYIATPAAKYIRHAVSIHERRLKFKPALYMMDKNGLNSDFKEVWFAGNHSDVGGGYNL QKGQKHLLSDTPLNWMVQEVLHLEGSESKLEFQTTNVEDVLRAESVFPGKEEPGTNAW EVRRHTNQPHDCLWFGHASAFLMVIFWWILGMHPFTPHLLFLSFFSFLLFLCVVTILN EALAEILPIFTRLELEKGEWVPRRFPPNLGAPRDIPVEAKIHSSVNEMVKAGILDKES IPKKGGDNPNLPNPASVVSTLKRARKSLARPKAPPEDSVAEGPGNDAHKVHENGSMKG IKGVHKGKGVNGVNGVHELNSVNDVNGKGLNGAVES AFLA_021100 MQAPGRKKQFTTPKKARANVTCDAGDSRLSLVRYPLSLKRTIMG WLSTTTKSSWNPFSTGSSGSSLDSGGSLASLAFGSKDQKWFQSVLSKFGFNGVLATVL SFFLYALYLKFVNHDEKGLQTLIKEKASQYLGKIPGLNKLSFLKGHLPLGKFSKSLIP KATKGALGSIAGSSGGILSKLNPFNWKIFNKRKIAEEEDDMRYQAGEPYGDPEVLAPT LRDDLKAVGLKAGVQDLKVLLDVVKNKGKPIDDRDLTMEKLIAIVSSLPRNSKAREKL TGVLIDTLWQSLPHPPMTYLGNKYQYRTPDGSYNNPLQPDLGKAGSPYARNVPKLKHM HGVPPDPGLLFDLLMARSDETFKENPAGLSSVLFYHATIIIHDIFRSNRFDPNISDTS SYLDLAPLYGSSLEDQMKVRTKVRGLLKPDTFSEKRLIGMPPGVNAILVMYNRFHNYV ADNLLKINEGGRFSLPATKSEEDKKAALAKQDEDLFQTARLVTNGLYVNISLHDYIRG LANLHHSSSDWTLDPRVKINKIFDSEGVPRGIGNQVSVEFNLLYRFHSIISRRDEKWM NEFFADIFGQDKKVDQLTPQEFIQGLYRFEQSIPEDPSEREFGGLKRGENGKFSDADL VQLMKDSMEDPAGCFGARMVPKALRVIEILGIIQARKWQLASLNETRDFFKLKRHETF EDVNSNHEIADLLRKLYDDPDMVEMYPGLFLEDIKPRMDPGHGGCTPYTVGRAVFSDA VTLVRSDRFLTIDYTASNLTCWGYNEVQQDYDILGGSMFHKLFQRALPNWFPYNSLHI TQPMYTRKMNEQIAREIGTIDEYTLDDPSPPPKTVIVTKHSTITKLSKDQANFRVIWA KYLNEMIPGRDFSDYMLLGDKPANTAQKTLVKEILYSPAEFVQLLSETAVSVAKEQLA TETLNLTSELHQVDIVRDVAIPMVTRILADLFCLDLKTPENPNGTYNVAELYKYIIDV RIFGFNNDDPGLALQRRKWAREGAESLTKTTLKVVSNLPASEKSGKGIVKGAVSTAKS IASKIPLVGKLVGDGKGVEGQSTSGSLRWYGYNVAKELIASGKTPAEVADISWMNAVG GVGATIGVVRTPGLRKFTDVLNYFLQDENSHHWEEIQKLAASSDLESSNKSLRQYVLE AQRLTSTQRSIRLCAGKAVIDGQSFEPGNLVICLLGAACKDPDAVPDPEAFKLDRPSS AYIHFNVGPHECLGREIALSCITSLVRVCAGLKNLRAAPGQMGVLKSITTGTEKHFLN DSWSTLTVDPTTWKIHFEGQGQGIHHPPKIPVTAGRDLNALSNALKKQHQDKLQETVS KVANGVTAPLTKLIPSNGPSNGASTPGHLPLPINPFQNGNGNSNGVANGNTHPSLLHQ AVSSAAAIPQHALGTVHDVAHNTVGHLPGGQQVTDFTHGLVHPFAGAVSPGQTQTPPQ GQTQPHQGNWFFLPHGMENAAKQVPGHPFGQTA AFLA_021110 MHIIKPVWLTHGGERKDFEVYSCDVSPDGSRLVTAAGDGYVRIW STEAICNTNDPAVASKPKQLASMSNHSGTIHTVRFSPNGKYLASGADDKIVCIYTLDT NPPSHATTFGSNEAPPVENWRTVRRLIGHDNDVQDLGWSYDSSILVSVGLDSKVVVWS GHTFEKLKTISIHQSHVKGITFDPANKYFATASDDRTVRIFRFTSPAPNSTAHDQMNN FVLEQTISAPFANSPLTAYFRRCSWSPDGMHIAAANAVNGPVSSVAIINRGSWDGDIN LIGHEAPVEVCAFSPRLYASQPVDKQAMDNQHGAQNLVTVIACAGGDKSLSIWITSNP RPIVVAQELAAKSLSDLAWSPDGKCLYATALDGTILAVRFEDGDLGYATAMEENEKSL TKFGTNRKGAGITETPDGLLLEEKSKAGEIKGVEGRMGALMGDDQADNITNEKPAPLP SNAPTPARPSSPAPDAQKSQPNGTATPSAPEPEKPDPYQAKLERLKQRPTYTKDGKKR IAPLLVSGAGAAESSLPQARLMASVSNQVKADTPQSIVDLSKPFDGLPKGGLATLLFG NKRKLAQLEEDDDGHVEKRVALASQNGATPLLTNTPDGLLPARPQPAPTGQQPTPEFI RPAVTNPCMAMSQVRLAVPKVRNQILRAIDPNGKPTEPPSASGESSKSRVDVVFEARN PSAASLTGRAVDREPVRLTLFRGEQPLWQDFLPRTVLLVTGNQSMWAAACEDGSVYIW TPAGRRLVSALVLEAQPVILECNGPWILCISAVGMCYVWNVKHLSSPHPPVSLQPALD AAIHTLGAHPSAAPAITNARINSEGRIVVAMSNGEGYSYSPSMFTWQRISEPWWAVGS QYWNTTEAPVGNLQTADAQKDKDAKAAVSAGIIPFLERNTTSETLLRGRAYFLQRLIK VLLSREGYESFESSVSIAHLENRLAAALSLGAKEEFRLYLSMYAKRIGAEGLKMKVEE LLKGLIGGLFEEDEAGTAQRLQENEQEDRNWRESSETLCGWPREVLLKEVILALGKHR DLQRVTVPYAKLLGVVDGESDVGDAMET AFLA_021120 MDVASRQSSRLLRSSPSAITSRLLQNPRLPTSALTATNNLNSVR RRNVSSSPRSLAPESLLNFGAGSQPGGPPTYFSNRSALPMNTVIRFVPQQTAWIVERM GKFHRILEPGLAILIPFIDRIAYVKSLKESAIEIPSQNAITADNVTLELDGVLYTRVF DAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERATLNTNITQAINEAAQDW GVVCLRYEIRDIHAPEGVVAAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQSVI LASEAMRQEQINRAAGEAEAILLKAQATARGIDAVAKSIAADKENAHGALSLSVAEKY VDAFSNLAKEGTSVVVPGNVGDMSGMVASAMAIYKNVNQGQAHNVAAKTLGVEPIQGQ ASHNTSDKASEVDHEVKAEVEETSHENVADEVLEGFNEASQQKR AFLA_021130 MAATFSYAQAAKGVAPAQPSEKTAEPNQASKPEEQAEITTPEAE NTETVKTEESQEAEKAPVNTDKDVESTAVESSKADVSGTSSPSVGTSSTSTLPKDDES SNTPNGTSESTWDKQSQASGTDKQSNGTENAKEKSAEKEKSEPPKELKAAPLPAVNIW QQRKEAQEAKAKAVASKPAGSAAKTGTSKTASAASSVSGDAQQDQSKAGSKKKGGDVE GAKDRRSKGRDDTASLPPVGDAALWPTPQSAQGEEKKKSEKSPVIRPSGKEKWTPVPY VPTAVFTTPLPSAARRGGRAPRAGRDGARNGTHGSAAAADKATAGQVAQGSATKQSAP GERGRNEPNSARANSLPAPSRRSNSADAGMGDARKNQATDRNRGPKGSDNANIPPAGK HGHGGDNFRHHREGKGFSRNHDATHKGGDHNSRNPHLPVDSQANPRSGSSHERRFENG PKSAEFKDIPRESRADRGRGSHRGRGGFGGSQNSHYPNNHMSHNGFMHPKSFGFGERR SQQHSSGGHRMSLRSPSLPNSGSMYGVYPFPTDINTMYGYQPVHPGPMTAVPYQQYME PFSLMSMISMQLEYYFSVDNLCKDLFLRRHMDSQGYVPLAFIAGFKRIKTLTEDFELL RHVSRQLRNVDYLQNEDGIDRLRPREKWDQWVLPTDQREPAAQHEGPSKPDETAAAQN HVDGAVNGSTPIVNGTGEAPVSKTSLSSAAPEFSPSKAVEAQNEATVRIPCMPFPHN AFLA_021140 MSSIARPPDPCLVAIILIVRSRAGPRFVFHYPPNPLSENGLRAA PKGRRPSRAKSAKSNDSSSSEESGSTSDEDEEEAHAQSQNASSVHLAGSALSAGRRWS NFGVDDHGSMAASPGGDSQRAGSIGSGRGLRKRGANSDVEDDSGAGSDRQEDGAGGSG GGLRPPWESLLGLQADVWEKLLSPSRSWHKRRFEVGINDLAFVGWPVFVREDGTWRKQ RRKKKKTKPEWEGGELGHNEIPGDVRDDADEAIAASTETLSPHTMTASESQRASMGSI RSSRTLSEMLDGDDKDSMTMFNVVFVLDPPLLEYSMRIREIYDNIIKKFAKALKWEQA RTDYVWREAQHISHIKEKAKETRTSVNTLYSELINHSSLARAIYTVYSNISASKIASV SLSPDVSISLQIPPLTSTPYLPGPGDKAYPGLWLTTADSVTPADDPTADENTAPHQVL AKHFALLLLSDEATILKDVEASGGALAPALAHYIRCSKPTKSFAQISALSGIPLSTIQ MLASHLVYWRRARAIPPLHQRDVYFVSPNCDLSKLEVATAAYQLAFPTLPSLPKMLSA LSGTPRPYGSFIPSKDHKEAYFAILAWLLRGGWVTQLRTFARVKVSPEIKMAVERALR REEVDKYLSKQGSSILSDHSKHNGDTSKNDDDDASSSSSSSLASQDSGEETPMPGRYK PDSKLHLSHSLLDQDTSLKTASLILFPHRAPPLESRWLDEIVSRFPKQPRFSVRGRAN IDENDPEYAGLRTPMKDLWPVYIKYFNGMDALEKVPVRENLKRKLVWQVLTRLGLVTG SQSYIELDPSEQVLIGVRHW AFLA_021150 MGFGSPGTGVNPTKPTPPERGSFPLDHEGECKHLIAGYLKCIKS QRGVNDEECRKLAKGYLACRMDKNLMAPDDFKNLGLIFDKEDKKEHDKS AFLA_021160 MTTFKLNTGAEIPAIGFGTWQDAEAQEGAVVEAIKAGYRHIDTA RVYGTEKAVGKAIKKSGVPREQLFITTKLWNNKHHPDDVAQALQDSLNDLDLEYVDLF LMHWPVAWKRGDELFPKENGKPAVIDVDFVDTYKAMEKLLSTGKVKAIGVSNFSKADM EHLLKNTSVVPAAHQLEGHPWLQQRSFVDWHKEKGIHVTHYSPFGNQNELYSREGTIG KLIDDPVLVEIGKKYNKSSAQVALAWGVTQGHSVLPKSKTPSRIRDNLAGDFKLSEED MQKIHGIDRKLRFNDSSSDFGRDFFSDLDGKK AFLA_021170 MVHYGTILSGNSVIKSKGRRDYLRDRYGGIAVEMEAAGIMTRIP VAVIRGISDFVDSSKNDSWQPYAAITAAAYAKEVLTKLPSEARGSCPGREAELGYLDE ELGLRAQQPLQKSIAAVWGIIGVGKSQLASRFVHQQRSKHPEREIFWVNGETQEAFEQ SVAMMLSEDVRLSGKVQTKSPQKEAMDHVHTFFNEVSRLKDQRWLLVIDGINEKPFPS SPDRWPFSVHGLIRNLKRYYILLTARRRDVIEEYHPNRELKGLTIEDAANLLRSRVDA RLIEAGIYTLILYTVSMII AFLA_021180 MSWQDYVDQELIGKGLAHAAFIGEDLVVWASSEGFNLSEAERRA MFDAFENQDHFYESGLDLTGRHFHPAAADDRIIRVVQEGNGAMLVRMKGFIIVGEYGN LAPAQGQYFINKLADQLTAAGF AFLA_021190 MPTDKTTPTMGLQNINIPTAKRYLPLLISYIIDWVFIIISFPYT VHETVSTAVLVVVALIAPAVIIVLVTLVIIPGSWGRGATWRVKVWEWNAGWLGLALAV AGAFMATEGLKDLYGRPRPDMLARCDPDLSNIGDYVVGGLGGKVEGAPTVVSWEICRN RGKMLVVDGFVSFPSGHSSFAFAGLTYLSLWLCAKFSIGFPYLAHSPFGQDLRAQKRE TIRDLGAAPPVLLVILAFVPMAVAFFISASRWFDFRHHAFDIIFGSVMGMVFAWGAFR LYHLPIMRGGGWAWGARSRRHAFFKGVGLPSHIGGDNWSSMKDIPQTESRAAGQDIDL ESGSRNLAE AFLA_021200 MNPTLNSDTPPPPPPKPTSHEASRRGTPQLNPSLPGTPQTLHER PYRADTTMHRYSTATNPNALPRPPTVEEGWLPENVKEKSTIDLQTILKDPNLISALAS RHPSHTAHQEYLQSLLKYNKDLASHLLELQGRVGELRGSTETLLLTHQSLEVSWRKKQ TEMDAALAPWSPKALYQRLSASIAEQEAVCHAVEESFLEEEHHGRATEKEVADWIRRV RAEGAKLEARREAKARWDEGRVGGWR AFLA_021210 MSQPNPYILACDNPSAVLTLLRSDPSIASNQDEHGYSLLHAAAS YNHIDLLRALVKEFNVNVNLLDEDAETCLFVTETVEIAKCLVEELGVDYNHKNDEGFT AQESIETDGSFPEVAAYLRQVMGLPPAPAQDQVADSLNPAPPLPSNIKVNLGTVSEQE ANAGMDQVDPEFKRRIDELAAREDFQSEATQAQLRELVMEAIRGSNVDTQDREVRRRM D AFLA_021220 MVQQDVGEVSQLVQALEAASKKGKGKGKQSFTCKKSTFPVVGSD NISVDSWKFMDWDYKRGDLPTYARGLFTTRRKDGAPEIAVRGYDKFFNVDEVNDTKWR NIENNTRGPYELSVKENGCIIFLSGLEDDTLLVCSKHSTGSRNDAELSHAQAGEQWIE RHVSTVGKSVKDLARELRQMNATAVGELCDDSFEEHVLAYDESAAGFYLHGINYNVPD FRTCPGSEVHAFADKWGFKKAKFVEYDDIDSVKRFLDGCAESGTWDGRETEGFVVRCQ KNEGGKGPYQDWFFKYKFEEPYLMYRQWRECTKAVIAGKVPNIKKHKKITEEYLKYAR RQLIQNPHLAKEYQHNHGIIAMREGFLQERGLKGSEIIAMEAEGQEEVKNDVILVPIA SLGCGKTTVALALTKLFGWGHVQNDNIPKQKNKPKKFALDITNLLAAHPVVIADRNNH MRRERQQLMDDIFPVVPRARFVALQYVHEPKGQMLPGIREVTRRRVLDRGDNHQTIRA GSKNSEEIIGIMEGFLSRFEGVDTDREPDKSFDEVIDLDVSADSRGNLETVVTTLHKL YPQIVKQVPTPEELDAALDWAMSDYQVQIDLSHQYGAKNQKDKNKKGTQSTPTPSVTP ESLAKGIEYFCISLPAAEVSEVLQSLFPPSTDPEKARVYRQLANSRRIQPTFHVTLIH RASKKDRPEVWDGYTQQYIQTMKEKPESDPTVTPALAPARVRLERLIWDDRLMAFVAR IMPPEDEEQAGWACANDIPHVTVGTISPQVKPKESNDLLQRWHQVGSGGDTGIWEAEI PGVKVVNGTVGLVMSRR AFLA_021230 MATTSFRDSVNSLGWSRRDPDVPVRTNASSTSFLSRLQSWNPLG QGEGYVQLPTHEAPGAPLPAASRREEEDNFFACDGDPVPASERVPRKPMASVLIPVSC TSFVFFCFHRFHKADIALVSRWDRMLVFIACNAGAAVCFFICFFLFPVLSLKPRKFAI L AFLA_021240 MMSHAGYSMPNQYGSTNDGVDPSELTMHNSGFMPYNFGSQSFNF GGNSGIDTDELLDLEINGQNGIQRADSMNFLQDQHSSAGISMSHPNQMSQMYSNTPDG APMHSPFLHNSFNYDHFRAMNQQQPGAHMQNAGSHFEQNYMNTKGRPTLQTMDRSADV RSPMTPKTPALGGLTLGTPESGSFPTAQPIRTGLHPRHQKTLSNQWDGTPGSAHSFGV ESPISSPPNPHHVGISEILKSGKHASLPAKVDTHMPGTAQDLESQEAKRRRRRASHNL VERRRRDNINERIQDLSHLVPQHRLEDDKVRKQLVNNTAMSSTGAGSNAATSLLAGGN GRRAAGNITMGLPIEEKEKGPNKGDILNGAVGWMRDLMWSLHVKLQQEAELAELISSL GGTWPFEQTEEEKRMRTEILDALEKNDPSSFSYSRAPGSGLRVPKHTNMAGDPVASNG GLSPQNLSPSFNSGASSNSGTGQAQYWSSSGHAGMSFKEEDEYAMEMN AFLA_021250 MSANAQKPLPFQYQFAAGAVAGVSENVPRYPLDVVKTRVQLQSG TRAAGEEFYTGMFDCLRKIVKNEGASRLYRGISAPILMEAPKRATKFAANDSWGSFYR GLFGVDKQTQSLAVLTGATAGATESFVVVPFELVKIRLQDRASKYNGMLDVVKKIVQT EGPLALYNGLESTLWRHILWNAGYFGCIFQVRAQLPKVEPGNKTQQTRNDLIAGTIGG TAGTILNTPMDVVKSRIQNSPKVAGQTPKYNWAWPAVGTVMKEEGFGALYKGFIPKVL RLGPGGGILLVVFTGVMDFFRTMRGENV AFLA_021260 MLVVPLLAWALGPAPLAVQALTDGNSSIYHQKADLDTYKILQRN AAIQSQLSQTSVQGIKKMSDDEGEKFFLDYWYFGETNQTDLQSSQPSEKRQSSLHPRI YPYQPSHPLDLGETYDPHRWMGQFSPLLRREFKCPAGTNSCTSINRPNSCCSTGSTCE LVDDTGSGDVGCCPDGENCSGTIGSCQQGYTSCPASLGGGCCIPGYECVSGGCANVYT VTITVSSTVMVSTVTDTVPATSTVSSSSSSSSSTTKSSSESTGEFTPPARPTSLSTAT ASQTGSICPIGFYACSAVYQGGCCRTGRDCDTSSCPQTPSTTITTNDRTIVVPAETEA PPATTGRCASGWFRCADTAGGGCCPTGFACGSSCTAQETATATGTVAKEQPTNSAGDK NLPEGMKMVGISLLLGLLWTI AFLA_021270 MEEGMTADRQVCRSCPTTKYNPSPRLKLGCLIEFNLEVNKSVAG DPVTAETVFLFR AFLA_021280 MSATAAVGDIAGIATLIGQIYNAMGNHIIEKETLIMLLKDYEYR LIADQPYLARGLELRHCQPHAMNLYEKLVFLGEWLAAKHIKLRNAQGGLLSRRRWKFP WKFSGKKRVVFQQFLDQVQHASDRITFSWLCQLLR AFLA_021290 MAISQIPRIIGFQILRSLNLLISSAGNNDLFGLAKDFFWNRPDE ETGISDAFFYTYMIDTVINSLIDYYLSHVVAIMLILESERLHASNQSTADFIHDNVQN QPTTRSVTRASSFLYRMGGLRMFLKGLQTGLVYRATHFALTSLLQMALFRHDLLNPIA PVISTIVLAELHMAWTHATISATSSTGSLLKLRHNHKAWRKLLTPSLVCAAARAVIDY LPDAADSSFNLFFKSASTHDPSEHYIAYFEVSTMLPTLILRMTVVLPAFIALILVEAS FLPETETTIVPSTQGRRARMSALVWGKISKVRSGFAGVYGLVRRSTFFWLWELHAKRC LLQSVVDIIIMWLEGGFE AFLA_021300 MSFRGFRDNPPRRGGRGGPTTPQGRGNAKTNIYLPPDGRVPPPS PTVRETEDGIQKAIADPLTLRKLQLNSEYPPRPGYGTKGQPVVLWANYLNIIPDSNLI LYRYAVDVRPSVSGRKRAQIVRLVLEAPELSSVAANLVTDFKSTIISREKLPLTNNAI IVPVLYRSELEDEPAEDATQYKARILYTNTLRVSQLIEYLTSTDFNQYNEKLPMVQAL NILLNHYAMTSPDLVSRGGTRANRTFPLSNKAPLSDKAHLVGGLTAIRGFFSSVRLAA ARVLVNVNVSHGAFYNSGRLIDLIDTFQKANGKSLTDLNEFLHGIRGCTLHLRERRNR SGELIIRPKTIHSLARRGDGTDLPLNRKPEIQSFGAGSKGIKFWLEPRQAPASRSSLG SNKKRRQQSGPAASPAGPARLISVYDYFLESEFVSESRRHNYSTNTWGLAYNIRDQRP DLPVINISTRASPTYQLAQEFEILPGQIHNGELSPVQTQDMIRFAVRPPLQNAFSIVN QGADTVGLNPERNTILQFSGSWNITSTKFNVPGQRLRKWSYLLVSDKNVQDTFRDVKS FQPVVKKLQAALMDTGVPVDVPFPGKIVRVDKDDTADLDNTLRGAANSLDLLYIVLPK KESRWYLVIKRLCDVEYGLQTICSVGPKLVAKKGQTMEQYDKSLDQYMRNVAMKFNLK LGGTNHVVDNLRLSIINEDKTMIVGLDVTHPTGSSQVSSATAPSVAAMVASIDKTLGQ WPATIQVQSHGGKEEIDSLDGMFKRHLRLWKLLGKHASFPENIIVFRDGISEGQYMKC LTEELPLMRKACREIYPKEMHEKNLPKFTIIIVSKRHHTRFYPTEGQTADKNGNTPPC TIVDRSITDPHCFSFFLQPHSAIHGTARNAFYFVILDEVFSQRYTGKLRPKYKNVAEI VQDLTLNLSYLVGRATKGVRVCCPARYADLVCDRARCYLSRFYEPASETSSVASGAST VQATNRDVLVHEKIRNTMFYI AFLA_021310 MNPLLAERGNPPPRRKSCEACKTAKRRCDLAFPACSRCTTRNTP CIYPGRQPAIPQELIDEMVESIAQTVDTPLSFDAGVSCMTEFSIPELPDPPAVAHPFD VFDPQWDYIDINAPCLSSESHSGVLIPRPSNTMTAPVARPPMPLSAIIASRLQFAIDV LKDVPKMMVQENQTPWSHKQLYINGMPKYMQGESTYNFSSSYTHNRKQKKRLTNSTTD AYTSCALHITLNPLNRPLITSLINTNIQTLLTSPVPTTPLETLSHTHALLLYQIMLFF SPDPNSNVSLIPHIESSALTLLSCIYFPDPTNTPTIFPPTPEAKQDFWTSWIFQESAR RTVLFAFYLIQLYKSVQGYRNLVCDGRLGLVHSWYLSAHLWGAQDAAEFGAAWVEKDH FVVGELNFGRVLTEARAGDVDVFGRMLLVSYLGIEEARAWFLARGAIL AFLA_021320 MSTSTFFVCGATGTQGGAVINHLLKAGAEVHAITRNLDTPAAQN LQSRGVNLSQGDFTDLETFKQSMKGCTALFLNLMPDLRVPNSEVHQAENILSTAKEAG IRHVIYSSGFSVNEPQRLRNWDPNSFVAKILLNKQAVENKVRTAGFEYWTILRPGNFM ANYLHPLVQMYPGFVETGVWTTALLPETKLPMVDHNDIGAFGAAALFDPARFHEKEIE IASEFMHPEDVTKALSRATGRDVKVDFLSQEEIEKQATQNPFIGGQLLARDMAQFVDL EEVRAWKLPLGTFEKFLEREKERVKTTWSV AFLA_021330 MLVRARAIRCTSHLVISTNRALIPLNPSSISRTFSTYHALTRHS AESSELFEYTSGRWIFNESLRLAERRRVFNVPELKRLAAESINQSAKDVASFEKVAEG SFNRVFLVTMNDGTKLIARVPYPLIEPKYFVVASEVATLDYLRLHDIPVPKVFGYSAT SDNAAGTEYIFMEYIRGQILGNLWFDLSDDDCSKAIKNIVDLEARLFKLRFPASGSLY YTADLCSKTDRPPVPIEDPPSNGRFSIGPETTPRMWFGKRRELQVERGPYETAEAALT AGAKKELAYLARFGKRLQPRERVYRALYGYKEVSHLGQVRNLEDYLRVAPYLVPENIK SLCQPTIRHPDWHPNNILVSDDLKITGLIDWQHGSILPLFLNCGFPQHIWNCGDEVSK SLDTPKLPDNFDDLDDSDQLKELEILRKRRIHHYYAWYTAMLNPVHTIAMDHDLSLMK GLIFNHASNPWDGDMVSLKADLVYIAQNWDKLSNPSSGTKAGVCPLEYSNGEANSWLM FNSWQIGGDAQILNFRNHIGCGPDGWVPSDGYDKAKQREMKFKETAFEGLKSETTSES DLEKVWAKMSENWMFDDFDEEPYQ AFLA_021340 MYDPCYTCKRRRIQCDQSQTPCKKCLKAGLECYDKRPLRWVKGV AIRGRLQGVAAKDASTASTALATLDRVSGKKGNNDQICKVFIVYDSEENPFRRLISLA VNNSVLLKSVLALAARHRANSGYSFENAIVGASPDLLQIHQDALVFKHQAIQGLTHAL SDPTISEQDTTVASIFLLIFLDLLESGSDKWNFHLEGAKRLITSGQLHELQAGKSQDP GRTIEQIRKFIIKQIHVIETLGATFVRPKLLSGCTSLDHPDSLLQETVEQSFIGCPEY LLHAVQCLSAYRDSMVEPQPPTSTTSNTHMQDITSVLDLIQKFDCYTWASNLPESQKT STRYISNLCKLAQSYKLGALIYGQRILDALLDVNTPQEELVSELIGLIDALRDDGRLL KCVLWPIFVAGLECRSQAQRDFLITSLEKFWLDTNCLNVVNAAKALQSYWQKTDKQAS PTQWIFDIGDLDHDWLFI AFLA_021350 MSSSNDTHRSTRALHADDPLNLVTDVAPPIHLSTTYRFPSDPND LLPSVDPVDEFNGKNYVYSREFAPNATRFEAVLSSLIGGNAICYSTGLAALTAALVLL NPRRISIGEGYHGSHEVIGVLSRLSGLQKLDLHCSAESLEEGDVILLETPVNPLGTAF SIEEFAQKAHSRGAYLIVDSTFAPPSLQDPFQWGADIVMHSGSKYFGGHSDLLCGVLA TKRQDWTKKLFEDRLAFGSVIGNLEAWLGLRSLRTLEVRVERASKSSERLISWLNQGL NAQSPAPGSEEHIIQSILQKIHHASLQNEPWVRKQMPNGFGPVFSIVLQTEDFARVLP SKLHLFQHATSLGGVESLIEWRALSDSRVDRKLLRLSVGLENWEDLKNDLLKAFKSLL SQS AFLA_021360 MYLRAVHAETSIKALFELIQKNPLGVLTTAIPSTTQHFIQSSHI PWVLDIISDDPDAPVKGRLRGHMARQNPHSKTFIEALNAAGLPSASPLQQEVQVLFTA NPHHYVTPKFYTETKPATAKVVPTWNYAAVQVHGKATIYHDSSSPESAEYLQKQIQDL SELCETSVMGYTGEGGRPDSWKVTDAPERYIDIMKKNIIGIEITIEDIGGKFKMSQES TKGDREGVIQGFENLGTDVGRDVAALVRERGEMKDAAKK AFLA_021370 MAATAKRPEIIELARGLNGVPMCEEYECMISGMMYNPNIPKLLE ARHRCRGLTDDYNNLDTKTVPYDQIADKRMERLRALVGRVGDGTFIEPPFRPDYGSNL IIGSDCFVNWGLTVLDTSLVVIGDRVQIGTNVSIITAGHDTSVLSRRKFVEFGHPIFI EDDCWIGANVVILPGVRIGKGSTIGAGSIVTKDIPPYSVGAGIPCRVKKTIQSVEEEE NDPSNPWRNLVREDRE AFLA_021380 MLEARGRVGGRTYTVESDGFRYEMGGTWVTHHMAYLFKEITRYK LDRDLNLIHD AFLA_021390 MLSSNIRSRALGLSRRAQLQNTRLPPAGRRYKSDESLNRFSSKI TQPKSQGASQAMLYATGLTEEDMSKPQVGISSVWFEGNPCNMHLNDLSGIVRDSVRRA GLVPMRFNSVGVSDGISMGTEGMRYSLQSRELIADGIESVMNGQWYDANVSLPGCDKN MPGVLMAMGRTNRPSIMVYGGSIKPGCSAKGQKLDLVSAFQSYGQYITGQIDEKERFD IIRNACPGRGACGGMYTANTLATAIETMGMTVPGSSSCPADDPKKLVECENIGEVVKN MLREDIRPSDVLTRQAFENAMIVVNILGGSTNAVLHLIAIADSVGIKLTIDDFQAVSD KTPFLADLKPSGKYVMNDLYTIGGTPALLRYLLKEGLIDGSGITVTGKTMKENVASWP DFPADQDIIHPLSKPIKPSGHLQILRGSLAPGGSVGKITGKEGLRFEGTAKCYDYEDA FIESLERGEIKKGEKTVVIIRYEGPKGGPGMPEMLKPSSAIMGAGLGQDVALLTDGRF SGGSHGFLIGHIVPEAIEGGPIALVRDGDRIVIDAEERVIDLDIPAEELEKRRKEWKA PPFRYQKGTLKKYATLVSDASHGCVTDGPI AFLA_021400 MPTFQDEDADAIKALKQPWETETLAQVRTGKVKPTFTTQEPSAI YKQIRRGPLAVNDLGCEGDEHAFEFHGGPEKALLQYSARHYTRWKKELPQSKALFVPG AFGENLVASNANEHNMCIGDVVRIGEVIAQVTGPRQPCYKLNHRFQVPDMSKRAQDLC RTGWFYRILKTGTIQAGDQMTLLERPNPQWTIATIQHYLYRDMRNEEMMRQIVEIREL GMEYRGIFINRLRKQYENQARRLEGAPEKALTIWKDYLLLTKVKETPRIVSLVLRAIT PSEVPSPIVPGSHVRVRLNEGLIRPYSVVTGDSNQFCLAVALDEASRGGSRYIHREVQ PGDMLQCGPITASFPLSTVADHHVFIAGGIGITAFIAAAQHCERLGYPYHLHYLVRSA EDIALKEYLSGLGSNVTIYDKSSGKVFNAKHTMEQIHEGTHVYCCGSERLQDSVLTVA SSLGVSSSRLHFETFKAATSGDPFTADLAGSKTSIEVGEEQTLLDALREAGFDIPSSC EAGNCGTCRVGVKAGKVEHRGSGLMESDKNQAMLSCVSRGLGTVVLDL AFLA_021410 MTDQNAPNTQSNRIQIRVEPITTPADFNRFFEIAALTFGHQVQD GVWCAMNPGWDTPEGRSSGSARLAARWSTTTKDRHGNPNTIFLKAVLGDGSADEGKIV GVAIWEQASMVDGYGQAPATEMDNAHLEAVYPNQPGEQRYLRQVDLSLRRRRLEVIRE IATSASPAVMVLDLCVVDPSFQRLGIATRLVEWGLREAKARGGLEAVLEASSMGRHVY RKLGFEQEGGEFIYDVDEEFRDREQPSNVFMRTGRPVA AFLA_021420 MVSHDIRWTYFHTITLAMSVANLLLSSFAFRNYEDNATMVSQRA PDSAIPPMTSNNDHSPSQLQMFKKAIQDRTTILGSLFIFAYQGAEVSVSDWIVSFLIS YRGGDSRRVGYVSAGFWAGITLGQFLIVYPAHRIGEKIVVGLLVVGAICFQLMTWLIP NIIGEAVAVAILGLLLGPLYPCSTAVFAKFLPRSMQLVSLGFISALGSSGGAVFPFLT GILAQSMGTMVLHPICLVLYAVMIIS AFLA_021430 MVNAYLLIYNRDSGLERNDGQQCYYLQVWRLHKFKPYHQISVGH HRTPSWCMPRNHSPKHILHNLLILDMNKIANETITLAKSLACMGILQRGLEASKDGFE FCFCCFTD AFLA_021440 MCPVVKMRELVGDTGTVAVVAREAGFTQSPTGPGRFLKIICKDT KTRGSIEKTIILKPVSSKGTLRHSVNLPDRKFPGMAIQVGKRTNSLAFARADCHTCTS RGQKCDRQRPHCTTCISHGRKCGGFATPLSWDHRHDTEFRVLPTTSDTALNPFRCREP LPQGSRLLFHSILALCCRHLSQITGTPSSEEREHRNQAFKLLENALQSDQLARRGLTL LDPLLVLFTLDCTLSASGRWSTYLTRAHSLLEACGGPPALDNALIRSQVAMILWWDAT LALVSRQGTVFSQSYLDHLIHSEKKDKWSFYDLTGCPSDLVVIIFKLAELAHQSTIAS SMEWLTFNLNPIVQIEEQLRSWMHPSFAAPSYNQTNPSVDDGTRTHPLDEDTLHAHQD RHHCAEAWRHALLLYIDRLFRWDRSQIRPLSIPCLARLTLNHVKCCRRTSQTQKQLLL PVFLAGSETGDEEMRDMARGYCRWWSERSRYNMFHSVPVLLEDIWNGDTWWGDVVDEK TKGASSAEGSNVQFLFG AFLA_021450 MEQKNVVVIGAGVAGLTTALLLSRLPRYKVVVAAKHMPGDYDIE YASPWAGANYMPMSTRGTKAADWDKDTWAALEDLARNHPDAGIHFQGKWERIRLRLSI NNDEECEIHSRSKDVGTTTAKWFGELLSPSPWFKDVVPNFCTLPKSRHGPGFDSVTVF TSVCINTAVYLPWLVSQCLKNGVVFKRAVFNHILDATSPSVHPDQKVDLVINCAGLMA SKLGGVEDKTVVPARGQIVIVRNEAGKMLDVSGTDDGDGEACYVMTRAAGGGTILGGS YQLGNWDSQADPNLAVRIMKRAVKMCPQLTDGKEIEHLDIIRHSVGLRPVRANGTRIE KERIGDTWVVHNYGAGGAGYQSSYGCAQAAVNLAEEALATRAKL AFLA_021460 MALHQFDYIFALGTIFAFLDAWNIGANDVANSWATSVSSRSLKY WQAMILASIMEFCGSIGVGARVAETIRTKVVDVDLFKEDPSMLMLGMLCAVMGSSIYL TIATKFGMPVSTTHSIMGGVIGMGIASVGANGVSWWGGNINSGVVQVFLAWVIAPFMS GAFGAIVFLITKYGVMLRSNSVRNAFIAIPIYFGITSALLTMLIVWKGGSSRISLNDA ETVGVIIGVGAAVALIVTIFFLPWLYRRLLKEDWQLQWYHLFLGPLVLRRGEVPPPPE GYSIVQDFYSGHKTMEQLQAERAATQENRPSDLENEGELVKESQNTSSEALKSGTPSD APSVAPKPEFSIIGPRPEGKGFFHPAMLFWQFKRFFFRGIEQDVVGLQKKKNILTGDI EMTHAHAKHYDNRTEYMYSFLQVLTASTASFTHGANDVSNAIGPYATIYDIWQSGKLN SKSPVPYWILAFGGAAIAIGIWTYGYNIMRNLGNRITLHSPSRGFSMELGSAITIITA TRLKLPVSTTQCISGATVGVGLCSGTWRTINWRMILWIYFGWVITLPITGIISGCLMG IIINAPRAHLASVLFDRGSWKRAEELLIPPHEWQERLAGVVYPLVILSMEHFAASLSQ QRRLADAEECLAYVLETKRRVYGPEDPQTLETMVALGSIFTKEGRYAEAEVMTADALE MQKKQLSLTRNFVNAASRKMSAQYLDVPVLPTDVAFGLLADFDADQDPKKVSLIAGAY RDEKGLPWILPSVKQAKERIAADPRSHHEYLDIAGSPVFLNIARSLVFGTELAESWNV ASIQAVSGTGANHLGASFLAKHLKPQHVFIPDPTWVNHKTVWAVAAPEVAQREYPYYD PKTRSIKFADMLSTLDIDAQPNDVVILQACAHNPTGLDLTRDQWKQLADLALKKKLFV LFDSAYQGFATGNVEDDAWSVRYFTEHLLSSPDPDQRIPGLCVAQSFSKNFGLYGERV GALHLVAPSDVSIQGAKSQLSLIARAEYSNPPRFGAQIVQTVLTDPRLREQWQQDLNT MSSRIMGMRKQLRTRLEDLATPGDWSHIESQIGMFSYTGLSKEHVNKLKEEYHIYLMP SGRASLCGLNEGNVDYVARCISKVVKEV AFLA_021470 MNFTDGWYPPYPSLPPPEQLGYPTILPPLQPEDPIFLSTLQNWT SQSRIQSPPSKSPNQPSTDPGSGTVTPAPKTHPTEPTNYADLLRLYLTIKKDVSFKVT HPKQEKPTAPWVLRALTMLDHAECVAEAHLDLISSSDGEIQASQDQHSKMRDSAPFVL VVGSVKNMMKVNRHDEEGVRILQERVKALEKFTMDMDALSQ AFLA_021480 MNQAYEETVSTVSSPKRSGRRYGSNGSLEEPSGASRTSPAPGDT RGGSFSEREASRREAQNILSQSSQPESDSTPKASAPSVNPSEYPTPPPPPPKQDDAIG ALQRSGELERRASRRFSAYQIQKHLGTSSSGVPVLPTQHSPLPNRGRDVRESLNAEEP SLAEAFQPVKESTSDAVASPTTPKSERRQPHSVSTPPQSTHFTAEQPSPGKELTLFLQ YKSKIKKYVLPEGFTGLTIGRLQLAFIEKFAWNTHNNGADLPEIYIQDPVSGVRHELE DLNDVKDRSVLVLNVDILDEVKKHFDDEFGGVRRLIEGVKDALNGQESVMQRVSDRQI EAAKEMARLAAAPPVSVSSAKSGGPSKGSIAGSDSQLAELQSLRRDIAVLRQTYSNFQ SDIASSMNAIRTKASGVKSAATEVSLPSFEGDAGRARVNSGKKELGEESERLVARVDD LQDLVEDLRKDVVTRGVRPLPRQLESVGKDISAVTKEIKKMQEFLKREKPIWTKIWEK ELQLVCEERDQLTMQEDLAADLQDDVEKAAQTFALVEQATKEQTMQNNPSNGVTLRNT SRNVVIDPAVDPMKAKDSVLGEVRALQPNHESRLEAIERAEKARQKELENRRIGLFQK ELGAFVEEGKLKKSGGVEETERLRRAKDDRIRREVWERQQARAAEMEKAEAEAAAAQA DQAPQAESNPTDDAEAKGEDGAPDTGEEQEPSSPKGKEPISEEAERTESKKEESEPAK NDEDKPTQPEAS AFLA_021490 MASVNSLPAANNASGNTVNASSQNSGSRPSLRSSANSKADGGRR QAGSPLDGGQRRSNSQKAWTQGMNPITQRSSYSQQNGNMAQKQNAAPKPTSKESNTPD NHAHDRLVFLVTSFIGLAATITTKNGEKYTGIFSSSSLEPSESSFVLKMVQRPTKQEQ NRANGVSDATSPFLGSAPDHSMSFDVKDVVEISVPNVSTSDVTAKGANGASTGFRTDV DISGNLAMRERTLKRWEPSAETEVDLSLEATNTSAGWDQFEANARLFGATSSYDENLY TTRIDRSDPTYKQKEAEAARIAREIETTDVDNAHMREERGLTVPGDGGDEEDKYSGVR RDERNFPPLVSGQPNKYTPPARRQVAAQAAAAPSSSASAVKQVPKDTTPSTAAPPKDS TASQPAPSATAASAAPDADKSASAKPPSATSAAPKRTGTENATANVEAEVLDHFRQFA NSEKQKMQERRRNQASYDRTIKLNELMKFSKNFKLATPVPKDLVPILAKDPHKQEEII QRAQQQAEEKTSTKTSPTPEQKQTPRAPVSARHDSGTAPSATPSDRPAYPRGRQVYPP TGPHAGAGARPQHQTMNPARQTTGMLGHRLADNLQQRKGVAMGAVPAPLPIKDVRLPP TGPAGDQSGVTSPSKAQTPTSSASTKFNVRALEFKPNPAASTFTPGASSSSSPFVGGR SVSRATSPSAFFGAKKPRPVTERPSLKNQFNAIKRMKKESAENTEKDYTFNGGIPPAY KTLPTWDVPTGNEEKTYQHMFKPPVTVPAISPQNRSASNPQAPHQPHMPFQFPQTTPG IPPVSGPPHGPHLHPQHHASGPPHFDDPHRMQMSASTSQVFPSPRLQHGYPSPMAPHA QLAFGQPMPQFYVNQGGPQPGHMRPYPGAPQFMNPQANMGAPMMVQQPSSGPYMGVPQ GMAPYTPQMQMYSPNPGHAYPQHAPPQPHSGFPSPSRGAPMMMHQNSQQGQPPQPVMF MSPGQHGQPVYAAQQPGHMPPVRGNYPQQQPPFQSSPHQVHHYPPHQHRTPSSGYNQI PQMPPQVPAQAPPAAASGPQPVDAADEVK AFLA_021500 MDFLKSAVASAIAKGSSFPYSLGDRVDISDSIWTLHNATKRDDG SACSVFTFDIASNKSRLPLAKNAVRKSRTLRHPGVIKVLDTIETEASLYIITERVVPL SWHVKRRSLSEETSKWGLHTVASTLKFINEDASSVHGVVRASSVFASESGEWKLGGFD VLSSMNDEHAVIYTYASLVPDAARYTPPEVVKGGWDTIKRHPLTAVDAYGLGILIYEV FNGGFMGGDQVGKTTNIPPTMQASYKRLCTANPKLRLSPGHFVEQGKKHGGFFQTPLI RLTDDIESLGLKNDAEREEFINELDGLTEDFPEEFFKMKVLPELLKSVEFGGGGPKVL GAIIKIGSKLSSEEFNSRLTPVIVRLFANPDRALRVCLLDNLPLMIDNLPQKIVNDKI FPQMTSGFTDVAPVVREQTVKAVLAVIDKLSDRTINGDLLKFLARTANDEQPGIRTNT TICLGKIAKNLGQSSRSKVLVAAFTRSLRDPFVHARNAGLLSLAATMEFFTEEDCATK VLPAICPSLLDKEKMIRDQANKTLDVYLQRIRKFGNTMPETVLPPSTSSDASKDDARI GTSNDKSWAGWAISSFTNKLTAANGVIEPSANSAKPVEAEPARSASVPRPSKPSTSAQ LDLPKVTPRPAAQPLVHRSMSEQVVPVTNDIDEPDDVYEAWGAMDDEDGERADDPFSP AATTVSSTVSAPASKPAPVPYDDGGEPDFAGWLAAQSKAKKTLPKGLSKTTSAASSAR SASRNSTTKPKTVAPVKKIETKPKEEDLDDWGDAWD AFLA_021510 MDHEEEAPPPYSAVDPLTRNAIASAEVNRVILRLRGGDVSFGDT ASSDSSSSVVLASSPLTPANFTSAAAYFVERPVPTVDNERPILEHHLTIYPRSQSKDF PRRPRCWGSRTEHIIQQDWDMFLRYLFPPHLGLASSSDQLPRQVRAQIQRDRKDRPQE TDEQRRKRISAVITEWNQYFFEPRATCITFSYVTDPQNAPASPLCPRCYPAATRVSQE NRTAVTVRPTPSLTPRQPMSPLSPPPIYYPNAYNYPYTPATHAVEPTKPVEPATTLPV QRIRRLSTGSASSDSSLESIDSLSTTSELSTSDLATVRAQLLSLEDHHDRDLHEAAVG LRRQLTVLQESRRRDRLAGRNWRQGWGQYPQQHGYGRGWGGRWESPQQHERSAAEKRA LKEETRATRKAFRDVLRRAREEHKEQRRLKRNRRRLERSRQIEATPSEPSLEQQVQNL DLDHNRESQSTVQSFTSIPSPARSVAEISVISSISTPSTVSSHHLLEDEPQNGGKENQ KEAEKAKQSPKEIEKIKRKEVPRLEEKGKKSG AFLA_021520 MVDIVPLSSYPSYIDLLPSIQTCNITNLPENYFLKYYLYHALTW PQLSFVAVVRPKNGYSKHTAPGGAATASEQYPKVVGYVLAKMEEEPTDGVAHGHITSL SVMRTHRRLGIAERLMRMSQRAMAESHRAQYVSLHVRMSNTAALRLYRDTLGFKVETV ESKYYADGEDAYAMRMDLTDMWMDWAEIERKDRLRAEKEGKDADEGEEVGELGTEKEK EKMVKVRVGRGLGVGDLVEKNESQTQA AFLA_021530 MRVMIRLLPLLAAGGILPTWAADDSSSSTDTSTGTGKGMFTLEG TITGKVSDAATPTGTYQSITSTVTLGSGHGTVVGSHTLTGSDATATTASNTTTSNSVT VLGGTQTLNGTANATMTASASASSSPVVNTQPCNGYPEFCARNYSNITVVAAHNSPFV QSGSVAANQALEVEDQLNDGIRMLQFQTHLVNNTMYLCHSSCELLNVGTLEAYLTRVT KWMKAHPYDVVTILMGNSDYVDPGNFTAPVQNSGLMDLVYTPAKIPMALDDWPTLSNM IFSGKRAVMFLDYQANQTAYPWLMDEFSQLWETPFSPTDRDFPCDVQRPPDLAANDAK NRLYMANHNLNIQMDVLNLDLLIPNTALLNETNNVTGYGSLGLMASNCTKIWNRPPNF LLVDYYNYGPVNGTVFEVAAQMNNVTYNGKCCGVASAGMSLTPQSVMATALMIGGIQF LVSLF AFLA_021540 MANLPFFRKAPQRPEYGRSRSKVLWHRLLRSFLYLIAWIFLVLV VIGDVSNKPVLRQTWFLKIDLSNIIPLSVPNAVLINSIARSIGLHDFYTVGLWNFCEG YNDSGITKCSKPETLYWFNPVEIIMSELLSGATIALPGDITDALKIARIASHWMFALF ILSTILTFVMIFLSPLATSSRPPQSIAPDPNVNAAHPAHRRRTFIFLRAFPFFILTFL AALFTIVASVVATVMFIIFKNVFTSADYNLNIEAELGTRMMAFMWIASGCNLLSFILQ LGSCCAACCGGRKARKALKNGGANGLPVREKEGPHSPATTTATE AFLA_021550 MTSSTMNNTATRHKKPGIPTPAMREYLWMKQIGKSLSANTPLRP NKRTKPSEGDKTSLETRHRFLTAYKLRKLREKSGMRYGRNRERSRGHSSTVLGGSLYE DAKLYKMSLRQDTELYEELYGISPFQVPKSRCM AFLA_021560 MDGSVVEGISTSLQTCPPDLQGLYALEDLPSPPYISHLHVNDLG LTPPPGSQPQQPVDAQVPSQEEDHSLPDGGDQADTSNQFPGDQKAKYSAAGIGSSKLS VQDVDPVGFTGSTDPVQALFDDPLFDSEAVLRELDAAFASRKRAGDEAFSGLDLWGQP EKRRLVELQQDHCVPSPGETPSLSSPNSSHQPEQGGTAPHTPGIERLPSPNPLFDSLD ALFEDPDFKIPLIPDDEFPPDFELELPLAQGDRISHEPSNQDLADSTRNDQHPLELPE GSISKEPSVSELTKDRFSLDSSHLASNTSREILQRIHKEPEYTSPYPQYGGPLGYLPS APNIHVKYVEVAEDRMNYRLACLKDRVYHLTCERNKYKNALLQWTTIDPVTGKTREQQ LREENAMLRRVSSQHQNRVEQYKREATEWKNKLHEVGTIYNNLLYEIHVQKQVPAVAP IPDSYKPPRTSQARGQPPTPNSHPVTPAPSGDMQHVRQGSQPLPPQGVPPSVNGSQQP ASSATDRGSTPVTIDLTDETENKSAPSEPPTEGEQRRMEMLQSLRNKRYGWLEAGQTG HDFRTSTSQSPRPQQDTSILVEPSHSDPPDHPAAQNSPIDDDDELARAMEAELAEA AFLA_021570 MTTPFGKSYLLYDCRYSQRANPTWQFLGSSVWNMLTDYSASGLA NKRYLAAAGHNNVKLYDIKSTNPNPVMTFDGHTNNITGVAFHCEGKWMVTSSEDGTVK VWDTRTGSLQRNYAHKAPVNDVVIHPNQGELISGDRAGIVRVWDLGESVCTHQLIPED DVAVQSVSVASDGSLLCAGNKKGNVYIWRMVQDAELTRIVPMCTFQAHKDYLTRILLS PDVKHLATCSADHTAKVWNLDLDYPPAKIAAAQAAKAKAKGITPEPKETPSSPPPTDS LVNTPSSENGRADFINPFSFINGTPPPTNEPQQTFPVQEDGPPVDPNTNTLYLETTLA NHQRWVWDCAFSADSAYLVTVSSDHYARLWELASGQVIRQYSGHHRGAVCVALNDYSE PR AFLA_021580 MAVETAPTSSPVPIADLTKIASEACDSALNGVEGYEHTKVGEWN SQIINTILKALISATAPSTPSAAAPYRFTVNSTIVQQGLIDKSAAAEGAASNTGKRGM HSASGAFWDVNRDGMWTFKYPGADERGLDVVVSVTWFAVN AFLA_021590 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAVGLLNFGDRIGRISAGLFTIIAMAAMIYALCTFHWRAASIRKRGQSGIDDRFGPT VLALALLAAVVVNFILRITEN AFLA_021600 MRASLPFLTALGCIPAALAAPHPRVQSPEYVNWTTFKANGVNLG GWLVQESTIDSQFWGTYSGGADDEWGLCEHLGSRCGPVLEHRYATYITERDIDKLASV GVGVLRIPTTYAAWIKLPGSQLYSGNQTAYLKQIADYAITKYGMHIIVDVHSLPGGTN GLTIGEASGHWGWYYNETAFDYSMQVIDAVISFVQNSGSPQSYTIEPMNEPTDNPDMS VFGTPAALSDRGATWVLKYIRAVIDRVASVNPNIPVMFQGSFKPEQYWSNQLPADANL VFDVHTYYFERNVTSETLPARLYTDAQSKAGDGKFPVFTGEWAIQTLYQNSFALRERN VNAGLDAMYKYSQGSCYWTAKFSGNATVNGQGTQADYWNFEYFIDHGYIDLTRFHDTK AFLA_021610 MDLCSKLSRHWTVELQKAADEGILAPKSFPDAHCDLIERRSSSG IPIWHRDSSWAYIMARVPPWILRGVKSDSWEVIYQYKVAKIALIT AFLA_021620 MQVTNEKQKPPWKIPSRSLILFCPPIQLSKDILLLFPFLEPKPN NSSNSSSSTVSSRILSSSFSFSPYPTGLHHSPSPRKRFGSLVGLLTCDLLSYRRVPFS SPSSYSSCRLARTSFLFCIIFSDLREPKSLYFILFSLASFIPPLFLSAACSSSVAVPP FPGAACE AFLA_021630 MFRLLPWSSAIGGNKVMAEELKMLATSPHCAAPGVNSLALPQLK RKRSDSSDSATGQRAPVATKLRADDTPGNPVAIGQDPSLSLSSATATSQEPTTRSDRA SQPSHPSDNASRQNPDPSGFPVAKQDATPRKVNVDRLRETLEAQLSLEVLLKHNELRL IDQEIAKCQVALEQLRRCAEIPYPGSHAMGQSVSNGTGMAVLAPGNGPPPLSPAPWGV TDGPYTRHYARWLLPDPRFDGGELEPATPLGFGAPGTPLMEGRSTRGSSGDGYWASKS RLQRGSGNMKLQSLPNGYPPPKEKAGPMIIRRKSDGVLVKLVCLDCRRDNFSSTQGFI NHCRIAHNRNFASHDAAAVASGEPVEVDEAGAIIGGKNDTSSTASAGYVHPLIRSAHV IESSAKTPSASEASGDNATPQKWSVSSQQASSVVETPRPSAHPQPSQRNTPAKPADAF LGSPATPHLSSLMQLKGVGLDLDRLVGEAKTPVDLSAYSSDEGESDVEPAQPSVNASH GEKPTEARISRQPMRTTAPQAGSRRPSSRKGVDKTSHKPLTLETLTPTRAAPYQSPYG PPSSVAPIDDLRLREVDGIDRSANLSPNTVESNQAPSLVSDDDDDYGAASDSDSPGPS SSEAGDHEEDFSHIDVEDDDDTTGSTTTSDPKSDPATHPSPSFSKPLRGGSSKKKDDL LSASIVSLNRGKDEKRVSFASPDTSPKRKKDHKRKPSGGQ AFLA_021640 MNEDSTSFDFSEEGEDQHQPDPSTDFHPGHITRDTFQALLTCYP ATLEAVTRRKAIDRVLKTVSKRGKQAKRLNQAPPSQVVTPELDEGQKKQVEAEVEAFR ELDALRYEELPGVAAEKRALEKEEVVKLVEWKLKHGIFRPTLLGMVKANQAKTVQKAT SDAFTAVNPTTPAEGEAGAETGDKPETDPTASFPKPSLDALMKPLRGVGIATASLLLS VGTIRDPEHEAPFYSDDTYLWLCMKEFPCPGTRLGQESEKTEINKLGKKASKFRRPNG EINVKYDVSEYRTLWTAVNELRARLNESETPSGKVSCADIEKVAFVLRHIDVSGYLEE YDVVDHDLQPADEGIHEAKTNPGIKRKRPDKEDLKKGGRNSKKKTT AFLA_021650 MSIYTYIYPFLLLLTQSQALKWHTLLYDIGLLGAHPVTKYESFD LASPEPNILKWDPRCEDKYVFLSPRGHFYPHPGPLIFDNKGDLVWMEDRFGMVMDFRV QRFRGEDYLTFWVGEDDGTRGLGVYYMLDSTYTLTHTITPLNNQRGDVHEFQLTPAGT ALITIYEIIPYDLTPVNGPPSGWIYDCLFQEIDVETNTLLFQWRASDHYNITETYFPL NGKGGANSSKEAYDYFHINSVDKLDDGRYLVSSRYMHTVTCIGGDGEVLWVLGGKRNM FGDLSGGLATGFKWQHNARWVPGSFGSGGGNGDEGVDVITVFDNGANDHVMDEDHSRG LVIEVDANNWTATARHVYPAPGGFSAHSQGNMQVLEESGNVFVGWGKAAAYTEFSARG EVLCDTHWGPKMFFPLGWVKSYRTYKSDWVGRPVMPPDVAVDEGSKTVFVSWNGATDV AGWVLQRVGSSTEDEFETVDYLPKTGFETAIEMGEAGGYWRLVAVDFTGEELGYTEVF GVDHSESWSLSSALAEDDGLLHMLTAACAVGAVLAALWQTRKRISGAALSCITTGSTG LLI AFLA_021660 MRATLFTTILTGSLPLLAAAQTQATATAGTTTSTGSKCAAQNIV DDCKERMMGQLKACGVNEWKCLCEQQGNVVTCYNNCPGSAESGPEKQKQQVYCNAAKA LPSSSTTIASSTASATEAKKTSSSTATSTSTSTGAAALPTAAFGAVEGGLMLGVVLGV LGL AFLA_021670 MTCSQPNLRVTIIAADGLYKRDVFRFPDPFAVATVGGEQTHTTS VIKKTLNPYWNEMFDLRVNEDSILAIQIFDQKKFKKKDQGFLGVINVRIGDVIDLQMG GDEMLTRDLKKSNDNLVVHGKLIINLSTNLSTPNTNQANGLHRSHMQPSTSSGLVPQV SASTPQPSPGPSQADPTASNPSLHPQRVPSTTRPSSTIVPANGPPAPPNGQQGSRTNL SSFEDSQGRLPAGWERREDNLGRTYYVDHNTRTTTWTRPSNNYNEQTSRTQREASMQL ERRAHQSRMLPEDRTGASSPNLQENQQQAQTPPAGGSASAVSMMATGATTAGTGELPP GWEQRTTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGQNANGTNTTIQQQPVSQLGPL PSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRKLIYFRSQPA LRIMSGQCHVKVRRNNIFEDSYAEIMRQSASDLKKRLMIKFDGEDGLDYGGLSREFFF LLSHEMFNPFYCLFEYSAHDNYTLQINPHSGVNPEHLNYFKFIGRVVGLAIFHRRFLD SFFIGAFYKMMLRKKVSLQDMEGVDEDLHRNLTWTLDNDIEGIIELTFAVDDEKFGER RTIDLKPGGRDIPVTNENKGEYVELVTEWKIVKRVEEQFNAFMSGFNELIPADLVNVF DERELELLIGGIADIDVDDWKKHTDYRGYQESDEVIQNFWKIVRTWDAEQKSRLLQFT TGTSRIPVNGFKDLQGSDGPRRFTIEKSGDPGALPKSHTCFNRLDLPPYKTNDVLEHK LSIAVEETLGFGQE AFLA_021680 MNPEENEVKDERLQHQEEGVDDEEEIAAMKRRVAEMESEAAKLR EMQATLDQQSENLREDKEEIDARSIFVGNVDYGASPEEIQRISRAVAQ AFLA_021690 MTSRLVLVIGDLFIPDRAPDLPAKFRKLLTPNKIGQILCLGNLT DRSTFEFLRGIAPDLQLVKGDFDVDSPNLPLSKVVTHGSLRIGLTHGHTIIPPGDAEA LLIAARQMDVDILLWGGAHRFDAFEMEGRFFITPGSATGALSTGYWPEGEEPTPSFCL MDIQGDVLVLYVYQLKTDANGVETVAVEKVSFRKNTVQS AFLA_021700 MSSQAPHPTLLIPGPIEFDDAVLQSMAHYAESHVAPGFVKTFGE TLTLVRKLFQSTNPAAQPFVISGSGTLGWDVVASSLVEKGENALVLHTGYFADSFATC LQTYGANATQLKAPIGERPSFEEIEQALKEKPYKIITITHVDTSTGVLSDIKRIAEIV RRVSPNTLVVVDGVCSVGCEEIAFDEWDLDVVLTASQKAIGCPPGLSILMTSPRAIDV FKNRQSPPASYYSSIGNWLPIMQNYENFKPSYFATPPTQLVHALHTTLSQITSRPMAE RFAVHAQASDRVKAAVAELGLKQLAAKPENQAHAMTAIWLPEGLAPPDVLPGLLKRGV IFAAGLHKEAATKYIRFGHMGVSVSDPARKDIDNAIAALKEAMAEAKQAKGL AFLA_021710 MNSLNILSSRVIGQSSHSNRNRQRSRSQGDIPPVTAPADLSKLR SYSSHDFHSADVFEKSSDDGSGLTHAEDQLGLTFDEKSPLIRGLQKDGSFATKSTLGL VAQRFFDAVAEAIKFILSTLAAPGIFAIECFHEDDGRYSPIAPARKLGRFLFGSSASS ANTSAKGVKRTESRRRQGSTRKLRSHRSRDSIASSTSESEGDRKALKGSSGNKSRSSK AKSSKSDQMSDESTPRRSIRIKLHNEEALKRQRQRRSQSMDLDQSSDTGPLNPDSLKS PTSSSIHKVTRYPHSPVPPRPLIPPRLPSYTATSRTYRAPQKTLILDLDETLIHSLAK GGRMSSGHMVEVKLAAPMTTALNPGAPPTTLGPQHPILYYVHKRPHCDEFLRKICKWY KLVIFTASVQEYADPVIDWLEQERKYFHARYYRQHCTFRNGAYIKDLSSVEPDLSKVM ILDNSPMSYIFHEDNAIPIEGWINDPTDNGLLHLVPMLEALQYVTDVRAFLALRRGEA EA AFLA_021720 MALTPGLASFLRSLKTNPIDTSIDNLISLLKRRQIRHSRSCATA TAYLLLRVISACRTSDAAKLIERVQSVGRRLVAAQPKEMVVGNIVRRVLGLIRDEAED DREADFNLSEASESQPQTPRALDDPSEYSSGRFEGSDRGSSRPPLSQPAPISMFSLLS HPEPETSLPGTPASASPSGRLLGHVQSKDVRAEVLEGVNEIIDELGQVDDQIAAYALD HIHSNEIILTHTSSTTVQKFLLKAAAKRKFTVIHAESYPNNHEATHATVSGNSTGDEE ILSTESFQKPLIALGITVILIPDSAVFALMSRVNKVILGTHSVLANGGLVASAGTRVI ARAAKVHQTPVVVVSGVYKLSPVYPFDFDSLIEYGDSSNVIGYEDGDLVDQIDVQNPL YDYVPAELIDLYITNLGGHAPSYLYRIVSDHYRKEDISF AFLA_021730 MGKGQPRGLNAARKLANTRRENRWADLHYKKRLLGTAYKSSPFG GASHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVAAFVPNDGCLNFIDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS AFLA_021740 MDAAPKLENLRKIPSARLPPPVLFQGPPTSHNGSNISLTPPVPS VSPGGGVQRPALQRNRSSRQQGGLETPGSLSPFLSRTQSKGELDRSDAIWQEMQNALS EVELSAVASEHVFGEKHSEALEDLRTKQLRLAQAWARSEADEVVDPKNAATKSQSRPR ASSPATSHTGEQTATDDASQRNLDEETEKDILLARERREANDRYFDRVNNGVLDVVAK LEEVAQAMRAVERESRDIWSDNESITTETASTANTG AFLA_021750 MGFFAGFFSGFALTTTALYLTVQVHRSIRLEQRDAIRQQTQALN WLSSPIGAYDRRLAPKDSARPDNKTTEPVRPTMEDLLKHHWNQEVEKLAKKANESRWE DMRETAQEGWKTVVRLVKRE AFLA_021760 MAGGAAKYRHLSRKSSHRQALLRNLVTSLFKHESITTTWPKAKE AQRLAEKLITLGKKNTEASRRQALSTFYTPHDLLPKLFGPLRERYAERPGGYTRVLRV EPKKDDQAPSAILELVDGPKDMRFAMTARTVARQRSQGLDTLNELTRLNVQKVTRFRK DGIDDLEREIKKLELDGRKEEKAQKAQEKKESKQ AFLA_021770 MTVALQEDPDLALTKAMHGKSAEEKNAFFAMLKKNNAAHREITN EYVRRWRTEKGIDGTTDEARKERTTEYMGVVNNYYDLVTDFYEEAWAQSFHFCRFTVG ESFLQALARHEHYLAYKLGIKRGMEVLDVGCGVGGPAREIARFTGCQVVGVNNNGYQI ARATRHTQKAGLEEQVSFCKGDFMHLDFPDNTFDAVYVIEATVHAPSLQKVYEQIFRV LKPGGRFGVYEWVMTDRFDESNPKHRAIRLGIERGNGIVNMRTQNEAAEAIQAAGFVL EHEEDLAARPDPIPWYYPIAGELRHARSLWDLLTVLRMTKLGRGVMGYLLWTLETLRM APSGTAETATELASGADSLVEGGRLGLFTPMYFMIGRKPEI AFLA_021780 MTAEPKEIPQGPAVEAGRDQKYLAIVDDEAEAKLNGLREAHGAT WDSPDDPHNPYNWSLTRKVSIAIVVSCGQLATLMSTSMMAAALNQIAEDVGLSISVTQ ICFSIFLLGLAFGPFLVAALSEIYGRKFVWLVSNVWYILWNSLCPVGNNPGLMMAGRF LAGCGASAGVTLTAPMMADLFHAKDRGKSLALATLIPYLGPALGPIMGGLASQHIHWH WLFWILSSFEALVTVLGAIILKESYTPTLLRRKARAQNPNLYPKNSPLTQQFYIDLFT QLRKNIYRPLRLLLTRPIIQFLAIVYGLDFGIYVLMLSTYANLWIDRYHESETISSLN YLAIAIGTTIGAQAGGHLMDYIFRRMRDRPGAIVTPEFRIPYMIPSVLLIPIGLVWYG WSAENRISWVVVDIGAAIFTAGCFMVGQGMLAYLLDEFAHAASANAATRMLSNILGFA FPIFAPSLYDRLGFGWGNTLLALLYLGLGVPIPFVLWFWGPKIRAIGKEV AFLA_021790 MHWWERNGQTRTQYAGMRGSEEKAKVTFEWGSGIRMGDIRIVDV VVLIFIFSALNPNQWEKALRNIYRVLKPGGKVLFRDYGRGDLAQVRFKKGRYLDENFY IRGDGTRVFFFDRDELEEMWGRWTPEKGLPAKSEGEKPVLGKNDGVFEIHALAYDRRL VVNRQKKLKMYRCWIQGHFEKREKAVAENGEKSES AFLA_021800 MRDPPVSSSDYASNSTYFQDEKDRLAFETTSNRNHNPEDLTSEV SSAISFPSSYGNTSTATRDTPPPPYSSRGVSPAPSRSMSISSSGYPLPPQQVAMMQIA QPRPVFQRADNLVRNVLSRRSFDEQHEPGRLRRFSWESQ AFLA_021810 MSLLAVSAKLDELLLMKPKNGRLSSTMRALHHFHEATIPTVSSE RSQNMMRGKMIQLAFDSPFLMHAIIGAATSHLRRIYPEDNTYTMVEAYHWQKAIKQYS EEISTAVGPHNMDPLYSACLLMTIHSFSLEEYNPRSSFVFSDDPESLNWLMLQSGLRY LLQLTVPWMTQSMWWDVFKRSREGNPLYDDHRPGRVDLHPELADICGIDDSTTEETNP YHWPLRMLTPLLSLERSLKTFTHYTNYMGRLLPDYYDQLLKKDPPALIILSWWLALIV NLDVWWMETRAKSECVAICMYLEESDDPRILSLLEFPAQACGYLLKHVQERIERQYDL VVL AFLA_021820 MSSAEAERDPNPAELADREREEKERKAKEDAEQAKLPYKWTQTI KDVDVTIPVPGNLRGKDLDVVLTKTKIKVAVKGQEPIIEGDLPHPVILDECSWTLETT SQPPGKEVAVHLDKVNKVEWWPHVVTSAPKIDVSKITPESSKLSDLDGETRAMVEKMM YDQRQKEIGGVSSDEQRKMDLLKKFQAEHPEMDFSNAQIG AFLA_021830 MADSTGQNQQVLVDSALEQSLIPVQRSLSTFERVVTTHAPLLES LLLQLPTDSILKLYHTSRYLRSFLRSYPTAWKHLSFRLFFPSGTSSPLRVVIPGTLEP VVVPRQSRPYALDQLLMNVVVPFSPCLRSLELDNTAVSGQILISTVLYSRRETLEHLS VRGCKNVSLKYHIIPYLTMFGLQYDVDMEKSIGSSSSTKRLALKSLYTYRCRHHRRRP YLSSSLTRKDSDSEPTHELVNLCHKLGIWTDTAWCSTPAGRCYRRRGYVSMRVPQGSA EVWVVFDRLWRSKNWIGPVDHSSRPPKRDGKLWEHEETGCYGEALGTGESRDLGEGKM SPAHSRWSHKRFVENIRCDSCDEEIPERCEQCSVLMHCVGCRKTLCASCAYDRPYVRP RRPSSLSGESKQPFWWAPGATTSPCLMLDPLANTSESDTLNHNATAPHPVLKFHWCCT EPIFSGGGGISIGTPNRDVDQVRAAPLPRGQGWEDLEYSAQEWSKTFPKYAYGDPRKP DYTLETGHIAMMKWLLGPPDREPTACPRNLCQECYDTPQWKVHCKSCSKPLCIEHDLR GLRLRICGYRDLTLEKMAIQNRPGSSSQQTTSAYASSHVPYMSSSTEFALPYRTHRAV DSTASSFTEDNPADGVPDVGSHTLQGADDPPGPISLVHRRLRSVSVSNSNRSRSSSRS SVGCESQSDAAKWQGCQSFFCPQYRAVGDQRQRCGSVLRECSSCSVHLRERDGTCRRL EEEKARREQRWKEDMQMLEGILETKMANEVAEFAGQFFNSVEEPCISVEQVEDVHQNA QSPHHVRIFDGSPWMGPIAEEDVVDSIE AFLA_021840 MTTEMAAAPVLSTPDDRILEATEPVTTQEAPRALSDEELSITYD IDRTLKEIRDARYKRIALQFPDDMLPDAPRVFQLLSRGLNKRNVTDSSVSQTQKDGAS DTANSDGLAHSVSQLNMADTTETSPKLYILADTSYGTCCVDEVAAEHVNADVVVHYGR SCLSPTSRLPVIYVFTHKPLPIDPVVRAFKETYPDPTTKVILAADVTYTDHIPAVYSR LVNEGYTDLFATDLVHNPSSAIPNRTVPDSVRETPDSLSDWQLFHISDPPTALLMTLA SRVASIHIYPTDDPDNENVKPLPASTAIALRRRYATLTRLSTAPIFGILVNTLSVKNY LHIVEHVKQKIAEAGKKSYMFVVGKLNAAKVANFSEIDGWVVIGCWESSLVDSKDFWK PVITPFELELALKGDAERIWTGAWQSDFQSVLNQPNQEAKDMDEDETPLSSGATADEE EDMSESESAPPEFDLRTGRYVSHSRPMRNPAPRVSASAEDAASAANGPSAARALAKRA KGDLAMIGGTFSPGAEFLRSQRTWKGLGSDFNIQYEEDATDDTLVVEGRKGIARGYTV GDSIDRH AFLA_021850 MDAFEYNANPGRVVFGSGTLQKLPDEISRLNLKAPLVLSTPQQV SQAEMVKDVLKGKVAGIFNEATMHTPTHITEKALEYAKSQNADLVISIGGGSTIGLGK AISIRTGLPHICIPTTYAGSEMTPILGETADGLKKTRSDPKILPGTVIYDVDLTMTLP PAMSATSGVNAIAHAVEALYARNTNPVINLMAAEGTRALASALPEIVENPTSQSARAS ALYGAWLCGTCLGSVGMSIHHKLCHTLGGSFNLPHAETHTAVLPHAISYNAPKIPEAM KKLAEALPDSNGDAVQGLNALLSKLQVKRGLKDFGMKEEDIDKAADIAVSNPYWNPRE IERAPIRELIRRVWAGEPARADL AFLA_021860 MFDTVCTLPLSADLFAQAIHPKEPIVSVGLASGHVETFRLPSDE VDSDDDQASTSSSRNGRGHIDTMWRTRRHKGSCRCLTFGIDGESLYSAGTDGLVKAAK AETGVVENKIAIPPEKDGSVDAPTVIHALSPQTLLLATDSSALHLYDLRLPFSRVSAR PQQSHHPHDDYISSITPLPASDTSTSGFSKQWVSTGGTTLAVTDLRRGVMVRSEDQEE ELISSVYVGGLATTGTSRGEKVIVGGSSGVLTLWEKGAWDDQDERIYVQRSGGEGESL ETLAMVPDELGRGKMVAVGLGSGGVKFVRIGPNKVVSEVTHDETEGVVGLGFDVEGRM VSGGGQVVKVWHEAVGSGANGASAGEKHMLGDSDEDSDDDDNDDSDDSDGERRRADEA KRKRKKGKGKDRSGGQHVMAFYDLD AFLA_021870 MHQRALLFSAFWTAVQAQQAGTLTAETHPSLTWQKCAAGGTCTE QKGSVVLDSNWRWLHSVDGSTNCYTGNTWDATLCPDNESCASNCALDGADYEGTYGVT TSGDALTLQFVTGANIGSRLYLMADDDESYQTFNLLNNEFTFDVDASKLPCGLNGAVY FVSMDADGGVAKYSTNKAGAKYGTGYCDSQCPRDLKFINGQANVEGWEPSDSDKNAGV GGHGSCCPEMDIWEANSISTAYTPHPCDDTAQTMCEGDTCGGTYSSERYAGTCDPDGC DFNAYRMGNESFYGPSKLVDSSSPVTVVTQFITADGTDSGALSEIKRFYVQGGKVIAN AASNVDGVTGNSITADFCTAQKKAFGDDDIFAQHGGLQGMGNALSSMVLTLSIWDDHH SSMMWLDSSYPEDADATAPGVARGTCEPHAGDPEKVESQSGSATVTYSNIKYGPIGST FDAPA AFLA_021880 MAWYSQTVDILRDSVANHHAQLPVLAATGAASLTVGLLLRSLLT DKHPQGSVLHCPRAIVSSSASEAENGEIPLPNDVLPGARDVPTPYGSMRVYEWGPVDG PKVLFVHGITTPCIALGGVAHALADQGCRVMLFDLFGRGYSDCPSDLPQDDRLFATQI LLALSTSSVSWTGAGSGKFSLVGYSLGGGIAASFASFFPQLLSSLVLLAPAGLIRDSQ ISFQSRLLYSRGLIPERVLGFLVGRRLRAGPLTTPKPKSQKINAADALTEELPSQGGA NTQLLSRAYPHVTVPGAVKWQVNCHAGFVHAFMSSMQHGPILQQRQRESWERLGEYLS AQSKLSPEEQQDNGLPSDKVIIMCGEHDSVIVKDELVPDATSALQGNVEFRYFNAGHE FPSTKYDDVARALLEVLH AFLA_021890 MQEGRLTLEKQLQSQLAMAVAAGINFAQECANCATCRINSSRRL LKSQSLILDLFLSCARVAQDEFGYMTASLAESDKGFPVFRQVQLGKV AFLA_021900 METQATLNSIQKAVWDGRLPLQIRLAPSESRIYDQTDPYLISYP RISYLPSLLPRLRAFFASSLIDPSSNAHDGWFSFEGVPLKWHLPIGLLYDLYAGADPA SKGTAESEDAGWDIDDQDNPLPWRLVVHFSDWPDEELVRLDAEGMVMNDAFINSVKEA DFLRNGTAKGIMSLSKEDSSGLWKSVQNVELSSFQRISNILLPPLNQPFRNIPIRIFL PLPPDSGSPSLKVVQSPVPPLIPPSSVAASQLALSRSSITPQTQTIGSALHSLLPNLF PSRRTPVLAKPVLHGAAVPMSAPVEELVRSSAYGDGWLYVVIRMMG AFLA_021910 MVEAAAIRERLTQTARSVIAITRSFGTKQESQEALQKVTLMLCE ILNLLYQIREQLSWAEEKWVVAPARLNIIEEILGAFESTARTMEASFQPGGVSSRMFR KGLIERTFLPRLELYKVAFLVLMQPESHEKNFSEQQLRNSIRECRDLELSSTPKFTSE EDFHNTTAPVTSKNFIDLSNMCHRRQKDTCKWVFHDEKYKDWLFGRRRSLYCIGPAGV GKTFLSSAIIENLRNTFITPDVAVVFFFCQDERDEEASSLSMLSNILAQLVYRKGFAT HTTAALYRSEAFIEGRASAKSFHNAIKTEINHFSKVYLIVDGLDLLPEKDRVITRLQK LPEHAHLLFTLRDQRCADKNDSIPVIASRRDLTAYINSKIDQEPELLSLLQQYPPEYM IREAVTQQVVDKSYARLHMDLLTRCKDASILQRTLLHLPDSLNDSYAESMKQLASQNL YASRCIFWTLYAHRPLTVTELKSAVFFEPQNGAAQKEPSSFEHILHVETSGLLTVDPM TATVHLVHRTAKEYLTGAAARVFFPTARKHIAETCLTVITSDEVVDDCYINYGSTPRN SSGSLVSYAAANWGHHAREAEEEQTTQVLIGAFLNKLCWRRPPVTDNHALEEMRIPAQ LGLGGYFPDWTALHVLAFFGIVGKAQRTIEKGADVNAQENCMGITPLHCAAHRGHEEM VELLLDNKANINATCRDGSTAIHLAAEQGQRRIMRLLLMRRANSRTANRQGLTALQMA VGTAYDESTVPLLIKSRSDMDAQNATTGNSMLHVAVEQRRIRIILFLLERGASTDVFN KQGLNPLQLAAKTDNREALSLLLERGAKVEACSPFGSRPSHIAASQGNWVIFDLLLIS GADINAWDNNGEALLHGQARRASSTAVAAHLLGQGANIEARTSQGYTPLQCAAMSGNK KMFFFLVEKGAKVDIETSKGETLLHITPPSTQDSLDILNMLLQGGLEVDVLNTNGWTP LHQTVFVGTGAPDIEFDKTSEYIELLLSHGAQLDAAAESPTGETALHLATMSAIPRPS LVSFLIKHGASVNCKTTEGKTPLHLAAERGRDSIFRALLVAGADLTIKIPSSTVSDNE TEKGKTPLDIAKKHPMGALWFDEMGNLQLSVDDNQSTTAMPTPIEIETDSETDDDETG GSTLVEDEGSQWGSVTSTQVITIIE AFLA_021920 MPPQMQLQMQPQVQPQTQRHSFIGQDLAPNEFDAPRWTPDDVSR WLQINRFDDSIIQTFFTNDISGFILLELQTQDLKELGIQSFGKRRMLMGAIQNLRNSA SAGARAAQNDSYAREAPPTPFTASTLSSECQSNRATDDDGSRRKNNSSRGRRHRDNDQ SYKVQEDRPGEPVSIVAIEEVLPKLHSCSKGENCRKWQKQQARLAQMAKDLPIGTLNG RFVLMGDPGNPATAPNLIKTPGSEITPSLVASSDVMGPIDKSGFPLSKEKLREVQPRD PQENVRNFLSFQQLNKLQPVNEPGTPPKEVLPSPESESPASVKGNPLTENLRNLPKLQ IPATPDDDDLDFTPNLSSQRTAIPSVVRKKPYYDDDYPTAIPRGQKYAYGVDSSPGDF YRVDPHYGQETPVSEADAPMTAFPIGLVPREESQSVPPNMRFGSNRHVLADPIRRPSS SQGGGRRRESSHNIIPTLKPLDERRAVNPIDTPEDLQKAWERRNLLSPGRRSPNDVTH SGWMKKRKTTRLLRHEWEDHHFTLQGTQLAMHTDEESAHRRSKALEYIDVDDYAVACS SLASNSKLTAAFKKTVLKRKPDGLTDSAFGFSLIPASGSGNTVDKKALFLHSGKSHHF AVKTRDERIDWMRELMLAKALKRGASVNMNGNMI AFLA_021930 MSSARASASGPSTRTECPSDMSASESPVEESTRRTKSYQRSRSG CFTCRARRKKCDETRPICRSCTKLGLKCDYKPPRWWANTEHRRKQRDRLKDRVRQSKV MEKEGTIQEYEDRIRALVEKPSLGNDDGFSLSMFGEQPNLFAAPGSVPMVPFDMSVQT NTQAFINNVPLNQGLPFPAYNQFGGMPNPQLLQPTTQLPPISSPQHPLPIPQLQLPVN QLQAPNMQVQAPTDQAQPATTQFHVSAEQLQSPNTQLQSSSDQLQPATTQFQAPADQA QPAVTPFHASAEQFQSSASQREAPTDHGQPAATQFQASMDQSATGYQLPAQQIPSTAS QFQAHAEHFQSSTPQLHLPNGQFQSSAAEFQPPVDQAQTSATQFQVPAEQFQPSTPQL QSPVEQFQSSTSQTHSPAEQFQPSAAHPQPTTNHFHSGNAQFQPPLEQYQSTAQSQPS TGQLQPGNTHSSPAEQIQIPNTPFQPSAQFQSPNPQFQPTAAQFQAPGSQFQPLPTQF QVPGFQFPTPNMQLQAPAPNPNMPNNNWFQNNTNYAQSYNPCGPYPTYNPMSATGSMY QNVPLSSSLRSLISVEDRDRPLLDHFVDNVLRLIFPVIGVHQGGASYINEILKLMQSN RSYLHCCLSAGAIHLKTSMGMEDQMDHDIMQHRYAAISQLSRVLSRGSGHMQILDATL AMILYHCSVGTTDDYLPDVPWTTHFQAVTSIIKKLNCAPNQFNISLITWIDILGATMQ GTTPEFSHTHRTKHLSGTQSGLQQLMGCDDRVMYLISEIVCLEALKAETNMDELTIYS HVSAMTVQIDYTEPTDRTLEPPFDASGAVRQDMLTKIITTLYRIAARIYLFSLTPGFD FHEPSVVSQVARVAEILQYMPSGPRGFDRCLVWPLFIVGVHSVPSSVFRKTLAERVAA LGYMSDFGSFGRMYRVLKEIWKDSRPKSKGKANAKGKGKAKSKKRPVSDSDDSDGSES DLESDEESPAAAGSSRAAGKRPDKPREEKLHWREIMRRKKWNYLLL AFLA_021940 MAPQKPALHPLKTPKNMTFPSELRERTYTCLDADRPDKDVKKED EDTEITITPPPAYTEFLNTFSPIFSSPTHSRANFAKYMHDKPRPSPTSAPSSTTSTSF VHGYPQKDTQMATVPPYAASLSSKSPDHPHRLRLPPPYVHSPMTDSPRSAHTLRSPFT PSDNRMRPFDSPVNEHGPTISIRHVVTTTITLKRAPQLDPPPRGKRRNVARRNT AFLA_021950 MAGLTEVDRTRSRRDRTFVGSECAVCEEPLEHTLRGERVLQFSC AHVAHEACFYEFIREFEGQYCPTCDAPLGLDTSRGGNVLDIEKLSNMVRSVTSDAATQ RSGMTGATTPWDQSTNVSRGRLPSDAGSRPYNRDSRDIYSRRDSRDTGDPRERIERLT SGSRQHHSRNGSAAGSSGDYNDTQHPSNGRRHDYDLQAMESELSPRSGPTKNPIPAPT MTIRSEFPTLNRSRQQQPLTCLITIEVPEGWRADSDDLRHTSTGSPQPEDEPYSMMRF PAAQEPRPSPYEPQENLDEIAEELRTKVDNWHGLEFQRFGKLRLHGQMRVGKDRESWQ DLQCYLFAEMLICIKEKKVSDHRRQYDDKPRHTRCTLKGSILIKKHLKHIDADPDEPV LTLSLSVSELPCFYLSFQNRNQLEIWRRALLDLHQFDSLSRGADYDLDNSGAEEEDYR NSQIKRQASLNSSYGAARSNNTAITDYTSVAVESGPSPSLHIPLDIVVVIPVSSSMQG LKITLLRDALKFLVQNLGPRDRMGLVTFGSSGGGVPLVGMTTKSWGGWGKILNSIRPV GQKSLRADVVEGANVAMDLLMQRKLSNPISTILLISDSSTSDPDSVDFVVSRAEAAKV SIHSFGLGLTHKPDTMIELSTRTKGSYLYVKDWMMLRECVAGCLGALQTTSHQNVKLK LRLPEGSPAKFVKISGALHTTKRATGRDAEAALGDLRFGDKRDILVQLVIQPDNSSQE NMPQDPWESLVSGLEALGGGSDGDEQRVLSVEEVPLIQADITYGDLLRDGHLTHSPRP SLLAITMLPPNPKSRHSSRPMTPPIPPHPSIVQRRMELLTSDMLTRALTLVSRGHHDR AQHLLIETRSILKGLGKGSLPPLPPGAVKPSSISDSGSRGDTPTSTSPRSSTFGDSHS SAVSDSATITPGAAVDAQTMQALDGDLKAALEWINHPAVFGRDSRKAVLQGIGVISSQ RAYTFRSPSEAHWAQRVAGVRRLTERSKEWRETGDDALTEE AFLA_021960 MLFRTAWARQAAPLRRHAFTPLARRSVTTDAASSHAENVPQEDD KPFTVQLSDESFETYEIDPPPYTLEVTKKELKQMYHDMVSTRRMEMAADRLYKEKKIR GFCHLSTGQEAVATGIEHAITRDDKIITAYRCHGYAYMRGGTIRSIIGELLGRREGIA YGKGGSMHMFAPNFYGGNGIVGAQVPVGAGLAFAQQYNEEPTTSIVLYGDGASNQGQV FEAFNMAKLWNLPVLFGCENNKYGMGTSAARSSALTEYYKRGQYIPGIKVNGMDVLAT KAAVQYAKNYAVSGNGPLVMEYVTYRYGGHSMSDPGTTYRSREEIQRMRSTHDPIAGL KQKILDWKVMTEDELKALDKAARAFVDEEVSIAENMPVPDNSTRILFEDIYVRGSEPR WMRGRTVDETFYY AFLA_021970 MYSWHGHGPNHHAAANAATTTPGRRLESPNPSSHSSYPMSQLRW MSRPHNAPPGALPTLSSNLRMGATAAPGGGAAAVGGGTTAGGKAIAGPGPRTNTPQHA PGVALVVESRVSAESIESSDSDQSDVASGGRDVVGADALGDTDYVPSHGDVQESRRGG EGGAGGATTTGGAVMGSAGRNSIMDRVLGDDDMDSSGMAGDTPRKHGKRLTTLEEVSL FNICNRHAEEFGQRSNLCKWWMTVTAEFTRDQGHPYSWHSVRRKVELVTKQRMKFLEE QREKGGSENEDLSNPRWRSAVDAWIPTWQRWEEAEARRIEKRDSRRSRKRKERSWEPA WDAPSSNGWRQTSSPAVDNTAISGNQSQGPLPPPPAPAPTAPAPVTSNLVRLPPGFEN MFANQPSNSPGWSSQHPASTSALPSAGENGMMSAVIETLGKLNKHLDAVSSEPQSSPL IASLASNLESQRRARLLSQEEVTPDEGERQEKALPASTISQLKEELRQELRDEIRSEL EKDRAALEEKLDSVQRTQEMILEMLRQEPA AFLA_021980 MRNRATTPLSKPKLRQSWSKYNLFNLQRLRNPPTGSKTFFQQKW TAKSMARSYHGEQVRESQWARMFSRRIRSVVPMSPARLAQDDGSGMSAGRGAGVEGTK DFPRLTPFTQMTFAPLERRLDVAIFRAMFASSARQARQFVVHGAVTVNGKQMRYPGYL LNPGDLFQVDPERVMYATGAPKDKHERREGRIARKKSAEAQEAEAEAKEEGATEESGE NKEAESEEQGQKKENDDPRETLKVLLAQAKTIMAGSKDVLPAKRKQELRGFQKAVKRV LSRSDSSTVLADNLESQFSELITLLKAKPAEKKDNKRPKRDQSSDETQNVSESASESA TASESQPGEALTEAFRQAAENPEEEVDTSELTDEELDVLKRALVQMRDNPIDSTKPYA TPWRPRDYMSAFAFIPRYLEVNHNICAAVYLRHPVARPGYSEVPTPFGEPVGTAAFAW YLRRR AFLA_021990 MAIGMEENDDDFFSDDGFDDLPPGTLFQLEQNAVLANQAAQPQS QTTAAIGQPSRAFASHNIQAAASEQSFLSNASLKPPAHLHTGLTGDYGALDVGELDAV VLEDETELMHGLDQPAVPTEQSGSYQFNPEGEGEQAYPEAMEVEAEASHTKRGHVAYG MINDKLAAENERYKRMTEELAAARSMAETKAGEIAIIRSNQAKLAENYERQVAALRKA MAEEIARHKEEVEAARAEGKMLATENAFLKQDLAEESMRISNLKAKGRAEEKPAPGTP KKHKALPFRDGFDDDEILAASPSKSTRYKRMSPTVGGKRKRRLSEDSPIPLELSPQPE PMHVETAVDDMSDDALDEAIPNRTAHVDDQSSQLVKRLLNHRTFPNENSDIEVMARLT FPSEPQRTLSSILLEEVANLDIGSYLLEYARAIISLWSRALREKFFEPVPIFMGIMRH VLALDAPSSTSKLIEHLVPLLQESGEVNGIPRFKHSPVSRQNFGQVRQTPLSELQPLV NSTEALGLLYQMACSCLHIDRVLEQFWRYMRYDFILMMLNCSQSISDIILTLNLLSTS IRIESFGSVQDTEQDQIANENYIVDRVANLLSEIPQVDEGQPPYMASEICDMRLEALS LLTSVAFNQEAPTSTHGSMVIASHSTALARLIRAMHDELDALYASPPEKELRAELVNG LMRLVYGVIRRHPEHVDLQSKLSRVAGGKQKFLVVLTRLAFSEGQILEAGIEDETVEM AHEILDDAVNPQEAEALLEAFPSSKQQE AFLA_022000 MSKPRRNVRFPHRASETRRLSISDASDAASEPGSPSKNGSVSKP ETIVEEGTNRKHRQKPEQPQMSDYEKKKQTFITRTIWTFVMIFGFFIAMFSGHIYIIG LVTAIQIISFKEVIAIANVPSKEKNLRFTKSLNWYFLATTMYFLYGESVIYYFKHVLL VDKVLLPLATHHRFISFTLYVMGFVFFVASLQKGHYRFQFTQFAWTHMALYLIVVQAH FVMNNILEGMIWFFLPASLVITNDIFAYVCGITFGRTQLIQLSPKKTVEGFLGAWICT IIFGYFMTNVLMRYKYFICPVNDLGSNVLTGLECTPNPAFMPQPYQVPEWTGVDKTFY VEPIQFHILIFATFASLIAPFGGFFASGLKRTFKIKDFGESIPGHGGITDRMDCQFIM GFFAYMYYHSFIAVYKATVGDVIETAINGLTVEEQLEVVRGLGKYLYNQGTVSETVSI PSNILFIKSRIYRVTNKM AFLA_022010 MKHSLIVSETLFDLLELYTHSRGSTSVGPHFPADRFLTVRIPLN KEAEAQGLPRYTHWVNESRDPKATNGAKSGKELALEKAGARLHPLTPVAANGERPRAG EKGRDGAVRFMLDTECADAEKARVSEYFKVEMEEYEVEE AFLA_022020 MVPENKDGLNNQAASETSIPEPPSIHPSFIQVAKPYIFEQTIQQ CIAAMGVNPLREEGLRLQGVTWIDNDAAAAALFTACKIEDTLKKSREIVFEVHARGII GLERLMLEASGFDFRTRHPQKTLIKLARHYGLTSQSQVSNVAYRISQDLYRTFAPIKQ TASTMAFTCLELAGRLLDQRIEAVELGVDYEKWKTSREEVMGKCSS AFLA_022030 MAPIPDAAVHPEATGAAKVLVENHRAEQPLKLYAGWFCPFVQRV WLALEEKQIPYQYIEVNPYHKPQSLLSLNPRGLVPTLSCLVKDGGVRAAKPLYESTVI LEYLEEAYPDSSPRLLPVDPYDRARVRIWIDYVTSRIIPAFHRFLQYQPKAGGEDPTA GLERLRQEFLGHLKEWTREMHVDGPFFLGDQITLPDLVLAPWAVRLWVFDEYKSGGLG IPAGGNGGEDELVWQRWRKWLKAVEDRRSVRETTSEKGYYLPVYKRYADNVAQSELAK ATREGRGVP AFLA_022040 MAPVPASLLRALSISDPSKASLSTAGLGSGFTSTGAIRATVPSA DGQYEEERRYFVKTSADGKAAEEMFRGEYESLNAIATSVPGFCPRALAWGPLEESNGK SFYLATEFLDLGGGGRTGQSLAQRLGKLHSTPAPLDPETEKRRFGFPVPTFCGDTKQP NRFHDSWADFYANERLIMILETSEKRNGRDASLRDLVERTAHTVVPALLGDGHLGYDK NGNGEGITPVVVHGDLWSGNADRGRIVGSGCKGDEEVGDVVYDPSSCYAHSEYELGIM KMFGGFGSTFFTAYHKIVPRTEPVEEYEDRVRLYEL AFLA_022050 MTRASTPPILLELQSADSLSSQASALRTLKNETIGHDQRKEAWI RWGIIPILAKVLASRQTSGKNTELNGPTKSQQPSSRNPEEDETCLQAIIILGSIAQGG AAFLSPILSSGILSILLSILSSPDCPQSFVLPILRTLNNIADRLPLQSQQQWPKDTRL ADLLFSDEHISCLSRILGQDYGTYQAQASIELAAALIGKLCTEETHKAILADCGVLDA LAVKVASFIVAQGFVLPGAEAHVQESGALGSLPPPAPPSAKLAPILRATTVIIEHSKW RAEHFLSSPGIVTVFPKQVPGFAPSDIKTGPWGSTYLSGSAVPRHAGASPLEHLLPSV PLSQGKASASATNFPPLGQPHGPQRRHSHSFPTPFSILEAPNSDDDENAIVPWLLYTI RAECGMVRLMAARLVTVLYRLGLTKKLRVSMLCYLLIPILIRMLDKDYEITGDDGVQY GGLILSTDRLKEEAPAVLATLVIDDQELQKHAVDGGAIKRLSQLLKETYNPIQENAKP MWHVDGGEKVETTCTQSAECMLGPPGYSPIHCHVMRYRENILKALAALVPFKDEYRKT ICDNGVVPYIIDSLKPCPNDPRDTSNPKNTAADGNPTPTLLAACGAARMLTRSVSVLR TSLIDAGVATPLFTLIRHQDIEVQIAATSVICNLALDFSPMKEAIISAEVLPTLCEHA HSTNTRLRIESLWALKHVAYNSTNDVKMKVINGLGPTWIQQIITQDPISALAKRGLDD EMESGTSTGMSRANSAGEQVDLLNPVEDTQEPDEDMKMADTLPPSKMSLEMFLPDVSR RRKLALHGDLDQTTQARQDDIAVQEQTFDLLRNLVCGPGASEMIDHLFKEIGQDLILD ALADKLRPRTIQVPHKRESSTHRALQVPTEILVAVTYVIIHLAASLPWHRQLLVSHRD LLRYLMGYFEHANRDVRTNCVWVVINLTYEDDSSDRDGCRERAYKLLSVGVMDRLKRL EEDPDLDVRERTKTALHLVRTLTQA AFLA_022060 MRQGMRPFLAAAAQGFAARAPTWWYRWAEMLVSSPSPSSALRPS PSSPPTRPPPSLSSPPLPRHSSTTISHNQHYEPAGNPTAAPGSHFSPRSSRVAIEELR SALNRHTVASSPPGPSGDLPRGRMSAGLTSLGGGNSTAASTAESAAAAAAAAANTNTN PSGAPCYTHNNSPPAVTQSQASAPAPTQHPVAASMPAPNSNGAGSNKRNSPNDPIANG GLTSGIGSTDLEGSQSKRLRPSNPAVKLLPAQYELADPRDIVVLISSMLMELIRFNDK IPLHQGRLTRFHSRSPPRISVNDYLQRLTTHATLSPPILLSMVYYIDRLCALYPAFTV SSLTIHRFLIASATVASKGLSDSFWTNKTYARVGGISMTELALLELEFLFRVEWRIVP QPEVLEDYYQSLVERCEGYEIVRET AFLA_022070 MWPVVFNPATPRATLAPPPQTLTRVLDSIKPKAGRYLALARKVA DEAENSGLGRGVGAVVVDPELEAHIGATDEDVGIHWADAVVAVAGDVRYARREAGAMS ESERQLGAGPNPNVETYNSDVEGGPELHALMRAADLVANARRKQDGNESNEQIPLNRL EKFFLSQSDVSGSEPLADSDDSSPVPGKYQKTDTGAIPKSTDSSQEPRLRSRAQGGYL CTDLDVYLTHEPCLCCSMGLLLSRFRAIIFPRQGRMVTGGLASEPVIAPAAVSDPADE ADETSETTQDQDQDQQQLGDRKSIEHADPQEGRLYYGLHWRKELNWRALGFEFVEDGV TEKSAEEGLAFHA AFLA_022080 MSTPIILTPRFEIRQLGPEHQDWANAIVIHSNVFCSPIWPNIYP TDKTRRLYQGFLSSEYLIAHQIASGLSYGVFDKEYKYKLPSSEQTGGKLHWDLNDETA TNEQLVEQMDFPLVSVAMSYNGSNPLDLDRLKPLFGVLPLFPKMMQRLVEIDSRGEVT THEGTLMRNGTSTRADYEGHGLMKRMAHWLMRDAARKGFKGIEIPCFHDAVIGVWSNP PAPFRGEIVAQLNIKDQEEVDEDGKEIKPYAHVDQRIAKIYVTLV AFLA_022090 MSTPNDLQALLASIRPRPSPSDTPGHDAPMPYPQQYQSGLRPQQ PPYDGQSFPHPQLQQHGYRHPSVSSTIHSPSPVNTPPHHGSDILSPNAPTPRGEMFPP QQHQPTPHNPDRAANLLNLLKFNQSAAPPASQQPSPFGLEQPKPSHSHETGPEATKSH ARNISASDLVATLFGRQGTTAPVKPAGAQFGQPGPAVTAGENSVVPTAENTQEMLLRL LNRPKPVREVSEGPIKPVSQPLTSISSQKSPVAEVVSGDPAIASVQAVEESLAMGSRP EEIVAGKPDALASHQPKPSPSGKETLFTYVNPFDQLAAASPRKGTPQPKSRSESPSVE ATGSKKIITKEETTQEAARAKSPSLAEEQKEAVSQVVDNLVSQIVRDVDEAVAKASKE ESKPVVLMAREENTQETLSSIASHLQETAAEAREAAQENGNHGTVKDTTADTFVAKET SNNSGGDALADSWESAEDSAQKEEERIVPVHNFPLKPFISISVKAQNSENLTTLRDDG IMDIARLKKEFDQLDRSLTSATSDYIVYALAKNGGMRIIRQDDGSDKQVFRSTRDRVF NVAVCTSQTTTGTSDEQAVLGIGVSGAVYWALISNGDKDLFEMDALESESLTFPPFPA SDENTSGGQLKTRAKRSSRHPGFFAIGRGKNIYVISPQAAANSSYGVSGTQRTVNTEK FFKERALKISTGKAGKDFMFSDDDTVIASLDKTGRLRFWDIRDVVNNPSFFATGPSPS EVRVPLNTFVTGSPAEKSWPTSVLFIDKLRPYVKSMALRYVLVGLKQNHTLQLWDIGL GKAVQELKFPHENESDAICSVAYHPGSGIIVVGHPTRNSIYFVHLSAPRYNLQSMSQA SFIKRSGEKDGSLPKPESTACMSGIREISFASKGQLRSLDLLPINKTAGEENGLFELY VMHSRGVTCLNIKKEDLGWSSDNKIIRPVNALEQGLIDISELQTFPAYVTDDPSINGD AAPTPTKIAPKEIARKTPDLGAEASAAASAAVSRTQSPSKPAPKKKAGEEPAESTTPP TAGEKPEKKKKKKGTTAVEAPNKAKDANAPSVTSSSEGLAAATQAQAAGEQLNGDVSK STTINTAPVNVTGAEPSDIWNKHVEVLQTGVSAEFNKSLGRELEGLYQRFDEERRSWD AASAAKQDQVLRLVSDTLSNNVEKNLARIVSNSIQSEVVPALANLTSAAVGKQLDNVV GQQMGVTLPRELRQALPDTVSRAVQQPEMVKALSEAVSQKLLPDLEAEISKAVQRTVT PAFKTFSTRIAEKTGSDMEKQLQAHLKQYEVQRQNDAAKIDQLTSLVRGLSDTVASLA AAQTGFQNEVLRLNRAVGQQEGDRQTSQQPTSAMAATPSEAQSAEDAELAEIAQLMSQ GRFEEGSVKWLQSNQQADLFDNLFINLDPAYLTGLSPIVALSVGVAVTSSLQTNVAER LKWLEVVLQTVNPMDADIREVAPRIMDILLQRLDILYMSVAKNAPHDPVIRKIPFLSR RARELRG AFLA_022100 MFCLRSWLPLLFIPTNASPLFIVSFVTLTYILHRPCIYCSALLL ILFISSCHWSDRCFFDLRGDWFAPRYSSDPSASSVAPNETVAGFILETVNTTTKNLAG VVVDEAQRRLALNGSSAAAGLGGLVQEEWTGVGLEWLRSLLGRREWTIPCVDVKVRL AFLA_022110 MQGFSAAHIAAITATCWVLLLNALVGYQFLDDGTPASLGLISAS ALVFFIGTGYIALDTGFNWTGEFKPDPSTEYRNIALYVLYQLFPLVCLVVFFVLEAVL VIRILGESRPMLYLCGAGLLFAIGQIFEYVISTHLCQATNGKINGALFETLFTLLSVA MIWIFWSSITEDDWPMPTAPSGGYN AFLA_022120 MERPGFIETPGRRVTRSSAVASETNTDDTSDSAVDMVRGSKSVT RRRTSGKTKTEDILEEEAKTVATNGHTISTEKKPRIVDGWEEGKDPKVDYSGHFEFGG SWGVLSMMIGFPMLMYYMWIGAVYYDGKFPRASEGQSTLAFIAHLANLVYVGAFPSIK AWTIYWVFFLFEGACYLLLPGITVMGRPLPHLGGKQLPYYCSAVWSFYTSILLALTLH FTGIFKLYTIIDEFGSLMSVAIISGFLVSFVAYFSALARGAQHRMTGYPIYDFFMGAE LNPRMFGILDFKMFFEVRLPWYILLFVTMGAAARQYEVYGYVSGEVGFLLMAHFLYAN ACSKGEECIVSTWDMYYEKWGFMLIFWNLAGVPLSYCHCTIYLANHDPATYHWNRYFL TFLYIAYLFVYWVWDTTNSQKNRYRQQERGTMVFRNTFPQLPWQTLENPKTITAEDGS KILVDGWYGKARKIHYTCDLFFALNWGLITGFKSPFPWFYPVFFACMISHRALRDIQR CRNKYGEAWLEYERRVPYLFIPVSIYVFHPTIPGYPKARFPGVVVFSEIYQVTGPVSR FARQIAGQGYICAAPSTYHEFTGPEPLEYNAEDTDKGNKWKVSKKLAAYDEDASLCVD YLLSLPTCTGRVGATGMCLGGHLAYRCALDSRVKAAVCYFATDIHSKTLAAGKNDDSL ARAEDIKGELIMIFGKNDNHVPPEGRDLIRKTLQDKGVLFSFYEVAWAQHAFIRDELS KGRYDPAITKVCFEMLLELFGRTLKLDLGEHDGRELEIEDVC AFLA_022130 MSSNFGQTSTPSKAVNIPNQSGSTTYHSPSSIDSGSQRRAGGSG SFGAGLTSRNTNTPRSNQSRKSQHKRQRRPRLLDDEEYSESAIMRSTNSRKGQTSITH LMNFSLPPRPQYQPPPRNIRRYASWGLGSGHHAMDKARYVHANYRFIVTSNRGYHAQA ANADVHLDWDSVLQVLVSAQTQSASCPICLSTPVAPRMARCGHIFCLPCLIRYMHSTD EENSLPEKKPRWKKCPICWDTIYITETRPVRWFRGQEGDLPFEGGDVVLRLVKREPRS TLALPRDGAESIGPDEDIPWYHAAEVADYARIMKGGEDYMVGQYDSEIEDLRRQEAED EVLFGDETTWTQKAIAAINDAKTKVKGIGNPPGISQQPTAINIPKDSSLAQSPTDEVA IIYKSQHDSKSGQVTSTEQPAQPDASPDVATSMPGIYSETDRMTQALNLVNINSVPAA KAKQRDPGTGRVSASSDHPFYFYQALPHFYLSPLDIRILKTAFGDYSSFPATILPRVE HISTGHIVDDELRKRVKYLGHLPQGCEVNFLECDWRDVVGPEILERFAAETARRRKRN REKEAREEKDRVRAQKEDEKHWAAVRRNRRSSISVTDPLFFDHDFQPLTGGASGPGPS NFGSSTSPPESSHPGAADSSSTSPPGVRTVWGTAAVPTLAQQAGSPLGAAPRDGWLEG WEEELFAQQEHELLAQAATNENNPSTSGGGKKKKNKKITLMSTNIQRGA AFLA_022140 MKEFRVIDAAHSEEQLRLRLKPNELEQYGDAFIPEFVYQVIRQL PRFRDMRRGHQQDAQEFLGFLLEEMHEECARAAKDAPSTKTDGAASLSEEPSAADDES GDGWLEVGHKQKPAVTRSSGHMSYESPIMRIFGGQIRSEFKVPGNKTSVTLEPYQPLQ LDIGSPEINNIVDALKGLTKPESIQGDFNSSRGPNVTATKQIFIENLPPVLILHLKRF QYDSVTRGTQKIWKKVGYPLDLEIPREVLPPNRRNIMMAQGGLPKYRLIGVIYHHGKN ASGGHYTVDVRRQDGREWIRLDDTVIRRVRSEDVAEAGGEEDPKVLAAALEQHKRDTN TNIYEQIDDLDQSDNERGWSQVNGTGSGNHTSKKSTSAVTNGAPATSKAPSGTRTPIG RYGSKDNKVAYLLFYERIA AFLA_022150 MPDGTLHLVWIITHQSISVDILCRLLVVAISATDYLSETTHAYK DDRPLKGLKPVIIGNSDHLCSFSTDCLILFFFLYPPPPLFLAA AFLA_022160 MPTLALINFNIVCATLGGFISVFGLVSYLFKERFYLSEALISLL AGIIFSPHAANFIRPKEYALGSEQNLEEITMYFTRLVLGVQLVLAGVQLPKRYLQLEW KSLSLLLGPGMAAMWLCSSLVIWAMIPNFQFLHALIIGACVTPTDPVLSNSIVKGKFA DKNVPRPLQRIIVAESGANDGLGYPFLFFAMYLLKYTGMGGAGYSGGAGKAMGLWFYE TWAYTVILSVVYGITVGWVSRELLHWAEEKRYVDRESFLVFAIALALFIVGTCGMIGT DDLLACFVAGNVFTQDDWFRLETMDDSLQPTIDMLLNLAIFMWFGAVCPWSSFLNNNI IPIYRLIFLGVLILLVRRMPIIFAMHKYIGQIEHLLQAAFVGFFGPIGVGAIFYLSVC REFLQGIIVDGKVRDDAQKVSEAVDVVVWFLVICSIVVHGLSIPLVKAGYHLPRTISH ALSVGSTPEAEPVPIANVQHTHSTATQDNSQGRKRPGAPRSTTLQIGRSVARAQLTDQ QQLGTKHEGEPERPVNLVMQEPGNEATSGGNTLGGTE AFLA_022170 MTNATPSPPPGPATKPSPSVQSTPTSTAGTKRKRGSAGKYYAVK AGYQPGVYYAWNDCLTQVTGYKGAVFQAFSTLEEANAFLTGSKLPPAPGATTSSTEPS RFYGIQRGRVPGVYTDWAKAQEQIKGFARPRYKKFSTKEEAEAFVKLGQANGASFAGN TSEIQKLPGAPGILSDVPKDEQGSPIEPGDGPLPPGAEDGFDPNVLLDPKSGKVVYKT KEQKSVTKTKATGPPGMLRIYTDGSSLKNGRALASAGVGVYFGPGDNSRNVSEPLKGS RQTNQRAELTAILRALDIAPRHRDVTIFTDSQYAIKCVTVWYVKWQRNKWLTSDNKPV ENKDLVESIRVKIEERDELKVKTLFEWVKGHNSDPGNEAADRLAVNGAQRGVSEKAAA MEAAKNIPDEIFDDDI AFLA_022180 MNGTTAEPTYSYPPRPTRTSFNITRELHVVCLLDDAERVTELLA MGADCDAVSYAGYSALDVADLLNRVSIVKCLLAHVNIQETGMLELMYAIRQGRSTVVR ALLEMGLNDQLQDEALFRGVFLMACYIGTTFVVNALVKYGPGLFISPFEDMFVHVAMF LNNTEVADTIRDIADLERRKMLRDVELIEKSILTSLNNFPSSKITNDEDATSQFTAKT PHIYSFNPLTHTQVMEDLPDSVDLKSFFVSPSSARTVPREWAVSLGRALGHWLSSFHS WAKEPAQADVALELEQNHFFRDLKFSINYDNLINMVSKYPEILEGSRAVFEKVRDMAK SESGRKDGEGFGVIHGDFWSGK AFLA_022190 MMAELYELKHYKDIDAGVWIIQGITEAYPALSEEMAFRTLIHVG THLIYFGSTVPGWGTDGQITDVVRLGRDLIVKAWEKDKSWFKGGVWECLFKK AFLA_022200 MAKVFDAAEVAKHNTPDSCWVILYGKVYNVTDFLSEHPGGSKII LKLAGKDATEEYDPIHPPGILEENLKPEAMLGTVNPDTLPKVQAEPIPSSSDETEGPP PMESLLNMDDIEQVATKNVSKKAWAYYYSASDDKISKHFNTEVYRSILLRPRVFIDCT QCDLDTTLLGHKLGMPIYVSPAAMARLGHPAGEAGIAEACRSFGAMQVISNNASMTPE QIVKDAAPDQVFGWQIYVQIDRKKSEAMLARINKLKQIKFIVLTLDAPVPGKREDDER GNAIGASAPVPSAAKAADSAEDETSRINQSSGGVGKQLFAGTDPSLTWKETLPWLAER TNLPIILKGLQTHEDAYIASLHTPQVKGIILSNHGGRALDTAPPAVHTLMEIRKYCPE VFDRLEVWVDGGIRRGTDVVKALCLGAKAVGIGRPALWGLGAGGVDGVKRTLQILADE SKTCMRLLGVETVDKLGPQHVRPLRYYPNDSEVPHQTYSDAYAI AFLA_022210 MFERRAADRYRLRMHRARTVALTTDEIVEVRAAQRTFEGAYVRT ALSQFSFALVVLKIFTSEFYSTGALFAIYGTGVLIIGLFRRQQGNRQFFSEVGEDGIH RHKFRTSGNAVVVLTALSVAAYATLIALTLRLDK AFLA_022220 MTSASTPDSLQNRVPDSSDGGSSNGCSRPGIGKDANLMPPAKTL VGRALGNDLHSDAHRGSQASKDGVGFALTDTPISTAPSSPQFNANNTPTTTASTPGRV RATTLDIPGLTKSKVSPDGRIAQRDVGSKLVIVMVGLPARGKSYVTKKLARYLNWLQH DTEIFNVGERRRVAAGKSPSPPGRHRNHRTSSIQKDLVDSVRRLSVSVGAANHLRKST IPSEAIISPPGAHEPAASPPELENSLPPPVVPTKILVNGKEEDPSLEQNGVTIVPPFN ASPEDKRAMQEASPEPLDQSASFFDPKNQLAVKLREQVALDTLDELLDYILDRGGSVG ILDATNSTMERRKAIVDHIRKRAGPELGILFLESSCVDQELLEANMRLKLSGPDYKGQ NPVKALEDFKKRVQLYEKSMIDVGRKVVSHQTHGFLSSQVVYYLLNFNLSPRQIWITR HGESKDNQAGRIGGDSELSENGHRYGKALTRFIDHQRNEWEMNQRQKEMMQKFPPRPG DSTPPNPSYIPSDRPRNFCVWSSMMQRAISTVESFNEDEYDVKQMKMLDELHAGKMEG MTYEEIREKFPDEYATRKRDKLYYRYPGPGGEGYLDVINRLRAVIVEVERMTDHVLLV THRAVARVLLAYFKGLKCDEVTDLDVPLGMLYMLEPKPYGVEFKAYRYNPDTDWFDYI PNFELRQTSAN AFLA_022230 MIYQKLAHLNKHIAITMTNFNIQIISDSVCPWCYVGLRRLSRAI ATHKSVHPTDTFTLTWHAYYLRPDNPPYPGLDKREYYISRFGEDGFSQISNKLGEVGR QEGIAFNFSGRLGNTRDSHRVIWYAGKKEREAGAPAATELGVVGGLQTRVVENLFKAY FEEGGNITDQKILLEAAVLAGLDRGEVERLLDSDDGGQEVDLEAARAQRQLVTGVPYY TIQGQYAIGGAEDPSAFLQVFEQAKQNS AFLA_022240 MSTNPLVRCYDSAWFLLHIFILNIPPSRLFLFPALAGSVWFLTL ASLLSIWLAHGMPQYPGQSNQHVAFISDIASFELKPLFLIGASITAVGFVTTVSAVHV VRYEPGFALVKCPVTNNRNGNGEHGLTGHRSSYHSHCGHHDSYSEDEEDHETTRTLKL ISLLAIFAAAVASIALILLAVMDTFRYKSAHHLFLQVCFAGLAIQSACTAIVYSNEVL GFVSYVYHLGVWQHNWGRRSLRVRVFASLSTALIITEVFLGVAFISLTVPEEIASYRK AGILEWIIAFLGTIYLWLFCGFLDRTNFDGYVPSVLYSPPIQRKDIEPPESLPGQIRN WDPERAPLVEGPSGGRYT AFLA_022250 MRFGKTLKNSIYPPWSGKYIDYHKLKVLLREHDVTGDGSDSDTQ WTEQDEEAFVQELINVQVDKVNAFQVETSQQLRERTSACETKLRPLAPSDENEVPTIV DENERKTIASEVLQELDGITKEVSELEKYSRINFTGFLKAAKKHDRKRGARYRVKPLL QVRLSQLPFNSEDYSPLVRRLSVMYSFVREISSQGVVEPKDVEAPRFGQDSYSSLKFW VHSDNILEVKTYILRRLPVLIYNPGTSKELETLPDDPTITSLYFDTPQFDLYTQKVAR APEASSLRIRWTGNLKDKPAIFLEKKVVTDDDRSKEVKVQLKQKHVKEFLDGEYRFDK KLHRMTDMGNGESEQAESLKRDVDELQSFIKEHQVQPMLRANYTRTAFQIPGDDRIRI SLDTNLALIREDSLDEERPCRDANEWHRTDIDNVGMEYPFSTVRTGEIARFPYGLLEI KLRGESAHKAEWVNDLMVSHLVKEAPRFSKFVHGVAQLFEDYVNSFPFWLGEMENDIR RDPETAFQQERERIAKRAEDDMAVGSFLGNRASPSVKPLVGSPISRLPDVESSTRPRP SPQAAPSSRPSALEGVSHEVPESSEQEDRPVTLSRLAALFPTFSFSRASRVQQASVAL PPGVREPGTWIKDSGPVKVESKVWLANQRTFIKWLHVSILLSSLSLGLYNAAGKTNDI ARALAIVYTCFAIFSAAWGWYMYEKRSRLIRQRSGRDLDNTFGPIVVCIGLAVALVLN FAFKYSSTLEKLRQEQPSHTDMPTLVNQGGHAL AFLA_022260 METPKSELLEAVPLSSSLHDALRYLHDHPYPHVPNPPACNKRAS VALVLRVRPTHNHWPDSQHSSITPQENNTASVKQRLNTFFSQDWVQNGDPEALFIKRA SRVGDRWTGHVALPGGKRDPEDADDKAAAIREASEEVGLDLTADEYIFVGNLPERVVS TGWTSVPLMVLCPYVFLLTCSDSPTLRLQPTEVASTHWVPLSALLSPSQRTVEYVDIS QRYAKTSGFITRLTYRYILGLMEFSAVRLRPTESLWCNPSFGFAAIDSRGPSPLMQRL KAWFFGNRPDPEDQTQPLLLWGLTLGILADFLDMLPPHTAVQLWEYPTFTFPDLRLIT SILTYRLRKSNKLQAKSRPQLSNTAVGSQTSGVSVTSNDDAAEASHFHNEVGIEGLGV GRYYGSSETKELERDTHAVGIMLKGYYAKLRFAIQIFLAWRAAIGSLAVLYTWRLFRR RK AFLA_022270 MAAASVNNSNPQAQPESPSEKLKQNHTSTPSVSSKLNGATANGV DNIDSPYFRELQRSLRNTVKKLNATAKVDAIIAENPGKSLDDLVAEKKINADQKAQAL KKPALQATIAQIEEQIANYKEFAAQYEQRLATQQAELAKAHKEELDAIQEKAVSEAKK ASQTDLRQRLLSLSKFLCAAATMRRSGDETSSLTRAFEGVLYQVYGGSHEAVNSMLKL IDGVDEKVVSVEGEALEITYNKVKQTSEEHAPATEEVGTDAAPESDPTLANAGYTELQ DCSYDTGIPAASEPTTAVSQPEQVAPPAQTLVDDAANPVAEASWNPKTGDSLASSANN DGWVEVPRDPAETDTGLEATPVAADTGDNNVITEETTENGAENVTVSKSQGGDGFEAV VHHQRQPSSRGRGRGGRGRDGFRGRGRGDFRGRGRGRGGRGRGGPNGNTVTPPAGSQ AFLA_022280 MALAADQRKKILKVLMTSLLLDLISFTFILPLFPSLLTFYRNAD PSPASLLNQIFRYLNAYKNAFAKTIDSRYDIVLLGGALGSLFSFLQALAAPVIGRLSD KYGRRTALLCSMAGNILSVALWVAATDFRTFVASRIVGGLSEGNVQLANAIATDISDA SQRGSTMALVGACFSIAFTCGPVLGAALSNITVVAANPFATAAGMSLLLIVVETLYLY LCLPETHPRLTKLTQATPQSNGTKFASSETSRSTPRVHTNNPAVLNLIHFLFLLPFSG LEFSLPFLTAAFYAGHKASPSALNGRLLSMMGLIASLLQGTVVRRLPPLVTVRAGVVA CTISFFLLARVSSLPGLYVASGFLAITSATVVTGLNSLGSFEAQEGERGAVLGRLRSW GQVGRATGPVLFCSLFWWVGREIAYTTGGFLMLAVCVGVFTTLRSPPIAPAAQKKK AFLA_022290 MSAAPYITTARNAWKGPGSLAKSRQHFVSPLTHPLASSRHGIPN EPWLGPLSPTLFSQTRFRPSVSDGLNGNSINDHKPPDERILKLGRSKLCAGDICPHLM ILVLTMALPSGSASNPFSSPTHPPHQSAAS AFLA_022300 MASEAIRPRGRPARKFVYILTFSMDGVADLGTNLDTPGTTVLAY TPNGRRIITGGSNSAIRIYTVGQDGEPKTVDEGIDGHMGIGATNESFIMGAEDGTVWQ YEIESGRMENLLVRCALPVRDIAVSNDGEWAAVASDELTVKIVKIEDMTKVKYLRDQS KGTKHVTFDPSGRYIAVSCTDGILYIYSLLAEEPELVQKLDGAIRRLEPEDEATSRVV WHPDGTAFAAAEATRDIAIFSTSEWKKEKVFSGGHNGDVTAISWSPNGALLATAGADG QVLLWETKTQKVLQRYDFPNVINLAWHPSNNSLSFTTSDGELFIYDGVVSNEQQPLLQ KPLQAAPIFPGHLAEISDNVRRPLTNRPKESIERRAGTPDSLDDILGSDQGMEDFVDD DDGAGYAEGVNAFGKRTNQHLDEIDGHAEKRLLTSYSKPRIHPSLQPGSTPWRGNRRY LCLNLTGCVWTVDQETHNTVTVEFYDRELHRDFHFTDPYLYDRACLNEHGTLFSNNPT DGKPATIFYRPHETWTTRADWRTQLPRGELIRALALSDSYIVVVTTKDYVRVYTLFGT PFKVYRQKSPAVACVAWRDYVMTIGNGPVGSDGRTATLRYSVENVKRDEICQNEDVVA LPEGAELQSVFFSDTGDPCIYDSEGVLLILQHWRNPGQARWVPLLDTTQMERVASGRK EETYWPVAVAQDKFHCIILKGGDKYPYFPRPLLSEFDFRIPISERPGKGVGKQGEGLA QSDDGKFEEAFIRGSVMLSLFQDLLGSTNATSTQRAELARKELELDKILLQMLAVECR EGEERGMKALELVQMMKDRNGKMTEAAVKVAERYGRGVLEDKIRELAEKRYLGEGDDD ELA AFLA_022310 MGKDGLSTDGLIQNAWSGSSELVWPLLATVLVLCCIATRIISGF QSRVDSKTEQPQSVSIHICHYLNPMWPDWRYRDYMNASVFGSYMGGTKHNTIVSPSMI KSIMQSKATTSAPLVNRALKAFGDDLGSLRDLNTTDYQVFHHKLPNLLMREPFITEAS ETTIQLLKREVPNFVTFCRSVVDQTLWERGSDVEVVDDNGDKPACEANLFDLVRGFIG NITTTTLMGQAILEAFPSLLDDLWVLDNRFPLLAIGVPRWAPLPGVPAAYAARDRILN SLAAYQQAFLQWDDGIDPGVKFRDLEDVSEPLKQRIRTSKNLGLSPRSSAPGHLSLLW AMNVNSSNIAFWYLVRLYNDPTLLEEIRKEISPYVKAHRPSREETGFPFEEPPRISLN LKGLLDSCPLLKASFYETLRLDSADMSFRKLTSDLTITESNEDVTNSDRTKPHSYKVY KGESLILHHGVLQNDSRYFSNPSQFDPLRFIITDPETGEKKANMHTIHPFGGGMSGCK GRTFAERQLLAFTAAMIVMWDIEPMDGSHFTVPRHRQSSGAYLPKNDIRVRIIARV AFLA_022320 MATTIKMRKSFPVVIPRIYQTSFRWGRPPPSLFAAKSSLARLSS ASHASYLETGHIDLKKNEGLLFINNIFPRKLQWVLEGSLRASRPYEEALKRIDRPHLA ASDPLRIIRRVFPHELEVEIKEVVPRFREGGAFVKYTRNEGVKDTDIETAVKDHLEKH PIRPWFNPFQQVKVASVLGRPWIEDLYRIPSPRLRVEFLPGSANDLANDPTTESLYSL FRSYGKLRDIERQPSDSKILPRYAYVEFARPKFAVMAKNCMHGFTIAEKEGGGKFGTR LKINYERKIKLSMIKDWILSHPRIVIPAVAALIAAITVTVFDPIRTFFIKMKIKATLH VEENSVLGWIRKQVSKANIIGLGVVASDPRGLTAIWEDRQGDISQLQSWLTENTETFI IIHGPRGSGKRELVLDQALENYKYKVVIDCKQIQDARGDTAKIARAAGQVGYRPVFSW MNSISSFIDLAAQGMIGTKAGFSETLDAQLSNIWQSTATALKGVILDSRKKNDKDAHL TDEEYLEAHPELRPVVVIDNFLHNASEDNVVYEKITEWAAGLTSANIAHVIFLTTDVS FAKPLSKALPNSVFRTISLGDCSLEVGRRFVLNHLADEARTGDKPPRSEEYLEDLDSC IEILGGRVTDLEFMAHRIEAGETPNGAVNRIIEQSTSEILKMFILSTNTEAQWSHEQV WHLIKMLANSKEGSLPYNQVLLSDLFKENGEVALQALEQAELISVSSINGCPETVKPG KPVYRAVFKKLTENKTLSSRLDLEILSQLISKENKSIGKYEEELRLLGSLPKQPRELT SRIQWLLQKVYNSQNKISRYETESAFLQMILRRGH AFLA_022330 MGWWWSSSSPTKNEAQIISSFDTQPAPENAGVPTSQPRTLTREE RADAELKQLLASLEGDINKGHEASQGSPSSAESTSLPPSSIAPESLYPDTMSCRSAFD YAFFCQSFGGQFVNVYRYGELRSCSEHWDNFWLCMKTRTWSDGARKKAVRDHYRKKAI KYKTGPSSEDVWDLRTEPVRNAFEGDFAALEKEMQAEEESQGAGAA AFLA_022340 MGLNLEEIYGQNIIDEQKPNEYSEYQPKQGYGWANTLPERQGLY DPEYEKDACGVGFTAHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTSI PHKFFIKNFAREVGVDLPPLGQYAVGNLFFKPDQETLKDSTATFEELATSLGLRVLGW REVPHDSTILGPAALSREPIIMQPFVVLKSAYGDGNKPDNTDPGLFDERTFERQLYIL RKRATHVLGLANWFYLCSLSNRNIVYKGQLAPVQVYQYYHDLVNVDYEGHFALVHSRF STNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVLKSDIFGEELDSLYPVVED GGSDSAAFDNVLELLMINGVLSLPEAVMLMIPEAWQGNPAVDPAKAAFYEWAACQMEP WDGPALFTFSDGRYCGANLDRNGLRPCRFYVMDDDRIICASEVGAVDIDPERVVQKGR LQPGKMLLVDTVAGRIIDDSELKYTVSHRQDFAAWLDKELLKLPAINEKLLQQNVDLS YTIDDTTVQNDPRLKAFGYSFEQVSLLLGPMAADSKEALGSMGNDAPLACIAQQPRLL YEYFRQLFAQVTNPPIDPIREAVVMSLECYVGPQGNLLEMDASQCHRLLLPSPILSIP EFTALKNINKAHNDWTVRTIDITFDKKKGVPGYLEALDAICDAATEAIQNGDKVLVLS DRATSAGRVPVSTLLATGLVHHHLVSNKWRSLAALVVETAEAREVHHMCVLVGYGADA INPYLAMECILKMNREKLIRKQLPDDKVIENYKASCDGGILKVMSKMGISTLQSYKGA QIFEALGIDDSVIDRCFAGTASRIRGLTFELIAQDAFAFHERGYPSRSVIEIPGLPES GEYHWRDGGEEHVNDPVSIANMQDAVRTKNDKSYEAYAKAEHEQIKNCTLRGMLDFDF EQRTPITIDQVEPWTEIVRRFVTGAMSYGSISMESHSTIAIAMNRLGGKSNTGEGGED PERSKRMENGDTMRSAIKQIASGRFGVTSHYLADADELQIKMAQGAKPGEGGELPGHK VVGPIAHTRYSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGV GIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGR VVVQTDGQLRTGRDLAIACLLGAEEFGFATTPLIAMGCIMMRKCHLNTCPVGIATQDP ELRKKFSGTPEHVINFFYYVANEMRAIMAKLGIRTVNEMVGRAELLKTRDDIRTAKQE RIDLSLILTPAHSLRPGVATYNVRKQDHRLHTRLDNKLIAESELALEKGLPCRIECDI VNTDRALGATLSYQVSRRFGGEGLPQDTIHANIKGSAGQSFGAYLAPGITLELEGDAN DYVGKGLSGGRLIIYPPRGAAFKAEENIIVGNTCLYGATRGTCFFRGVAAERFAVRNS GATAVVEGVGDHGCEYMTGGRVLILGSIGRNFAAGMSGGIAYVLDMDQDFHSKVNMEM VEVSGLEDPTEVAFVRGLIEDHHHYTGSELAARILLDFTRALPHFVKVLPTDYKRVME EEATRAEAAKKAEFTVPQLPSTSSTAEKLRAGDAKKAEMLDIEDSVNDSKTEKKRSAL ILDKTRGFMKYSRRSEKYRNPGTRTRDWAELSSRLTEDELKYQSARCMDCGVPFCQSD TGCPISNIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEDP VGIKSIECAIIDRGFEMGWMVPRPPKARTGKTVAIIGSGPAGLAAADQLNRAGHSVTV YERADRIGGLLMYARQEGRARRVDLMAAEGVRFVPNTAVGPDQEVSLDSLRRTNDAVI IATGATVARDLKVPGRELEGVHFAMQFLHRNTKSLLDSNLADGAYISAKGKHVVVIGG GDTGNDCIGTSVRHGAKSVTNFELLPQPPPERARDNPWPQWPRIYRVDYGHSEVKTHM GKDPREYCVMSTEFVDDGNGHVKGINTVRVEWTKSASGGWDMKTVEGSEQFFPADLVL LSMGFLGPEDRLLGDEIERDSRKNVKTPPGQYSSNVPGVFAAGDCRRGQSLIVWGINE GRQCAREVDAHLMDISSQLPVTGGIVRRPAIDAVRQCTEQPVSA AFLA_022350 MMLSLPLITPRDSHELWFGVSQPYRISSQHQANGETQGNIRRNG NNTMNVRPFITSATPGNALSSLVLEERALRARKNNIASFGYSWIKPAGCTKTMLGMKE EEAEREEALAAAAAEMAAAAAVAEAEAGAAGLNELGSQHGDGQDDTGMERDLDDDIPD ADVEGLVEEGEEGLEEDDVVDEEGYMERDLDDDIPEAFSDDDDDDHLIEDDFDNQPDL DNDIPSAEDIVDEVEDMSEEDMGRDLDDDIAEAAENQSDQEDEWQHTDTDAELDDEDE ASFSHDPFTQNLRVSTTSSRGLPPAPVRVQETEAQRRFLQRWSGGGDVFDTSGMMIDE DDLRASVTSQGSRRSFFSRFPRRRAGGPRDSFD AFLA_022360 MGPLTEPLSEMDTGLRRQMRSHYSDAVLSHLESQNDAEVEGISA KVKMLKDLTLAIGEEIRDTSTIAELNDTFDNTRLRIRGNMSRMLRMAERTGVGWRVWL AFFLAVFLLFAYVWLT AFLA_022370 MDDNNQATTLSNDPAVNAPDTATLGRDKGKATQDPAPTDTSMDE GESDESENEDIMEEEDEDGGDDLAPIDSSNIISGRRTRGKTIDFVDAAQKLKDDEGED DEDDEDFEP AFLA_022380 MAPAVGIDLGTTYSCVGVFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRRYADAEVQSDMKHWPFKIVDKGGKPIIQ VEFKGEEKQFTPEEVSSMVLTKMRETAEAYLGGTVNNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKAEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLTTNARALRRLRTACERAKRTLSSAA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRGTMEPVERVLRDAKIDKSSVHEIVL VGGSTRIPKIQRLVSDFFNKEPNKSINPDEAVAYGAAVQAAILSGDSSSKSTNEILLL DVAPLSLGIETAGGVMTALIKRNTTIPTKKSETFSTYSDNQPGVLIQVYEGERARTKD NNLLGKFELTGIPPAPRGVPQIEVTFDVDANGIMNVSAVEKGTGKTNKITITNDKGRL SKEEIERMLADAEKYKAEDEAEASRIQAKNGLESYAYSLKNTISEGKLTISDSDKEKV TSKVDEIIGWLDSNQTATKEEYESQQKELEGVANPIISAAYGGAAGAAPGGAPGAAPG GSTRTADEVEEKPEELD AFLA_022390 MSPAIAQAGSASKDVKKESATARLLGSGTAGIAELLVFHPVDTT AKRLMSNQTRITSMEGMKQVVFKEYANACLGRKFTSLFPGLGYAAGYKVLQRIYKYGG QPFARDYLAKHHGAEFDNAFGKGTGKAIMHATAGSLIGIGEIVLLPLDVLKIKRQTNP EAFRGRGLFKIISDEGMGLYRGAGWTAARNAPGSFALFGGSAFAKEYIYKLQDYNSAS WAQNFVASVCGASASLIVSAPLDVIKTRIQNRNFENPESGFRIVSNMMKNEGPTSFFK GLTPKLLMTGPKLVFSFWLAQTLIPAFGQVV AFLA_022400 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGDL AFLA_022410 MRLRMEKLIKEHQKKIVDELSRIDGTHFKTDTWARPNGGGGISC VLQDGNVFEKAGVNVSVVYDACDRHDATYYPRFKAWCDKYFYLPHRRESRGVGGIFFD DLDASFLESSATSSQNPQETLFSFVSDSLASFLPSYVPIIERRKDTPFTPAQKEWQQL RRGRYVEFNLVYDRGTSFGLRTPNARIESILMSLPRTASWAYMDPVSGTRTEASAEEK NPGEDKEREKELMDVLRHPRQWV AFLA_022420 MASEHTITQALLEWINSFALGKTIRTTDELTDGTIIWEVLQDID PQYFLDELPQRNPSDHWLSKLQNLKHILKTLVNYIRQQPDGIPSGLDPAPNLEVVAEK SSIKETNKLLKLILIAAIRSPNAPSYVETLQTLSTPTQESLKDIFEEAENGQHEPLDP VDEIKEDLSKREHPVDLELQFEERVGKVLAENDRLTHEKKELEKALEDLHNRLARLQE NNDTLQSRLATTEDRLGNLKSGKGDLGFNTKALESKSRQQEDIIASQEARLAAAQDEI DSLRMTVESLRVKNERFQRLQDDYDELKTERDQLARKANAAEKYRSKLQASQDFEKEN QTLKNQIQDLQQQLKESDSQQRWTSERDVELEEYRRVLPRIEQECSEMQSLKKQLEFN NHALTERLSSAEEQRERDDALISELRERIRELEGSPGSPALTPGSETPKLQGTLQKDF EDIGVKESQLLGIPILPFKYGLTPRCRKTENDELKKEIEFLKGSSTAVNSQHEGFSDA FSATLQRAQENSTQGDEYWKLYDQYISVLKKLAEVQDSFDKSSRALADAQAAVLLASK EKLVMINEIKENELVESTKLRDESNEIKQKIHTLQAELDASLALAREACAERDELRTM LDNRQAEIAESRVEDQETMEEMKKLLAEIAAQESGGASEASQKSGMELTKQVVELIER NLERLAQRAEYIHNQNEHIKFLQERLKHFEDDANENIPKDREIELQKIIDAQTRELAL MSSAWYEMQSRLQNNNVPVSRYRHGSSLADAQRGWLARQRSLVAGR AFLA_022430 MTHLLGEKTNRTEPVDPTWNLPKLRKNTFRVFAGNSSTDLPLVL LKNAI AFLA_022440 MKKQEGREGKLKVQMKTEDDGRNEQQGGTRDIYSLYWGFHINMK SLPTLFLGCLSLSLASPIVVTYPIDTPPSVLEDAMESIISAGGRITHRFREYP AFLA_022450 MSSGLASDEVAEDYKNSLEDLTTNDRFQISNLTVIAKENTEHAM AISRVLENHIRTTPPAQKLPALYVVDSIVKNVGTPYTLFLGRNMYQTFMNAYTLVDSP TRRKLDEMLKTWKEPVPGSLDTRPVFPPEVTRSIESALIKARTAALQQQQARSQQEIL PRGRVGTPPGWGSNSAAAQSSTRYPPSTNSTPPMLYNRNGSSHGFPSADPRSTPTPQL QQQQQHQQQQDVDLSALNRDIEALIATARSDFANNPLVPSVQQRLKALLDLQGILQRQ ELTQEQLKLVRDQVSALSPKPPISVSSSLPPAIPAVSTPSMAMPPVQAISQPLQQLLN PGTLAELIKTTAARQQPTPPPPPQAPSILSQAPSSVTSQPTVTLTPENPLIAALRARG LLPPASAPPTTSATPSSSLVSVFPFIVPGQVRFTPPVPTSQVADTSNVQISVQMNTAS IKIPRNAFIATLYESKPNRCGTCGRRFSATEDGKGKKARHLDWHFRTNQRMAEAARRA QNRSWYVDERDWIKSREVGDDEGLVDTETSGEAVNGGDGGSAKKAPPKQWIRAPNDAT LRNTPCPICQEKFESTWSEDVQDWIWQDAVKVGNRVYHASCYAEVAKDGSTPARRGTP SGRTETPDSVLGKRKAEVSLLEVKRKSKNPKANSVC AFLA_022460 MAPHNVRWGIMATGWIASVFVRDLLKDPNVRGASDVSHTVVAVA SSSSKSRAEGFISDTGIPAPCAAYESYEDLVADPNVDVVYVATPHSHHFQNVMLAFEA GKHVLCEKAFTVNAAQAKILCETAKIKNLFLMEAVWTRYFPLSVQIRRLIQNGTLGEV LRVLADNSFGDDMEEKWGIKHRMVNKDLAGGALLDLGIYSLTWVFQALYHTLPRESRR APSRISSHMSLYHLTGADEATSILLTFPTSTPSNLPHLGESQAVAMTHLRVSTNADDK PVQPSVRIQGTKGEIQVYGPAFRPEKYRVVPKGEGEVKEVECSFPGDGKGMYWEADEV ARCLRDGKLESDSMPWEESIIIMEVMDEVRRQGGLVYPKNIESTVYPTSL AFLA_022470 MAPITEEAVSGLKDIIGKLEARVEELESRLSNGSKPKSVAEHMR MVLMGPPGAGKGTQAPALKDKYCVCHLATGDMLRSQVAKKTELGKEAKKIMDQGGLVS DEIMVNMIKSELDNNSECKNGFILDGFPRTVAQAERLDDMLAARQQKLQHAVELQIDD ALLVARITGRLVHPASGRSYHKVFNPPKQEMKDDITGEPLIQRSDDNAETLKKRLGTY HAQTAPVVDYYKKTGIWRGIDASQEPGQVWKSLLGVFQQN AFLA_022480 MPATTADTLSLVTRTVTVAPLVLLSVADHYGRSAKGTRKRVVGV LLGENSGQNVRVSNSFAVPFEEDEKDPSVWFLDHNFVESMRDMFKKINAREKLIGWYH SGPKLRAADLEINELFKRYTPNPLLVIVDVQPKEVGVPTDAYFAVDEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL QKVLDHELPVNHAILGNLQDVFNLLPNLSTPPATPRLSGSEQQSENSELARSMSIKTN DQLMAIYLSSLIRAITAFHDLIENKIQNRQQQEENEQKREQETNAAKGEKEAKKANGA INGEQKEELDGSKEKYKKKGQ AFLA_022490 MSTAPSHSPRESLPASLRPDADHKGYEVRLQAWNCTCPTFTLAA FRDLGPKLAGDDPSSGDISQSHRVSDVHYYPFGGSLTRRLVKWSHPVCKHLLACVLAE RCPALSGPSANDHGRIVVGAQELAGWCAGWGG AFLA_022500 MIHHRNHGKETDGLTPCRGLGEGTRMTIKACGFIASLQAWYRFR PPAPKLDDLSLSLSLCVYFLLAAILMSCSVYPRSSEKVNNRL AFLA_022510 MIALQNQAILPPAKVDISLLLKPQDEEAAAPVTTTSTFPPNPIP PAPMAPAIPAVVSTSVPSIPLAKGPSSLPAKRLQPAHTAESPAKKQSKWSPEEDALII ELRGSGMKWEDISKRLPGRSAISCRLHYQNYLERRSEWDEDKKNKLARLYERFKAEMW SKVAEEMAIPWRAAEAMHWQLGEQEMARRAGVVPFSLSSSAIDPPTPRTRRTSTSLSR PRKGSASRSIPPPQLPSVEELTAGVPAFAPHPPFAPPREAYRIGRPMDLSGTHSGHMN LGIPPRTLP AFLA_022520 MASSSSSSDQQSFVTEKPQEEAYEEKHVHEVYQQIASHFSSTRY KAWPVVKRFLTELTPGAIGLDVGCGNGKCLPVNQNVFIVASDRSENLARIAANHQPHS VIVADILNLPHPDSFFDFAISIAVIHHLSTPDRRIQAIREILRALKPATVEAPGGKVL LYVWALEQKTSRRGWDKGDQQDVMVPWVMASNPPKNAPSDQPKVFHRYYHLYEANELE RDITKAGGRVLESGYEKDNWWAIATR AFLA_022530 MSFQPTPSDVSVLITAPTASANSEPHFVTERRITPTWTVIQLKS KLETMTGIPPGSQSLKLKTPGFPDQWLDGDENIIGDWELRKGCEIEVHDSRPPSARPN FHDLSSVEKYVLPAATYESLPNSVLAWKKHQKLGRFDPNVLSPYESARKQAEQDAEDI GSRGIAVSKRAIILPSSPPHVRRGIIRFVGPVPSIPYPGVETGDTDASALPIWVGIEL DEPTGKNDGSVGGKRYFTCPNKSGIFVKPEKVEVGEFPPLELDDLEDETMEEI AFLA_022540 MSVNLDGERSLPNIQIQCSLSTAKETNEDNGYSRTGITVKEGKR DEHGMEDIDEMWSSPEKSPVRENGFSNGNESLVGSDGMSMDEGNAPGPADFLSGMNGG RNSYFPPPVARSPMKTGLTGSPRRTPGLRSSQSPQQDLLSSSPSDGKGLGHTKGELRQ DVSPLTHRSINAPPLNHLSSARSKANKKTQEVAASFSDSDANSQSNPDENANSHEHTR DDFADSFDAGNDTILGGGLEEPDENSTATQSPSILAVGLQKKGQIKIGHQPKKKARNG SSRTQIPVESNEPQEHGSSQKRKRPGRPAKNQRSTSYDTEEQRLSKKSKASIKETREP NTSGHPDLNRVVEDHVNRTGPLKGRSLYILKRETPTDSSTTHTRSGRVSVRPLAYWKN ERCVYGDGEAAEGERYPLSTIKEIIRTEELEPERRKSKKGRPSKKSKSGKPRVDNDSE DDEDYIDPWEKEGILHGYIRKWDPDAQAGIDEEEVLDIAYAPSGIETRDVKGSSFRFA KLLSSPFLGSGIVELPSGGVKKPKNSKKMHMVFYVCRGRVQVDISGVQFSAGKGCVFQ VPRGNYYSFANTHGKDARLFFTQGCVPVEGSNSAPGSASKNDTMEEEPTPQVERPPGV GKGRPKGKQKAGGSKAS AFLA_022550 MIPHQLKAIRRAILFWALTRQAVALFWVLCKVLTPGVRANLMRL LCTPGTPLFESCGWATKLLSARDISSGMMRPGAGVYNLNAQAMDATVELIREIVEGRK VDIREVYIDTIGNPATYQQKLERIFPSLKITVAKKADSLYPCVSAASVAAKVTRDVAL ELCHEDIVKAQQFDDPSQATSTESWGSGYPSDSKCVGWLRRNMDPIFGWGNECRFSWG TSKEMLEMKGGVKVDWPPDDENTQLRDFLLTSSEAPKGTNQELRDWFGQKTTEVL AFLA_022560 MVQYTELLWEMIARRRGEKVRWRVVILIEAIKAICRLFLLRLTN SRPLVSPPLPERDVDPRSAEEEEGDWNGMQTPLSERSSDLSWTMPRTGLSLPCLPDVN DVSDFLISKVLTADDIKPPKALLHRVTGQGQLAEILYILRPVAYALAMQRWNGNKRSW RPWLIGFGMEYGCRQLAKTDFRERLAGGLRGLTGLEREELRKRGWAMGWWFMRGALYE NLTQPWLKDLTRKMKGKPLLDLVGSVVEDYEYLWDNYYFSTATL AFLA_022570 MEILKQLHASVAESFEKSFDDAYSDLTAVLTIRDKQVATAEEKL RATEDVRSKAAAEIERLNVEIAHLREELSRNDISLDGAEVSLSESQLEEAYAPQRVLS LCDDNNLVSWECGTKEPRIVKGKYAALYGDAQTLAKACKGLRHQIKRHKRKLEHWSKC LERDEFTLVLNGVAVKFQRVKNIANEDHDCSPTAESIPANGMSVPVSHNAADGGPGLP SSPRHEPDEGFTSIVCGDYRRSDNTFRQSLQTESLKVFLTQSSPPFETEDTDTLARQR YRRLKRKREIVPESGALARYSGLRERESKPPIAVKSESMSSSPVRNVSQQFETIETQD LDEVGGTVETPTTEVVIDAYRTSETDPSAIHSPSSRKLAPQDEYRLASGHRLLHRSTA LQSVDNNASLLHGSARWPEKKPMAIPRAPNHAISCLAEDGERMYPTAHAGRTPLNADL SYLSAALSSKDVSHRLQDLLEKPVPPRSLLHSPKDSGNTNDTISYKQHESHADHEAPA YSRRVPTDAQASKRPTDQHVSTNTQISERAESNSIKMRPEDEPYRALPLHRLELSHFR INPDYNEGLEYAFDTVIRKKKERKCIKGCTRPDCCGDKFLAMARLAGLRINSTVSCQE DDQKFLEDYLRDNKHLLDGLGEKDHQKLLDEAKARLIADCFGKHRNHHPRPATPPGFW RTDMPDTQELEFDREEARRLERDKVKERYREAMRPGGLWKYADE AFLA_022580 MLDEKSIQVSPENKQCRGYENFGVLSGVSRCEDNGDRSPSLERS YELDRIRGCVGFDDERRECTVAPKEKPCYRCSGVGHISRDCPQAPSGDGYSGATGGQE CYKCGHVGHIARNCSQGGYSGDGYGGRQHTCYSCGGHGHMARDCTHGQKCYNCGEVGH VSRDCPSEARGERVCYKCKQPGHVQAACPN AFLA_022590 MGAMLWRMAIRLMGWAAWGWGKLYFLALPLTWIDLQQRAISLFE STNPDTCIRYELQLKGAGRTPYSRFADGKSVLRSSIREYVVSEALSALGVPTTRALSI TLLPESKVLRERVEPGAIVARFAESWLRIGTFDLLRARGDRNLIRRLATYVAEDVFHG WEALPAAVSLGKDQPTDAVNNPARGVPWDLVQKHEGVEENRFARLYREVARRNAKTVA AWQAYGFMNGVLNTDNTSIYGLSLDYGPFAFMDNFDPQYTPNHDDHLLRYSYKNQPTI IWWNLVRLGESLGELIGAGAKVDEESFVKEGVTEDAAPAIVKLAEDIIGRVGEEFRTV FLNEYKRLMGGRLGLKTQKESDFQDLFSEMLDTLEALELDFNHFFLRLSRVPLSALDT EEKRKEVAPIFFHSEGCGGVGYTEHSAKERIANWLERWRLRILEDWGPYGDVERQEAM KRVNPKFLPRGWILDEIIDRVERRGDREILGRVMQMALNPFEEDWGWNKEEEERFCGD VPRYKRAMMCSCSS AFLA_022600 MAEPAVSTVNLVQSSLPSNLDTVMFMELEYMLQSQKDSLVVTRN DIERENLFVLTNFIIHTAQIQRVINASLKKLQVNWGYHGRGQNYKEGPFDRSVYFWQS YHDGI AFLA_022610 MSDQQSGLQGAADTPWSGPVKKKISLNDYKNKRKTGASPSPVGQ EASSHHLTMDYVIDDSQGAPKVSPAGKQNSSDKIAASRVSIRAGYEALERKRPAESEL ENSRLQERKGAEMGFLKKPKLSTEAEAELNKSGRSKANGLPTLLSPTLPPTSSSPKLP RLLSPTLPPNIEKELATLGEETLVPDLSRTKNAPNGDVLRAKSQKTKSSDIGTSCIDS TPVIGRQSLRSKYPNSVADKRPSTTQGSVAPSFSETPTSSLRYQTDDKPSSHEKPAKP QLLMKLKYGRANRKRVEALLKFSGKRKMAPPGSPAKNIADSDSTLIKKPNEIITKATA SDNLNPRIYRSEGKAKHVPSSQAPRNAGSDKPQTPVSSTPTAVTHNQEKTKQTSVTPV KDLKDLTCRSENIGNNGRTFSQPTIRYPPGDSATGVKQSLSQVNPQSSASRNGERRAW KDEYQKYGNLGRELKHAAERHTARDFVADVDEKLAAATAIEAILCFILAFVADDQSKT LSRQVSDSSSWLSILAYWRVVKKNSAPFPQLHSLCLILGATSYDAIHALDLERFAVTP LPGGNTSVPTPGSDGNTAVSDESKRNRREILELKNRLPESYKESQRLWLEGSQGLSED ILVHEFPDTWSRRSRNFSEQGKQRLKPGDYSGEFFLPLGRMTTPVEIVRFGYSILREW CKKEGVEWNGRLCL AFLA_022620 MFSEYASRFLAQSQSRLLPHSDETRKKGHGRLMQQPGSSRFPSS RSFLQRATLDPYQPTASQISNLPLGSRNLAQHAPLFYSATDNFCEEDDEAEHEREIAD FYALQKSRRNFGSSHLKASSEIDEDDDHSNSVGDYGTSRYGRYCKAQGIRSSWRAGTS CHGEQEVTAETVPEAAEVEDGPPMDEYNIRARENLVDVHLEDSLRSASDGDRADSSET GDDNPPSVQRFRGESQSRKGNFGIGSFFMPMGADRQPSINDPRSLSPTDRVQASFAPV RTESPVHDLFWGQLFLISIACLFATSFLVYLHTSTPSEDKSTWGDTIYLTIHASFYLL GFYTVASVFVSLLWLALLRSYIRQLVYVIIFAVPVILYSFSLYPFVSSFKGAWHGTSI QDKVMRWGSIAPFIIASVWIYNVIRGRHAIGKAIRILEFACRILATNPELLILGLGIL AFIVSWTWLWILMFTRVFLGGTIAGPGRFIISFSSWWLGVYFILVYVWSLGVIAGIQR AVTAATVSQWYFHRLTSPAPTSRQIVWAAISHSLTALFGTICLSRLCALLVRLPLLLL PSRATSVLSLFAYSVLPTPVTTLTDPLALTYASIHSQPLVVSARSLARMTTLSPTMIN SSLHPRSYSRSHESLTPLIPYRLSKLILHAARLMMSLALGFGGWVTTARDLGVSSGSG VIRGSVYAYVVGLIAGTIGWSVLGAIESVIADIVDASVICWSSEVGAYGREARYCREA GWLFGEGPSLDSSFARHREAP AFLA_022630 MSQPWDYIAKLVCIGDSGTGKSSLTIRLCEGRFSSSHDVTIGVE FGSRIVPVGPPASQALNLESNTNSHNRPFSSPVTSDTSEEPIVSGLPNPPRKMAESQK KMKLSLWDTAGQETYKSITRSYFRGASGALLVFDITRPSTFTSCTQWLHDLRQIAEEG IVVILVGNKSDLTGNEPERSERHVTRREAEEWCRMNNVVRYVETSAKSGDGVERAFLE VAERIYRNIEAGRYDLNDRRSGVKGFGATGGGGTSVPTTVTLGLNDAMRRGGNGWAGN CC AFLA_022640 MAEMDSASSTGVNLASDMRRRNVPHTEGLRRKPDGTEESKRKPQ LKANPSVSILDNWEPLIMPILLTAVAIFTRMYRIGRSNIVTWDEAHFGKFGSHYLKRE FYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGEKYPEEVNYTFMRVFNAAFGVVCVPL AYYTARELGFRRATIWLVSLMVLLENSYATISRFILLDSMLLCFTFTTTLCWAKFHRL QYASFSIEWFVWLFLTGISIGCVCSVKWVGFFCTALVGLYTIEDLWNKFGDLKISEVV FAKHLMARVVGLIIIPVLVYVFSFYLHFLVLENSGPGDAQMSSLFQANLRGTEVGKDS PLEIALGSRVTLKNMGYGGGLLHSHIQTYPEGSTQQQVTCYHHKDANNDWFIYPSRRE PEYDPSAPLKFVGDGDVIRLIHGQTGRNLHSHAISAPITKSHYEVSCYGNVTIGDDKD HWLVEVVDDVASKDRSKIRTLTTAFRLRHPVLGCYLRAGNVNLPQWGFKQIETTCVKE NKPSDVYTHWNVESHFNDRLPPGDPGSYKSPFLKDFIHLNVAMMTSNNALVPDPDKQD DLASKFWQWPILNVGLRMCSWDDNTIKYYLLGNPFVYWGSTFSLGIFGLLVIWYLVRW QRGYNELSQADINHIHYSGLYPVIGWILHYLPFIVMGRVTYVHHYYPALYYAILTFGF CVDWLTQTMDVKSRWVIYSLLYAIIVGMFVHFRVLVFGIEGSSQQWGHLDWLSGWRIA N AFLA_022650 MSPSNRQTAQMHGLVGKPLLYVNFIFLHPFLVSVFSLTPQRYFT SVFVSLGVFLFGYDQGVMSGIITGWYFKDYFNQPSRAAIGTVVAILEVGAFISSLLVG RIGDLIGRRRTILYGSIVFFIGGALQTFANGLAMMMVGRIVAGLGVGALSTIVPVYQS EISPPHNRGKLACIEFTGNISGYAASVWVDYFCSFIDNNYSWRLPLLCQCIMGALLGL GSLVICESPRWLLDNDYDEEGMVVIANLYGQGDLHNDKARQEYREIKMDVLLQRQEGE RSYTDMFKRYRKRVFIAMSAQALAQLNGINVISYYAPLVFESAGWAGRDAILMTGINA ISYLASTVPPWYLVDRWGRRPILLSGAVAMIVSLSLISYFIFIDVAATPTLTVILVMI YNAAFGASWGPIPWLYPPEILPLSIRAKGASLSTATNWAFNWLVGELTPILQAVIKWR LYLVHAFFCACSFVLVYFLYPETSGVRLEDMDTLFGDATTAMPTPASQGEHGSLMSIS SPVPSLDIRRQYSQFGPENAIPGLDIDPPTINAGENAKVGQRGSRDGGGGRLEGLGGW ISNMVSRHKGSSGQRLQGTQYRRLGQDDENE AFLA_022660 MDSTKCFTPGHTIDRGSYVITSDTEGSPNKKPRNNAATGASAAG VRALSAQLVAFYFRAPIKAFFRTRVDYMAFARAVNPHSSESRWSLHTTTPGLLVHAVR TYGWRFIPNQIMPPLLANAGVGAVLYTSYLQVLGALYEPVSRGVKRIYPPASPLYTFT AGFAAGTMQSIVAAPLDALQVRLRANDILEGQYRSMWHYGRHKLKQIGIRGIFAGWSL SFLRDAFGYGVFFSFFEYIKSQAYYSFITGYYGSLRIHDVDELFSTQSDGRGVPLIKP HYTLEPCFLMAAGVAASIAQQAIQHPLSMIQNLHVARLEYLDHQASLHPSRRQMLRLY YLAYQETYKRCRKRAKRAGGWRHWLFRGFVRDAIRQVPSTSAGLVIFELVRRKYASLA DAVYIQKDGYVILLS AFLA_022670 MAEEIVIDKSAFFNRLSSFFAAWKADKRPGHAVFGGVGSIVILM GKTDEANSFQKNNAMHFWLLGYEFPATLMVFTTDMMYVVTTAKKGEDWPNTDSAYLSA NTGLLNVAKHLEPLKGGKIPVEILVTSKDPDEKSRSFEKCLEVIKNAGKRVGVLPKDT AAGPFAEDWKRAFANITQDVEEVDISPALSSAAFSVKDTDELVAIRNASRACSGLMSE YFVDEMSRLLDEEKQMTHKALSMRIDAKIDDAKFFKKLAKLPAEFDPQQIDWAYGPVI QSGGKYDLRLTATSDNSHLQAGIIVAGFGIRYKTYSSIIARTYLVDPSKSQEANYAFL LNLHDTVMKDVRDGTMAKDLFNKAIGLVRAKKPELESHFVKSVGAGIGIELRDSNMVL NGKNNKILKSGMTLSITVGLTDVEELESKDKNTAVYSMIITDTVRVGENGPHIFTKDA GIDMDSVSFYFGDEEEPQKPAKEKKEVKSNAMTSRNVTRTKLRAERPTQVNEGAEARR REHQKELATKKTKEGLDRFAGTTGDDNGVTQKKFKRFESYKRDNQLPTKVKDLTIYVD HKASTVIVPIMGRPVPFHINTIKNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDI SAHFLRNLTLRSKDNERLAQVAQDITELRKNALRREQEKKEMEDVVEQDKLVEIRNRR PVRLPDVYLRPPLDGKRVPGEVEIHQNGLRYMSPFRNEHVDVLFSNVKHLFFQPCAHE LIVLIHVHLKTPIMIGKRKTRDVQFYREATEMQFDETGNRRRKHRYGDEEEFEAEQEE RRRRAALDREFKAFAEKIADAGKDEGVDVDIPFREIGFTGVPNRSNVLIQPTTDALVQ LTEPPFLVITLNEIEIAHLERVQFGLKNFDLVFVFKDFHRPPVHVNTIPVESLEGVKD WLDSVDIAFTEGPLNLNWTTIMKTVVSDPYGFFADGGWSFLAAESDSEGGASDEEESA FELSESELAAADESSEDDSEFDDDASAEASEDFSADEDSGEDWDELERKAKKKDRESG LDDEERGKKRKR AFLA_022680 MIADQLSYLQNAYWNATISYRLQGVTRSANDTWARNEDEMAMKT VLRRGSYRTLNVYFHTDLQASPNAGARAFDIVRRELGVSQQQPTSMLGFCTLPDPSIN ASSPPSTYIKDGCNVLAETMPGGSLAHYNRGGTAIHEIGHWNGLLHTFEGESCSSDNE GDFIADTPQQSKPTEGCPAQKDSCPELPGFDAIHNFMDYSSDECYDSFTPDQVSRMRS MWFAMRDGK AFLA_022690 MSHTEAARTDSLGEQETRGDVESDKKAKNRRPANTAFRQQRLKA WQPILTPRSVLPLFFVVGVIFAPIGGLLLWASSEVQEIVIDYSECADKAQRTPVPIPD KVQSSFKSSNQQPNPTWMKYRDEQTNETICRLSFKIPESIEPPVFMYYRLTNFYQNHR RYVKSLDIDQLKGKPVDNKTIDGGSCDPLKLDDSGKAYYPCGLIANSMFNDTIKSPEL LNDGNDDDPVVYVMTNKGIAWDSDKQLIKTTQYKPGQVVPPPNWQARYPHNYTTEIPD LHDNEEFMVWMRTAALPNFSKLSRRNDTTAMSPGTYQLDIADHFPVTEYGGTKSILIS SRTVIGGQNPFMGIAYVVVGGLCVLLGALFTIAHLVRPRKLGDHTYLTWNNEQESSAI ATGRDNRFGPNTH AFLA_022700 MTSFTVASPLGRSDKTNMNRLPSVSSLMSPPESKPFDSFNPAFS PHQLSQNPSYSHDMKLPPISVDRKRTQSEMDLPSPPVTPYTGNKKRKSNASEQVEGDA VVGSSRDPVLFPRHDSLTEVATDEPLFGPMLPTETEALVEQHINSHMARFENKLNKPT RDEYLLALSCVPIVSAQYNRNPGAWAKEERETLERQLVMMNRCRPHTLEAKLKRIAPA PAKRTVPAQTRAQRTPRAKRTPRFTPKQKVLDTFDLTPQSSNKQPRAIGTNRDDTDYK SIMDYSPPLETLGSNAKALKADWKGQMLDLSNDSDRHLLNAAELNLAATLRLSCATYL CSKRRIFEARVKALNVGKEFRKTDAQQACKIDVNKASKLWTAYDRVGWFRPEHFEQFL K AFLA_038070 MNDASPEVKESGRSGNSPQPDLATAVPIYDHSLDERKFNYASKT IVQYLNKQSSEFRELSLLRKKGWENPKGDVYFRAQRRNADQSSEKNEIHFYNLMKKIG EELHQSSNAFKIHSRHQGGPTILDTCMAPGGFLETALKLNPHSRAVAFSLPASCGGHK IRLPRAFQVDVRHLDVTMLAADMGVNNVPQDHPDAHNFLPQQLGQGEAFDLVICDGQV LRTHLRAPYREKVEARRLTVTQLALGLQHLRNGGTMVVLLHKLEAWDSANLIWQFTRF ASVKLIKPKTGHAKRSSFYLVAKDIQNNHPEAVRNILKWKKIWQLATFGSEAEFRKEI LDDCLRVDDFIADFGPRLVELGREIWKVQADALAKAPFIK AFLA_038080 MMLFKSVLGILCSSSMAAAHMEISWPYPLRGRFDPYSDPFLIDY SMTSPLYPDGSSFLCEGYQMNTAWRATVSYTAGDTYNITLSGSLGRARKTDQ AFLA_038190 MPTATLHLLALKVDAPTFLQDLQSTRKVIVASRPRHIVIHPVLV DKDALPTPWDLLVLIQSEESNTFPIPEFLQSQVKSEYRILVGIPSKLLATYPARSQSL KRAALSIPLTGSINHIQGRTSSQSLEVTPELLSFMHKLSAEHSGPVTMLNLLHFNSPG GKDVYYKYGQAFGPVAGRRGGNAKLVATVIRPDVESETDVKRKGEEEWDEISIVHYPS IRHFCDMLAGEDYQRINEKFRLGVSPFPKIIT AFLA_038200 MSKSVLITGCSKGGFGEAMAKVYHAKGFQVFATLRNLTKVGSLA DYNGVQIIELDVTSVESIHQCAQTVAKHTGGRLDVLVNNAGVNAIVPLLDASLDEAKK VYDTNVWSIVGMVQAFAPMLIQAKGVVCNISSVSGEMVFAWAGIYSSSRSAGTRISET LRLEMAPLGVRVVTVILGGVQTSGNNPENIGDLELPPSSHYRKITSVIDRHKKTMVHP NKQNIEIAAKNVVDDVLHGHGIFIRRGQASMLSWLCNTFLPYRLFTWMINRESALDEI RY AFLA_038210 MRIARLEEKMESLLAAMDTFVSSSGSLVGLADTTRPGATSISAL VNMTSSGSSERLALSNTTVSTHTNSSPHSVSSAPSPTASLPNQEDGRLEYFRTRMLPY FPFIDLTPEMTTQYLRQNRPFLLRAIYTVTTFSTQEKLAQVEELKHLLFTSALLKVES SIDMLLGLLTYIAWSTDTFLGRADLVSRLMMLATSLVYDLRLFRPSPPDVQVMMAISQ GQDEEARQHPNTETPFSLAEGRRAVLACFVLSSKYVSGLLDLHYFPTLHLLGQYLICD PP AFLA_038220 MTYEPQPLNISGRLGFERFECLWQSVEDIKVWLDSFDAIHHSTL IGQPFHFWSQMIMSLTLLKYLSTLQDPEWDCQAVRNAVPLISTIDSMLQKLDQGSQQP ELQCNDHLLHYLSKLLLRCRLWAEARWDMACPMQEVNVFLAFQLFIKATYSTILASIR SITGSFKCSDRRTLCTIPARSTIQVMGMADGGWETQLRLYPLR AFLA_038230 MATFSPIPAYVLGTLTLALGCNAVARPGPEYPRFGLPFESAASP ASTHGNNKRTLPPGAVSPLMYIKGIREMSYGLTLLALQYYRQEIAVTIFAAVCALVGL ADGFVVWASGGKALRMKAFGHWITCLGFGGWAWWRACA AFLA_038240 MFLDLANLSAITIALPTIQKEWGSTEGDLQWVISAYSITFGAFL LLGGRGGDLFGNFQHIRANAYHPTSHHRVLLFGMSFFALFTMVCGLAPNFIGLVVARA LQGIGAAFTIPSAQAHIAVCFPEPAKKAQALGFWGVSGSLGFIIGLILGGVLTAYVGW RWIFWISLILSGVIIPAAFFILPRPDRPPADVVASPESELEAGQQQARTNSLAAIFQR TVNRFDPLGIALSVAGILLLTYALTSANTEGWGSARIVAPLVISGVLLGLFIFHECRT TNGIVAPHLFRSTSFNLTLVLAVNTYAVRQACTYFLTLQLQSYGNSAIHTSVLFIALG VSALIFNTLSGRLVPILGARLMFILGWGFSIPGVLLFSFVDHDTSYWRYTFPGMILYI AGIGAVYITANFVIVSSASRADQGAVAGVFNLALQVGGSVLGLAVITAIAQGIQRRYG EPSLPKDAYGRIGYQSAYYSCVILCFVALLLSVFAIDIPPSMQGTVWKRFQKQRASSM EEK AFLA_038250 MGMPTLTGLAQVAGISRTPESLDAFRRGSLCNWYRDGKHIIAYP ISTILTSWAVTIPETLEHEESWPQSIPAEIEAQRETLKRPPAANVRSWISSII AFLA_038260 MADAEHFTDPFWAPEPDEILSGGVPCSYNAPTASIEMTIGGLGT IAVRSKTTGQLEFIVRSAGPDSPTLKLTVTAESCVLQMKDNDSEPFRDIPVIEYRAET RKSKGPKKLPYVYYPKQPESAYLNVRSKKDPTVYWISVDRSNKRFRYGQHLTNASLTY LEAHFDGDRPSKQWMDQLKSTQILQNGREIPGNDVRYDPLPVTMDKPPLVVSDEQVSL EDLDKYHRTTYPNLPPGCQALYHNIAGHNVSLESASFPQLAQAIDYSCRDPRLVCGKI LAQKQKKNEFHDKSKTYLRITVGSNLANSPGIPYVMEI AFLA_038270 MQSLITLLALPAATLAGSVLWSGISDSSLTVDDIDKWSWSNQVG AWQWYIHGSGKTSEYLGISPEFKNPAAADAQGLRITIDGTSFWNGQTMERSELIPQTK ADLGSGHLYYHFSLSTKETNAPNPSFEHQIAFFESHFTELKYGASGSSDNTLSWNADG KSHWSVQLEAGTWYNFAYDIDFDSKKVGLWASNGSEPLTQVVEPVSASTSTNSADWHV GQLRLPGSESDDAAEDWFWSGVYIEEGPITTEIGSESSSGSSSPAGPSSTTIATTAPA SSTAHSATSTGGITATVSSVGLTTTATPSPVSTAVSSSVTPSSLNAAPTKSTEVATPT SSSVATFASPTSAAEFLTDIRALLKTLLSRSEAGSVHARDFIRRG AFLA_038280 MSPSREEQALHQLQSQQSKLLDKIDELRAIGVGGLVELPQVIVC GNQSSGKSSVLEAISRVRFPAKSNVCTRFATEVILRRSPQPKIKVSIEPGESRINEAE RQKLREFAPQAFSSDRDLPKLIEQAKECMGISNEDTANSGFSDDVLKVAISGPDKPEL TLVDLPGLDYSTSRDKGAKGIEIVRGLTEKYMKNTRTIILAVISAKTDYHLQEVLNMA ERFDSKRERTLGIITQPDILKADSEEEGNYLQFMRNERIHLRLGWHALRNRSFETHDI SDDARDEREKIFFEQGRWASLSRDCVGVESLRRRLSSVLLQHVRRNLPSLIADIQDQI ADRQRRLAKLGPARLTLQQQRGFLLDISSSFERITSQALNGMYADEFFGEFGDDTQDS QDFRRLRAVIRELNECFADAMNTRGSRRIVRELLSHFYDLDQEKKKILVTEYITREAL EKEIGEQARKNRGIELPGSANQLLVGNLFRDQSKPWEGIARLHLLNTWESVKYFVYLL LRHLTDEPTYTLLVGTVLAPQLERMKDGLLNKLGELTAYTKRGHPLPIGKSFLSKIQV ARTNRQIAALRKGLGLSRPFFVLKDGSESFYADDLERAASELRSSSDQFAAAEIIDQM QAYYDTSIVTFVDNIATLAIENCLLGPLERIFTSQTVNNMDDQQIRELAEELPHVQHD RQRLDQELNKLQAGLDTFNIFSTESSSLQRPSIFAKPASRHSSLSQSLDPIAIRKKTK PQSKCRYPGPC AFLA_038290 MTVSKNQIPAIYERAIKKYQEITDEPFDVQFLAKIQNVEDLTKE IDARNNSFREFREKRGAIFEVLNAAMIPVQLFGNLAAGGASMVFPPSSLVFGAVTYLM GAAKGVSTSYDAIQDLMGTLKDFTIRLKAYSRESISDDLSNKLSDILVTLVEILALST KTIRRGRLLKFTRNILLGSNDAIQGAMGKLDKLTRVEADLVGAETLTESKRTGRVVDG MSATVTSTHATVVETGMTVNQVNVRVNEVQEMLGTLLVSVKENKQESTEDREKALQEH VSKILRPSKTDYAQDWYDKINKARIPGTGDWVRDERIFNEWHDKHMPVMFISGNPGAG KSYIVANMINRLHELHPQGVQNTSLTSVGFFFFKDDNPGTRSFHQALRDLALQISKND PVYLKHLATIANYEVISTLESAWRLLFLDYFVKKPNADSDVYILLDGVDEAFDEERRT FFSLAKDLYDSAEKSHLQLAVIGRPHISDQLLEGLELEVPTIHVTTQKNSRDINQYIH ASIKKSVVLRRVSAKLRQEIVEKLSARAEGMFLWVNLMLQELVKKRNESSMRKALDQA PKGLKEMLRHVLLSFSTSSNEEELEFLNEILLWVTCSRQPLTLAEVESILKLKSPEGD GMIYPEGALRRQFASFFSLNREDGLTTAELQIMSTNRNGLDESDEEGEGRNADEDAFE DVENFTDFDSHKETTTVTFCHASIGDFFRDQTEGKVSDEESHVPVGVDYLHAKAHVLK TFLRIFTDKEFTKKADDGEHMLRHAAENWVHHLLTTHASDCSLEDRRDIAKMLLVALT SEESITGWIGYRGWVSTEANIRAIRQWWEDEEVLESLTPDEQEFISSTKEDPITTLKP IVMLCTKKYVADDMWLAAPVAAVVWSYQSLMKGKEVNFIEMFDPTAEEIIAAAEFGDF EKNALWYRRCAIVLRQLEYFDQALDYFSKAVELAPDMWLCKAGMAIVYSMKKEWQKAI NLDEEVVQTLSAQIEANEEDSGLRASIHTSLERLGDSYQQLGNLEKRFEAYKRAQALT PYCNTCINVLLEHYGTKHDHEATIDLLQTLADTPVPDEDFSRLTQSLWDNPEEDTRYF VLAADAALATDNLDFMVESWRTAARAARKASKTVTATQLDMSLARIYSEFLHDQAKAV KRWERIMSTYASSKDETVVGFAKLKASFELAKQFLCDAVEAGIGTPQAEEAGAKLEKL CKQVKLNDESVLWTVSSMRAICLGIYYRLSGREAEARALFKPSIKRGIAILSDDDPEN DMLGFLDLLNALVAAGDVKNVTAAAYHEVFGKYNVDDPEGATDNESPGDEDSNWLTCD GPCRKELPTLDDSYQCPICLDTAFCPSCVRLLEDGTMGIRKCNPKHVKDFIYVPPRPK NVASGKMLVDGQEIDFEVWKQGLKKEWGI AFLA_038300 MSFPDQRETHAVHAEDSPSSGDATDSELNAPVDISNELAEKRSE RSDGKRELKEKDCYQILGYSWPRWKKWTYLAAVAFVQVSMNFNTSVYPAAVKPLSEAF NISEQHARTGQMAYLVTYSIGCELWAPWSEEFGRWPILQLSMFLINIWQILAALAPNW GSMVVARALVGGISTAGGSVTLGLIADIYESETQQFPLAFIVLSSCIGTSIGGVIGGP IARFLDWQWFFWIQLIFGAVTQVIIFFMPESRSTIIMDKEAKRRRKSGEDPNIYGPNE LKVPRISFKEAGKIWMRPFHMLLREPIVLCLSLLSGFSDALIFTFLESFAIVYEQGWG FGTLGQAWAVIPINAAYFIAYFSYFPWFMRDEKLRLRHGDAAIPPERRLKWLLFLAPL EPIGLFGFAWTTFAAGDSRNVHWIASMIFSASVGIANYAIYLSSVDYMVASYGVYSAS ATGGNAFARDLLAGISAMYATPMYSNIGDEWHVQYASTILACLSCLVVIPIYIFYWKG PQIRANSKFAATLAADRLQNNGRRVSQISAEP AFLA_038310 MVIVDLPNYCWEHSTSYWFETQSSKDWRFRPFVHHDLLGSKILG TSWLHPTFKKTMDLSHLPWLRDHRIGTDVVFPASGYIAMAVEAMYQTSCMRKPTRPFP LPNELGYQLRNIRFNAALVLEEDVDSEIYLTMNPFPGGNENWSEFSISSHRDGAITHH ATGLIRLQEPVIDPADETDIAPFEHASPGHLWTKACAELGYHYGPAFHLLEKVESRAG QRRARALITLADPPSAHDPQSLYPVHPAALDGVLRAVVPAAIAGDRSRMAETLIPAMV DDLIINPTHRPHAGIAVASSYYSGRGREDTEKSYLGSTSVYDPTSGALLIRMTGLSSH RIDLGIDPISRHTLVHDVLKPDISMLNVEAVQQLGQVHTNMTPLLLQLMVHKKPALKV LELDLGTREPGSLWFESLETVEDLQCQYRYVARDATNLSGVESKYRDQANTSFQLLDP DCSHLGVGEQERFDLIILKAISPLPQRSASVVSAVKDLLSLDGYILAIDHTEAGLNAH SESSLVRLVENTTLGRVLSIPSERRAVFLCMPLITRDCPPPAAKQVHVVYMEEKTILP PIAERSLTEGGWQITQHQYLTANVPAGALVLLTDELYSPLLTHITEEQWLCLRHLITS GCKLVWVTRGGQLSASEPDSALAAGLLRSIRSEDPAATLITLDIQSQDGAAALACLPL VLEQLQMREAGLGTENEYVEQDGVLHIHRVLPYRPLSHDIQSWKEGFVETPLSTDGSI TRLVAEDIGTLEGLRFVQAPDDTLPENHVEIEIQAAGLNFKDVAVTMGIVPENEHLLG LEGSGIIRRVGSSIDDKSIVGTSVVFMEKGAFANRIQVPLDFTHPIPKTMSFSEAATI PVAFCTAFYSLFDMADLQPGQSVLIHSASGGVGIACIQLAQYVGAEIYVTVGSDAKRR FLHDTYKIPYERMFSSRCSKFAAGIMKATNGEGVDVIVNSITGDLLDATWRLCAAGGI LVELGKRDMVERNTLSMEPFDRGCSFRAVDLSHPKLLKKLPRYVNRISVDVLYAHLYI SILRRVFSLASNGHIRPIDPITTFPITQAAEAFSFMRSGKHIGKVVIRGMAATERLTA AVRPLRQDITFNAGSAYLIVGGLKGLCGSLALHLAQRGARHLVVMSRSGCADRRSQAV ISNCESLGCHIHVCRGDVSRILDVRQAFKQAPVPIKGVIQGVMLLRDRPYELMTIKEF HESIEGKVQGTWNLHNVSIERRSELDFFLLLSSISSVVGTPGQANYAAANSFLDSFAA HRRSMGLAAQTINLGVVEDVGVVAESDDLSQRLEASRDLIGIPERVLHRIVDYSLRQQ FLQSTCRPDSGSSEFPTRLITGLAVPQDPTQSGLRFNPLFRGLFVGNSSSHANAGKPT DELAAALQSFHAMIRAGVGAEELQEPCLSILATRLARMLRYNENQQIEPGQPLSVYGL DSLSMVELRNWIKAQMGATVTTFDVLNANSLIMLAQRVVNKLRRE AFLA_038320 MARINHGIVGISILITLSLPRRSRIFRDGKAIDGEGSSSVLGRL SFHWVSELVAVAEARRRVTLDDLPELDDRTRAGILQEEFTQAPCNNASHRCDEWSLFR LLLLSHGKCLRNQIMLSIPLAVVAFTPQLALWMMLQSLEEQSTRQSDTASLTWWALVC GLTIGLSAWLENWLLWLAMNKISVPLTQQLTAILYHKLISHSQLAGDSLNEQNLTNLI SMDTQRIATVAGFLYSNVLQAMKLIVASTLLTHLLGWQSLLAGLSTLLIITPLHRIFL QRYGAAERALTGLRDSKMAALTEALQCIRQVKIAALERKWEVKINQLLERGLQEHRAA FHWYLMNLACHLVGPVLVSATAIGIYTWIHGSLTPSVAFPALSLLGYIQFILGLIPDL WSGIVGARISLRRIGSFLETEKASSTIAPGERIEFQSATVCYESSAGAQGSGTLHNLT ASFPPKELSIITGATGMGKSLLLRTILDECHIKSGILRRPVPASHDEIYGQKTTTQPR WVIDHAIAFVPQLPWNEAATIRDNILFGLPLEPERYQSVLYACALTKDLEQLEHGDLT DIGPNGCKLSGGQKTRVALARALYSRAGILLLDDIFSAVDIHTARHIFIHGLTGELAQ GRTRILVTHHVQLCASKAKYLVTLDNGTVVFAGIPPYLLHPSLEENRDTAGNESKHDI HTPESTPVRHSYNTLVSADDPTERTKGAFMEDGRSPQNLVRRDVLRHYIQTSGGSRSW AVVAGCYIGYNGLLIALVCTYSWVRLWTESGSSTTPDPRRMGYYTLGYIIIACTACVL GTLRSYLVFDVSLQAARELFHQTIHALLQAQLQWLESVPTGNLINLFASDFYLIDSRL GFDLIGLFSAAMDCVGVIMGAVLVCPALTIIAAILLCAVLWYTKRYVVAVCEIKQLEA TTRGTVYEHFNMTSQGLSTIQAFCRGEDYSSIMHAKIDQQAKTSWYLYLLNRWLTFRI NVLGTLFSLFTLFFVVSSPSITGSFAGFALVFTNHLCHALVMLSRTYATAEMDFSAVE RAMEYTEVPTENSDGEDAPDRWPTEGRLSVRSLTVAYSPELSPVLHNITFTVEPGQRL GVVGRTGAGKSSLALALLRCLEAREGAILIDGVDISTIKLHHLRRRLAMIPQNPILFT GTVRSNLDPFGQYSDDSLIEALKRVSWDNPEALHASVADGGSNLSCGERQILCLARAM LSAPAVLVMDEATSAMDHDTDQMIQRSIRSQIGKRSPTLIVIAHRLQTIADFDRVLVL HEGRAVEFGSPQDLMQSRNGLFRQLVDDDVGKENLYNQMNIAGR AFLA_038330 MAMKQPHPMQVNRNQTRLAGWVLGLPFFSVYTKAQLKHQSCPNN MEKHG AFLA_038340 MPVPKQNRSVDTDNIQGSIWPRLPKYYETYLFFKITDNEKFRQH LRGILDKGVITTGTECEEHLKSVGEFEEACAYSRRHVPEKDRKPFTAVNVAFTHMGLL KVEHPEVEVNFAQALAEDPDEYCRDRINEGLFEKGMFDDLVYEGADSPPALDPDFRAP PGNESKTGLDRWKWRVDGVFIVAAHNAKALRRKIVDLEDAFNVGDADENSMKIAFRRD GKTRPGANRGKEHFGYEDHISQPKIDGLDDPPARGEPQACPPGYIFLGHEGDPERRRG PKWAKEGSFLVFRQLDQKVPEFERHLKELAEKIPGNYGGNPEKLGAHMMGRWKSATAG RELIYGTGAPVAKAIHEDNPDLAFDNTFDFRPKKDIKGCPFAAHIRKMRPRADKKRDF GSEEDDENHKPLPEPGEDEAFDEGQKEDASVILRRGITFGPELTAEEISKGETIEHRG MYFVCYQSLIRDGFNFLMTRWASNSSFPEYKTKTFPDGPGMDPFINQRLRTDHPEGHI SLYDGSNPNKTVKLDLGLSPWVDQKGGEYFFTPSIRALREQFSADTVNTEGESEDPEE DPVVKKLQAKIDELEQEQKALLKITEERVADIENLEAQLKEAQESHQKFVEETRKGTV VGYAGLIHLDFLNKLETGDLRQLKHQVRDALAQLAGDVDWKTWQRTIRELNSKYGFDL LYGNGGHYEGRHWREWKEIQYSTSNSDYDRTVAFRNRMGQWCNNAKWKAEGGDAAGCA LMWLTFVIQLHDVLQVYRPDVC AFLA_038350 MKFQWASGLLLGAATVDAFKPAEFSYESSEAECVEIAKSVTGEV KYQSPPPNSYLISKTNPEEPDENWVVQCSSQYRGYACDYAIDDRDDRYWLSNPADGET SEIVVDLRKKYLVSGLTMLPELNKNSKHGQIGEHRISVSQDGKTWTPVAYGTWGSNKS PKLSVFNPKLAQYVKLVSESQSLPDRTQKKHGQISIVNLSVYTYNGTDYPREDPSKGV WGPTIDLPIVPVSSAVEQHGDIIMWSAWADDQFFASPGGKTLTSTMNRDGIITQSEVF ETKHDMFCPGTSMDIDGNIVVSGGADSGRTSVYNGTAWVKGPSMAIPRGYQSSTTLSD GRIFVIGGSWSGGDKVAKNGEVYYPYPDGNAVWETRPGCEVEPMMTDDRLGQWRADNH GWLFGWKKASVFQAGPSKEMHWYDVDDVSRDRNGRRRVRGSVHSAGLRGKDQDSMSGS AVMYDATKGKILTFGGQRHYDGSYGSKRAHLITIGEAYQRPVVKVAGKGPDGKGEGGM HEPRVFHTSVVLPDGKVFIAGGQTWGKPFHEDQIVFTPELYDPETDTFVQLSRNNIKR VYHSISMLLPNATVLNGGGGLCGNCSANHYDAEIFNPPYLFNPDGTRAVRPEITRMIN GNVLTVGGAVTFETASEVESASLVRVGTTTHTVNTDQRRIPLDITHKGGNQYTADLPN DAGVILPGWYMLFAMNDQGTPSVAQMVKVELSSPPEWKTRQYAEEQAGELESEYRGDA HDCDHEEEVKGLISSMLASSSKFWNTWKPSLINQA AFLA_038360 MYSRNQVLAALATVGITSVNAAMGPALSTGPVASNSFIREATST LILPKGPSGGSTDAITSLWVGMGTSNGDLIQSIADNWQQSDWTMYAYTLMKTSDTSQM PIYGDGQQNAGEGDKVTMHYKFDDASGNYTQTVQINGETVSTLSTSDGQAQGWGSSVE CAENNCGTVGAHSWTDTKIILDVADANYINTLAKGEGVTGGDMTTSDGGKTWTISTFG IPDHTFSD AFLA_038370 MASFFLNITCPNRACLAAGNSAQLLGKHVIAGVSPRTVFTPGRR PQSTQSSLVTKSSWTHPVYTTSQLHSIQTAHRNAIDWSDRMALGTVRFLRWGMDLVTG YHHSHPRDAHSPRFRMTEEKWITRFIFLESVAGVPGMVAAMLRHLKSLRRMRRDYGWI ETLLEEAYNERMHLLTFLKLSQPGPAMYFMVLAAQCVFFTGFSLAYLISPRICHRFVG YLEEEAVITYTKAIQELDKGNLPLWSNMEAPAMAIKYWQMPEGQRSIRSLLLCVRADE ANHRDVNHTLGNLNQDSDPNPFSAKFRNALKEASQPLSPVKEHR AFLA_038380 MKSQTSKKDELELVFSNATAKEAGTVPGESIRIDPSIEKSVLRK LDFKLLPVLSLMYFFNSLDRSNLGNAKTDGIDQDLKLVGNQYSIILAVFNVTFSLFDL PSNLLLKKFSGKAMLPIMMFGWGSVTLLQCAAFNFAGMLVCRLFMGIFEAGFFAGVIF YLTQFYKRNEIAFRLSIFYGMVTIAGAFSGLIAFGVFQIKEHLAGWKYLFLIEGGATI IIATFAAWWLPLSGSKCHWFNEAESQVAQMRLLQDGSVRTTDRLSITEALGALFDWRV LVWAVSCFCFGVAQSSVSNFLPQMVALQGYSAVKTNLYTVAPYCVGTVVLWIIAKSSD HFRERSFHLAAALIITFIGYVILATVDPNTNKGVAYFACFLLAAGAFVPSSIFHSWHT NNVTHESQRAATVGFLVGSANCAGIPSSLSFKAETAPRYMPALIVNCVFLLVGACVVI GLGTWFRLDNRRRDKEQGVRLTAGDVATQNLVGGWKDPNWRWTP AFLA_038390 MAYHPPLKDKQSPVVIVGAGVFGLSSAIHLAKRGFTDITVFDRQ PYHETLYDFDKGCDAASADCNKIIRAAYGDEVWYQNLTFKAIEVWESWNKSLAEETIL PPGMTSKDRIYVNCGNYHFGDETDGLNDFEKLSVNNITKAGKGPTQYLLYFDSKEVAR ARADGFSYAVDPFNLSKDGKHQGYLDMIGGFVYADKACTYALHLVKKLGVKLVLDRRA GSFESFLEDPTGKVVGIKTADGKHHKAAATVVACGGWTPSLIPEIDGLCETTAGSIAM IQIPEGSPLRERFSPDNFPVFQWNTRAGENGNLYGFPLDSRGVMKIGYRGTKYTNPQR VQSGQVRSIPITKWTSPFITGLPEKSVQVVQRFLDKYLPELKQSGIGISQTRLCWYTD SFDNHWVIDGIPGKEGVIVATGGSGHAFKFLPLLGEFVADKVMGIESDMLRRFQWRKP PHGETPRNQLMKGFNDANALHRVRMVPDDGSHYSSKL AFLA_038400 MGGPEDGTQLRQAHEPFVQPGYSDLNPSYEQPSNAKPVWSLAKP LPRVVRAGMVPTKEELLDARLQPERPAENSQKLGLDVDPNDLEQGQIPKMADPRKMAA QVEDARLQRENNFVNKVLTGDVGSSRVSRTSSTRRRRPSVRIDAPGDQLSIVPEGPSA VPSVASDQAGDSLPHGSDEPLEPVPEQPEQPEVQTAAADALPDLSALHESSLPEDLHP LVQELVEDEVHNNHTTWSVIRTHHREALAESLAVFVQLTIGFCADISVTVADAGNPNT TAWAWGFATMIAIYVSGGVSGAHLNPSVTIMLWFYRGFPKRKMPEYFLAQFVGAFVAA LTAYGVYYQSIHQYLLTHPDTGIVTSFVTGQRQSWIDPATAFFTEFLGTALTATTILA LGDDQNAPPGAGMNSLIVGLMVFVLSNTFSYQTGAAFNPSRDFGPRLALLALGYGSEL FTNPYWFYGPWAGSLCGAMIGAFLYDFMIFTGGESPVNYPWERTQRSLRKSRIKWKRR LRMDRRRRGISEKVVR AFLA_038410 MDRVAQPGNPGHMASADSTWGVETQDRHSTDIVGWNSFLHHSAA IQCASLDAYPRIETHLAWIYVKLAKKSSGIPSVSRDEAVETALCFGWIDGRAHAYDED WWLVRYTPRRAKSIWSKKNVTTVESLLNAGRMRPAGLAVVEAAQADGRWARAYDGPAT ITVPDDLTTALTQTPAAATFFEGLNRSDRYSVLVQLQWAAPQRRAKRIETLVQMLADG KTPGASDKSAKTSKRKAVDRNHEKGGRRKTSKKK AFLA_038420 MRLSEILAVALVTGATAYDLPDNLKQIYEKHKGKCSKVYQKGFT NGGHSDGKSFEYCGDIEGAIFMHSSAKGGQYTNMDVDCDGANNSAGKCSNDPSGQGVT AFKDEVKKFGIPDLDANLHPYIVFGNEEHSPQFKPQKYGMEPLSVMAVVCNGKLHYGI WGDTNGGTSTGEASLSMAELCFPEEKPDGDHGHDDNDVLYIGFTGKDAVPGKSANWKA KKTEDFEDSIKSIGDKLVAGLKA AFLA_038430 MGDNSEESLSNHDIISSYFIGPKSENMPEFKANINAILDEIVKT RDGYQPDDAEFISTDVRKKLKTLNGNFQNAVKEAAKLLGEHSIPFWHPRYQGHMCTDM TMPGLLGYFMTMIYNPNNVAVEASPFTTVVELKAGQQLCKMFGYNTDEKATDLPLSWG HITCDGTVANLESVWVARNLKFYPLTLYQAMKEGPLGFIADTFQVTTCVGEEKLFKDL GVWELLNLRSDEILGMGDALYRQFGVTSKFLEEALRPFTIQTTGKDVLEREFRIQKPI KYFLAQTRHYSWPKSGAIAGIGSANIQGVELDMEGRLSLDALERELNRCLEERQAVYA VVAIMGSTEEGAVDRLHDILAMRRRFQDRGLSFLVHADAAWGGYFASMIPRHLMDSRM PSEEGDGEEAVGNVPSLPLREDTLRDMIALKETDSITVDPHKAGYIPYPAGSLCYRDG RMRFLVTWTSPYLTQGSMENIGVYGVEGSKPGAAAMAAWMSNQTIGLDPSGYGRLLGE AAFTSARLSAWYAAMHVRQPLDNKGQRHYIIIPFNPLPIEKKGYHSLDPEVDDRRQVI FDTVINKDNAHVSQDTESLTWLRQIGSDLNINAFAINWYRENGKLNTDLEEANYLMRR VVNRLSITKSSGNPSEIPLFLTSTQFEPALYGQCAQKFMQRLGLNACAQDLWVVRNVV MSPFPTDQDFIRTIMKELEDVIIKEVEWCRERNSPDDKEVEFLLRGTDEVFLDFQTSF HRATQRQQIILAAHLEEDTKKNYINLKKKYPMQDIAFRSKDPENLEKMMTTISQGKSY TVHGKIGAREGKEFIGRTVECSVRMIQIVKSRPLNSANRDDHYPRHFMPFYLYGSQDQ YHISHMLLQAPNVNLSACDVKLSEALSKTVRTRLRKRKGLILTLTGYREETMHPFPQK NDDAVFTSNHFFFRPERTFKVKVYEDPKPDIANGPGLIDNLGTPIARGEMTLGNDVHV DVEALNWDPLEEVGLDVPWDSELDKIQGVLNTGRRMVSAMATTSFRDSDGRRIPTGED DTNLWDSEGESISTGQATTSFRESEGPSDGRSVVSGEAPPTRFR AFLA_038440 MEKQVRKDRQSLKPYIVEMLPLIRRGRIRVYDSCSTCRWGSLGL VCNPFTLASSDVSILNSPICMLNLGLDRPSSGGQCGPIYTLSWAEYISGGASRICPPA TAVKTHWPAGCDQRKVTWVIHLGWTCSSHRRASNNVDKFFATMVNIITQSTAHHARYR AMDGCR AFLA_038450 MGSLCRLVAVSASLFAVATAQIRVRLGPSTVNELAELDFHTWNI ENESQNASTTIDSLDLILSSSAESDLEGNSYKYQYTRPVSHLGERVVNQGITTSRDNP GPITLTIQGLEAGEHTLLTWHNAWDSLDSTATVSVSVDGEDKASDIEQSIRVDNIWET ASSYVAFTVDSADQVVEIAYTPSGADGLVYLNGFEIDTPALKDQISFPSPPHREEHLE LGDEESITATWRAPSSGDSVTYNVYMGNASDTLEVVNEGLSETQVTLSGIVPFSSPFS SRSV AFLA_038460 MDTFYWRVDVISGDTTYTGRIFMFRLAQLAFPGAEGYGRFARGG RGGKVVKVTSLEDSEEPGTLRYALAVATGPRIVVFDVGGVITIDSRLTVSDSYVTVAG QTAPGKGIAIQGHPLGLSGASDVIFRHVRVRPGSSSNETVDGMGMAGSNYCILDRCSM GWAIDECFSSRTAHNITFQRNMISEPLNVAGHKNYPAGTAHGYAATIGGDVGSFHHNL ISHAEGRSWSMGGGVDDNSTFAGRLDIRNNVVYNFGSRVTDGGAKEVNFVGNLYKQGP ASELTYDLKATYEDNLPGTQQYHCAGNSMPDVIDQDSIQYPPGDGTGQTSKIACFADV SIDPAPEYQKFFDEPFFPSYIEEHTSTEAYKRVLSDSGASQPVVDDHDKRIIQETLNG TATYKGSKTGKPGLIDNEADVGGLEDFPTTTRPTNWDANDDGIADWWDGSTGGDGYTV IEGYINFLADPHVFVAPGASIEYDLASLAGGFSNPAFKVSGGELGSVSVVGTVATYAA GDKAGIDHFNVTISDDEGSTWERSVGVAIFEGADSVE AFLA_038470 MSDKTDLEKPVEKLQDDPDQALGELGYPSELRRNRSLSTILFQS LSIAGIPFAESGALMQAIYGGGQLSIFVGWIVVCLMDQCVAMSLAELASRYPTSAGPY YWSFQLSGKHAKLLSFMTAWVWLIGNWTITLGVNFAFAQLLVATVSIYSSWEATDWQL LLVLYAICILAFVICGFGNRFLPLVDTLCAGWTLVSILVVLVAVSVSAKAGRHAPSDA LAQYDTSLSGWGNFSFCIGLLPPAFVFSAIGMVSSMAEEVHAPAIKVPKAMALCIPVG GTAGLFFVIPLCVTLPGLVDITNAPSGQPIPYVFQVVMGTRAGAVGLVSLLLVVGFFC SISITNAASRCTWALARDTALPLSRLFSRVDDRVRIPLWALGLVTVVQMLLGLINLGS SSAFTAFVSVGVIALAITYSIPISISLFYNKRAEVSKARWNCGRAVGTTVNFIALAWI GFELVLFSMPSTLPVTPVSMNYASVVFVGFTTLALIWYIVHARKVYVGPPLSDGMPQD M AFLA_038480 MRYTRYNGHGVAMPFSKLTKLDIVNQYGLSARDLRTLDVPSDGF PHILIRESTLLIHMFNLRLLVQADQMLVFHLAETSTQEPDTISRVFLRDLKSKLRGDP GLGVSVGLPYELRILEAALAAVTSTLEAEYVLTKDQVMKTLGMVDKEEGEIHSNLRTL LELVRKLAATEKRARQVRSAVQDVLNTDEDMAAMYLSDKQAGKPHQVEDHQDVEYLLE AYYKASDAVVQEATSLMGTIQQTEESIQSILDVRRNQIMVLEAKIEILMLGMAVATLV AGWYGMNVVNYFEESGTAFAVLVSSSLVAIAFLSRYGFRQLRRIQKMHL AFLA_038490 MTTTPQSYATFSIESGCLCFGELHNIWSGSLVPIQGFPSIQPDR SGTVKAHRLKFNIPARNGTWQAFQLVDIETEVVSGWFLWHSDVDPGREIARILRVSGS PYEPDSGSTMNNEKTRAEGVLVINRYDWGYYDARCRDEMDEELGGPDPERRTADVEFS ESVGVVDYAQAKSQVAAWKVQSPDRQCGCEAGVWMRIPMAEYKIGRFGFNDDRVAHSF LFFSGGTHFTQTSLAGHSRPLREPETDVERFERRLREDFDFSGLQLLHLHSASLDNAG VISLSPPRPRESACLGPYSSGANILRVHELDALRLHPFVPHVPPEIATSQGVPSDTRA NQTRKLAFFVDPWKDRVVDLLNGLILSYLERVVFPSLSFGAPSLIAEALFGKSTAGKL LNTYCHCCLTRSDPEPIPNFDADHVSRKVSDFLESRATGQSVVIEEFVSGIARVVAYL ISEVLEVSSNAAICSQRYGIVPADIRISVYSDPELYDVFQYSTVLWKGHE AFLA_038500 MASGRALRCQRPYRETLDFQLSTDVTLYKAPPNKDSRINLRYTP LLDQLPLEILHLIFLDLDLPSLGMLRRVSRIGRRLVESLPAYSLLRALASDTLRVMDM IKCSSYFPIGWLFAEFCYPWCRTCTDFGPLLYFPTLTRSCYKCNFLQPDYQVEPVRDV CFRFGLTPNDLRRSSLPIIHPINRHRPRLMDVTQAEALGTKLHGGGREMEQAYQFRLK ERERDYHRRVQQWEKHRRQGTHTGGRPRRRLKPTSLDENEDSEKPSWRIQATATFPYW DVRTQILEPGTYCKACTYHWEEGNANDWRRMETIWHPHPPSREAYYRAFLEADLPRHF LNCVAVKENYDFRVKRPSDIFFRRHGTDFIVGPKNNTDV AFLA_038510 MLPPNKSDPEIERVDHVEKQDADPKPTLEQKNVGTLQRRLKSRH VQFLALSGAIGTGLFVGTGQVLSLSGPLSMTLAFAISGLDLYAVINSMGEMATWLPLP GAVPVYAARFVDEALGFALGWNYWYQFAIGVPIEISAAAMVIEYWPNTVSPAVWITIL FVPMIVVNSLPVRTYGEVEFVLGAIKLTTIVGLMLLMLIITLGGAPTHDRIGFRYWKH PMEEYLKTGALGRFLAFLKVFVQAIFSYGGSEIVVVAAGETENPRRNIPKAVRRVFWR ITIFYVGSVFLVGMCVSARDNRLLNAIKAGAKGAGASPFVIAIQNGGIRALPSIINAV ILSSAVSAGNSFFYASTRVLYSTALDGKAPRFLRYEKFGVPYACVAITTALSLLVYLN VSSSSAEVFFWISNLSSVSTLVVWTAIGITYLRFHQALKYHGIPRSSLPFKSPFQPYL AWFAVIFSAIMALLNGFDAFFPGHFSAKTFIPPYIAIPIFGTLYLGYKFVKGTSIIKI EEIDLWSGKEEADRLEAIWEQPKPRNFLGMSNSSKRYVTDLR AFLA_038520 MASKKQIIPILLLTAIQASAAPAPQASSGAQPTSFTANPNVGPG GASYKDSDHFRLYSSDQSNADAVLTMLEAAYSCYVGDLGWTTSGIPYNADPSTVTTLW KENVYEVNDLGSAAGVQKSDPNTGLSWLEVVPSSLADPRVTVHEYGHALTYHSRNWVD QTATGAWWETVANWVADTFSTSPLCAKARSNYNQPTGDTMIELNKVIGDSFQVIVDGS TGSGNYYQAWPFLTYLTNNPDNYAGLGSDVVRQLFQQYEKGSNETPLHTLARISTAAS VQEIVGRYWARMAYVDIGHTIAQKAFLNQRNRLNYANVDPQGDGTYVVKSSRKPQYMG ANILPLSNAGAGNLQVSITSNGVFSATLAIRNTNTGAVRYVSLENGSGAAVMTGNEEA SVVIANTPKTLYEYDAFKLTQEVQQGLDYTIKISGATVQGTAESTSTTGSTTGSTGYQ GLPSFFS AFLA_038530 MMRGLLLAGALGLPLAVLAHPTHHAHGLQRRTVDLNSFRLHQAA KYINATESSSDVSSSFSPFTEQSYVETATQLVKNILPDATFRVVKDHYIGSNGVAHVN FRQTAHGLDIDNADFNVNVGKNGKIFSYGHSFYTGKIPDANPLTKRDYTDPVAALRGT NEALQLSITLDQVSTEATEDKESFNFKGVSGTVSDPKAQLVYLVKEDGSLALTWKVET DIDSNWLLTYIDANTGKDVHGVVDYVAEADYQVYAWGINDPTEGPRTVISDPWDSSAS AFTWISDGENNYTTTRGNNGIAQSNPTGGSQYLKNYRPDSPDLKFQYPYSLNATPPES YIDASITQLFYTANTYHDLLYTLGFNEEAGNFQYDNNGKGGAGNDYVILNAQDGSGTN NANFATPPDGQPGRMRMYIWTESQPYRDGSFEAGIVIHEYTHGLSNRLTGGPANSRCL NALESGGMGEGWGDFMATAIRLKAGDTHSTDYTMGEWAANKKGGIRAYPFSTSLETNP LTYTSLNELDEVHAIGAVWANVLYELLWNLIDKHGKNDGPKPEFKDGVPTDGKYLAMK LVIDGMALQPCNPNCVQARDAILDADKALTDGANKCEIWKAFAKRGLGEGAEYHASRR VGSDKVPSDAC AFLA_038540 MAYVVTITYPSTIESEHLEDETAVPPPDWPARGAIEFSNVVASY KNSSTRALDHISLSIKAGTKVGICGRSGSGKSSLVSSLFRLIELTSGTINIDGLDISL LARNHLRAQLNCIPQEPFLLPGCSVRLNVDPGMSIHDDIIIDALKKVQLWDPVRELGG LDATITPDTFSPGQKQLLCFARAMVRPEGKILVLDEATSSIDTKTDDLIQSLIRSEFA DHTVIAIAHRLETIIDFDEIIVVDAGRVEEQGPPAVLLERGGAFAELYWDKDRGSDRA PLRL AFLA_038550 MSSFWLHPRAATACPIAVEDTFGPVVNHECKDGFDFTLYFEETV LTLPVTLFFLFWALPRIYHLRQQTIKVQGGYRYFVKLGGYALLAVLQLTLVGLWAAPS GKTTRATIATAVVSWLASIVFGVLSHYEHICTIRPATINCGYLFLSSILSLAETRTLY FLEKNREIAVVYTVTLCIKVVLLITETMSKRSLLRRNYRDSPPESTVGILGECLYCWL NPLLMLGNRVDLTVELLPPIEDSLRSTGQGESGLHALWRKDPNRNSPHSLLWACCRYY LVPILLGVVPRALQVAFTFAQPFLVEATTTWVASNELTHPKAQGYALIGAFGIVYTGI AVSTAFAHHQAYRVVSMIRASLVDMLYSHVMVMRDIDVETSAPVTLMSADMERISGGL HYIHDAWACIVEIPIALYLLWRQLGVASIAPIIVVFICMAMSLLISGLAGPRQQVWLE AIEKRVDITAKVLGSVKGVRTAGLTDKLFNLVQTMRIEEVVKSEKFRRLLILVVGVAY SNVTLSPLASFTIYALIARHKGDETLTAAKAFTSLTLFTLLATPISNLVEAATGVATA IGSIKRVNEFLQSDPRRDDAHEPRGTRSNISIPASVLSSALTEMGVVYDGKGMSVVEG VPSISSREKLPLYYEVTGPVFVAEGRSAGWEESKPAVVQDLTFEIHRGTVTFVVGPVG SGKSTFVRTLLRETPIFSGGLKADPDSIAYCSQTPWLTNNTIQENILGESLFDLRWYN TVIDACALYDDIRKQPDGDRTMLGTQGAILSGGQKQRVVCFFLLTLTSSSFHG AFLA_038560 MNHLVWKRNQSSISSSDGPSVLFRPLRTRSYCSLAEVDFNLHKS NSTFYADLDISRIELLLLLFKDVITPLSPPSRYHHSGGPYKSDEQQAGSLKHLTPALG GVSCIFRREIKPWQRYDVESRILCWDDKWLYIVSHFLKPGSHSETGTGGSEEGILASA ISKYVFKKGRRTVTPEEVLQFLHLVEDGDHADADADANANADAYADASIERSGLGPKV RGQGDQQNT AFLA_038570 MFSTINASSVASRSPSLASAGSAASFALGSGWATPELLSTSSTS SHACQTLTITYHVFEHSLTVASGSYPTALQLRDDFLHDLSKTSGDEELSSLVELWARF LSFTVRRLERERRGLKEDDLRVLLATAMDTFERDILWNREVHVVVKELDASTEVKSTI LAAYFQARHALRKISRPSHPPSALMAAALDRKAHLYTMFGGQGNDENYFDELRMVYNT YRPLVRDLVVSASSMLQRQSLDDRFSRFYHQGLDIITWLEHSERAPDSAYLISAPLSM PLIGLLQLAWYRVIGRVLGSTPAQIQAALAGTTGHSQGIVTATVTAVAQSWTQFDSLS LDALRLLLAIGAYSQDHFAVAELPPVIVADAEAHGEGFPGPMLSVTDCPLDQLQKYVD AVNAHLSEDARIAIALINGPQSAVLAGPPLSLHGFNVWLRGVKAPAKGTQHRIPFSKR KPEISNRFLPITAAFHSSYLADVSDAVLASVPDLTIRGDDLRIPVFCTRTGSDLRAFG SQNIVPDLVAMITSQELVWTEATKLPGATHIIDFGPGGVSGIGALTNRLKDGTGVRTI LATVAEGTNTDLGYRSEIFDWCPSPALAYGQIWSEQYRPKLVQVSGSRPMVDTKLSRL LGLPPVIVAGMTPTTTAWDFCAAVMKAGYHVELALGGYHRADQLETAIQRLLKEIPPG RGITVNMIYAAPKAVRWQVPLLTRLRASGVPIEGLTVGAGVPSLEVATSYIRDLGLRH ISFKPGSLPAIHQVIAIARAHPNFPVILQWTGGRGGGHHSFEDFHQPILQAYGAVRSC SNLILVAGSGFGGAEDTFPYLTGEWAQRYNRPLMPFDGILVGSRMMTAKEAHTSPAVK QAIVAAPGVADEADWEQTYTQPAGGIMTVTSEMGEPIHKLATRGVRLWAELDRTIFKL DRAKRLTELQKQRDYIIRRLNADSHKVWFGRDELGNVVDLEEMTYAEVISRLVELTYV SLEGRWIDPSFQQFLFDFLLRVEARIGHEREEQDGDDMEITSSVVASVDDLRDPKPAI DKFLEQNPCAADQLMGTQDAQYFLHLCRRRGQKPVPFVPVLDENFEEYFKKDSLWQSE DLNAVVDGDVERVCILHGPVAARHSTVVDEPARKILDAIHDGHIERLEMRDPDYFEDG IPIVHCFGPYPTVSEWSMAQAGIHCVPVGNTGSLLYEVSPEMNAPMPSLDQWLAALGG TQGGWRQAFFNAPTIVQGRAISENPLRRLFQPTRDSYVMVQTGHRSDTTVSLFEYRPH SEPVKVVDIRADESGSITLEIINYRNAGGAAIGLRLMYQYRRDAVYAPIHEIMDGRNE RVKEFYYRVWFTNSQPKKWTSVHDTFCETGLEVTADSIAEFAHCVQNASDASSKRRGK TLYAPLDFGVVVGWEALMKPLFSHELDVDLLTLVHLTNGFRIPPDAQPIQEGAVLETK SRIQAITIEDAGKLVEVRGDVCQDGKVVLELTSQFLYRGTHTDWENTFRKVDEEEMEL CLSGPMEVALLKSKPWFKPRDADLDLQDQTLIFVLQSTYQYASRDTFKAVTTVGDVRL KSSLPGRSSSVATVAFYAATCRGNPVMDYLQRHGSPVKKRHMFNSPNPLTGEGSSLMM RMPDSNEAYAHVSWDFNPIHVSSSLSRYADLPGLITHGMYTSARVRGLVEHYTCASAI GAFRSFNCSFTSMVLPGDEIEVIFQHVGMLAGRKIISVEAKHVGRGETVLRGEAEVEP EETALLFTGQGSQQKGMGMQLYTQSEAARQVWDYADTYFSDNYGFRITDIVRNDPKEL TVYFGGPQGRHIREKYMSMKRESVGTDGNVQLLPLFPEIDEDTEFYTYHAPQGLLSAT QFTQPALTLMELAIFADLQAKGLISERSSYAGHSLGEYAALGAVGKIFSVESLVQVVF YRGLLMQFAVERDDRGQSDFRMCAVDPSRVCAGFDQAALQLVVETIAAETGQLLQIVN FNVAGLQYVCAGQVQPLRCLKSVLDHINATAASTLPSNVLSPDQLTSLVKSQVATTQT NTALTRGCATIPLKGIDIPFHSSHLLPGVPGFRRCLLDLIDRHALDPKRLVGKYVPNL TARPFQLTKEYFEMVKDLTGSVALGGVLNEVSYCFVSVDIVEAMLML AFLA_038580 MGLTPKCDLTNENAQYPYCASPPAAIIFSVLFGVTFAGHLALAI LYRKRFCWVIIVGSGWECLGLIMRAYSTLDQTKSSTLAAAQLLVLLAPLWINAFVYMI FGRMVYYFTPNKKIKGIKAESMAKIFIWLDITAFIIQGTGGILDSDGFGEKLNRTGMN IYTAGIAIQEFFILCFLALLIVFHKRMLSGYRNLERGNGWKLMVYGMYATLLCLTTRI VYRLIEFANPNQAGKTVLSTKEAPFYILECVPIFIGMMLWNVLHPGRMMPGPDSEFPK LSRSEKKQMKQEAKEAKKQERRVGFSKKYTAVNDSEYTLTRPSDEEHEAGYGGGRWPL NEVESGRGGYGGREYGYVR AFLA_038590 MRMSVDPNCTMITSVQVVGENWANIDDRVERRRAQNRIAQRGYR KRLKKEKLESAKEAAAAKAPKEEEKQQQQQKQQQQHQQQPLPPFQTPVKVQTPPDFQL FSPPLDGLSFPESWTPPSLDGSQRPTMAGRGPSYNPIEEAYALANTNNGNLSNSHPSA VPVSAADTVKSSQPIHAPSPSITPNPSPPTVVESVPSHSTPPGESSLANTALHRAALY GHESVLGVLISAGADASIADSAGLTPLHLAAMEGHARLVTLLLDSPTGSGVNINVTTR EGETALHLAVKHHRPEVVQVLLCPTRRHALQVDAQDWLGRTALHLACERNRRDLVEML VQAGAQLMIRDLEGQTPLHTACLGKNM AFLA_038600 MGDAGDYTAFQAITAQIPMGSKGSSFFFPTLTDGVILDKEQTDQ AQIPLDLGTVSQGKALAAFCERHGLSVLSVLNVAWAMVLSAYADTETVGFLFVRFVAG VPHVGVYQAEIDGGKSVLKTLVDAEETLQASISSSALMTPAEFQELSARAGGPAFNSV VMLYDEESPEREQPGNYLAIHARYLQDQFVVDLQCPTSLIPASQAKHCAATLSHVLGE IIKVPRTPLSEVSLMSQDGMHQIWEWNKRMPEVVSRCLHHLIDERARKEPEALAIQGP DGCMTYGELQALTDRLAHYLVDRGVGPEVAVPLFFEKSKWAIVTMIAVVKAGGAIVNL DAKQPRSRSMEIMEQLQAPLILTSQQYEPLWQDNCNVFSVHQESVMALPAQKASPVVA VTPKNILYIIFTSGSTGTPKGCVVEHESFLTAANQHVTAGEIDPTSRILQMTPYTFDV SMLEIFTTLTTGACVCFPSDELAQHGIAHIINALRITWTFMTPSVVRLVDPADVPTLK TLALGGEALSQIDVTTWAGKLHLINGYGPSECSVAATINPHLTPDADPANIGRGYGAV CWVVHPDDHNRLVPIGAVGELLIQGPIVARGYLNEPAKTEAVFLDEAPVFLQNMTSKP APFRLYKTGDLVRNNSDGTISFIGRKDRQVKLRGQRLELGEIEQRLSVDSMVRHARVL LPKAGPCKGNLVAVLSLHTFPYEGPRDAEVHELLIDQRKQARGFIPEISTRLAAQVPG YMVPTFWVVVAALPFTTSGKVNGVALNQWVRDMDEDTYNEIAGIAEEEETNETVQLSD TELLLQEIWAEELGLPLPKLKHNRSFIALGGDSITAMKVVARCRREQLKVSVLDVVRA KSLIDLASKTVRSSQVSVEEDTVIAPASTPAERTAAIDDALLSKAGLSSKDDVEDIYG CSPVQDGILLSQVKFPGTYEIRRVLKVLSIMDATTTILGLQRAWQGVVDRHQSLRSIF VDAGGIFQQIVLKNVTASVHCCEYMCSDDEAEVTNFLKSLPSPTYNASEPQHHLTICR TRGDNVYMMVELSHAIVDGGSTEVVLQEMSLAFDGKSFTEPASLYSDYIQYISSPEHS QSESMSHWTSYLAGVQPTIVPMYPRDGQSKQIRSVKVPFAGMKDLSKFSVAHGVTIAN VIQTAWALVLRAYTGSDDVCFGYVASGRDVPVKGIENAVGAFINMLVCRVRLDQHQAA LDAVDTMQNDYFTALAHQHCSLAQIQHGLNLSGMPLFNSIISLQKGVPDQQFGDALSF RAVQEDDPTDFDLAVAIDITTDDVEISIGYWSSSLTQGDAMNLANTFSAAIYGIIDQG GVKPTDIDLFNEQDRAQIFEWNKIEPVAESGCVHEYFYDQAKKQPDAQAVCAWDGEYT YSELDLLSEKLAHHLAKLGAGPEVLIPHCFEKSRLATVTMVAIMKSGSAGVGLSSAHP LSRIQDILDGCQARVAVVSAQHAKLLEGLVEHIVVVDESFLDELPAPTDNCTLPQAQP SNPAFVSFTSGSTGKPKGIVLEHRSLITSIQAHGSEWGVGPGSRVLQFSAYAFDASVS DTFTTLTRGGTVCIPCEKDRVDDLAGAINKLGVNWAFLTPRVLGLLSPETVPTLKTVV LGGEAISREDIAPWTDALELRIVYGPTECTIYSMGTEPLTADSDPAGLGHAVGTRLWV TDPENTNKLLPVGCIGELIIEGPLVTRGYLNEPEKTKAAFFEDPAWLPKPENGQPRRF YKTSDLVRYYPDGQLRFIGRKDTQIKVRGQRVELGEIEHAILENLPGAAHVTVDSVVL PPQTLVAFLKMENASSTENELFVPLSPEFTAKLRVLEKVLSDTLPVYMLPSLFIPISH IPMTISGKVDRIALRRAVPGLSHEQMEMYALANQDKAAPQTKEEEQLRTLWAAVLGKD PSLVGRNDNFFRLGGDSIGAMKLVVATREANLLLTVADIFRYPELSQMAQRVELAKAD EQVNVYEPFSMLNNATNTDALLEEAAGHCSIARSDIQDVYPCTPLQEGVFSMSNTHTG AYVAQSAFRLPAGFDIQRFKDAWQTLVDAHSILRTRIVTIGSTSYQVVLTEDAAKLEW QQDSSLEKYLQRDHAISVTSGTPLTRYAIVEDSGSSIFVWTAHHAMYDGWTVPLLFEQ LEQAYTGGVAPSTAPSYAQFVEYIQETDPEASQEFWRSMVPQEPPSSFPRLPYATYQP RAKKTCHRDVEVALEPGSSLTMAILLRAAWAIVMARYTDSEDILYGLTLSGRDALVPH IESIVGPTITTVPMNVHLDSEASLQSFLESQHEQNVEMMNFQHVGLRNIRQISPQVLA ATDFTNLFVVQPKADGRRAFAELEAVPTDMTEFDPYALVVECNLGDGSVHLEARVDDD VLSVDLTEKLLGHFEHVLRQIIRPSADVKLGDIDLFSKEDEQQIWEWNAVAPTAVNEC VHEKISQQATLNPENMAIEAWDGNLTYRELDELSSRLAYHLSSEYSVKPETLLPLCFD KSVWTVVTMVAVIKAGGACVMLNPDHPVTRLQALIEDTGSHLVLTSPQHQGLFGSVSA SVVPITKTLIQELAPVSTSQLASLQVQPTNPVFMIFTSGSTGKPKGIIVQHNSVCTVA TQHGEGLGFGGPGSRVLQFASFTFDVSMGETFFTLMKGGTLCIPTEHDRINNLAGVIN SMQITWTFMTPTVAALLDPKEVPHLETLTLGGEAVSQSLVDRWASQVNMIDSYGPAEC TIWASHANPSATVSPANIGTGTACRYWVVETSDYNRLTPVGCVGELLIEGPNVARGYL NEPEKTRDAFVESPAWMQGKETPQYKFYRTGDLVRYNPDGTLNIAGRKDSQVKFHGQR IELGEIEFHLRAQRAVEAGMVTLPKAGPCKGKLVAVVALSDLQPLALEGDCVELVSDD AKSTAQPLIMEIEEELSSVLPSYMVPSVWIVLDSIPLTASRKINRVPITRWVTGITEE TYRMIVNISSASVNLPTTALEKQLAQCGVKF AFLA_038610 MRNSPAWSISQSPSPARTSTVGMMMKGLDPVLAPGDPLDPCPWP ISYADNDLWSQYSSGLFSADSDVLHLSQADWQNADLSDNGSWSATNSLGDLPMTMSDP PFGQPPLWTPSSDPCLFPSIIPSGDLSSENVSDIHHQPRDGIPTPPPSQPQSNPRTPQ EPSHGTERVVSLLLLQRGVNVNVQDSRGQTPLHIAAQCGHLGVVRLLLTTEHIDVNAR DHHGSTPLHVASEKGHVEVVQLLVAHGARLDARSGRTG AFLA_038620 MAMVRSVRHTCPLVSLMSKERELADAYRSATDQILLFRPRFHAA RMQHSAEVVSIPAVPTDHFLECVNLAVARNAEWVPPHASGAALYVRPVLLGSAAHFAL TPPAEFTFCVYVQPFSTYHGIAPLPAVVLEDFDRAAPRGTGHAKIGGNYAPVMKWSEK AKKEGFPMTLHLDSATHSEIDEFSTSGFLGARMDGDKPVLVVPKSDYIIGSVTSDSCM QIARSLGWTVEHRSVKYEELATFKEVMAVGTAAALVPIRSITRKSRDDHFVYTEEKSA GPVCQQLYAALTKIQRGEAEDQFGWREEVKGTSDVGSSGSFCIIM AFLA_038630 MQAVALLRHVPLFAYVTAVPVALLAYVLAICIYRIWFHPLAKYP GPLLAKVTNLYGGYYAWKGDLHIDMMRCHEKYGNYVRYAPNRVLFNTNTGLKEIYAYS KSFQKSAAYGAMVHRAPNTLTLIDKKQHGRKRRIIGQGFGDAALRGFEGTIMSLVRKF CDELAKDISGGKAGEWSSPQNMGKWSKAEILTFLPANYLTFDIMSGIIFGESFDLIGS PKNREIVKCIEDSNVRTGVLSQAGELSTRRLDRWLFPQAIQGRNAFIRFVNILLKKRM SAKPLKRHDAFSFLLDAVDPETQQGFTPAEIGAESTTMIVAGSDTSSTAIASTFFYLC RNREWYEKAKEEVRAAFPGPDDVALGPALNNCVILRACIDESLRMSPPASSSLWREVL DDGVIIDGQVVPRGYDVGTCIYAIQHNPDYYPEPFEYRPDRWLDPVPEKVQLARSAFS PFSIGPRSCLGKGLAWTELMLTMAYMLSKYEFRSAPGEDEKVGGGHVDMGAGRQREGE YQLRDHVTAAKDGPIVQVSFR AFLA_038640 MSATMQPEVEQQLAYVLLIELLAHQFASPVQWIETQDGLITEQN VERFIEIGPADILTGMLRKTVGQQYKSQDAARHMTRKLLSYAQNADEIQYISGSSTAT SRPAVKPHPLLSQGPSASSKTAETTPPAPAETQINTAPAPVAKVAMVADAPVQAKDIV IAIIAQKLRKSHAEVATDKTIKLLVSGRSTLENEIVGDLDAEFGSLPERAEELGLEEL CNTLQSGAAFSGQLGKVSTGIVSRVFAQKLPAGFSSGDARDYLQTRWGLGAGRQDSVF LRASTAQTSSRLASSGEAQSFFDQIVQQYATDNGLSLSASAPAAEAAAVSAPVDSKAL GLVKKDQERLRKAQMELYARLLGKDLGHDAREALKAQLATVKLQEQLDFITAEVGDVF ISGIRPLWAAAKVRRYNSSWNWVLQDTLHLFHRILRGNFGNADFPKYSNAIANRSSPR LLQMIKYLSNSPCSLWGTRFPEAKTVMRRLVDLCEKPRAPRFEPLAANYDAFIKGPST TVDENGHIKYREVPRGGSPVIASIHIKTQRHSTWLTDRSVTALYLDEVQSSWNDGITF INKCVLMTGVSIGSIGAEILKGLLSGGAKVVVTTSSYSSATTRFYQRLYVEHGSRGSE LIVAPFNQGSQHDLDGLVEYIYTSGTGLGWDLDHVVPFAAISEAGREIDNIDAKSELA HRIMLTNTLRLLGAIKKQKQLRGYRTRPTQVILPLSPNHGAFGNDGLYGESKIALESL FEKWHSESWSTYLSICGAVIGWTRGTGLMADNNIVAAGIERHGVQTFSTAEMGAYILV LMTRKLANQCNVQPLYADLTGGLNTIPNLRATLDKVRREIVDKSAMRTVLAREQAAEQ KLTTCSSPTTPEKGARTRLANIRFSFPALPDAKTEVDPLRANLMGMADLERIVVVTGF SEVGPYGNSRTRWQMEATGRLSLEGCIEMAWIMGLIKHHDGPLDGKHFTGWVDAKTKK PVQDMDVKARYEEHILNHTGIRLVEPDLDPSEPPGKRRLLQEVVLEEDLPPFEVSPEV AEQLINEHGENLVDISPQGEQCVVNLKKGVVLMIPKALQYQHAVAGQVPTGWDARAYG IPEDIVAQVDRGTLFTLVSTMEALVASGITDPYELYQYIHLSEFGNCIGSGLGGVHSL KKMFRDRYLDKDVQKDILQETFINTTAAWVNMLLISSAGPIRTSVGACATSIESLETG FETIVTGRAKICLVGGYDDMTQAVAEEFANMKATTNPEEEAKKGRLPQEMSRPAAESR SGFVESQGSGVQVITSARLALDLGLPIHGIVAWVGTASDKTSRSVPAPGQGILTNARE KPNSRFPSPLLDIRYRKRRLEARLKQINESVDLEVQMLEEQMTQDGEVPEELQEELQN HKRFVEGEAERQRKEALNTFGNEFWKNESAISPVRGSLAVFGLTIDDLDFVSLHGTST VMNDKNEAAVLEAQMRHLGRVPGNPLYAISQKYLTGHPKGAAGAWMLNGGLQVLDTGL IPGNRNLDNVDGKLQDNEYILYPNRSIQTKGLKAFSVTSFGFGQKGAQAIVVHPRYLY AMLEDGEYHAYRTRRLTRYRKAFRFFHHGLATNTMFVAKTEAPYRPDQQNAVLLDPTA RMQSKESTESVEDRPVPTLKPELGCCHGVLEESLIQTTTR AFLA_038650 MKLLQLSSLLHFLTLSSALTIPLNRRKRSIDALAHTASLLATGG GHNFDVDVTVGSNNQTFKLLVDTGSSDLYIMGDGFTCVNATSNLTLPQADCKYGPETY TRSLSYEQVPNEVFGIEYGAGRASGVMAYEDITIAGVSVRAKLAIADVSEPMGREGIS HGVMGLGYPSITSAHPGTSVPNNTYFYNRAVYSPVFNTMFEQGLVEPYFTIALAHTAR GSTATFGGYLTLGDLPPVEPKSDFSAVPVEIMRDVPAEFTSGKQQRAYWAFTVQGVKY GSSGEEAAALKTDDNPWQVFVDTGNDFSILPEAVVDPVNKQFSPPGVWNDKLKVYVVD CDAKAPEFGVTIGDQTFYHAAEDLIYEVGEGVCVSSLLPAEKVGMKYVVVYILGAPFY KNVIAVHDFGKNELRFAQK AFLA_038660 MYILVSGHPIRITGLSRWVHLQAAMIQRYTKVNHDWALLASSGD TESLLVVNNGK AFLA_038670 MESADCKESNSSATTMTEKEPGREQPDLEQGKTQTAETSHLYPE TDLDRGVVGWEGQDDPANPLNFAPGKKWALLGLISAFTFVSPLASSMFSPAVSYMAAD FKETDETIISFTVSIYLLGYVFGPLVLAPLSEIYGRRIVLSISNWFFVVWQIGCALAP NIASLIVFRLFAGVGGSACLTLGAGVIADLFEPQQRGKATSIWGVGPLIGPVAGPIAG GFLGEEVGWRVFTEQYGFSTGLSGLAYLGIGVGFMLGLVFVAGTNDRIMLKLAARNGG KTEPEMRLPLMIIFSCILPISFFWYGWTADKHVHWIVPIIGMAPFGIGMMGVYLPIQT YIIDSYPAYAASANATLTATRSLVGALLPLAGPSMFEALGLGWGNSLLGFLALAFVPI PIVFTKWGKLIREKYPVNFDKANA AFLA_038680 MTMSSVEKKRLRDRRAQQNLRSKRSQQMAVLEKEVAHCREHHDD QGIQRLLQVITGLRKQNETLRSRQEHLKLLVSSWDASPAEISANMHGSSDQRSPGFIA IPREIMNNSDSSSNPIQSTRNNTPRADPSPSSLLVSGDSSHQQAPYPQTLAREPRTAD TNLAWKQLPLHEDNFLNPQTISCAWFEYPERIALCPDSPSSPLDLLYGTNTNYLANMI YKATQRRPIRDPERLAVGWLCYHLARWIVSPSPATYANVPPFLRPVPDQLQIPHPMVL DNIPWPQVRVNLIRHWDMFRDMRDDLFGLFSCCVKVRWPWGESILERNSHNELCIKER FFETFMSETGWGITPEFMQRYPQLFAGVDLGAITCELVDKEF AFLA_038690 MLLPYYLLAAAATVMASPTVYLIRHGEKPDDGGNGLSAQGVQRA QCLRSVFGKDSKYNIGYIMAQTPKKSGKRTRPYETVLPLAEDLGLTVDTSCDRDDPKC VKKAVEKYKGDGNILICWQHEALTDIVKKLGAKDAPEYPSDRFDLIWTDPSPYTKITE TTSEQCPGLDS AFLA_038700 MWIFPSESVSLGYSSIPFVSTWWYTLSFSQDAFPPLHRDFGSHG LCPCYWRPCTLGRSVCPRTRPGTNESCDGSREMGSESCTMKYPFKVDAQHPTNEIEQE GKRFFDITERASSLELASNKKQKLAVTYPDSVQHNETVQNLIKSLDKKNFETVLQPFS EFHNRYYKSDNGKKSSEWLQGKIQEIISASGAKGVTVEPFKHSFPQSSLIAKIPGKSD KTIVLGAHQDSINLDSPSEGRAPGADDDGSGVVTILEAFRVLLTDEKVAAGEAPNTVE FHFYAGEEGGLLGSQDIFEQYSQKSRDVKAMLQQDMTGYTKGTTDAGKPESIGIITDN VDENLTKFLKVIVDAYCTIPTVDSKCGYGCSDHASATKYGYPAAFAFESAFGDDSPYI HSADDTIETVNFDHVLQHGRLTLGFAYELAFADSL AFLA_038710 MRLAPITVGLAACANLVSGMAFTAPARCLTMKDTAEKLDVNRWI DLWLETTCKRCQSPKLSDYRTLRESHVAPFVKDCSDSMGTSHLSSNYLALADSLLDLA KSKCEVTDETDLCEDPDQLKTVAKCVQSNAWSFVLGNVGNFLSILLADPCGRQMDFIA NPDTLDRTIRSHLANYEKTCPKNSKSLGQ AFLA_038720 MDTIGNAMALLMSGYGYNEDEAANILKREISELEERALEEFHAW QNSNLTRSPSLVGYVFTVMTAAGGFNYWMSHSERYFRTDFTTTAEDRARLVRNPDSCL GCLQGYPAPLALNGHSTSTVELDAVSESHVSGSDSSLTSMTTASSHVSVDGLGFSGME IGITDKFQKADAQNLSELPFDKLTQRIRLDDIQDDSSKRRGMPAAHVMYGVGQTVNCV SYTGAKAFFLCEELKNANACRKALYGQYMPLTVISIDELDNLFSGQALELHWKFHRTC PSMNDYIIMIDNKTAGFFRLVLRMMAAEASVSMSLEKKNTLLHFITLLGRYYQIRDDY QNLVSDEYAAKKGFCDDLSEGKFSLILIHTLNNSPTADRIRGLMFGGDRAGMSQEIRS YILSEMEAAGSLEYTKRIITELYETLWRMLDELEATLGPNTLLRALVQFLKI AFLA_038730 MLAKFSLLSLLLTSTACAAGGQGLSYDNIDKSATPGAKALLKHI QSQYGSHYISGQQDAGSWDWVKQNIGVAPAILGSDFMYYSPSAVAHGSKSHAVEDVIQ HADRNGINALVWHWYAPTCLLDNAEQPWYNGFYTKATCFNVADAVNDRRNGTNYRLLL RDIDAIAAQIKRLDQANVPILFRPLHEPEGGWFWWGAQGPAPFKKLWDMIYDRITRFH NLHNVVWVCNTAEADWYPGNDKCDIATVDHYANAGDHGVLADKYKKLQAVTNNERVLA LAEVGPVPDPTVQARDKVNWAYWMVWNDEFIKDGKQNSRQFLQNVYNNTRVVTLDGGS KLAWNNA AFLA_038740 MTIHGHCHLCILFFYCLRNSRVCILQFFVSGATIPSPPQRIQAG APDSISLLPRSLLVRFSQIIDSFVRLQAIKGLIGKLTKFYFTDSFERGAIVAVNSPTI RRKDPKGPFFFWTS AFLA_038750 MYIVGCVSTNKNPLSKRNVSIPEVVPATGWTYINEYTSAKPYDK TIYHPSGQRQISDLGAAAVHRTSVFSGYRDCPGRRKVLGVIKVSATE AFLA_038760 MSDLKIARIDVFQVDLPYSGGVYYLSAGREYRSFDATIVRITTD TGIEGWGESTPFGSNYIASHARGVRAGIATMAPSLIGLDPRRVDRINDAMDDALLGHE DAKTAIDVACWDIFGKSVGLPVCELLGGRTNTRLPLISSIYVGEPEDMRARVAKYRAK GYKGQSVKISGEPVTDAKRITAALADQQPDEFFIVDANGGLSVETALRLLRLLPHGLD FALEAPCATWRECISLRRKTDIPIIYDELATNEMSIVKILADDAAEGIDLKISKAGGL TRGRRQRDICLAAGYSVSVQETCGSDIAFAAIVHLAQTIPERSLRCILECRDMVTVKT ADGAFDIQDGFATAPTTPGLGIMPRLDVLGEAVASYF AFLA_038770 MTQPQPTSRQAFRRTDDHTPGTPKVKLVTEAIPPLSPSGVLIKV HAVSLNYRDANIANGGNPWPVVPHGIPCNDAAGEVVAVGERVKNLAIGDRAAPIVDTE NITGRESTRSWLAADEDGVLADYIVFDEHKLCKLPMYLNWVQASLIPCAGVTAWAALK DMEIGQTVLIQGTGGVAMFALKLARAAGLKVIMTSSSDAKLQKMKEQFPTPPLLTVNY SKNPEWHEEVLKLTEGAGVDIVVEVGGSSTLVKSMKCTRRGGIVSQVGYLSKQNTSEF AELLSVLIDRRQWLMLTIGESTLVLSKTKTIFAQRCPPHKSSSMILLTQFTRLKRPMR RLNIFGKVNRLENWCFGYSAPC AFLA_038780 MGDAGELILGRFGREMMGIGQLLLLIFLMASHILTFSVLFNTIT GHGTCTIVFAVIGMVVSFIGALPRTMNKVYYMSIVSCISIIAATFITMISIGVQAPDH VQVDATRDVSFQDAFLAVCNIIFAYITHVAFFGLISEMRDPREFPKSLTMLQVVDTSM YVVTAIVAYRYAGPDVASPALSSAGPVMKKVAYGIAMPTVVIAGVIYGHVACKYIYVR VFRGSDHMHQKSILAVGTWVGIALALWVIAWVIAESIPVFNDLLSLISALFGSWFSYG FPAIFWLIMNKGVWFSSPRKILLTIVNLIILGIACAICGLGLYVSGKSIHENSSSSSW TCANNAI AFLA_038790 MKFLITTAALLFSLVSAQTGVPDVNSLAVQKHLRSRSALIAFEK RQRQDHFFRQNLSSVAQRADAIVAAIRQEEIDDYWRVPGTPEKNDTDERFAGEVFPKA RPLINGTKLWDVVKHMPKGALLHAHLPAMLSYDTILETILHTEGMVVSASQDVSTPEN RRNASVSFAHVNHTIATNVSSIHSKDYVPNTQIPVTVAANTFPGGQEGFIDFVKTKVT ISPELSIRHELGVDEIWRVFQTAFGPAGTMLTYEPIVRTFYKKLFSRLAEDHINWVEI RSGSGQLVQEGQEDLDPDLDIWWNVLLEELKKFQESPEGADFWGARVIWSDNRGKNRT ALTKSMGNALERKRRHPQLFSGYDVVAQEDLGRPLADMAPELIWFQQQAEESNLTIPF FFHAGETLGDGNSTDENLFDAVLFGTRRIGHGFSLYKHPRLIDEVIENGIMVEVCPIS NEVLRLATDILHHPLPAMIAHGIPTAISNDDPAILGQNTAGLSYDFYQTIQAFDNIGL AGLGALAHNSIRWSNFEDQNDVEWFRDIDFGENGDGIKAQRLQQWNEKWEAFCEWVVK EYGDRYATEAL AFLA_038800 MALPKTITLQTDVLSVNVYVDDQGATFLQEVIPLPGSSRPSVSK YFANPYAPLVEVRLTGEGTAKHKSSKSLIGTYVGTRLRYRSHEIRQHADTHTLNVTLK DPVSKVTVIAHLTIYQSTRVLRATSTIRNDSDKDIVVTQLSSLVLGGLTAGAEKWWSD YVLAVANNSWFREAQWIDHDLPSLGIDDYGVYGRPEEHAASLGHYSVSNRGTFSTEGH LPMGLLKRTDNTETWLWQVENNGSWRWEVGDWKDSVYLAAGGPVETDHDWRQTLYPGQ EFTTVPVALCHVLENYEHAFAEMTRYRRQMRRKHQDHEQLPIIFNDYMNCLMGDPTDE KILALVDPVVRTGAEYFVIDAGWYADDSGWWDDVGLWEPSKKRFPMGFRELLLHLKTK GLTPGLWIEPEVIGVRSVVAEQLPYEAFFQRNGQRIVEKGRYQLDYRNAAVREHMHAV IHRLVTEYGVGYFKFDYNIEVTQGTDINCSSSGSGQLNHNRAYLQWVTELHDRYPGLV IENCSSGAQRMDYAMLAVHALQSTSDQQDPDRYAAIAAALPTAVTPEQGAIWAYPQPE WDDETNAMTVVNSLLGRVHLSGRLDKLRPHQFELIKQGMDVYRTIRADLPTATAFWPL GLPRWHDEWVALGMAVHTPDNCDSGVRYLAVWRRGGLDFVELPIPGLRGLRVKAELVY PSTFPCEISWELAQGILHIRIPSKLSARLLKLTSGNV AFLA_038810 MADKKIESLVSAHINHLRNHGEENNAVWDSVIEEAQAANINEHN MTVRQALRSYPWAVVWSLTISMSIIMEGYDTNLIGSFYGYPAFQKQFGVEHGDGYQWI WPIPLFFIGVLMPESPWWQVRHGRYDEALATVQRLTAGEEKTKARQTVAMMIHTNEIE QEIEAGSSYWDCFRGNNLRRTEISCMSFTGQVLAGSQFAYTGTYFFEQAGMSPIDAYK LGLGGTAVAFVGTILSWFLMKYFGRRSMYLSGMGLMSSYLLIIGFLTLKSNNSVVWAQ SALCIVWLFTFSLTVGPMGWSIAPEVSSTRLRSKTICLARNAYYLAITVANVIEPYMM NPAAWNWRGKTSFFWFVFAFLTFVWGYFRLPETKGRTFEELDIMFAAGVPTRKFRKYH VDPYAENVAIKDRARESPLEKSTDMMSPC AFLA_038830 MTTKPSSAPWADEPFHLIATPSKTLDGSLGHVQGASEMAHAHNA IIRGLNAILQQAPYVPIVTDEHFNAQNVKDLLFYVQSWAKMVHHHHWVEETYIFPDVE EFTGRPGFMDDPKHQHELFHDGLERLLAYSSATKPEEYRWKGADGMEEIINSFSKDLT DHLYAEIDLLLGMGDIDGEGLKKIWEKAQKAAKQAGNIAMLYDIFPLVLGCADKTYEG RCDFPPLPWVLPYVVKYWFAAGNGAWRFNPCDWWGQPKPLEFGPR AFLA_038840 MNLKAHIFRRPVIAVAGLACETSTFTPSRTLAPAFHPRRGNEVI DEYKFLQTGTPLGDAAEWHGALIGHALPGGMVTRDAFEELAGEIVSRLGDIVATTVVH GLWFDIHGAMCVEGIDDAEVELLRRIRGVIGPDVIVSASMDLHGNVSRELAHELDLLT CFRTAPHEDESETKSRACRNLVDLLTQSSDIAEGQVRPLKAWIPVPILLPGEQTSTRI EPAKSLYEVVPEVEKEPGVIDAAIWVGYPWADEPRNRGAIVVTGWDEAAIAAGAERLA TKFWNSRKDFKFVAPTGSFEECIHTALASPVQPFFISDSGDNPTAGGSGDVTWGLTQL LARSEFKDPTGPKVIYASVPGPQAVQTMVNAGVGANVTVTAGAEVDHIHAGPITMTGR VHSIKHGDKDAVIEAVLQVGSVFAILTQLRKPYHHERDFTDLNLNPRATDIVIVKIGY LEPELFDMAADWMLGLTPGGVDQDIKRLGHRRIRRPMWPFDTTFQEPPDLTARIIAKS NEPINGPDE AFLA_038850 MEQIQPDSPSRNSTNNGSSKDAASPLLEIACFNEESAIIAAKSG ADRIELCQDYASGGLSPEPKALAILKSQISIPIYVMIRPHAESFYYSDTDFEAMKHTM HSLREKGADGFVFGILTQDTPAQTAPRIDVARNKELVELAQGRPCTFHRAFDLISESN WDTALADIVECGFTSILTSGPSGGTAIECVDHLDRLVHERLEQLRGRVEGHARLPQII VGGGVRATNIRMLWERTRAPAFHSAALAQSSVELVSDAEVEALRAALNKAT AFLA_038860 MVYRGKPSPACEPCRTRRLKALGNLYKKERTPSWLLDLSKEALK HRGDTGDQVLDYFFRILVEVGDLVAIINESAFAHPARLLKRALTLDADLITWAMSIDP NWKYTVVKVKKTEEENDTLHPIYSDHYHVYPNSTVSMAWNHYRFIRIILQGIIGYLYD THFQDSGGRGSHIESERHSTAVSQQLAEDICASVPYHLGMTGSSDGSTLGIPFAGGVV RLMWPLFIASDCRGASPKMRAWIAQCLEKIGHGVGVNMAVTMSHILRADMRLNWLEEE ETSIVKRPYLVRNEYFTTDT AFLA_038870 MNGLFPADLAVYLFLTPFVLYVYWSHRWVGWMPWTNLLVFCIVR IVGGATGVKDSTSIAANVISGIGMSPLLLAIDGLLHEARYYRHPEHSVLLSRIVIVAI TGLMGAGLGLSIGGSLQVYQGKGTSSDLLHWKVGSGLVVAVWATEVVWAIFSLLPSQC KKDAPGFKDGTKLMYGALGAIVFAGVRVIDNLVGVCTQRKDLSTVFGSTAVRVVLVFL PELLAALSMIVAGLSSRNIRKHNHVAEKESMSA AFLA_038880 MASTILTNGRIFTPSTSSDGYEFQQTMIINGDRIEYVGSPNHDA IQQAKDSGAREVDLQNKIVVPSFIDSHMHIVHFALSRRKLSLLTCKSLEEIRQAIKSF AEAHPKEPRIMCKSWVQSTTGGEALASMLDDLDPRPIYIHANDMHSGWCNTAALEELG VATMADPPGGTIHRDENGKPSGLLSEMAHLGIVPQFLVRATPLEAKLDALDDAMAAYT AAGYSGMIDMGMDDIEWDVLKAWRQRHGEKFPFHIAAHWVIPPNDDLDVVLGEVDRAI ALHREYDPATSPTFCIVGIKLMCDGVVDGCTAALTDPYQGCENPVDPIWPEDFLQAVV KKADAAGLQIAIHAIGDKAVKNAIDALSLAQPGRRHRIEHLELTSPEDAKRLGQLGIT ASVQPVHSDPALFRAWPELIGEHRCARAFAYREFLEGGAPVAFGTDSPTASHPALPNL YNATTRRSAIEPECTETVNSHFGLPLAAAVTAATTGAAYSRWADSWTGSLKAGLSADF VVLDMDWKAENLLKGKVQQTWARGRKTFDSSSDRAQL AFLA_038890 MTESSETRRDNLERPRTPPGTVYLGEGRIDLDGVEQDADGHVIL QPQPSNDPNEPLNWPTYQKAINFSIACLFTLMVFATLDVGTVTWPSLGEELGYGDGYL TDSYAAGLAGMALGCIFFIPAADLIGRKPVYLFASLIMVLANVGQATFQTRTQYIVLQ TIAGLAGSVNDTIIQMTIVDLFFVHQRATMNGIYTTMVVVGTYLSLIPAGYIISSQGW RWVWWWCAILNAVVLLLIIFAYEETRYGRPAGNCYIGQDPPAALGSEEKQPTTSKFDK EKAIPLPRDTIPPRPVEEPIPPRKRYLERMTAFEPTDGISFKTYWRHMWTPFLLFFRI PAVAFVGIEYSLMMCWVAILATTQPILFAAPPYNFSSVGVGNINIAPFIGAVVGAIYG GPLNDYYVVYMARRRAGIYDPELRLHMLLAPLIVCPLGLFLYGISIANEQPWIVPCIG SSFVGFGIGSVTSIILPYYGDSYRELVAEGLVVITFIRNVVSTGISFAINPWMTGLGV QNMFISTGCLCFGTMVFIIPMMIWGRKARSSTADYYLSAVAAG AFLA_038900 MTPQTKSYRPPRRRPAHLRTKTGCLTCRKRKKKCDETPGTCSNC ARRWLSCEWPANLRVNQKHTGPEQLSHSKTHSERSPQLLINEWHATQYAFVADYAASA SPSWDTEGGSEVLPSPMESNTPSSQDDFMMALSSSPSSSLNYISPSICLSPAITPASA PLFNFLRAVFLPQLIRPMAHDRVIKSAADESLILALHTPFYMHALLACCGAEIPVDDM CSQVHFQKLARMHYVKAIAGLRESLDSGILDAENTAIIRTSLMLCIYEVSSYIESLPD ITNHWY AFLA_038910 MALQDRSGLSMRDCKRSGPRGKLKLSRKDYWHADGTRCEFSQLF SANEFYFGDEIPGVICGHNREQIHSMARRMAHAVQKASKVAFVQRFLKTLHFRKIRDR HARIARAHEKTFTWVFGTKANETAHTSHSPTLLNWLRGQNGSIYWVAGKPASGKSTFM KYLLARPQTQENLKLWAGNAKLVIASHFFWGAGTDMQKSKQGLLQSLLYSMFSHSPDL FIMACQRRWKATMLDEQDDSIWSVEDLLEAFKTLTSQTEFPTKFCLFIDGLDECTEDH SELIKLLNSLVQSNFKICLSSRRWKVFEDAYGEPEDRRLYLEQNNREDIHSYVQSELE QHPAWGPLVEINPRTSGIVAEITDRSQGVFLWAVLVVRYFHEWLTHGDTMFFLEQKLR NFPVDLELLFKSMLESLHPMYTSYVKRIFKLALTAPEPLPVMAYASLERGLKDESNVH PQGNKPLSYRDQLLRIGQLDRQLQHMGKGLLEVYRQHNEQDALRYRVDFLHRTVRDFF SRNEILQGTDGVSQSMV AFLA_038920 MRFSFLAALTLISAAIAAPQQAGNDAAQNTLAIGAPCKKDGSMG ICDGGFCLQDEKADQGVCQQAQN AFLA_038930 MVTETGFNHAKEGWLSAAKTARGAKEHCQRKYEEDKELGLIGDE PFEKWAEMNAPGFMKAYRQFKLHERKYRKIAQEYDREQAKAWEQEYQRRLNDLHSRPG EENGSDFIIIILEEEE AFLA_038940 MAFARRLAILPGGLGGLGSSIGKKLRQQGARLAILYAPFEAARR DQLLESTYGRVPDSDEIRAYECDITSPESVQSVFKALDKEMVQPSSFSMADRTFPSIL INTAGYVNLSDMESTPPEDTMKHLTTNVFGPMLCSQAFARLYFTASKAAKSSGSPPPG RIVNIASQAAHVALPRHGAYCASKAALLGLTRSMASEWGGRGITTNSVSPTVAWTELG KKAWGQEDVREAFLKTIPTGKFALPDEVADAVLFLCKASVLELFGSFLHTN AFLA_038950 MIKKLRYKIQEFPNDLPLVETFVPCCGESLDIILDTARAACAID YPTARFCVTVLDDGNSAALHKAIADLCKIWPNLSYHSRGVKPNVKVFAKAGNLNYGLF EIKRPFPPEFIAVMDADYMPTPDFLRATLPHMLTRSKLAIVESAQYYYNLPDGDPLDQ ALDYYSAIKIPQLNRLGKSFASGSGCVIRYNTLLKTGGFPTFGSVEAVTLSSILTQSG YQILVLTEMAQLGLVPGSFEGHVLQTSRNKVGVSEQILTWSPSTSNTIPPRYRPALG AFLA_038960 MGYVHSFAIIVSLANRLMIFTRYGVDALQVSLQGIIATQAAYEF QPSYAKGLTIALYVGMLVGALFWGLSADIIGRRLAFNISLFICSAFTIVAGASPSWAS LAFLIAFSAFGAGGNLILDTTVFLEYLPSNKQWLVTGLAAWWGVGCTIAGLVAWGFMP NYSCSNPSEAPFMPCTKANNSGWRYLMYTMGAMIFVMSIARVAVVKFKETPKFLLGQG KDTEVVERFNQLAEKYHRSCPITLQQLQDCGPIATAHSQSSQFSVGEFSIHLRSLFST RKLVLLMALLWLSWLMLGLAYPLFNVFLPSYLASRGVKFGVLSTYETWRNYALAQVWS IFGPSASAYLANRRFLGRRYTMTIGGLLTMAFLFAYSQVTSQQQNVAYTCVISFTLQI YAACLYGYTVEVLPSAYRATGNGVSVALHRFMGVVSAIIATSADTETTAPVFICAALY GGLALCAVLLPFEPCAKRAS AFLA_038970 MRFSAVLSTLMVAGLVAAAPPADRPTDLQKVPVPLPTANAPVPP KPENDNDKRGDVPPPRKPTDLPAPPKDPKENDNGKRGDVPPPPPPTDKPLPPKEKEND NDKRGDIPPPPPTDKPLPPKEDDKGKRDEVPPPPKPTDLPAPPKDHDNDKRGDVPPPP PTDKPLPPKEKENDNGKRGDVPPPPPTDKPLPPKPVQQ AFLA_038980 MAESPLRIIIVGGSVTGLTLAHCLDRAGIDYIVLEKHKEIHPQI GASVIIFPNGGRILEQLGLYQRIEGLTQKIRRIHTCFPDGFHYESNVPELVKELFGMD FAILERRQLLEVLYMGLRDKSRVHTGKQVTSVLPTESGVSVTTADGARYDGDLVVGAD GVHSFVRSEMWRIADLEHPGLISKKEKTDMTVEFACVFGISNPVEGVKSWEHVIRYNP GVTLFVFPGTDNGIFWLLFRKLDKRYTYPDTPRFTKEDAISTCESLADLAVWEHIRFS DIWGQRRTFHMTALEEGLLGTWHYGRIVCIGDSVSKMTPNQGQGANTAIEAAAGLTNV LFSLNQNTEGKRPSEDEIQRGLGHFNTTQFQRLLAIHQSSEFLTRLQACDGLAKSVFA RYVAPYCGGTIEGISGLATTGTVLEFVPLTERSGKHWSPISSWAPWISKMAYLGTRTF VGLAIASLPAGFAWTLYKIAIPRVLRR AFLA_038990 MASTLRNIIVVGGSYVGKTTAQELAQVVPNTHRVLLIEPHSHFH HLFTFPRFAIVPGQEHKAFIPYTGIFPSTSSLTQHAVVQARALSVLPQHVKLDREWQG SRQIPFDYLVVATGTRLVQPAGMRHDDKLSSVAYLQNHQNDVKKAKSILIAGGGAVGV QMATDLKEFYPEKEITVVQSRPQLMSGFHEGLHELVKERFDELGIKFVTGARVKIPVE GYPTEGGAFNVELTNGTQLSTEFVICATGQTPNNGLISELTPSTSESLINPDNGFIRI RPTMQFLDPKYSNLFAVGDIADTGLRKAARPGSAQAAVVAKNIQAMIEGKSPEDVFPR MPAAIHLTLGMKYNVIFRNPNVAEGQTEPTIMKKFDGQEDMNVEAFWQRLGVPIESSN QYHL AFLA_039000 MLLLRSVRAHQLLQLVSFLLFTLVCGEDSCPLQTPLYPPPRNLL SRQDVKSASKNLSQTIEEALTTGITDLGSLPLNTTTFAVKVFSSHTEDSIYEYIHTSP TYSPKPGAGAENTTLDSVYRVASISKLITVLTLLAHDGYTHWNHPITDFIPELDIMAR NQSSRVQWREVTIGDLAAQLSGVPHDYAFEDLAGEFTIEQMMAMGLPAVPAKDIPTCS QSEAVNGGNPCDREAFLSGMVSEDPTFAPGTTPAYSNAAYQLLRYAMENISGTDFPSM FQNSIVKPLNLNSTSLLAPKNASVGIIPVNETVSVWDFDLGDGDAFGGAYSSAHDLAV IGRSILQSLSRSSALNLSSTLTRHWLKPLSHTTSFKTSVGAPWEIFRYELPNRPNHVI DVYSKSGNLGAYADIIALIPEYDIGFSITAADLPTNPLTNVWGLADLIIDRLSPAFDK AAQQEANATYAGTYKVQGANSTTDSYVAITTDHYKPGLQVAEWVSNGVDFLQSLAALS GFEGLAVRLYPTGIEETVPGESSKRVFFRSTFDYDTSGQSKGVISACQSWLNVDGSRI GNIGVDEWVFTLENGQASSAEPRILRKRLRRL AFLA_039010 MAEGRSKRRFVNRSKTGCRTCRHRARRIKCDETPESCHNCTSTG RKCEYDIQRLPRRLPGKRSTVGSIVRSTNIADGFRWKITSDERLCFSFFQHRSLPTIT GYFDSPLWQRLVLQMSQVDQAVYHAVVAFSAIHADYEARGNPLAIQNLDNSWQRFAID QCGRAYALLIARSASQDPNLQQVTLVCCMLFVLSELIRGYYDLAFTHLRNGLQILKNA GCHRLSDACPTHTSTIEQSLVDTFSHLDMQSAYFGVGGPVLPMQLGLSRCESDEDDVK AFQHVCEARSALDRLMGAVFQFHTSISPLSPIEISVKYGYLSTRQFDLSSQLRHFSRA FDPLSKSVSAHCGSKEKRGMDIIYLHQFSLSIILETCLLDRTKEVLNYYTPAFEKIVT LAEAITASFTERPSILLHMGVIAPLFFVSTKCSEREVRWRAIRALQSWPHREGTWDSS LVARIAIETIKAEEQTVKDKGQASRVSHAFTTLSSEDQSYGEMVYTLDDGGEVQSRTF SLE AFLA_039020 MVRLSTFIATILSSTALASELLIDTTSGPVEGFYNSSTVRAFLG IPYAEQATGPRRFKPPVPKSRSNQTVQADSFPATCPGQYTFSNESIWSVLPYMPWNTE SMSEDCLAINIWAPKSKKGNGKSAVMMFIYGGGFTQGGTAIPFYDGTNLVEDHQDIVV VTFKFRTYHCPTAIVSLYLGTLMHRALNLDSRMLDFSISQRLAVEWVHRNIAQFGGDP SRIMLFGQSAGAASTDLHTYAELTSTDLQYPKNPIVHAVIMQSGAASIIINEDKTHQN WQNLSQALGCSTLQCMQDKPWEDILEEVSSGSYSFSPVPDNVTAFADFEARAKEGGLA RVPTLIGGANREASAYMNLSSTSINETLVYTATQSTFNCPIVETVGNRLDQDIPTWRY LYHGNWSGLSPTPWLGAYHSSDVPIVFGTYNKTTINPSSSPAEVAASKYIQGTYLPPV S AFLA_039030 MTTLEPVFQCHCGLSYRRKEHLIRHAKCHSQTRSPKCPFCDKTF GRKHKHKRILTWQKGHSPPTCEIPRGRAARACSYCRSRRSRCDGNLDAACGACLQRGI ECSFTQLSAGCLSLIQRSESIEKSSVKILPYVQAYFEEFHPCWPFLHRATFDPDHEPA FLLQSVTMIGLWVSDGGQRSAMDLHAHLTRSIYQQRDRWDASSQRSEHQQHLHANQPA SPDPWPIATYQGILLHLIFALLQGDQNRSDLRLTHTLPGTPSQLLIPLIRSCLQRNMF YYPSIFAQFNSASVPDVFIWVGIEEVKRFALALYKVYRRCRVDGTRLLSLADLQFAMP DSDELWHASSDLASRIPASYGDKNKEENWISQTARLLQPGGAEFDWI AFLA_039040 MEMVIWQGVGDLINAFRRFELGLEQLDVMRAPSLIPRLRVPFTY MWSPSLLPKPDDWQDHIDVTGFNFLSANADYVPPSELVEFLDSGPPPLYIGFGSIVVD DPDALTKIILDAVEMTGQRALVSKGWGGLGAEKINRPDVFFLGNCPHDWLFKRVSCVI HHGGAGTTAAGLALGRPTTIVPFFGDQPFWGALIAFNGAGPSPIPYKKLTADRLADAI HFCLKTTTIDKAQELSEKMRSEDGARDSLKSFHSQLDLRRIQCTLCPDRPAVWRVRRT KILLSTFAATVLVQEKKLNPKDVKMYAISSSSGASPCANAVNRYRAKRYEMNNSCAGT DTFTGAISNFLTGLVDMPVNAVQNISRPAADRFAENYNLPSCEARKAMITPAASVPTP SATSRKDRVQTNDDAKSTSSLLSTSTSSSQEGTPSMVVKKNPLQRIAMNSSYLGRRVL NWVVEVPMGVTLLFSQFTHNVPRCYNDRTVRELPEVTGVRSGFVAAGKEFGYSFYDGI TGVVTQPSHGWKDGGFGGLSKGVGKGLGGLILKPQAGIWGLIGYPLNGVHRAIEHSYG TNREGYIVRSRIRQGVAESKAASQEEKMAVLDKWSTYEKGVRLKHQKNPR AFLA_039050 MANVAAPNIPLALASGSKSPEHLITDGFDANAQVTDDGRVDIAV NDDDPEVASLLDALQRQTTHRPPPVRNDGAQFPVRLNVVIHVVGSRGDVQPFIALGRA MKQHGHRVRLATHLVFRDFVKENGLEFFNIGGDPAELMSFMVKNDKLIPKMETLRQGA IGKRRKDIRLMLGGCWRSCIEAGEGIDLTSDDPITAPPFVADAIVANPPSFAHVHCAE KMGVPLHLMFTLVSYWKGYPESQLLMDLECHGPLRKRFRTR AFLA_039060 MESKSWESLSKASHASSGQTLLADDPKAETWVEPTGVEHSDLRL VSDDISLDIFLVAVAELAERFTYRSITAPIQNYIQNARDDSLHPGALGMGQTVATSIN YIFIAWCYLSPVLMAIIADSLLGRFKTIVLGTGLSACGVLILFVTSLPVSLEHGAGLP GLVAALTLVGLGTGAIKSNVAPLIAEQYQYIEARVKTLPDGEQVLVDPNVTIQAVYAR YYWIINLGALSVVPVSWLELKVDFWAAFMLPLCFWTLAIVALLVGRARYIVRPPNGSI VIKAMRVLWIGMKSGGNLEAARPAFMEERGVPVPWDNVFVDELKRALVACRVFLIFPL YWICNGQASSNLVSQGASMNTHGIPNDMMGCLNPITILITVPLLERFIYPMLHRFHIK FKPISRITAGFLLASCAMALAAGLQELIYETSPCQRNPTADSCKGVPPARKVSLLLQI PVYCLMGISELFAMLSGMEYAYTKAPKSMRSIIMSLFLLAGAFGSSIGISLSPTSVDP KVLIEYISLSATMLVAALVFFLCFQKYNRMEESMNKLVDADCPRRVSWGTDDPVGLER Q AFLA_039070 MDGVDITKAVLNKGKQMASVAASAANGNGGKKRRKGTDLKPIVT NETAADSTTEGAPSLAVPQSRSPSTSSVDEIETTAEEEDSEDYCKGGYHPVTVGETYN NGRYVVVRKLGWGHFSTVWLSRDTTTGKHVALKVVRSAAHYTETAIDEIKLLNRIVQA KPSHPGRKHVVSLLDSFEHKGPNGVHVCMVFEVLGENLLGLIKRWNHRGIPMPLVKQI TKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTYVKEEQKKDHKEDNRNGR RRRRTLITGSQPLPSPLNTTFDFKHSSHHSQSSLSQMINEESETAPSEKASMKEILGI KEEDEKQKQREKTADLLEREVSGISLNKSSKEAKDELECDIISVKIADLGNACWVGHH FTNDIQTRQYRSPEVILGSKWGASTDVWSMACMVFELITGDYLFDPQSGTKYGKDDDH IAQIIELLGPFPKSLCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFSAEES MRISEFLLPMLEIPPERRANAGGMASHAWMKDTAGMDAVDLGISPGSRGEGIEGWASE VKRR AFLA_039080 MPRTDEAEHWFNAVYSAVQEIPRGKVTSYGHIALLLGYPQRPRQ VGICLKHLPSSPEEYFHSGNVPWQRVINSKGMISHREPGSAERQAEALREEGVEVETD AMGEFYVDLTRFGWFPLVLPSEEGESELEDVVDG AFLA_039090 MGCANYHARICFPTDDSVWLLRVLRISPQSLADYLIHSEYATLK FLETTNVPAPRAFDYGLASDENNNVGRHPFSKAGSLLLGPSPSEPIVSAVASERFLVL SPSGPFDTASDYYTLFVEQNMALIADGQLFTSYPVNAYPVFSFLKSQIQALAAIPVNG APATTEQFYIKHVDDKKDHLMMDDELNIVGIIDWQMARVVPAREAFGPSLVTAEMGDI TTESRP AFLA_039100 MSTGSSSSPSNWVTGSYTGNSDGLRITITIFVVIAWYNSIELMV LIFSIFKQYKGIYFWSLLISTAGILPYSIGFFMKFFDLTSAIWVSLTLVTVGWWTMVT GQSFVLYSRLHLVVQNTRLLNFVCCMIIANVFLLHVPTTVLTYAANYEQSYKFLHAYN IMERVQVAGFCAQELIISGIYIWETTRMLKLNPNRDNRNIILQLFMMNLVCILMDIAL IAVECANYYIYQTTLKATVYSIKLKIEYGVLNKLIYIAKQSAGRPAEDPYIELSRLSP IANSDATRTTTQSKPRRSSIEDISFRLRSLVASRHCRQDR AFLA_039110 MSSTTTPSSPDRPIIRVTPDEVHINDVGYLDTIYAPSMTRLDKY DYQLRTLRVPGGVGTTADYYLHRIRREALPPFFSKRNVLWLESVITEKVNQLCGLIAK HAATKTPVNL AFLA_039120 MNKHFPWIPDILEALPQLLTRPTMPPGLIDMLELFDRVRAELTT IITRISSNTSGEKESINTGAKGSVYESVLDSPNLPASEKALLRLEQEGALLTLAGTES PAQTLNIIFYHLLANPALLAKLREELATLPTLSTWTQLEQLPYLSAIIEEGNRLSFGV TARTARIQHTPITYTPSAYVTTPDPTHKSYILPPGTPVSITTLSAHTAELVFLDPYAF LPERWLGDEGRERRKFQLAFSRGGRKCLGVELARAELYLVTAALVRKFDLVLWETDER DVSFEHDYHVAMPRDGSTGVRVVARIR AFLA_039130 MRIPIAVQLGLLVLLTALVGIVILAVATWTTTYSFVVDVESQGL ELVATIKASQIASSLELLEVTCKTISTRLLVQSALRRYYAGNISEANWATSITDVQSA LGSRGYLSTYQAILYSKNGQGGRERLLNVTSDSVPEITLPYTYPNGSSVKLGDEGLGY PSVLYPNLTYTQSSEKGNATTVNAFSDYTLGLASALLLGPIAINSSFSLLSLTLPIVN NTSNTDILGFMTVVASAANVQSVVSSRDGLANTGQVLLLGPSRPDNRFSTESATATSS PGRPALTDAQVHYIFEPTPLPSQDSRHRGVSTGTSFELSKYPMALKLMTESEENENRS VSKLSTKNEQGYNVAVGAVRPKTSLVEWILLVEETHSEAFSPVVRLRKIILACVFGTA GFIILVVPLLAHWAVAPIRRMREAARKSIEPEVPPTPSAGYVEHITDGHGDTVQAIEE HMDEKGAPVSWVKRLRRPLERFNSSHSFGDRRDPRSSFHIPSRVKERRSCVTDELTEL TSTFNEMSDELAIQYNRLEERVVERTKELQKAKLAAEAANESKTLFIANISHELKTPL NGILGMCAVCMGEEDLHRIKKSLQVVYKSGDLLLHLLNDLLTFSRNQIEQAIHLEEKE FRLSDIRSQLSIIFQNQVHEKHIDFSVNYVGIGNSQPRGTMCQERGVERTHPAVGPPQ TGRLKDMVLWGDQHRILQVLINLVGNSLKFIPENGKVEVRIRCVEEVPDAESSVTLVQ GSQPSSQARSRTPSRLTATPVDGTNLLDSQLEKQTPVPSDLRTLIFQFEVEDNGPGIP ANMQRRVFDPFVQGDLGLNRKYGGTGLGLSICAQLSRLMGGVILLDSEEGNGALFTLK IPLKFVKEAAASTRSSSIAGSRTPSVVSLSLEEFSNIAQTPSNHSSVGNKETLTNGFE KPDVQPRLVGLSQPFFAPTVPSAPSSPSKGQESNQSSSDNGSKKIRVLMAEDNKINQE VALRMLALEEVYDVTVVKDGQEAYDTVKANMEEGKVFDLIFMDIQMPILDGLQSTRLI REMGYSAPIVALSAFSEDSNIKDCMDSGMDMFISKPIRRPILKQVLNKFATIPEESDG SSS AFLA_039140 MEYPAGLEEEFLIEEWRYWGERGARRWLRLADQKGEEGLERGDR QELREVLQEVAGERWREVAQEISNQGYWEHLQQREGIRERLGERLVRWAEESWASQTD DEVVNSFESQPQLQSRLIDHFRQLPLFGKPESSVPPIDRFELIRIWLRSCDQGHRDCR AESSNHHPSRVISVRQDDANRLQLLELECGIEYVALSYCWGNKPQEQKPYLTTDENFQ SRKDKGFDYNDLPKLFQDAITVTRELGKRYLWIDALCIIQGNKEDWQNEGTKMEHIFA SAYCTLAPSSAFEWKEGFLKTYRDRKPGVSLCGPSPVKYFRRLVDDGPLNKRAWVLQE RVLSRRTVFFTSSGIYWECGEGVRCDNFPVRSSTKYFLLTNVVASPLTRSYMIDSNFP QRLMTSGILPTIRFLQELIENYTRRDITIVTDRIAAFTGLITRLKKALETEEKYGLFS CALPRLLLWRRPDLKTEPIDYGYPVPSWSWMAYHGKVEFMANSPLNVSKHLRLDNGLL RVKIHTFEGCHIRSRNKSHGIYSGLKRVGFLFFDIGKIELPYCVIVGTCANGKDNGLY YILVVGKCENVGCYRRLGVGKVQGHHIAKQYTDGELI AFLA_039150 MPSSSLADYLAKNYLTADPATERPKKKRKKTKEVDTAVQGLIIA DDDPPDLKSSAANFGNDDEDGPAVVTSGRSAEFRRTKKSNWKNIGGAGNEQDAADAII ASAAAENAARRNEGEDDDAPAVVEGEDEDDGEMRMESGARAGLQTAAQTAAMVAAQER RKKVEAALYKDSPAGGQAQETIYRDASGRIINVAMKRAEARKAEQEKLEKEEQAKEAL MGDVQRQEREKRRQQLQEARAMPVARTVDDEDMNDELRARERWNDPAAQFLTKKGPGK SATGKPLYKGAFQPNRYGIRPGHRWDGVDRSNGFEKEWFAARNRKGRLEALDYQWQMD E AFLA_039160 MKTSPVALALFAAAAAAAYTPSHQHQAAKRADSCGQYDTINVGN FKLYNNMWGKDQGTGSQCVGLDSSVNDSSSGVAWHATWSWSGGDGQVKSYPNVEARPE TKKVSDLTNIQSTWKWSYTGDNLVGDVAYDIFTSSTAGGNAEYEIMIWTAALGGAGPI STTGSPIDTPTIGGKTWKLYQGTNAATTVFSFVAPSEIQDYSGNLAEFFTYLAEKQSF PASQYYLSIGAGTEPFTGQNAVFTTSSYTITF AFLA_039170 MVRMTQTIDAELMSTDPLSVVEDPTILPPGKSLERAQAYAKLMT RLWHYQLIAWLHLPLLLESGTERRYDYSRQSCLEASRHMITCYTSIRHLTANSFCCKS LDFQAFTAAVTLLINILGPTGRSHLDSNDWPAIETVMTSLETLAEGQPPDKVATRGLS VLRTLKSVATRNNTPQSDISDGVPPMDCQSRRIKLDIPYFGTISIDYSTRSGLPGQQR LNNVPPKPTGTDLAANSALSSNVGNAAEASAVHEQATDVGPLLEARLELGPADIWSFD PDLTALPSFLPGLEDSWDLGL AFLA_039180 MEVRNKEDSGSGVDDAPTEEPSTGGFTLLMTVTALAMSMFLTIV ATAIPKITDEFGGIEDEGWYGSAFFITLGSFQAAWGKAYKYFPLKTSFLLSIFLFEVG SLICGVAPNSLALCIGRAITGVGGAGISSGAFTIIALSAPPKQRPAYIGILGASYGVA AAIGPLVGGAFTTNITWRWCFYINLPIGGLAAGIILFFYRPPPPLPFLDTPLKEKLLQ MDLVGTLILVSALVCYVLALQWGGISLSWGDSKVIGTLVGFVVLLAVYAIVQWVQKER AAMIGRLFRRNVVVMMIYIDLLAGTFFLLVYYLPIYFQVVSGVSAAQSGIRNLPLILA QSVSTVISGVTLSKFGYPQPFLLVGGVLTAIGSGLLYTLEVNTGSGKWIGYQLLAGIG IGWCFQVPVVTAQASVAPEDLPSVTAMVLTVQTLGGSVFVSAGQSAMVNVLLKNLRSE TSTVDAVKVAMTGATELRQKFSSAQMPFILTAYMNGLQAAFLVAVVASSVATVVCLAT RWIKFSGQTTAAAAA AFLA_039190 MSMHSKDAANWSGIEPIFEEVLMGRDAIDLPLLAVPFGLPGIFS LRVRSTGRHSAPDSLHRRQELGLYPEHFNCTPDSLVPSSRDHHLSATAELTDDMVRSI CYRRGA AFLA_039200 MRSSTQLTALYWIHLAIYNVFFHPLASFPGPFWARASFIWRMWH TSTGRVHRAIEFQHKLHGLRLGDIVRISPNELSFASVESWKAIYQPKSAPLVKSEFYE IYGSGFNSLCIGSERNPETHSRMRKSLAAAFSTKALLEQEDIIQGCVNDFIEGIRSQT TVNVTKWFEMLAFDILGEMAFGESFHCIENRKSHFWCDMIEEHLYFITILDNLRRYPL IAAIGKTILPHLTVSVRNKHTNYSRRKVAHRLQSSSPRADFMSRLIAKVEDEEMEMEE LTAHASTLVIAGGETVATFLAAVTYHLLSTPNAYQKLRDEIRARYNHLSEITSTTALQ LPYLQAVISEGLRIYPPGSQGFPRNTPPQGIVVKGTYVPGNVEVYTSAWTVTHDARYF HDPYTFKPERWLDPNCTDNKDASQPFSLGPRGCLGRNFAIVEMSLILCKLHFQFDAEL VNPFQEWESASQLHVMWWKPDLPVRFIPCTRDH AFLA_039210 MFEGAYTTLGTHSRLLPQVVRAQLNQYLPDVLPEIQCEIRDSVT ELFPPCQALQIHREIPFNAITECFAKSDWTVINVTELMAVLVARVSSRMFGGPALSQN REWIEASLRFAHDGFNAAQKLKMWPDTLKFIGQHFIPEVRSIKNTYKLAERAIIPLLD EREVDKSKKAHDLLTWMYDQAQGAEKDKKFIAGTLLKVSFAAYHTSAAAPTQLLFDIA AMPEHIAPLLEEYLSAPRDNNQNISVKGFAQMVKLDSIMKESQRFNPLLLLTFERIIK RDFTLSDGVVIPANTWIGCAAQAIGMDRKLYPDPDTFDAFRFVAKEEATATSTSVPAT KAHYTSANPGSMAFGYGQHACPGRFFAMMEIKAIIGEILSRFEMRLADGEMRPPSVTF ETQHLPHPAGKVLFKRRRRT AFLA_039220 MTLISLSLLALSLWIIIRVLVIIYRLAWHPLARFPGPKFAAATS AYEFYFDAIKGGQYTFEIGHMHKKYGPIVRISPHELHINDPGFIEELYPGPGKPRDKY AYATGQFGIPDSVFGAVSHDLHRMRRGALSPFFSKAAVTKLEPVIYSAVDKLISRIEE VVESTGFVDLTMAFSCMTTDIVTQYAFAESSRFLENPDFTPNFHEAILAGTRMGSWAR HFPILFPVLRSIPIDILSRMSPETGVFLRWQESMKKKVSEIWQDQSALPVKDKNVSPF GSTIFHELFHSDIPDSEKHPGRMWQEGQIVIGAGTETTAWTLTATTFFILDNPNILSK LRKELAATMPNRYEKPSCRELEALPYLISFLKHEYFRPMR AFLA_039230 MDTPPNILLMPILIRHTSMASMQLSDADIPSCAGKTVVITGGSS GIGWATGKIFASHGARVFLLDVRAPQEGLPLNSQYIECDITKWADILAAFEIAGDVID ILVANAGVSEEVNYFEDTFDSEGKLVEPGYNVIEVNLRGTINVIKVGLSIMRLRKTAG TPWQLIGLMRALRATLPLDNITINTVAPAATLTGLIPPELAKPIIAMGLPTSSADFVG LAVAYSAVALETRQVELYGKDPDTATVECKGRWNGRTILTLGDRYTELEQAISDLRPQ WFGVDNATLTRMQQKATDFR AFLA_039240 MSLDEGSTYNEKLISISRGDVAPNRTIPAQWIMYDLWEDMRACD HVLADELLEPVFTFMRAQTDKTRLTTHQFGEYLDYREKDVGQALLSGLQRYTMKLYLT EEDLRMAAPAERNCAKHIAILNDIYSWRKELLASKTLHHEGAAICSSVQVLSEVTALS HAATQRVLWTMCREWESVHKQLVAEVAGTGSRDLLDYIHGLEFQMSGNERWSESTPRY HF AFLA_039250 MEDILLTDPNPLPNLRKYWIAGYASVVTWAVAMFAIKLSLLSLY KRIFLVQNTVRRWFRVFVYAVMGYVICSSIAIIFSYIFICTPISHWWTQANAAVGKPV PKGECPNLVPRGLACTALNIVSDILTFSLGVSGLWTLQMDRQRKFMVGGILAMGSAND PTWSNANSLLIGVLGATGVISASLPGLAPLVRRWQRSVQARKGTSSDSEREYQNRTNT YSHKMPASIGIQGLPGRYVPMDDLNSDQSAQLDTTPLSPTQQDRNSR AFLA_039260 MHSQQTTPNIVRLHDGTEAFWMGNPDAEKLIIYFPGGAYCIPAL PGHFDLVNALATDLKKNNQDIGVLFLAYDLVPHAQWPRQLAQGVALVQYVIEVLGKRP SNIILQGDSSGAHLALAVLSHLTEGHPHNSIPRLSLSENLRGALLLSPWIDFGTDHES FRTNADKDAISAESLGRWAEALFGDTKMDKYTNPTDAPTGWWKLLPVEKIFIGVGGDE VLLDSIVSLAHKMKTEHPDVLVSRVPREFHVEPITDFGLGLSPGVQYQAMAAWLNQTF SQ AFLA_039270 MRYLNFTNLQYGTSRRFQPPIPPPVNRTIQTAGAYNIRCPQGQP AWLSLFGQPVGNLTGVPPVTIADLPPVDPSTSEDCLYLDVFVPEDVFQAKGDYKSSVV IWIHGGGYVGGWKSLYGPGLGLMETAKKEGRDVIFVSINYRLGLFVSGHYLELILPLN TNCFRRQGFLADPDSSDITRNLGLQDQLFALKWVHKYIHLFGGDPNTITVMGESAGGG SIMYHLTSGNASYRPLFQRAIVQSPFTINIPARMQRSTLQEVYQRANVTSFEELKGLS TQALQTANALVVGNAKPYGTFVFGE AFLA_039280 MAGHNTNEGVLFASPFVKNDEDYANLVASLFPGISSTALSIITD KLYPSNLGGKYGYVDQTGRVASTIGESLISCNEYFLGEAFARSNTSFRYEFSVPPAIH AVDLSYTFYNPREATSGVNITLAGIMQRYFANFITTGQPYSHHPTDFPANDMIQNFNI SSVGRMKDSVSTKRCKWWQKAGFR AFLA_039290 MFVGYHRLISATEGFLSSASKRNASTLPAPNSNRVIPTEPRNNS STLVDPAQLDQTLTLSDGRTLGFAEYGSPHGKPLLYFHGLPACRYEIDFHELGLRHGA RIFALDRPGMGLSAFQPNRQLLDWPADVKDFTGKLGLVEYRVLGGSGGGPYSLVCAKA LPKESLKGVGVLAGFAPLEAGTQGMSLRSRILWNLGRWFSGLGRLYTDWTIVPAAHHP DPKVLEELLAKTVKNNFNETDSSVFEDEKILKHAAKIVRESFRQGSQGYVQECKILTR PWGFDLREIDFSGVRLWYGDNDRHTPIQMAQWMADRIEGSVLTEWKGYSHFTFTDDHT EEVVRGMLES AFLA_039300 MSDSNDLRHNGTAAKRVYNHKSKWAYGGRAALPHRDVSLSDPVT RPAAPQTHSLKYSRLLQLDRKAKSNQLATTAKSTLRRDIREALDSGPSDSSTAEDVEE PSAAKDILGHLQEEDLLEPYQVSGQTILSDAISKAVEKFETRETEKLVEEYEIITRES EIATGYLADDDFELVDHDHARL AFLA_039310 MDKRSAESPMDFEWQTRAPGDVTSPFYQLSMQHDNQKKRPHRVF ESPGKKQMPALREPNSQPFLFSQPRSQDPPGTPKSLFGQSAFMTPRKFDVDFSSGAEN MSSPENADNEDTPEPPMKSGHRNSLFNMYGRFAPSPGRGEIPRLNHYSNALARRVQKR RRRDKALDMQFRRESDDESDDEHVSGNKQNQKQGHVQGEAQPASRMNSFSDFFALLEA HPNVPSILSWWAQLIVNLSLFSLAVYVVFGFVSAIRAEFEQAAEEVSDTILAEMATCA KSYVDNKCGGGDRLPALETVCENWERCMNRDPAKVGRAKVSAHTMAIIINSFIDPISW KAIMFFLATISTVTVVSNWSFRSFRNRYNQHEYTHPSAPSFPRQPSGQHHPSLGPSQP SYQHSVGFNYQSHAPSLDHKKETPLMLEDSPTRDFVNERSRTRESRMRTPSPTKRDRK LL AFLA_039320 MTRSPEHTMISPRSEVDQEKVSVVSSKYEEAPDGGRAWLVAAGG ASLFFCCLGFANSFGTFEEYYLSHQLRGQTPDNIAWIGSLAAFLQFATGAISGPLFDR YGAWIIRPAAVAYVFAMMMLSLCKTYWQIMLVQGVSMGVVTGFLQFPAFPAVSQWFDK RRAAALGIAAAGSSVGGIVIPIALSKMLNGSSLGFGWSVRIIGFLIMPIMAFACLTVK RRLPPSTSPFWIPSALKEAKFALLIVSLFFMFIGMFFPLFYIPSYAVSRGMSATLSGY LLAILNAASTFGRVIPGILADKFGRLNAFMVGGITTAIVIFCFNLATTNAGLIVYSAV IGFSSGTIISGASAAFTLCPKDLRDMGTYMGMGIALSSFATLIGPPVNGALVKHYGGY SEASIFSGVMCLTGGFFALATKAMTPQGIFGRT AFLA_039330 MTDPERRRRRPAVSCSLCRRRKIRCDRKSPCNNCVRSKNEACIY ETHPSDHPRSRVNHGQTISLESREGPSPTAPSASSYVSRPSTETNHAYESIVGGSTDA TTPRSQASVADVEALKSRIKNLEEQLSKANQGPVQSSNSTYAPPLRVMNSPLCEVDSH LFGETQVITRSVVHKSRMFGQSHWINGVIGLCRDVFEMLEPHVRDETSDILSGMQRCK ALARVIKSRRTPLWPSHLASALPSKDVADELVDCYLRTSETVYRVLHIPSFQKDYEAL WMSDTAPDMAFMVQVKLVLAIGATVYDENFSLRASAIQWVYEAQTWFSEPVCKSRRSL QFLQINILLLIARELVNVGGDTIWTAAGALLRTAVYWGLHRDPAYLSNRTIFVGEMRR RLWNTILEMALHSSMACGAPVGISLDDFDTAPPDNYDDDQLVADAPVPKPEDTQTQVS VAIALRKTLPIRLAIVKFLNELGSKSTYEETLRLDAEFRVGYRDLCRALQRYKAGTGL KSHFTTHMVDCLMLRYLVTLHIPFYAMAPHETAYAYSRKAVVETSLRIWCALNPSSSI MAAHTRHDTASTGRNDFDRLAICGTGYLRVFGMQASLAIAAELSTQLQEEERLGPVFL RADLLSMVQESKEWLFRAIEAGETNIKGYLLIELIEARIAGLRQGLARDQLVMLLLKA AAEAEARCLRILEKMAAQGQTEGFSNGLGQMGLEITPDSVDDWDLMMTDVLLNQSTAE PMNWVYNEIPLVPLLPS AFLA_039340 MKPSFVSLLSLACLGAASLEGAPIMSHLMSIKTEHRERARAQGL FKPNSYIDLAKTPCVDGKAGEYSCENVDLLGFLSHQAMGSTTREGNDIWGWTSADGRE FGIVGQTDGTAFVEVLDDGSLQYVGRLPTQTTATIWRDMKVIGDHAYIGSESPGHGLQ IFDLKKLLETDSNNPTNFSTTEDLTAWYSGFGSSHNIVAHEETNMIFAVGTARNLSCA GGLWMIDVSDPANPTSPGCVSEDGYVHDAQCVIYTGPDKEYTNREICFNYNEDTLTIV DITDRASPIQISKTPYVGASYTHQGWIAVSDMSYLLLDDELDEQDGTGEAANGHTTTY IFNIKDLANPKHTGTYQSPVRSIDHNQYVIDGLTYQANYGSGLRIVDVSSVKDDPTGK GFKQVGFFDCHPEDDAQGGEVEFVGAWSVYPYFRSGNILLNSIERGVYSLKYTGKA AFLA_039350 MVMLRSLLVSALAALAAASPIAEPADQSLEARQLGSSNDLTNGA CKDVTLIFARGSTEMGNMGTVIGPPLCSALKSKLGADKVACQGVGGLYTGGLMQNALP QNTDPGAISTAKSLFEQASTKCPNTQIVAGGYSQGSAVIDNAVQQLSAEVKDKVKGVV FFGFTRNLQDKGQIPNYPKDNVKVFCAMGDLVCDGTLIVTAAHLTYTINAPEAASFLA SKVQSA AFLA_039360 MPNRDCGDQQASVQEGQDSTLTNPPLNPVSSALADGDQKEEDGD PFKHLPEHERVILKRQVDLPATKVNYMTLYRYATRNDKIILAIASLAAIIGGALMPLM TVLFGGLAGTFRSFLLGDLSDSQFTSELARFSLYFLYLAIGEFVMVYLATVGFVYAGE HITATVREQFLAAILRQNIAFFDELGAGEITTRITADTNLIQEGISEKVGLTLTAIAT FMAAFVIGFVRYWKLTLILCSTVVAIVVTLGAVGSFVAKLSKKYLGHFAEGGTVAEEV IGSIRNAAAFNTQEKLARRYDGYLVEAEKSGFKLKSTTSSMIGFLFLYIYLNYGLSFW MGSRFLVDGSVGLDQILTIQMAIMMGAFALGNITPNIQAITSAVAAANKIYATIDRVS PLDPLSTEGEKLEDLQGNVELKNIRHIYPSRPEVVVMDNVNLLIPAGKSTALVGASGS GKSTIIGLVERFYDPVDGSVHVDGHDIKDLNLRWLRQQISLVSQEPTLFATTIFGNIK HGLIGTAHEHESEKAIRELVERAARMANAHDFITSLPEGYETDIGERGFLLSGGQKQR IAIARAMVSDPKILLLDEATSALDTKSEGVVQAALDKAAQGRTTVIIAHRLSTIKNAD NIVVMSHGRIVEQGTHDDLLQKKGAYYNLAEAQRIATKQGSADQDEDPILRETNYDLR RPESSENRYSLVKEDQGENHDDLQGDKTRSDRTASRTALANKEQEDIAENYTLFTLIR FVAKLNKKEWKYMVFGLLLSPLFGGGNPTQAVFFAKCITALSLPLSERSEIRRQANFW SLMYLMLAFVQLLTLICQGIAFSYCAERLIHRVRDRAFRYILRQDIAFFDERSSGALT SFLSTETSHLAGLSGITLMTILSLLTTLVASCAIGLAVGWKLSLVCMSTIPLLLACGY FRLAMLVRLEKEKKKAYEHSASYACEATSAIRTVASLTREGDVCDHYHKQLLSQGRSL MWSVLKSSILYAASQSLQFLCMALGFYYGGTLFGRHEYSIFQFFLCFSVVIFGAQSAG TAFSYAPDIAKARHAAASLKALFDRTPEIDSWSHDGEMVQSIEGHVEFRDVHFRYPTR PNQLVLRGLNLHVKPGQYVAFVGASGCGKSTAIALLERFYDPVSGAVYVDGKEISSYN INKYRSHLALVSQEPTLYQGTIRENILLGTDREDVPEDEMVLCCKNANIYDFIISLPN GFDTLVGSKGSMLSGGQKQRHAIARALLRNPRILLLDEATSALDSESEKLVQAALDTA AKGRTTIAVAHRLSTVQKADMIYVFKQGRIIECGTHSELMQKQSAYFELVGLQNLGEM AFLA_039370 MPPSSLLKAANLKALLTRALTLNLPPYPDSPTPSGLSLSEIASA AATAVPESPVSNVPGLAFDRFYQLWMENTDFKVAAADENMQWLASQGILLTNYYGVTH PSMPNYCSSVGGDTWGMDHDNFVQMPSNISTVVDLLDTKGISWGEYQEHLPYAGFQGF NYSNQNTHTDDYVRRHNPLVLFDSVTNNDTRARQIKNFTTFEEDIKNKRLPQWAFITP NVTNDAHDTNITFGAKWERSWVADLLNNTYFMNNTLFLLTFDEDAYDGNNRVFSVLLG GAIPEHLRGTTDDTFYTHYSTIATVSANWGLPSLGRWDCGANIFEIVANKTGYVNYEV NTTNLRLNETYPGPEAIGWIGQYSPVWPVPVTDAQCSAGNGVLESVKAAFADSTPTYN YTSPYPWDAKNGYNTDVTATRPTNGTATNTTSDDEDVTLSNAAGMAGGSPSSVTITLI LAGVLSWRFI AFLA_039380 MTSRHSQMIAIGGIIGPGLLVGSGNALNKGGPAGCLISFSLVGL IVFFVMQSLGELATAIPVSGSFTEYAQRFVDDALPFGLGWAYWYLWVTILASEYNAIS LVIGFWTDAVPQWGWILIFWVLFLGLSNLGVLAYGEMEFWLSLIKVLALLAFFILAIC ISTGGVGPGPIGFKYYHDPGAFADSINGVARTFVVAGTLYAGTEMVGVTAGESANPQK AVPTAIKQVFWRILIFYIGTFFFLGILLPYNHPKLLSSTSTAASSPLTIALTDAGILP AAHLINALIVISVISAGNGSLYVASRTMLFMARNGKAPRFIGRTNSRGVPWVALIFSN IFTCIVFLTLSSSAGRIYSALITLAGVATFVVWAVICIAHIRFRKAMVVQGDDPSRLP FRAALYPYGTYFALGATIFLVFFQGYTAFLNPFSVDDFIINYILLPVFVMLVVGYKIW NKTKIVKLEEMDIWTGRRVAVIDETETGKEHGWLAKLKDIIIG AFLA_039390 MSIPKYQTAATIERPRPGAKLDLRHDIPVPEPKAGEVLIKLECT GFCTRWLYSTCMKCPTCKVNYPNCPNQSNSGRNVPGTFQQYIVSPADFVSIIAEELEP EAVAPLLCAGLTMYGALNKLHKFCQKGDWVVIMGAGGGLGHLGIQIGKEMGYNIIAVD SATKQDICMKSGAAAFVDFRNDVEKEVQNLTDGAGAHAVVVVVGLASAYNQALRLLRP LGTLVCVGLPSQDYRMPISPLDCVNRGIHVVGSCVGTEEEMQDLLRMAAAGRVSTHYQ VFELMEVNTVIERLERFAIEGRAVLRIPTESPKATE AFLA_039400 MMYSKLLTLTTLLLPTALALPSLVERACDYTCGSNCYSSSDVST AQAAGYQLHEDGETVGSNSYPHKYNNYEGFDFSVSSPYYEWPILSSGDVYSGGSPGAD RVVFNENNQLAGVITHTGASGNNFVECT AFLA_039410 MRFSAIFTLGLAGTALATPLVERAGSSPTDIISGISDKTDALDS AIKAYNGGDPSKVESASADLISTITKGTDAIKSGDDISTTDALALPEPVQALTKKVEQ AIDDIIAKKDKFVEAGAGGKVKDSLNQQKSAADGLASAITSKVPESLKEIAQSLSAGI STAIQKGVDAYKDVSDSAPSSSAGSSASATATGSASETGSASTTGSASATSSSVIPTS SGAASSSAAPSGSSTPTGSGSASATSPPLATGAANKATIGYSLGAVAMAAIAVAV AFLA_039420 MGEGGDESIGEMKKSKEDKDKYSVMTRSSTRRQDAESTLEELRT GTEQLSIEGQSHPYSSLEWKRGSISVSFDDSKSKWYYTAPRKRVYIQLHEDPDEGDIF VMNNLRHRARKYT AFLA_039430 MDWKFAQRHVLRLAAATAALLLVAFLYINFNVGSSTMGEAIRVN NKTQSCIDFDPATVSEKLHSTIRLKQHTYNNAEISEFVCSILNHDMNLTAKLDCSVSI DSRYEHLRPSPSGSPRIQYYFALDLYQAVHIILPLMGAIMEAIRYLGPEYCALSIVEG RSTDGTYAILAGLKTELAAMGVPYFLVRDYLDPKAGGENRITALSHLRNLALEPLLEE SKSKHSRLVSKPTIIFVNDIVICPEDILELIHQRVIQSASMTCAFDWNKNAGNFYDSW VSRSMSGNLFFEVTHDGRHWLGDDMFFDHPDSAARWDQTLPIQVYSCWGGMVTLDAEP FIRGSIAFRSSDKEECYMGEPMTLAKDLWKQGRGQILAVPSVNTGYEYDQARDAKGRR GYVHDIVNHTQYNTEAELVKWQKAPPPMVKCMPVFERQWWTTPV AFLA_039440 MQPSGLLAEILFVIWSWSMDVKQAKTLVSNCRAKEPKNTRSATI ESCIFNPLWLLLEILSFPAYHRFPAYFS AFLA_039450 MYWLPTLLYLGALPSCIARQIPRDDHDSSPLNEGFEKKVNWALK HFRIPGLAISVVRGEDIFAKGYGISNTETTKPVTEHTLFEGASTTKAFTAAAISLLVD DDKYPNIQWTTPVHDILPEFALEDPWATTHVTLEDILSHRSGLPRHDWIANANLTIQE VISKLRYAALTAPVRTTWQYTNLLYMTAGYLIEKQTGQELKDFLRTRIWEPLNMTETY FTPTDAQEANEDIANGYYVGSDHQCKDAGYPSPKILRGASGVLSSATDYAKWLRAMIH RRPPLSPAGHAAVTSAHSIVLPTVVPPFSSPSLYGFGWFLQSYKGHPVVQHTGAIYGF GSMVIFLPDVELGITILGNNLMSTNAVSSVLAYHIIDEVLNIPEEDRFDWAKEAEKAL NVTITPDPRTLYPTIPNPPLHPPLPLTSITGLYTHPAYPTLNFTEKCSQKSIIPPLTN ATTVPRLCMFFVDPAELPESLIMEIVHVSGDDWVFGSEYDGLATATKVQVKAGPDGSV ERLGIEADGAMAALGEKIWWDKSD AFLA_039460 MEITSGAGLTVLPHDAERYQSDAVADITLTIGYLSALISILSQA LTPRAKFMKIMFFNLLSTCVSASLCCLTIYCAVKAREHNTPPDANESVKNGYSSDACA VSAIWLIFMIWVANTIRAWRPMELQDPMVAFSIFASVTITRAGTFVTVSDGLAFVSRL LKGFMIGFAIATGVSLLILPITSRRNVFHDIKGYVAQIDAVLQSQMAFVERTPQLLTG GQGLLNRTRTAQTIRDVENNPGSDLEARKKQLTASITKLNALHGKLQSDLFYANDEFA WGKLSASDLNRIGGLLRSILLPLAGMVQLPEVLDMIIKNEGSRENDRGSDGERGDELL KQSEMEKVSGTLHDRLADATSLTTGGLQYVLLALELAKPKQLESKRNESGDEESKGEA ISPLDSNFTSRFEQEMSKYFERRKELPRSLASLEAFSASEKVDDISNDSRAVIPDSDV RQEFFLILYMGHLQESLLNGVLELIKFADSKVADGTMKKSGPIFPMQNSIRGWLSLKS EKDKSRGSDSRQPSQANPASIHAEDEPDRFPDPEHLPPANLLEKASTIIRFISHVIKS EYSIFGFRVAAAAFSVGILAFLHQTQNFFIRQRCIWAMIVIVIGMNPTSGQSMFGFVA RIVATAVSLVLSLVVWYIVDQKTPGVIVFLYLANVFEYYFYVNVPQYFGPSIISIVTL NVIVGYELQVRKLGMEVATSNGQPYYPIYIFGPYKLAAVAAGCAISFFWVIFPYPITA KSTLRKSVGRALFVLAKFYSCMHTTVELWLHGELSNGQDTRSASYKLETSGHKIFKEE MMLLNQLRTYSHFSTYEPPIGGKFPKETYDHIISEIQRMLTSMALMAHTTQHLNVSSR ETESSRNSGERWVSQLASIALQSADFHSHSLTSLLCHLSASLANAQPLPPYLSVGDSF PLARHLQRIDGELLSIRHVQDPAFSAFVTLEVLRSVVGFTLRDLLSNVKTLVGELKFD NYSRGTLEDAESARLLSTNTEP AFLA_039470 MRPPDRIHSWAKAHASSRGSAPETQTPASTLDPLPTGGVPATSK QNGQVTEPAGTLASPGSNPEKSDGEQQSTPNRGLLVRMKDGSIRFVSHTKHALCHSWV NVLLVFVPVGIAVKAVGLSAGLIFAMNAIAIIPLAGLLSHATECVASRLGDTIGALIN VTFGNAVELIIFIIALVKDEIRVVQASLLGSILANLLLIMGMAFLLGGLRFQEQIYNS TVTQMSACLLSLSVMSLLLPTAFHASWSDNTAADKYTLKVSRGTSVVLLLVYVLYIVF QLKSHSYLYASIPQQIIDEESHPGVLADFMNHSSDSSSSSSDESDDTTTSWTTAKRIK RAMKYRRHRKSSTSSKGTASTPSFRKQVLSEMPSTGSSETPDSVHRSTSIPTDDPAAC AIDFGDDPRYEADNDTHQFEPQFRDFGQESNAVKLSKKELKAKRREMRKQQKLAEKAN EATAMDNATNARPSLKAHLSEPPLEPSASQKGQEDLDSPKRRSPFRPAIPSLLSNTVF SNSQAPYNVRGDASGNLNGLRRTNSLPSRMNRPPPVGNAVQFARGAARMPTAVNPQTL QPAPEHQEPEMSRTAAVVMLLLSTGLVAVCAEFLVDAIPEMIESSSVSEAFIGLIILP IVGNAAEHVTAVSVATKNKMDLSIGVSVGSSIQIAIFVTPLVVILGWCMDKDMSLYFT LFETISLFVTAFVVNFLVLDGRSNYLEGALLIAAYVIIGVAAFFYPPASQSSDVASAG Y AFLA_039480 MNMYGTQFMSKSLTFVWCWLGSRLYHGVETDQGEMLEELSALID AGKIKCHLTRRLQLNLEGIKEAHKILESGKAIGKVGLGLSEGGWV AFLA_039490 MMTHQVLSTPLRTQPRTNPIPADYYKFVPRPFHIMGYDGAGIVQ EVGPECTRFKPGDEVFYVSSPTKQGAYCEYQIVPDATVGHKPKSLDFVEAAAMPLTYG TAYESLVDRLEIKKGEKAGILIINGAGGVGAMASQIARWVLDLPVVVTTASRPETIDF TKKMGATHVINHREDLKKQIDELQLDVPIK AFLA_039500 MQSFRFTLPNNGTVEGVHSIPPSSGTPLKYRPLIVGLHGGCYDH RYFNATPKCSASLASAAFGVPFVSIDRPSYGGTSSILPIPEGSDFFEQSGFLLHQQIL PTLWREIGMPSQCNCIVLLSHSLGVMPAIITAALHAQDKAPSYPLGGLIASGMGDKQS SFMKDGPPSFLPVDNDHALFPLDAKDNIMFRPGTFDPEILEHSERLNAPCPLSEVSQF AAIWLPVWKQKWAAHVLTPVMFSLVEDDPFFVATEQEIETCVRAFKSSVRVDGSLIIG APHCVELSYWSQGWYARCFGFAMECAASFASST AFLA_039510 MADTPNTSAALPQHAAPFNIPSHNIAPCPGCENIGPLKGDFHMD PLSLSPECIPLTLFNSYPQSPFKNSLDERITNPGPATQGEPEVGLDMHLSTTSQLSEV VVSPRNHTAIHNHECVNLGLSGTRWNAAFEDNTALDRQHGNLVRNLTVAPTIQPVQFQ SRVGQQNVCTNMNQRYYYPYLIPKVHDRVSDWI AFLA_039520 MIERYLIVSQSLRMLSFFCVVASAALAAATSLHIQGHRNGPLIL QDAGDFFVGGREVKTLVNTGDRSNPLFDYPPEDWIFVDQMYVEYQIPMNGTNHLPYIL VHGCCLSGKTYQNTPDGRMGWAEYLVRKGHPVYIPDQTSRARSGFDPTIFNQVQLGEV APSALPRIEIAGRQRAWDLFRFGYTYPQVFPGLQYPIEAMAELSKQVIPDLNAMLPNP NPTYANLASLASKVHGAVLVGHSESAFFPFYAALNNSSAVRGIVSIEGQCPTLTDEEI AVLATIPTLFVYGDYLDQAKVSRRVWPQSLQGCQAVVDRLTAAGGRAFMAELPKLGIY GNSHMMMQDKNNLQIADFIMDWIEENVDN AFLA_039530 MTTNNQTLSQWKGLVAKYPKVEFIWLELLWYTSNTFVRMVPRAK FAAMIESDTYFNVPLVAFYLTPGDHPAKGASPSGSFRLCPDLSTAYCQAGSGGTRLVI QCECTQPDGSPLDLCARSRLRTLDNTLQCKMGMDILIGFEIEVVFMKPEKSPDGAPTY SPLSSLHSWSSVTRDDLMYLDLIESIARSLADVGIMLEHFHSEAAPGQWEFVLPPESP VKAIDSLLKARETIRSVACSGGLHATLYPRVIDDEIGTGAHVHISVNNRNGYTQSPDG FFGGIIQHMPSILAFTLPQEVSYQRVQTGLFSGGEYACWGWENKEASLRRIDMNRFEF KLMDGLANPYLALSAILAAGLDGLEKETPLLGGPCDQAYAHLRPEEQKALGITTMLPK TLKDSLAALEANSELGVLLGPGMVSAYAMVKRGEVDSLRAMPDDARKAWLISRY AFLA_039540 MKFLSSLLLLLAGADAINGHPAAVEQFTRELEVASPNPSGLTLR ALQQRAPNCSRVDYFISRITSVKAQYVKSIPADAYRLHPTNNFNRVAFVTFGPEAAKY ICRAITHGDPTCDDWAQGIRYALGLIFAIFGKDGAEPAVRPGEPVRRINARSYMDIAT TALKDSSLEFASIEADDNLPSVEKRSLDEPSLVERFLIRGLYHADLGNDATNIWVNHY SNGDNILQIAPEDGQHNGNSTPTRRWDKPGFKIAYTTRNKSPLNEQDALKMARHISMK WQGMAIGNDISDFIGFVETGHTANFYFRIIPEHKGYGLNYESVDICGGMAGML AFLA_039550 MAAQLSASITLRLGETAYYMHQVPEQGDPIGVNQMTAPCTVFTI HGSSTAKEVLSESIERFGRLDDVWSPDFLQNVVLQSLSSQESIRKDAEDILRPYNTSS IYITSVSSGALNEGPYFLNMGRLHPPYRLYPDYAGAFIAPTVPTEDPYCYKPLDAAAY GETYSSSLTVAVPSRLYHSPTPENPFAGTRVGVKDIMDLCGLRTGASSRAYTQLLGPR TENAEVIQKLLGLGFIVVGKLKTTQFADSEWPTCDYVDYHAPFNPRADGYQTTSGSSC GSAAAVASYEWLDFALGTDTLGSIRAPATVQGLYGMRPSLDATSFKGIIPYTKLADTV GGFARDATSFAKLSRALYGSINDPPFSKVCKILKPSKVLYPVEYWPETSTEHDAVLES FIVKLERHLGVERTRISLEEIWATTKPVHENITMKEYLEHVFEWAGNPSQWKDFLSPF ITEYRNTYGRDPALNPQLQYKRGYLPTITDEQEKEGLKRWKTFKSWYETNILPPAIDG FSDTLLLLPWSTGKPDYRDTYRDGPQRFTGIGFFFYNLSPYSEGPEAILPVGQTSYTS RITNSTEHLPASIGISSGKGSDVMLTDFIADLMTETNIQGVGVGSRAFENIDNMGTTS LYTQSAAQLPLRGDFEPTLAHYFQA AFLA_039560 MSYYGAPPQPPYGQPPYGQPPYGQSPGGYERPPYDQRPPYGERP SYDRPPYEQGPPGDRPQYERPPYEQGPPSGERPPYDRPPYEQRPPSGERSPYDRPPYE QPPPGERSQYERPPYGQPPQDQRPPYDRPPSERPPYESDRSFDSRPPYSSAPPSARPP QIPPPPLPMGWVQEWEPNARRAFWVEVATGNSQWEQPFGDSSRDMGPGGPPAIMSPPP SGPISPPPGGYYGGPPPQEGGYYPPPQQGEYQSEADRKKSEKKKMLMGAAAGLALGGV AGAVLNHEFGGSDSESEKEEEEEEEVVEHKIVEQHIYHHYDEPSEERAYSPPPDDW AFLA_039570 MSLYKISNPQGGGVNTKFVPACQTQPLCSHPVCDTSLSIAERVD SLVKSLTLEEKILNLVDASAGSTRLGLPSYEWWSEATHGVGSAPGVQFTSKPANFSYA TSFPAPILTAASFDDTLIRKIAEVIGREGRVFGNNGFSGFDFWAPNINGFRDPRWGRG QETPGEDPLVAQNYIRNFVPGLQGDDPKNKQVIATCKHYAVYDLETGRYGNNYNPTQQ DLSEYFLAPFKTCVRDTDVGSIMCSYNSVSGIPACANEYLLDEVLRKHWNFNSDYHYV VSDCGAVTDIWQYHNFTDTEEAAASVALNAGVDLECGSSYLKLNESLAANQTSVKVMD QSLARLYSALFTVGFFDGGKYDKLDFSDVSTPDAQALAYEAAVEGMTLLKNDDLLPLD SPHKYKSVAVIGPFANATTQMQGDYSGDAPYLISPLEAFGDSRWKVNYALGTAINNQN TSGFEEALAAANKSDLIIYLGGIDNSLESETLDRTSLAWPGNQLDLITSLSKLSKPLV VVQFGGGQVDDSAILKNKDIQALVWAGYPSQSGGTALLDVLVGKRSPAGRLPVTQYPA SYADQVNIFDINLRPTDLYPGRTYKWYTGKPVLPFGYGLHYTKFMFDWEKTLNREYNI QDLVASCRNSSGGPINDNTPLTTVKARVKNVGHKTSDYVSLLFLSSKNAGPAPRPNKS LVSYVRLLNIARGSDQVAELPLTLGSLARADENGSLVIFPGRYKIALDNSEELTFEFT LKGSPAVIETLPIPDVQYNFTVPVHIQPASTEAHS AFLA_039580 MLTQLLLLGLAGLVCVKSVKPPLTPAPPTPSPRPWSTFAENIIY QPDSNHSVLYPRQVELSDGSLLATASFAGDKTPYFPIFKSADGGATWSWISNLTDQVN GLGMSAQPALAELPFAVDDYPAGTILASGNSWGSKSTNIDIYASKDSGHTWKFVSNVA RGSGPDITNGNPCIWEPFIEFFNHTIGVFYSDQRDPLHGQKLAHQESADLRSWGPVID DVSYLNYTARPGMTSISYIPPLGKYILVHEFPGGDSWSGVGYPVYYRMSDSPFDFRFA YGIPIMVDGVQPNASPYVVWSPSGGDNGSIIVSDADHSGVFTNQAGGQPDQWELHDTP QAPAYSRSLHVFEKYPDHLMLLGAGVFDATVNLPLYLSVVSVEDTLKKPAGK AFLA_039590 MSEETLENDADFGRLPFDNFSSQTNATFIRFCSGLTSAVQERWD FNAAGDSQEQWERLVWEWQKLTLLERWPYQYRDELSSPALGENIRRVLATHQTVHERN ISLVSSEGLQTVWLRTCYDPDLARKYEELKQRSVVPGWQGWWNEILDDPARYDFDDGG EGSWRPMLVRVPGITDFYGLIDMDGAGRNMQYKSGQNHEEMMAQAEKSEEVWRDLALA EIKIQTGLYLLDRDSIESGLIKILWLDEHGNVAWHSRLDPSTSDFDGFMMQLLSATSL VELAGYDGTRGSLIER AFLA_039600 MANLPTSFIITLNGTPIAKNINPDEEQIHAEADHNNPAVFTFSN GLLESDGWYLGRSVIEDRSLLPKRVLWHKKGGEVGEDLIQKTTIEDQGGNLVLTNGGT VLTLIDGQVYGDLIRKNPATVGIQAA AFLA_039610 MPTSENHHAKQRPPRKKACNSCTKSKVRCSLEKPVCSRCRSTGR VCEYSVSALAQDSPPGEGTHNWRTASNSTAYLLPHITSTSKILDIGCGPGSISVDFAS RAPQGHVTGIEYVPDPLDQARELASSKGLTNIEFRVGDIHSLDFPDNTFDIVHVHQVL QHIADPVKALQEMRRVVKPGGIVAARESSVMTWYPENKGIEAWLDITIRMAKAKGGNP HPGRLIHVWAEEAGFEQSRIQKSTGSWCFSTPEERQYWGGSMGARARSSGFAKTALEE GFATKEELESISDGWKKFVDAEQGWFGLLHGEILCRK AFLA_039620 MVTTTSDDVHETTALLGRNRVPKEEKPLPKAQILLLCYARAVEP LAFFSIFPYVSQMIQDNGGVKFSDVGFYTGLTESLFSLTQAIVMIFWGRAADRVGRKP VLVFSLFGVTVATVLFGLAKSITQMVLFRCLAGVFAGTIVTIRTMIAEHSTSETQARS FSWFAFSGNLGLFLGPLLGGSLANPARQYPGVFKDTGFFADYPYALSSLVVALIGATA AFSSLLFVQETLKKEPATSGSNPDKDLSTWQLLKAPSVGIVLYTYGHIMVLAFAYTAI IPVFWFTPTYLGGYGFTPLQISVLMGVNGAAQSAWLLLAFPPLQKKIGSNGVIRLCAY AYPFFFLACPAGNILLRLDSEVSVKLFWIFLPIALAVGCGVSMSFTAIQLILNDVSPS PKVLGTLNAVALTGVSGLRAICPALFTTLFALGVRTQLAGGYAIWVLMILLAATLSVA ARYLPEPEKPDES AFLA_039630 MRTPLPRTWSKQPSIRISQLPLCLSSRLSPKHHRYTSQNARELN QGPSPQNRTRNIQYAFDPVDNATAKQLSSPFSFLSPENIPLPVFQHANRLVPSSLGVP WPTSFPTTFQSKYWVEVEERTRAYTQELLALRPGKYQAKYIEAVIDGAVSLLVNAVPM GNLTRLKSLTKLYVFFFLSDGD AFLA_039640 MLRPYRCGLLKWNVDLELQRVTMVPSHVDGKDEQEPEYTVYNML AKEFLSEDFVQGKRLLESVISWISAAQHTPPETFPTLEDYMAYRASDVGAGSLCEKHF LLTNDLYSYAKEAIAEQEHGDSVLNAVRVVQRLMNTSENSSKAIVRQVIWDVERQMNE EYERLLQDAPKSQLTYAQGLIVCAAGNMFFSATCARYARVVEGSRLHV AFLA_039650 MAQIRRLPASLATKTTFFSALAAFGIGAYCARSYFLPQVHAESD EAPVMFSKFGFTTLRVQSVKAVNHNTKRLVFEFPDKNARSGLSLTSALLTFCRPTGRW LPVLRPYTPISDLNQQGTLELMVKQYPNGKASTHIHSLAPGDTLTFLTALKGFSWVPN QYPQIYAIAGGAGITPIYQLIRGILDNPNDKTKIKLVFGVNSEQDLLLREELEEYKKR FPGRFEYVYTVSRLEEEKEGLRTGYVTEELLRGVVDGKGEGAKVFVCGPPAMEESLVG KRGILDRLGFEKGQVYRF AFLA_039660 MIFARLMRFSLLSLAWAFQAAATILENGQERLNPYPGQAEQVSV DDSWKSYGADASEISYKGRWDRWSVPGVKFGFTGDKLAVSFGEHTSKDVLVAYRIGGL DWEFSNVTANSAYQFVEPGSSALNETEYTDHKTFELRVQIDSVYVASDARLVKAAEFN RTVEIIGDSLASGQYATYEGLASWGFNFAAGLGNAEYTITAYPGICLVDKQCYGGDAR GMTYQWSRASDVGDRANAAFGDKPEAWNFTAHRVADLVIINLGTNDARTVNNVPSDDY YQSYVKMVENVHGVWPDAQIVLMSLWGNFIKSGSTWVQETIYESEVQKVYQHFEKNGY VHYFDTKGILQHNDISPGGHPTDFGHLKIASHLMQWTKIKLGWEFGATGPEVQHDTTY WNNQDSYKRSAEMPVLITRAQYLVSKLASSASILYQVYNVV AFLA_039670 MVLVPFVHFASLSIAMVSFKFSRTALARHHVRSPDEKALVRRLD IFLLTFGCLSQVIKYLDQQNINNAYVSGMKEDLNLFGNELNLFTTYFNAAYCVMLIPS QIILTYVRPSFWLPGLEIAWGVLTGLIAMCNSAKQIYVLRVFLGLCESSAWPGMMTLF MYWYTPTELAKRMGFYQSCQAAGQMMSGALQTAITNTMEGHHGLAGWRWLFVINAIIT VVWGFLGFFMIPDLPNRPNPRAFWFKKVHAELSMERLARNGRAEPKRMTWAGVKRTFS GWVVYFIAVLYIATVLGTYGYVYFSLFLKALKRPDGSPRWSVSQVNAIPIGGSAINVV FVWVWALLSDFLETRWTLIVLQGIIGIIPCIIMSIWTRHPTSVDVSAAYASYFIAHTC LGTAPLIFAWLSDLIPQDPEARTLVVGVAVAGYYAISAWSQVLVWPASQAPYYRYGWQ SALALLVLVIVMTCVLRFIDVRYLLPKRVAFQEALGAEVVAGGSLKNDEERPRDADLK TPTTSTRVDE AFLA_039680 MSSSQNGSSRSLPNKTPCLSFWQRTTRAFPLLHANRNTPVPSRS KYVVIGSGISGGLTAFELIEAGVKGEDIIILEAREAASGASSRNAGHVRPGTHLYHES FYCLFANRPIDAFRGFSAYAKVHGEEQALKIIANERLVLEKVDEFTKKHGVQCDFNLT TTFDVCMTPEFAAYEAESFDAYKNAGGDVSHIKFYEGEEAQTKTKVNGAVAAYEWPAG SSHPAKLAQFLLQAVVSRGGKLFTFCPATELRRNESEPELWDVHTPRGIVTAERVVHC TNAHAALLLPHLEPYIQPNRAQAHSLIPTPSFAAENALRNTFSLRYSLHHFYSLIQRQ NDGTLVLGVSRSNPTLSQETRASVYSTDDTSYNEEIVRDALQSFNQIFPDFDSASAVH GEGLDHAWTGIIAMTTDSVPFVGAIESLPGQYICAGFNGHGMARIFTCAPGIAKIMLG KDWDDTGLPECFKFSEERLTRLSTKTVQSVW AFLA_039690 MPDKDAGTPRVFLYRHGQTEWSKNGRYTGVTELELTQDGEKQVL ASGKMIVGSGKLIDPAHLAHVYISPRKRAMQTFEIAFSDAAKQQLRDANKVSETDRLA EWGYGLYEGLVTKEIRALRKEHGLDTEQEWDIWRDGCEEGESPQEVTDRIDDLIKEIR ELHKDNMHGEKHCDVLLVAHGHLLRAFTKRWLGYPMEFPLSMMLEPGAVGVLSYQHHS IDEPALMVGYGFPLEG AFLA_039700 MTFLGLPSFRTAQIVAQTGVDGIIIDCEHGHISDDSMHSSTAAI ASLGVSPLVRLRMTHADLIKRALDAGAHGIVVPQINTAEEARAVVSHAKFPPQGLRGQ GSAFPAIAYGVDMPTYMKTANETLITCVQIESKAGVENVDAICAVPGVDMIFIGPNDL ALSLLGYVPAKGDEPEFVDAIDKIVTAARKHGKWVSRLSNNGALCKEHLKVFDTVAMS YDVRAIHNWYTAELQVARS AFLA_039710 MKEAVVDKSVTVAIRDVDIPVVKPGQVLIKVVVSGTNPKDWKVP TWQPDAPAANQGDDIAGYVEAVGEGVPNFRKGDRVAAFHEMLTAGGSYAEYAIAWAHT TFHLPEETSFEEGATIPLAAMTSAIGLFQELNLPLPWNPAKESLPLVVYGGSSAVGAF AIKLARLSNIHPIIAVAGKGASYVETLIDRSKGDTIIDYREGDEAIRKNIKAAAGGLP IHHAYDAVSEKGSYRNLGAVLTAPGKITVVLPGIDSKEVPEGVQLLRTNVGSVHQSAA AGQTVGNIEFGAAFFAFFGRGLAQGWFTGHPHEVRLNGLAGLEEALQDLQAGNASATK YVVRIADTPGL AFLA_039720 MSQSSILDRLSALDTNTVSDALDFLGLKGATYGLRPLWDCPKIV GRASTVKVGPKTDTAPTTHLLTPVIDAVTTDDRVLVISGGIDGISCWGDIVANASKQK RIRGTVIDGMSRDIDGSREVGYPVYGRGVTMISARNRLVQVDSGIPLQVGGVTVHQDD YVIADRCGTVFVPAQRIEDVLEFGERIDRRQARMVEAVRAGQPVSEVMHDKQFEAIRE NAPLSKVLPVAPASKRNPKQASPEDQELVALFADSDTPGVSDALDKLGIPGQAFGIMP LTDYKKVTVGPAFTVRYVPASDPPGSVGDFIDEVAIGDVVVIDNGGRTDCTVWGDIMT QYAGLRDIAGTVIDGVCRDVNRAIDDDYPLFTAGRWMRTGKDRVQVGGVNESIGIGKV RVNPRDIVVADANGVVIVPRDRAREVAEVARRIEKSEAGIRELIASGATIAEAREKLG YHTLQRKV AFLA_039730 MSTLISSYLLGLVACAAAAPAASRASPHGTRGYGEIQWKPCGDL GVNGTTELECGSLAVPLDYTEPDSGETLNLEILRAPAPNQPSKGSVFVNFGGPGASGV AEMSLLGSVLSIFVGGSYDVVNVVPRGTGNTLPFSCFADEQERIAAALRAPFATNASD TALGQVWAEAKNRADACVHAQNKTGSLIGTAFTARDIMQVVDTLEEDGKLRWWGQSYG TLLGSTLIAMFPDKIDKAVLDGVVNAHEYYHINVEQVAGADSAFSGFCSQCVDNKDKC PIAGNRTAEELEEDLYAAMEALKSKPIPVSVEGKGYIVDYATIKGTIHYALYFPATWP TLAEKLDILFSGNITGILPDLVAPLPVTPDADAIQGIKCSDNQEPLETLEDALPGVEA RAELSKIAGDIADVSALQCARWGMPAKEQYTGDFKAKTQNPVLLVSTQHDPITPLVSA KKMSEGFEGSVVLEQEGYGHTIISQGSVCTVKAIMAYLNDGTLPEPGTVCKVDAVPFS GESGVAAVLEELTNAA AFLA_039740 MPNPSTSYPYDRGITAQLHGKYIQDALNSVGQAIDFSGTDVLYI VPTKAAKHISFSPTYMGELTAGDGTVIGKTVTFGQDAPNSWGFLVMNHETGHTMGLPD LYPSNGGRATMYVGGHDIMGLISGGLPDYFAWHKWKLGWFSDDQFDCVDGAGSTTHTV TAVGTKEGVKAVVVKRDETTAIVAEVRAREGADIAACSTGVLVYTVSTSTASGQGPIR VHDATPNSGGCDGEELNDAHFTTEAGRDVFVSEDGVQIKVVSQNGDVYTIEVEAT AFLA_039750 MIPSQFQHDSMPCSNCFNMIECWLESSSSNTAFVYKSLDFQSII DFIACTNHSSEGNKQRDESPN AFLA_039760 MYHSILAISDQPLEQLKGKIEEERLGAAKWADFTNTLRNREAAQ DTLNLLDKSIGILKNVNSKVLSDEEHKILGWVSTAPFSDAQTRAQESRASDTGKWLLE MEEYKDWKRPPGSVLWLPVIVGCGKSVLCSTVVQDIEGLCKEDASKSLAYWYFQFSYD ESQSVDRMMRSVVRQLSRTPLAPSVSKTREEHSRKGSQPDRKTTMGMLDGVLSSLPGD AYIVLDALDECPQKPNHRERESLLSLLVSIAERYKENIHILATSRPEQDISQTMKNFP SINLEQRLTKDVETFVRAQLDSGSLRKLDADTKVLVIDTLLLSRERRFRWADLQVKRL EECRTDDHIKEALRTIPDSLEATYQTIIDNIAERDRSIARGILILMCFSAAPLDLQTV ADSVSLRSSRHVMDICTTSLFSTSGEEARLAHFSVKVFLVSEDADGNSCRFSERAAKD HLAKKTVDCISCQTEELNQEMAAKKPFLAYASCHWQAHVAALVDINPQNADLGRKIDS LFTEPTVDSHAITNDNQWNKLRSECKPAIDRATEMGLVGPVDTLVNQGADPLQSWKAM SWCPLKRGALEGRLKIVELLLRKNIKISTELARDLIGLVKHDVEGEHALEGVLKALLD RGVLQDTARGSSESISEHIRDRGLVSVPITALARRRPAKLPLSDALLEGMAIKCDSAT MDTLLQARPDIKVTEKILVAAAQNNLGVRL AFLA_039770 MPGFLNLPPEILLLVYCSLDSIADAYFLSQTCQQAYHVFSRPQS QPKIFESIIHNVLQDAAPNQAWLEKQFGPGSLWRPKEADLPVDLTNKAAREFLINIGF PSVKVPRIGFNSIHLKAFADKGDSLCRYTGEELYGIHDPEDEVPALSFCLGEVYTQLV MLENEHGHVFWYNGDCYDSLGRDRGLVAQGLDSLAVLLGMVVAVTKDLRESPLDLSLE ELERRVEILKRPLDILRGKMRDYDFYAEDAELWNDLFSELLDDWEFRDESLGS AFLA_039780 MAKYALHYARHHPKMQHQVDLRSAVVQFYRPVFPTKPMTMTLRE VSIGKGWSTLRVESFQGDKLTTSGDLVKGGIVRLTNFSIEGVTLQTGWRPSPEPKPID LTKLETDSHPDWISYHCAFYPDGFRRGHSYAKAFIPRTPRREDTFVEQWIEPGWDCHP QGSLVRKGTGTDTYARWTNEMIQFIVEMPLPVQENLFPPIDGKPSTGSIAATLEFAEQ QQKAREEGREDWRALEEDGSKTLKARMVNVSLTLSTEIKQRLPSEGDRLFSQTPYHDW KDAVNCKSRGSWNLHSVLPRGMDFFILLSSASGLAGIKGQANYDAGNTYEDALARYRV SQGEKATALDLGAMVDDGILAEDPSLLRRVLAYGTLEPITRAKFYGILDYCCDPARES ATPREAQIALGLGTGRGDGLDSIDYERQPMLQQLMLAGNRQQVGAGAGVVSGGAQHAI SDREQIAASASLEEAAQIAAEAIIKKLAKPLITMQDGSSVERDRPLSVLGVDSLLGIE LRNWIVKQFKVDLAVFDTQGAATLETLSLLVAQRCTKGRGVGS AFLA_039790 MNLLLYLLAQHPSVDMGLLTGSRQLDAADAHNFGVDWVIHYQFE DTEMLKAIEEFRTLIKDLQDAKLQVQVRPGYGASLLLCIRVPRDHLGNMVYKSRVKDW LYGIIHELPIGDEHTSIDSETPAEELRSVYHAVTWSKALGGAGVTAQLGPWKNVASTF PLHDPTANAKLLRKWSHTLLLNAEDLDAIRALYGEKVSPDYIRLVYDANVAYYFAFIQ CYSTFLVFPAAWGIFTWLYLGPYSITSALVNCLWCIVFVEYWKIRETDLSLRWNVRGV GALKVNRPQYVWDKEVRDSVTGETVRVFPAHKQFLRQLLLLPFASIAGLALGSLIVVT FAMEILISEVYTGPFKEYLEFLPTVLFSLSLPWINDTLTDMATKLTDYENYRTQDQYD IAQTTKTFVMNFITSFLPTILTAFVYVPFGARLLPYLDVIRVGKLATAFDTRRVHIDP SRLQQEVIYLSVMGQVMSFGEEIVLPYVKRVVMQKWRDYRQKNVPAGQGRRNSYRTDQ LLNDSPAEASFLSRVRNETEADEYNVHDDTLEMCVQYGYLALFGASWPLVPLGFLLNN WLELRGDFFKLSLECQRPPPIRADSIGPSLQGLEILTWLGTLSTAAIVYLYRGNMADV RLSTLLLILLAAEWAYLGLRFVVRTAVEKIATGSLRKEAAKRYALRKNYLDSLTRSTS PKGRQRVRFEDRVNVYTTGTDVRTNSQEFLHPGHHETSSEQRFWSCATQDTADAGVRL IKALCMGDRVQSENKSEKIKKCA AFLA_039800 MCPFSESKTELFLQEENETGKIVPMVTVVRRRGRLHLVHPPWYR NSLLASTGFLEFANAGDFAANVWNEIPVPRHAMILMAIGGPIALLMSIVALRDFILSW RNVKLLRAERRYLQSLKHDYLASANPDPDLIRLIDSRLGLGWRELGTELIDRVAMDVF LGLGALLVGTGTIMAIWGAHPKVFDASNLLSGFVGNSFAAAFGVVNAVWSVYLARRFH RYDRLCTRAPALSPFRDRLHLRFSKFKWHAVVSGLTGLIAGAASMVTAKMWWGYVVLA PCMVLQLLCNRFWRTQLGYDRPIVSDYDQRGILIRETQEIRDEEKDGPLLDSLASTVT LFNALGALPSPSEALDWTSLDSLVQFMITNDLFDSLCDWLARDKSVPSDVRDAVFRDP SSSSEHTEITVSPHHLLRVPVALQTKLRDLCRHFLQEDGRRVLLYRERYLLEMMGSML SRESQ AFLA_039810 MPENRRDSEASQSSLISYRSVENQSPGTPEPGTPEHNAETQGNN PDSVSPTSVSPSRHRVHFGTDLRYEYGDVDTESEGSPDASPPESRHQRPTLGSVDITE ARPRKRSIYLNQHSGADSVGFEKEGLAQSSQPGTSGSLLSRLNELRHAAAKKAREYAT RLGRPPADEHDMRAMRYRPDSGANSELTLSEKVYDHDRSSSEAHRLVREMSQDQMAYH RPGHNKHYPHKGPDYWGSPADAWTNAGIRRRGSTGGVLSQLLKLNGALDHVHLGAMST TSQSPREIGTPLGGSASGTSTPRKMKWYKKPPNMSPNALATASTNVSGASTPVSSEIL TAASKRRSKHSSGNMRLEDEIQITLQIAQIIARERYIMQLCKALMVFGAPTHRLEEYM QMTAKVLQVDSQYLYVPGCMIMSFDDPSTRTAEVKLVRVSQGVDLGRLSETHNIYKNV IHDVIGIEEATQELEDIMKRKPRYNKLIIVLVCGLATAMVGPFAFGARPIDMPIIFFN GCLLGIMQHVIAPRSVLYSNVFEVTAAVLTSFIARAIGSITTTIHGTPHQRLFCFSAI AQSSIALILPGYTVLCSSLELQSHKIVPGSIRMVYAIIYSLFLGYGVTVGTTIYGLID RSATSSTTCPNILGFKNPYVARFPFVIAFSICLLIINQGKWKQGPVMVIISFTGYVTN YFVTKRLGTNTQVANTVGAFAIGVMGNLYSRLWHGHAATAILPGIFVLVPSGLAASGS LIAGVESADAIRSNITRNASHGDTQSTGVGQQKSVQDLGFGMVQVAIGITVGLFVAAL VVYPLGKRRSGLFSF AFLA_039820 MNTRRADSDSTGDAISFSFYHYDPSMAGAVIFIILFAGTTIFHI YQMVLGYIGRAMSSRESPNWTLGPYLIQTLFLLLAPALLAASIYMFLGRIILVLQAES HAIMRKKWLTKVFVTGDVLSFLLQGSGGGIQSGGSLDSMKLGEKIIVIGLFVQIFFFG FFIVTAGSFDMKLKRYPIPRCYSAEIPWRKHMNVLYASSMLIMIRSVFRLVEYLQGNN GYLLHHEIYLYVFDAVLIFITMVIFNICHPSEIGRLLANQADYELKDTYTTVP AFLA_039830 MAAPIGVHLVGSIPLPNTEQVLRQIPTALPNRLYSIPDGEPGVR QNYIGWELSCFPPETWRPFLPGSTGLPADHPGFTQDSVAPSQYDGAALDSYKRFVELR DQGIIPPGVRFQVSLPSPLACIQGHLRPEIHAQLEPFYERRILDSLNAIIAGIPAHDL AVQWDLPFEVIDLEYERGRLPDDFPFKPHFAPVKQGVLGRIQRLCAGIPGQVHVGFHL CYGDLEGKHLIEPEDLGLLVEFANDIVKAIRPRTVNWVHMPVPKDRDDVAYFEPLKGL AVDDNTRLVLGLVHFDDEDGTKRRIKAAQLATGKRFSVATECGMGRVPKEHLDSILRI SKNVTEPIN AFLA_039840 MSSSTLPKNASLVNIGTHSLALYTHGPEPSCPKDPVVLFISGVA SSSLNWTAVVRLLPPSLRSYTYDRSGFRNSELSPLEPTAENIALELSLLIKKAPILNP LIIVGHSWAGVLINEFIVLTGNGPHIAGLVLVDANHETMPDILNVNDPVLSVIAEGVH PYAGRGIEAEHKFTQEEWDAFKSDQFSEKTLLIGEKEDSEHYAPSFETLRKKELGKKQ PLVGDKPVYVIGGMRSRDWSGLYKAGVEKGNGTEEQRSHVRELIRTADEKSEGLMKEH LKLSTKSKLVFAYESGHFVQLTQPDIVVDGVKWVLENLQPSS AFLA_039850 MLESDGWALSGHINRQHNTVAYALSTGATVLGEALALAVEDGQE QVVQWLLSVKSADFSPKYDFHGQFANWTSQHRIASKCTAASSKCIEQLKGRQQWERHG TFDNRSPLSRAARGGHLGIVKLLIAFNGDDLSVEDLDGKTPLFQAVERGFLDIAKALF NTGHCDLNRTDNEFKTVLSHAASSGNGDIVQWLIRCGGAINLNHQDRYGQTALHYAAE RSDVPTTKLLIESGRVDPDITNLYDLTPLHIAIQRSNAVIIKLLTEPRTVDPDFRVTN NFTRLYDVTKNLYVSQPILSIESGEVDNHSRTPLHGATEHSHASLLEPLRDLSRLDSN ISEEHSQLMLSQAIMNSDTSTLRLLICSGKVDLDRMDNEGRTPLSRAAKHSDRSIIKL LIDSGRVNLDSKDENGRTPLSYAAEYSDASTVKLLIDSGKVDVDSKDHQGRTPLSYAV QHMDLSNLTRLVVLWCQGDLEPGLNPNTIVPRRSLAGTSTLKTLLNCGKADPNSRAQH GQTPLSYAAEWSDSATIRLLLENPLVEVDSKDRSGRTPFFEAVANGNFAAAEVLLDSK MVNPNPRDKYGDAPIFEFMDLYTSLETLNDDQVLDWSIEDTVKTGYNRLLKLLLSRSD VIVDFRDHHGQTPLLYAAEECGYDVVRLMIESGKVDFAELYAQSLPGQIGPQLMES AFLA_039860 MSTMAELKDVMRDLLLRGRFSGMEILCQGVTFKFHQAIVCTQSS YFHSAFCNGFKDKDNLQPGPF AFLA_039870 MQLLPVALLLGALAAPTASESFNPLNHLAGIAPYRTINDPPLES APPQGCNVTKAAYLIRHAAIYANDFDYESYLEPFVEKLRNTTQDWSKTTDLKFLANWT APVDEEHLEKVTKVGYKEAVELGVNFRTRYASLPHPSKVWSSSADRTTKTAAGFIEGY TLNKTAGMDLVEVKEKKDTALGLFNNSAFSGADNVNKTMPTDEINYGRQWKSSDILPF LTNIAIERLSCDSYGYDEGDYYRVLVNSSPQPLEDCRGGPGDSCSATKFGDFVKGLGE RFGDFVGACGAPKNESQVVTIYN AFLA_039880 MYHPEYSNTNGQGAQQWTPDDGLYHPDEWSPSSTTKPTSTSSLP ENTATQSSPPLSSSSTTGTPTATSNPSNSTTTSTNEAKKLAIPITLGVATAAMAGIIL WWLYRKVQDAKAERKRMNTLEEGGYIQGPRLSFFKRRLATLCSYSLSKSATKSTPVAA RSSLSISESPCKQCDGHSDASETSSLDETSSRDIEKLQPPEYSSSTESLTPEGHRRGD ISMPYNTQKADTCARPRTVTPTSLPGVPEEPEESEKAKEAEEPSPQITPVDSKGAVEE VYTVEISFNPISAKHVELKQGQTAKILKEYGDGWVRLIPFLFLVSF AFLA_039890 MSDVLPYSNVRASLSRIASDGANTDMRCAQWNKKMYAKERYTGD FDVKTPHPVLVLSNRYDPSTPLAAAKNLTATFEGSVLLEQNGYGHTTLSVPSLCTAKV VRAYFSNGTLPAEGTICEIDVPLFTNLTYADVWPKSFQAGL AFLA_039900 MRQSSSFTAGLLSMASLASLTQAELGKIQWKGLCDPTNATGPMI CGTLDVPLDYTDSTSNKTLTLDIGKWPAAKKATAEPVFVNFGGPGVNSFEGLGSYGKE FQTILGGHSDVITFNPRGVGNTIPFSCYSNDSSRELASLQAPNDGTASNTARGEIWAQ STNYAQACYAQNGENGSLIGTTFAARDTLQVLDALRGKNALLNYWGISYGTTVGAVMA AMFTERMGYLALDGVDNPAEYFNG AFLA_039910 MVVVRLGQLSDFTQARYVIHLSDKKRLVLFRLPVIEPSKKVDRA ANETDDGWTYYSMEAECPHAGGPMQDSQIDIEDSAYVASCPWHAYDFNVETGESSVGI KACTYPVDVRGEYVTLMYNTEDGSEVSLVKLEPVSEKFKAKKNRGSKNKTTPQEEDPP AQQIESGPAKYLDENATVCDWCAHILNTANPEHKIELTHHLFSILTEKEASSSPMPLG RGSVSPPAQPPREGLSEVQPWAIPKAGKGGTLKSRIAMLHALANIELWAIDLAVDICI RFATFQTNPDSPGGSRELPRAFFHDWLKVANDEAKHFSLLRARIEEMGSYFGALPVHH GLWESATMTAHDLRARISIIALVHEARGLDVNPMTIDKFRRAGDTESVQSLEVIHNDE ITHVTTGHRWLTWICQEEGTDPVHVFRSNVRKYFRGYIKEPFNAEARAQAGLDGRYYQ NLEGIPLA AFLA_039920 MPTVDPQIFRRFKLDSAPKRRAQPQFSPTGRPIKQSRKTHTKSR NGCATCKRNRVKCDEGRPICGRCSKRQESCVYEEKPSPELRDKVGLQEIVLCRSGSDG FCPIECFSVVVQLSFSQRVWPTISRDLSSNGDEGFSIPPRNPDARITIDRGSIE AFLA_039930 MVNVAIAGGTGDVGRTILEVLQESTKHQAFVLSRKSSTGFPNTL VADYNDIDQLASLLEDNKIHTVICAISAEGDSLESAQLNLIKAAARSQTTKRFIANGF AIPYPKEALEVLPQLKVYFDGLEELRKSELEWTVFHIGMFMDYFATPALKSYLKPHIA AFDLENKVAAIPGDGNVPVSLIYSFDMARFVVASLDLEHWEEESRVVGDEITWNEFLV LAEEARGSKFEVHYDDIEKLKRFEITELPAQKALYNRYPKEAFQWVTSIFERFTADGS SHIPKSGSLNERFPEIRTLSVKEMLNTYWKSG AFLA_039940 MSDDTTAACKVCAKESSNDITLKRCAKCKTQWYCSRECQKADWK THKKTCGKNADETFANTTSTGGTRPRNLEVFIEKPFHQLHSKKWLHDRPEKDVYKLLI DTYRMKMEDQYTIEGEVDEDSIYSGRPDSRDGFCRFLRLVEKKRGLLPPWWSPEKAKA CMAYGLNKDNWSSLDCCAEKGDFVEHYGDPTFPMQMRMFGEHIYGRGPGGQPGLQMMQ MMMQAEKGEIQTGLLNMRR AFLA_039950 MRLLHTEESHTGNFEIIEFTDDRIPPYAILSHTWEGEEVTFQDM HADQLHTRQKKGYSKIQRCCHLAKTEGFEYVWIDSCCIDKTSSAELSEAINSMYRWYQ DAEVCYAYLADVPSKEFKESRWFTRGWTLQELIAPRKITFLDENWKGLGNKADLQQAI CECTRIPIGVLSGDEDIESFSIAQRMSWAAERVTTRVEDRAYSLLGIFGVNIPLIYGE RETAFIRLQEEIMRISDDHSLFAWTSADNRGGLLATSPAAFIGSHNIVRFNPFDPFNA PFAGTSTGINLTVRFMGIGPRGLGLAILHCKEEGEGERLIALYVRDSEFLTMERFERV YSEGFNQLDLRKYRPSQYPMRQIIIRAGRLARHRKSKGCGKCDGITPEIYSDAKLMTL MEFENPSALLQAAERGLEDIVWLLLTRSDVEGDCRGPGGLTPLMHASRNGHETIAKML VARRDVIADSTDDEGRTPLWWAAEAGHEAIVKMLVEKGITIEGRDRDGWTPLTIASKN GHEGTVGLLLDKGVAIEEQDLRGGTLLSAAVWRGYETIVKVLLDKGASIEMQDGEGRT PLILAAWTGYENIARVLLEKGAVVEKQDQAGRTPLFLAIWAGYENIVRMLLEKGAVVE ARDQSGKTPLLGAADRKHEAVGRVLLENGADIEARDAHSQTALLLAAWHGSDTFAKML LENGANIEARDKQDETALFLAVRKGHIAIVKLLLQHGAEANIRNSSGRTPIAIAKLEG QKAIVELLRERLSSHAYRNSLSMAVGRFLAPKPPNRGL AFLA_039960 MVTPESRSDDHPLPDARPHQRFPLKPDQSTKVDVGETSALSFLH FLRKTVKAYVGSVPFTDSERHHIVVDMDHCSSISVNVDSVEREKLHTSGILDLFTEHE VETLIAARTPISQDTSSLFVGREDAAAMDVALAIGAQVEGSPEDTHFANSYFHRARQV AFADMLMVQNVETVRLFLLMAFYMLGACHRNAASMFLGVAARAAIILKLHSSEAYSSA LSKEDSERRRRTWHSMRNLDTLSSFVLSRPRSLPTVPNWSNVIESKTESAFHAIGDGC ILLDNIVDTLSKGKLLDIITAEDLLMQLRKWSGSLPTTLRQFYHPFHSSQTLEPRDRQ RLVGSIHVSCLYHFAVILVTRPYLIAYLTSRLRGKAPDHLISDPDEASDVTLKNNKVS KLAQVCVSSSLYMVDMCRRAKSAGLVFRNFCLLKAWIFGAGLILGFSMFAGEPRRDVE RLFDSALLLLDDIGHTSPQAQLYNQILTSFLEAVNKYRNRVAGEVYRTVQDYMDQILT IDAVMPGNSAAPPSGRQDVYPGWDDSWLAGAMQDVEASAIALDPISFGTRGAQMFREP CNWGDMDTMQLEGDLIIDVEPFDQLFYTVE AFLA_039970 MVKKGYLSPLKRCPTARLAIPEARLGLLVCSPLLISLRLKLICH PLYDPEAWAEVSAAVNARREIVGVVVYGNADSPISNATIPMLQHLAGASATAKVMSKN SNTQVYGYANVDTYQFATPFQGTFDYTTEAVSHTRNLGFLKPLIGGPYFDLKTIWEEH TQHEFETRSVPHTMATMMQEPYVNHIPTLTGGIGRAHFSTFYQNHFIFNNPADAELEL ISRTLGIDRVVDEFIYKFTHDTQIDWL AFLA_039980 MDTEPQYRYPGIKGITLQEQTRDSSSWWAFCGIGYVPDGPGYAP AISSAMFRK AFLA_039990 MIGVSINYRLHCWGYMWSKEMKEEGIGNLGFRDQRLALHWIQEN IDAFGGDPSQVTIWGESAGGNSVGTQLIAYGGRDDGLFRAAISESGSPSTYIPYQTPE KWQPYYDAVVDAANCSSASDTLQCLRSIPTEILVSIFNNSTIIPAHTLSGVEGPQFVQ VIDGDFIQESATLQLEQGKFVKVPYLIGANTDEGTSFAIRGIDCDEQFREVVSNWGLD NATVDILAALYPDIPQIGIPAIMPGRPPAGYGKQYKRVAAFQGDVNVHAPRRLAAQAW ARHEVPVYSYLFNVVNNLNGPYAGADHGAELPYVFRIPQSLGRGDHQLMKAQSQLAIL MSRSWVNFVATWDPNPSRX AFLA_040000 MPGQRWLNRILRGLAFSYVVMLQGGDCRAYNTGNKNIGHQSFEP TARVLNGTYYGVHNDHYGQDLFLGIPYAQQPVGDLRLRTPQSLNESWTTPRNATEYSP ACLGYGQTSGASEACLTLNVVRPSGASPGDNLPVAGT AFLA_040010 MPYYPNLKYINSMKAQLRPSTGLYDQKESLWLQHRSQWPSDEDV PGFRNTTAHFMAKCAAISNQLFTCFAVALGFPSDYFCVANDVTKPDCLTQLRLIHYPP SEDAAGTWRAGSHTDIGCLTLLFQRDGEDGLEICPGRESHTSFAVGDVFTPLPAKTGH IVVNIGDMLMAWSDDRLKSTFHRVRAKDEGRSPSRYSIAYFNQGRRDFLLQGPQKKYP PKTVGEWFKESVERNFANKQTVTKARPCSRVQRGHPTRSSTTRTSLSERTTDKKVLSL LRSVVNIHDFEHAASQVLAPRSFAYVCILPYIMEC AFLA_040020 MRTMPLVLGLYTLQLLDKNSLSFAAIMGIRRDTNLSGSQYDWLG SIVYFGYLFGEIPAAFLMQRVPLAKYLGIMSMLWGTVVALHAVCHNFGGLAAVRFLLG SIEVCTTPAIIYVTSSWYTRSEQVTRVALWYSTSGWAQVFGGFFSWAINQASQFKWQG LFIFYGALTFTTGVILFFFLAASPIDASWLSDEERIIALERVRDNKTGVEMWNFNWSQ LKEALCDPRLYIVFLLMVATGLPNGGLTAFGRLSAGPSIISGFGFDTNTTTLLSMVPG ACAAIGTMVTLVVIKYTNRTVGGIFTILLGCIGIIMMLAIPESYYTARYGGYILTMQY PNSILVVLAFITSGVGGSTKKVAFGASFQLGYAVGNICGPQTFQEHEAPHYYVRLQFM HGLWMIILTWVQTAKFTMLAFLIFTAILLASVGVLHWHWNRQLDNQDALDFQSWYPPF LYDYFHIYFILTLRKMVSFTNMV AFLA_040030 MSFMGIFNFHKHEIEKNIEWNPTGFQFIEAWSFPNNGCNKQGSS QPPATPCLNSRMEGLDY AFLA_040040 MHFHRLLVLAAGLLTTATSAPTELFKRDAAGVVDAVADIADKMT TLNTTVTGYQGGVLGTGTALKIEFQSIQLSHALKDAISTTEDSQNFTGDESNKVAAAF IDLQPKISSTLNNIVSKKPQFDTGLLGIGSVSFLVKWNLQQEKDLSADLGQAVVAKLA EPYASVAPLLNDQIAAAFEKALAAYN AFLA_040050 MKFIYAVLLAAVTATASALPERLEARICGSPMCSNYVALGEADC PLDCMWGDCTKYQCINEGYDVSV AFLA_040060 MGCCCSRSKSSSSPSPTSPPKEMEDVRPTLQSLIAESDALLEEN RWVEARGKLDQAVQLSEQQQGPDHEDTLETKAILAYNLRKHGEYQEAEQIDREVHATR LRVSGPDHTETAKALNNIALDLKGLARFDEAFHLEEQALDIFMKVEGEDSRATQTSMN NLANSYHQQGRFGDAARLHEKTLELRVKTLGRDHFETIMAMDLLGVDCRELGQVEKAA RYQEEALELATNSLGEASETTLRCSINLASTYQAFGTADGQQKALTLLERALDLSRRN LGEESPETVGTMNNLAVAYVKADRLDDAYPLLKAAYDINRKTLGPDHPKTRASEGNYN YVMEKLGLTQANIFGA AFLA_040070 MENTKYLVVAITMTGSHSFYAFISMPIRTLSESCTVLSSTESQR KISLLALASSSRSPSKARSCITGTSDYPVWMEVISTKPFKESLACVVTPVKKFAQRNM KAKLPPNISTWDERVSSRMQWIPVWNDYKLSQLSPDGFTLKKRTKPGQAWINIPGGTR SSGLAYLGGATQGGLAIGLRDFWKRYPSGLDIADAGANKGQITLWLYSPEAAPLDLRP FHDGLGQDTYEKQTDALEITYEDYEPGFNTPYGIARTSEIFLHAFDATPESDNLALLG KYINEPPVLVPEPEYIKDTKAAGSYWALPDTSNEKSSTIENHLDFLAKFYQGQIEDRR WYGFLDYGDIMHTYDEDRHTWRYDVGGYAWDNSELSPDLFFWQYFLRTGRADVYRFAE ALTRHTGEVDVYHIGDWKGLGTRHGVQHFADSAKQVRIAQPLYRKYFYYLSGGDERVG ELLEEAIDADKTYGILDPQRKVRTDGWTPEPGKPVAFSLGTDWAGLAAGWLIEWERRG PRWQEARSKLTGTAKGISSLKNGFVTGSGLYAISNGTLLPPPTDPNNEGIVSISHLNA VFGMPEVVSELLEYWGDDAPDGLESAWLDYCYYYGATSAEQKARYGKSFSGISLIQGH SRLTAYYAKHSNNVTVAERAWKEFYNNTDGFTADEPWVSERVNGSAVLIPVDEATWIS TNAVAQYGLAAIQDLALAGDALTQSPYGA AFLA_040080 MSYATEHGEYRLGADVGGTFTDICAFTPDGQIARAKVPTTVEDQ SIGIKNGIQKVRQQLKDRYSWDGKFQFIHHGTTTATNAVLEGKGARTGLIVTAGHKDI LAVRRSQIPGGLGAWLHYTPPEPIVPLERVLQCQERMSVNGESVIAVNKDALRAELKA WGKDRPEAVAVSLLNSHCNNEHELLVADIVREELGSDIPIICSGDVLREVGEYERTVT TCTNALVKPVVQSYLGNLRDLLAEDGNTIRILKSDGGLTSLDLAGELPVNILMSGPAG GVQGVADVVTRNTPYKNLITFDMGGTSTDCALIYQGKPRLRRETVVGDLTVRSPAVDI RTVGAGGGSIAKYMGITETMRVGPESAGASPGPACYRKRGVEATVTDANLVLGYLPEK LLGGEFTLDVEAAVAAVDTIASQMKLTVTQTAEDIINLVNETMYGALRLVSVEQGYDP KDFALVAFGGAGPLHANAVGKLLGAWPVIVPPSPGTLCALGDATTRLSHSQSSSYIHL LSMTLPSEVKARFDELETACRATMESSNGGHPMELNISYHVDLRYKGQALNLTVDLHS EDLSLDHEPWKALLQAKFDQLHEQQFKYCLPNFELELMRLEVVAVDASPSIELPRLND VTSNKPPAEAMVTKKDIVIEGKTLEATLWDREKISYQGVRVQGPCIITEMDSNTLILP GCYGEIDAIGNILIRPDGDQQREQPKGQTAEEAEETVRSTPLIPTLVASALASIRSEM DTLMLRCSMSPAIREQQDEFNVITTADGKMLVGQFGSFITQFLKAWRGPIHEGDVFIT NDTYMIEGAVTHLNDVIVLLPIFFDGSLIGWASQFGHLTDVGGMVPGSMSINATSIFD DGVQIPLIKLYSKGVMNTDLVELLCRNSRQPDWYRSDLMAIIAACRTASSRVCELATR FGSQIYLAACSELLLRNRTGMAKIIETDFDDKPCTFTDFVDDDGHGVGPWALTCTMKK IEGNRLLFDWSGTSPQSEHSINFYLSETMFKMFIGYYMIAAAAPGTVINDGFHDLIDI YIPEGSVLKPVRPAAISCRTHLLGRTMDVMQALIGKKNKLYAAAAGFSDSPHFFYSGY KPDGEWYQLYQIGFGGVPARNAGDGLDCHCLFPAIKSIPTESIELNYPLRIEANESVP DSGGPGYYRGGNAQRTLYRFLCRGEFSLHDDRWFTKPWGIRGGKPGSRSRKILYRFSK SRENPPVEILPSKCDHIRVDPDDLLEWVTWGGGGLGDPLTRPAEKVALEIRRKLVTID GARNNYGVVVDPDDLSVCEEETVALRKSMKDARDTQGQVPEYDRGGPMEELRDSCLRE TGLPAPSAQWELDPYGPHVRLPYVRDWFTRMKEVKGWELN AFLA_040090 MAEPSNPREPARFKFLEVFIPLPKEYHTFYDKLEQMKDRLTAHK SQLDQTTPLNPGYYDLYFKVIEDENDETLELIRKLEARKKKQNIEDVRVDWDGITPAQ EKPEDGRKYVRYQNLWYNEGFLTCYNAVMLMVNGLLEDAVRMQEAEVRRRLQNPNPYC PPQEPEWNFFIKEESDDGHT AFLA_040100 MRLLLPFIVLPLATLAVPPPHDVPAVNSVAALRLAELNKPKGDF IRSCKDVKLDGKKDDMHELIATCAAGDGTEITSKLDLSYCFSSLSAGGNNVNPKKADD ATCSKCKLLGPTLLECICQRKPGNGRTIFLLDQELWNDHGYLRCEKGKGQRI AFLA_040110 MQLTLLTTLLVATSALAAPQIDNLVSQAEGIAQTAVNGGQSIAS DIASAATSIASAAETGVPGAASSITSAAGAAASSAESWGSSVASDAQSRASSIASEAS SKLSDSLTTLTGTNGQPTSTGLVSTTSASGTETTTATSTSTTGTSSSSSSSSSAGSAS STSSDGAGAMPTPFSFGAGVAGVAGVLGVMAAL AFLA_040120 MPLSPEQIQLIKATVPVLQQHGTTITTVFYNNMLTAHPELNAVF NNANKVNGHQPRALAGALFAYASHIDDLGALGPAVELICNKHASLYIQPEQYQIVGKF LLEAMGEVLGDALTPEILDAWATAYWQLADLMIGREAELYKQADGWTDFRHFRVAKKV PESSEITSFYLEPVDGKPLPKFRPGQYISVQVFVDSLKFPQCRQYSLSDAPRSDYYRI SVKREAGLNTAEPNAPAHPGYVSNILHANIKEGDVVKVSHPFGDFYLSDAENPNPIVL IAAGVGLTPLTSILKTLTSNPPDAPQRKIHYIHGARSAATRAFKKDVDSLAEKYPNLH ATFFETHPAAEEKQGEDYDHQGRVDLSKLDKSKDLFLDDPKTEYYVCGPDRFMTSTRT ALAAEGVSPDRIKLELFGTGGVPA AFLA_040130 MESLLRLAFHRFHYWEIWPYAVIFGRTEFNCNKDSAEVMTCSSV TRYEAAQQYKSQLYQVKRWVRVYQFGSFRRELVGRKNRAVLHHQVNK AFLA_040140 MKFMNHGARADRAEHSGSTPVYSSTQKQLPMLHLKDTARNNVIA VIGEFVGTFLFLFFSFAGTQVSNTPKPVDGAPPNTANLLYSALSFGFSLMVNVWAFYR VTGGLFNPAVTLALCLVGGLSPIRGVLVFAAQIVAGIASAGVVSALFPGDLNVGTRLG GGASISQGLFIEMFLTAQLVFVIIMLAVVKHKSTFLAPVGIGLVFFVTEMIGDYYTGG SLNPARSLGPDVINRSFPGYHWIYWVGPLLGSLLACGFFGLLKMMEYTTANPGQDYNE WEAKNGPGSYDVSGNRPSVQLSDTSTLNRAHSPTNGHGVQPQHVNGAEQV AFLA_040150 MAKMESQAAVQAHAGADEAGLADPGDIQLLGRFVQFSCNLRAKM GYKQELRRQYSTVQIFAVAFSIMGLVPSIASTLAFSLPAGPAGMVWGWLTASIFIFTV GLAMADMASAMPTAGGLYWWTHYFAGEKYKKVLSFLVGYSNTMGLIGGMCSVDYTLSL MLLACVSITRDGNWSASNGTIYGVYVGLIIIHALCGIYTGKIMPKIQTFCIFINVAII VATVIALPVGKVTRGEKLNSGSFVYGHVDNLTSWPTGWAFVLSFLAPIWSIGFFDSCV HMSEEALHAAKAVPLGIIWSAGCATVLGFFVLSIIAACMNPDVSATMNSVYGQPMAQV YFDALGKKGALGFMGVLIVIQFLIGLSLIVAASRQVWAFSRDGALPFSGYFRHVSKRV RYQPVRAIIGLVVVCIIFGLLCLINSVAANALFSLFVASNYVAWGTPILCRLIWGKTR FRPGEFYTGILSRPLATIAVVWLVFGLILSMFPSTGPNPSAQDMNYTIVINGFVWIAA MTYYVLFARRWYTGPKMTIDAPPSATDSASGDEGRVEQKAE AFLA_040160 MLRDILQGLQDTLKASTMTYGIINIVLLLSLVFILVVQNGNTST TRKLKQLQRLGLSTSNMTDQYDSKYNEPEGTTSKGPVRIKAICIHPIKSCGRIEVNRA LLTKTGFKYDRCFAFATELGKNNPAMESKWRFISQRTKPTMSQIKTELWLPHKESNQR DPLVQAGGCVVVSFPDLDGPGWFNYLEKFFHIGNPVIRPEVRFIVPLQPTPAMINEYK IQFKTFGIHGRDAKGLDMGTIPSVAEALPKLKKYLRIANDQNLTLLRCTPDTLVRTDE NLAPLEHIGTPSVHGYTDQQPININSLSSVHAVSTLLPKENQPLNAFRFRANLWITGA PAFDEESWKRYRILPKGPDAGPRADVAPTVSVVCRTSRCTMPNVDPETGKPSTDNPPP EKKRGKPQPSATLVKFRRVEDGNKSALGYIGMHCVPEDRALRMAEEQEKGLFVAVGDE IEVLERGEHLYGSTGNDY AFLA_040170 MPTQVANYESNDAFEVPDRTLNDDDLSEPEAVAKAQDQELNKPQ TMIADGKKEMARVKIERPLMSQPAQETLINTLGNAPKMNVLGLPG AFLA_040180 MIEDPSAPIRPPSENKPSEDGGFTVHCKDIKWLPLAPKVFIKII KTVPETGEYSIMVRAEKGGVLPRHRHLDSAEIYVMKGSGAHPQTGSFAEGDYVSESKG ATHDPLVFENDTELLMVSRGPSMFLDDDGSDLYMMDVAMLERMTAGAN AFLA_040190 MGIFDYDFLYSQLFIRPAYPTTSFTNQTIIITGSNVGLGLEAAR HFTRLGAAKVILAVRNRSAGEEARQSIERSTGTTGICEVWDLDLASHESVLAFAKKVA ELPRLDVFIANASIATGTFQLAEGHERTITVNVINTILLELLVLPTLRKSARLHPGTK PRLTTVVSEVHAWAKFAERSAENVFKALDDKEQANMPERYELSKLLQVLLLREMVAQG AGDSVIINMVNPGFCHSRLGREMGLAFALLQMVLARSTEVGSRTLVAGAASGEESHGA YMTNGKVDNGALSTFVRSEEGKKTQMKLWAELVEILEAIEPGCTRVV AFLA_040200 MATYIVTGSARGLGLAMVKELASREPTDVSLVIAATRKSSTALD EIVARDSGRVVFIPLDVSNEASISSCVEKTGSVVGQKGVDVLINCAGVHSWLEGKTAN MSDLDYQLSVNVVGTHNVTRAFLPLLKIGKSKKVANISTVYASMAQAEMSSFANCPAY KISKAALNALTVQYAMSYKDEGFIFLAVSPGWLKTDMGGDDAHLTAEEGAQAVLNVVD KAESDSNGCFKNIYAPGWDMYDGKNIPW AFLA_040210 MDLAMQLVEGRQRAFIANHFWSSIPGLWDKGQRISEYKILTGGL SDPGWSSSTPTVISLEDFKKHSEAHVDLIKQLTVARNTVEAPVEGASDCNPLTPATVL VGQQTDFGFDAYAELTFVDQAAFQAFGAKLYAPDAAAQIAADEEKWLDKSKLAMAMLG DVIETTR AFLA_040220 MSRGEHLDFHESIASGESARELLDIDIPQTPPAEILYMLLPVMG DGLHHIRWPDHISNKAFERMMSSLLDGDCRGQLFYQYSICVYVKAMFHLYQLARRSTN PSVKKQLQKSKRTYEAAALQALKHINLMSTPSLSLIQALLSASMLATKLICCSPGRLF ELS AFLA_040230 MDRFMNVLCKPGAKPEIQGVAPTQHLAGKETLDHPNAKGYIPKS KPKMLDRWLDFVVRVSGSEPVFFLILAGLLTWALLGIKYGSTDSWQVLISDIQAIVSY IFDSFLVRQQLNAYEEEMIVAAELESRILSHKRMLANLHQTLEAQDQEKTTQLVNLVK KHQNALEFGTELPTETRFGRTITWVSHVIGHLGTITLFWAGVFTWIVLGHRSHYSDKW QLYMNSASSALMVFIFAFLANIRERHAAYTRSCLDAIFQVDASLEAKLRHLTDDTLPN DIVIIPAPRVSKIQRAIFYYADFVGTLVGIAILVAVIIIWIAIGPLLHFDSNWWLLIG TYAGLIGMNDGFVLRNMQARLRCYVDAEFARITAQDATLFATAGIPAPSDEVVRGASL TRRVSETMGRVYAHEITVVLGFLTIMGLIAGASAMRWTMTGQLLCNVPPSLIESFFMI VLVTGHNSADDRIRVDLRNTYARRLQLLGFVHAVQSVW AFLA_040240 MTVGIFPAAGGLGTSIINHLVKRIPADQLILIARKPDSLAHLSR LGATVRRADYEDPSSLERVFDGVDVLMLISYASFEIQYRVEVHRNAIDCARRSGVKHI FYSSLAFAGDLTDSSVAHVMGAHLRTEQYLADLQAQSHITYTAIREGLYSESFPIYTA WFDLAHPVDEITIPHAGSPPGVTWAKRDELGEATANLIASYVQDPRSFPYVNRRLLLS GPREYSLQETVEILGRAVGRTVRIREISPDEYAALSTHGTKHTYHGINLAREWATAWD AIRRGETAVVTPLLREILGREPEDYETTIRGLAREVRTQEAS AFLA_040250 MLIINLPRCINSGENQSRWSPATEKFSIRTRSVSGVWRGGIEGS ESTCWYLRAWLP AFLA_040260 MAYTRTDPSFTLSDDERMYMSHHSPMHRPYDTFAAPKGPDPLSA NWNYDSAIDLFSLNTMMPENFALDVPNEPMGVDPKDFPADFFAPPPDISGFTISNHSG EDAGSITSDLESDDQSWSPTYAAPAEMLPAPGRQSTRRKTTPAVKRETTWSSSPELAP QEYPAHTSPQTTPTSPPVNRKMTRTTSVDSNASTGQTTTATTTSGRNAAKRAAHNIIE KRYRTNMNAKFVALEKAMCGGVQKSSKSGSASLKKSEILTNAIAYMQELQEENKALQK ELALFKQNMVPSGMWRHTKGAETFRA AFLA_040270 MSKTTSSSTKSNPTTTTTATTAATGTTSTTKSTSTTYQTPWNHT ELDNISRYRESPSWMEPYYATERMKDREGHRRRIGDIIKGVEGILGLEPRRP AFLA_040280 MSHLTYYNYPGVGERNRQNFKYSQAVRISDRIECSGQGGWDPET GEFHKEINAQIDQAFANVDLALKTAGGKGWAQVYRVNSYHVPINNEALEAMVRNFRKW MPDHEPLWTCVGVTRLGEDDMRVEIEVVAHDPK AFLA_040290 MAHAHLHGSANDIRWAPKPLLKEHQRPAFLKSCLLDLRSWFYDL PTELRIDRANDIPQAYTLHMVYHTARILLAKPFIMRENPPSEPKNETTDLAHSICRES ARSICLVAQKYRHTFGGYHLSPITATHCTLSAALVLLDETENLNAPSHKNKLALCLTV LDELSKSWYPAGHIGHNLRKLCQSVISDDTFSIEKGLYPFETNPSPPLDLGVELPNVI DEIESNPSVNPGNALANQLELSVPMESLPVDYGFFDILNQINWERMW AFLA_040300 MQVDSAVNTLLEHVKNVDGVERRLVKWLHDQQVGEGQSTRACSQ WRVPCQYSVAEDGRRPASKTYVLLLRQRIESLERLLERHGIDARESNVPEQLPLDKKS GMNGSIRHDDASSDMDSLAENFKGRLALDESLNFDQDGEMRYFGPTSGRLQFQGSSSN RVSIDGSAFSVSPPDQVSDSYGYIDPIDPITAGMGVLKDVQDHLIDLYFRWEQPWYAV VDEELFRESMNNGGRYWTPLLHNCILALGSRYSDRVDVRSDPDDPNTAGKSFLEEAKT QLHREMERPSLTTIQALGLIGMVYIAMGADAAGWLHHGMANRLSLDMGLNLDPAGFEE TNAMTPREIQLRRQIYWTLYCHDKLSSSYTGRICSMLDSQGAVKVPDDDPVPNIEMSA AQKAFRPLQRAMISVCRIQERITLSL AFLA_040310 MASTEETRAIPLTSYETALCVVPPSHLTGDIDRLRALYDKAHGR WPPHANLIYPFVAPEALTQASKLLQFVLSNRREVGPIRLRLDKSDFFAHKRSNTVYLT DSGSDGLKALAQLQHDITDAFGGQSRSSGQLHLTVGQSEADDPAERQFLLEKVGLIPA VEWEVEELVILIRDRSQGLDTASSPMVVWGAVSLSGTPSPNPKALEYLSPSSLPARSE TTFQFSPSQDDSEEGKWNAIPKSPAPTGGKLVDSPVTVASYNVLVESLHPPPTERYPL LLQNLLSDAASADILILQEVADDFLSFLLRDKKIRLRYPFATHGPPDQAEIGPLNSLR NIVVLSRWQFRWEWLPFDKRHKGAVVLQLEHLGTFHDSKFLPLVVTGVHLSCGLIDSS IMAKRSQLQTVLKYLSGIYPNNHWVVAGDFNITTSSYTIDTALKRKSISAQGASVLAS LDGMLTDAGLLDCYYASRAASSGLGNPQGRLDLGASYEGEEGATYDPTENEHAARIAG QSFHSRPQRYDRILVCGVEFEVLSYNLFGIPSGDESQLASDHWGVRATVKLNGPRSGG LESEKAPITVEKAPLNLGGIDGLKECLKGYLAFPSNEEITQRQEAFELIKELVNRRDA NLPAGTRLDLLFEVVAVGSYGFGVWNSSSDMDILVIGQVSPRTFFALMIAKLRRATDS DVVLLRKVKAASGIMLELEVRGVRVDLQYCAATRVVESWPQALELPADHSTFDLPMQS LLKLNSLRDMHYLQRTIPDLASFRLAYRFIKIWAQRRGIYSSKLGYLGGIHITLLLAR ICTLSFRQAGTISAADIITTFFKHYAQFNWEKQVVYDPSFYKSPPRYFRPQREPLVIL SQHQPKVNVARAASIPSTRTLVQEFQRADKLLSQQDVTWEQLAGSIENSTGADEFLKS YRSYAKVNVQYWGGAATKGRMLVGWLEWRCVSLLVDIHRKFPDIHARIWPARFTDMEE VGETTKEYQGCYLIGLTRESTPGATPLSDADRQSAQISLLAVLNSFAEQIREDENYFD SSSSWVDVSLVQPSALSGLRVDISNWGNGAYDEASFDDDEDEIELEDEEDEELVQARL RTMPIRGTKAVAMPEGAKLRSARPPPPPPPENPLSKTPPIVLKLRSTPPSNFYQPPNL LPPINPSTTPTGPYFFYGTLTDPRMVAEILNLDHEPKFRPAIIQGYECKMWGQYPALV DGSDTIVEGAVYHVQTAEDGMKLAAYETNNYRVESCIIKHMDGKEPAEEVGHTFKFVG NLRDLHEGRFELRAWLKLMGREDAVEKLDDRVSFV AFLA_040320 MSSQSLSQYRRAGAVYNRGVPSCFSGRHVRSWEIRHTEEAWLRT VLYSLASPRLQVRQVHTFAVHQSYRQARHKKYVTLKLLRADCYGGPHDIFEREILSKI SDMSRKSTHDGARHILPLLGDFTHTGPNGDHVCLVFDVLGHHLDFQCAKYEDGRLPVR AVKLIARQLLLGLDFLHRECGVIHTDLKPTNILLELENPDRVISLITTPLISEMEAPR IRIIDFGVAFWRDNHLSEQIQSSALRAPEVTIGAPWDTGVDIWSLGCLIMELVQGIVP FSGEASERGTWTAEDDRLARTIEILGPFPLELLRKGSRTPDLFDEKGKYSST AFLA_040330 MQFTSFKALAIFAASFFAFSAAAHPRCETGAVWADPHDCHSFFE CAAGGIPVRKTCGPGTAYNSRFGICDYEEKVRSCHGHGPVGHDESSEGHGHQWKDHGN GQSEGHGQEGKKDSKGQSSEGHGQGTQEHGSGEQEHSEGSH AFLA_040340 MPMLMGGYRTHGMTQYSTETHGQVECIGGRPRRRSWHDVSMNGR NTNQAMVAGMLHPLPPEGPAYA AFLA_040350 MNERLDAIERHLQALDPKLWGATDTPASSGQVKDLERRVEELTA RLETVTAQTGRPSGDMLYPMDHTRVGVSEYGRVGRVEAGRAAVTRRKGGGPTRIAFKQ RFDRVPHVQITPERFGGPGTGKFENFWLYLYNDGHPCADQEGFNVGNYISVGQTVVFR WLAVEIV AFLA_040360 MTPFQNQPVPVQQQGQNHVNGQPNMAAQFPHHGNRPMTQVDMTA LQVLNTFYATRAQQLVPDTMALQQAGMAAPPRQGVNSANVSGAQQAPGTMAQPPTGMA APHGKSVNFINGIVQQQVPSTMVPLQAGIAGPYGPPVYPINAVPQQEVHGTMVPLQTG IAPQYQHGTHLPPSQGLQFSPQQHARMVQIKEERMKEAQLLEQNVMAKVNQKVAEVNQ KNEMLHQMIEEVCQENKQLRQGMQSFKRRTERRMCNRIKGVEKRAVERITHDVQQKIN LWLQSSEAVRSPGLQAEIKELTSALSKAERRIQDLEGWAVLGRRFLYEVFFSEPQGYV EEES AFLA_040370 MRLTISAAVLPSLLLLPCFLGDALAHPGPDPKAAWVRQGRGRKS SPRNLQVHSSMLSTCVESNATVIKAPKHNVWEGLTDEETASVVKWLFQQPTLNLTVTE GAGEWDNTIALVELMRPNKTDVLSYLDHQGPAPSRYAHVVLDNRATTDPHYADLLVGP LPITNQSTPSWTPLEYPYTRKTHGRVRNLDADYSTIYSEWLYKVSASIADITLDLFNG TALGLDNDTLDIWGIDPLWQDDGRIIRWDTFWNMPTDEFDNGSILPLGLFFKSDVTGR DPSQWKLEGWLYNDIFYETTEAFRHAFFSTGFVKLKPNTEGPWAQTDQRGPILPQDKQ QSPLMVAPSGARYSVDLDRKYVTWMDFSFYISFSRDTGVSVFDIRYKGQRVLYELGLQ EALAHYAGNDPIQSSVAYLDSYYGFGPYAFELVKGYDCPVYATYLNSSFYVSETTHTH IDSLCVFEYDADYPIQRHSTSDYVSSTKNVYLTLRSVSTIGNYDYMTSYTFHMDGTIG VEVRASGYIQAAYYAHNEDFGYRIHDALSGSMHDHVLNFKADFDILGVNNSIELTTVA PVTRTFTWSGGRSRNTMTLERSILSSEDEGRFNWGPNGATMMHVINQDARNPYGEYRG YRVLPAAGTAHLTVQDSSNVAHAAHWAEYDIQVTRQHDHEPRAAHAYNSQDIHNPPVN FAEFFDGEPLNQTDLVVWLNLGMHHVPHTGDLPNTVFTTAHSGVQFTPLNYLAGDPSR QTVNMVRVNYANGSATEVKTFGQAEEVCTVPITGIGEELWRYQGDVVVRKFPYNPNDP YYEMEGDA AFLA_040380 MAPLKLNNKNLSQIAAAGETQVKVPTYKRGGDVKEGIVHVGVGG FHRAHLAVYVDQLMQKHGVTDYAICGVGLQPFDAAMRDALGSQDHLYTVIERSAKGSF AHVVGSINSYLFAPDNREAVIAKMAHPDTHIVSLTITESGYYYNENTHELQSEHPDIQ FDLQPANEKSPRTTFGFLYAALARRYQQGLKPFTVMSCDNMQKNGSITRHMLESFARL RNPEIAKWIAEQGAFPNAMVDRITPQTSATDKTALADNFAIEDSWPVVTEPFMQWVIE DQFSDGRPPFEKVGAQVVKNVHDVEEFEKHKLRLLNGSHSAIGYPGQLAGFKYVHEVM ENPLFSKFVWQMMQDEVKPLLPEIPGVNIDEYCKTLIERFSNPTIMDQLPRICLNASG KIPQFIMPSIAEAIWVTGPFRRLCFVAAAWFHYINGVDDSGKKFEVDDPMREELQAKA RAGGTSPAELLSIKSLFGDDLRGDKRFLQEITKAMEDIARDGILKTLPKYID AFLA_040390 MLAFAATSQASWEAIGASMFAGLENGGPVALIYGLILVILGSLG MALSLAELASITPVAGAQYHWTYDLAPFAPRFLSFMQGEIDIFAWWANTATSPFLIGT QIKALAVQNNPSYNPKPWHTTLIIWAVLLIPLAVNIYGRRLLSAVEVIGGTIHIVFFP AVLITLIVLGSRNSSEFVWTYFENSASGWHNDGIIWSVGLLTAVYTFSGFDGVVHMAE EVKDARRTIPRSMVYSVLINGTVALGFTIGLLYTMGSLDDALNTPTGYPLLEIFYAAT KSNAAASGMLMMFILPGFVALLNGLASVTRLTWAFARDEGLPFSSYFVHISSWHKIPL RALFLVSTIIVLLAVINIGSTTAFNALLSLATLGQYISYLIPIIFLLIKRIRAPQEVR WGSFRLGKWGIPLNIFVIMYGIYIVIFLPFPPNYPVTATNMNYAAPVFLAVVFFAVID WFVRGHKCWHGPRIKVTAD AFLA_040400 MPISIALRPPLEEKSVDNNGPLVSIFTFIPFSVTLIVVIIKTWS MVYLKRVVLSVDIPIWTGTIVALVQSLLIQFAVDHGMGRHLEDLLSTTFHTYNKFDSK LALRLLADGQYWQSLATCSNAKRHVGNISLVTALESACGSTNNNALECPNAVASSPED HLNIRVSDFVSLLSTQAACKQLNYN AFLA_040410 MAPWGLTTFALITATISVLIKPTGHPTFRENVKRNVLLFSPSQS ALLVAVMLLDLVSWRLLNLVEIHAGLAISCHYYFTSDSSGLERKLWWRLTRRAFLIGG TVPLYVTLLKSENSPWMKILAMPLVADSILIELLSLFWDTSEADLGFNRDWPHRTLVV KTANPPTRKHENKGDADQNTKTVEDKDTPSSNVTADGLCDRVYGLWSPTAEVDDKKDL QSLPPNDSNLERIFSPTHRAPLGKCGQGHWRCLTYLAMYIAMRVIRWPLMFGDLALIT WLLHGGLQPLTLTVADMLLNIRLLKDLLTISYMACIVFLGNILIFVAVRLLFRQLCQY ISFLRRVTQWFQDLPSVAPITYKAIHLFQWIIAIATVSHLSVILVIAVIPQLSRNILS AFMELIVIPMFYITMYMLVCGREKPEKAPANPEPRPRADPAPEAGPCPNITSQDHTND ADNDASKSLQSANGDRFNILRLGLLISTTAIWFLFC AFLA_040420 MAHQLSSVAWSANRLDIFGIGTDNACWHMWWNGNHSVECSAVPL KLSAGARTGLTFMALALTTPATTNTGMGPAGAAGTNTEGLPVVLSVHWGRNRLDLFAL GTDNAVWHKWWNGSSWGGWESLGGVATSPVSAVSWASNRIDLSVKGTDNALWQRWWDG TNRGAWESLGGVVTSDVKVASWGKDHLDVFVRGSKNDAWHISWHNGKWGQWGRLGDKT LFSVILAVCWGVGRLDLFAVATDKNALLHQAWDGKAWRGWESCGGILLSQLRNV AFLA_040430 MFFSRGALSLAVLSLLSSSAAGEAFEKLSAVPKGWHYSSTPKGN TEVCLKIALAQKDAAGFEKTVLEMSDPDHPSYGQHFTTHDEMKRMLLPRDDTVDAVRQ WLENGGVTDFTQDADWINFCTTVDTANKLLNAQFKWYVSDVKHIRRLRTLQYDVPESV TPHINTIQPTTRFGKISPKKAVTHSKPSQLDVTALAAAVVAKNISHCDSIITPTCLKE LYNIGDYQADANSGSKIAFASYLEEYARYADLENFENYLAPWAKGQNFSVTTFNGGLN DQNSSSDSGEANLDLQYILGRGTYFSSGGFSDYWPRPEWQDEAVSSYLETIGDTFKGL YNSSGRAFPDVAAQGMNFAVYDKGTLGEFDGTSASAPAFSAVIALLNDARLRAGKPTL GFLNPWLYKTGRQGLQDITLGASIGCTGRARFGGAPDGGPVVPYASWNATQGWDPVTG LGTPDFAELKKLALGN AFLA_040440 MYFTNTLSIFLASVTFYHQCAAAQVEQEQAQALRAPILASREFT PIEEAGLEKRATCSDGGQCLFGQCCGTGCSPNCCAHDDGGIGCNLAERCQFQGNVFVG CCNGFIGRCTGEATRITVHSPADSTMFNTGAPATSDATTTITSTTTSSSTRTMTSTES TATATSDEYSSSSSSADSSSRSRTTSAEETRSTSSSTSRSSSRSSSASANDSVGTTAS STSFAQGGETGSANAAPVITGYVGLEMGAVAVGALLVL AFLA_040450 MDLESNSQPLKPHLVDQDVQDAHDLAAMGHDQALTRKFDLWSML ALAFCVLGTYSTFAQDLSSGLTNGGAVAILWGLVLVTACNLCVALSLGELASSMPTAL GQAYWVFRLWDTPLGRFASYMCAWINTFGWWTLTASQIAFMTEFLLGMKVMFEPTWEG AGTGWLEFLVYIGCVLLLTVINVVGCRRDKILPWINNFVGVWFTALFVILSLVLLISV GVKKDLSFQPASFVFGKWINQTGWSDGVVWFTGLVQAAYGLTAFDAVIHMVEEIPAPR KNAPRVIWLAVLLGAVTGFIFMVVCLFCIQDVDKVVDSPSGLPFIELMLETIGLKGGA TLIALFIFNGLGQGIGILTTASRLTWGFARDGGLPWSGYLSHVDPVWRVPARSLWFQG VLIALVGILYLFANTVLEAILSVSTIALTISYGMPIAALLVVGRDKLPPGQFNLGRWG KPLNWISIIYCAITTVFFFFPGSPNPPAGDMNYAIAVFGVMLVVSVGFWFLQGSRTYL KTEDAIAQMIVAQRLENEGTVEPDSKKK AFLA_040460 MTDTADIKNQLPYEVEKLSDESLAPDDAVLQAQGHRPELARSFS WVGAIGLSFSIANSWLGYGATFGTPLAYGGGPTVLFGVMIAAVAQWIVLLGLAELCSA LPSSGGQYHFTYILAPPKYKKFAAYTVGITNVIAWWVSAASGIIYTGISAFGIAVFWY PDFQHERWQIYLCYVLVVTLTCKAPRRYDYLTKTTFTLSITGLIIVLIAVLASGRGRY HPEILTTFQGTSGWDTAPAWLLSITMGQYCYAAIGAVTHIAEEMPQPGRRIPLVMYDR PQINVDILLTVGSNLGVLVGVMTAVPWVTVMLCGIHDIDAVHKAFIPSMEVYYQATGS KVGATALQAFMTFLYWSKSVSFACGPSQWITSSRIAWAFSRDVCFQAQPRIKNGLPFS HYWNFIDPKFNIPVRTTFLSVSFCLLYGLVYIASSTAFNCIVNMSILFLNISFTVPQA ILATVGRDKLPVRAFNLGRWGYAVNIFSTVWLTFSGILFCFPTKLPATAGSMNYGSAV LVGVYILIMLLWLERKNKFTGPKINWDALNMSNKLA AFLA_040470 MLNCSFCPFLEERRALKMYSYLVVPRNKCTNYDISRTSFGVYRG RVSSLTWAIHVEKTNTSSASRRTVVGMWTDLVLGQCGNGSMNHILHGWTDNTWQRSNC RANLGCIPLLFTWFSAVLGPVDIKTQILISESQKLPKSMATNNPDLDSALAQQSPTPE KSAQQAKPVFKEAERWNHPRSNILKTLATFWSFLVMGANDAAYGLENYYNLSYTIVSL VFLSPLGGYTLAALLNNKIHTTLGRRGVAWISPGCHLLAYIVNCVHPPYPVLVVSFIF AGFGNGLADSAWNAWIGNMANANELLGLLHGLYGVGAVLSPLIATSLITEAKVPWYYF YYIMVCRYLRCVEKVSDIIALQIGCAAMELAFCLTVFWDSTAAALQEATPQGDDPAGG LRQALFTKRSARVTWICAFFLLGYVGIEVALGGWIVTFMMRVRHGEDFASGMVATGFW LGIACGRVVLGFITPRIGEKMAIIVYSLFAIACGLILWLVPNFYASAVAVSFQGFFLG PFFPAAVIVATKLLPRALHVSAIGFAAAFGGGGAAVLPFVVGAIAQARGVQVLQPFII GLSGGILLLWLGLPRMPKKGDKKGEGTSHV AFLA_040480 MSVIAFPIEAFRPWKPSQPKPIPRRRPPRAHHHKAPVPGRSSPA LPNALNTLAPLHSLHSQQNNHQHPLPARPPAEVCVHGNLRSDICQSACSASGEEPVST SGGSNELSVTELDESPVVTAMHAATSPQAQTRCGSPASDLNRLSDSVTTDISIESECS IQGVAGCRSLSENPASSTPQPPGLHEQALVPIDPVILSDEFRLTNENGPATHRHCGAH SRQALPDHSAESNAPSRDTRQQHCNSDINRAELHKRPSAGSDERKGRRNKRQRLEETT PSPAGSSCASLRFHFLSAPTTARLEFLSWLFEGALPRCTFEPEISSNIAPAKSTDGTR VRKQVRCATPQDADSLDNSNTREKSRKGMPWLPEEEDFLIDLRNTRGLPWSDVMKLFS DQYPGRSQGSVQVHWSTKLKKRCP AFLA_040490 MNPFVRLGRFLRRLPSPPRTPSASNFPVLDPATKVEEERMPAYD RGLFFPVKLGDIFQARYQVISKLGFGANSTVWFCRDLRDHRYIALKIFIGSPRENQEV RVLDHLSNIRSNHPGSSLVRKMIDKFELTGPRGIHQCIVYEPLLTSLLHFQATLDPKS LPEDLLKGALQQLLLALDYLHSEAHVIHTDIQAKNIIISAKDDSIFREWDASEAADPS PRKVKDDYTIYLSRPFRRKKGWSGFGMPLLSDFGEARIGEVHNGLVQPDIYRAPEVIL GMSWTAKVDIWNVGVLIWDLFEDHHLFDGRGPDGRHSDAQLLAEMMAMLGPPSAEFLR KSSLSQNYWDISGQWKPSEVIPRISLEDSEEYLEGSNKQMFMQFVRKMLRWDPDERQS ARELLTDPWLNNQQ AFLA_040500 MGVNDLMVTFRRNRGYHCRPWSEYKSIGPEATAAVVDAGWSCSE LDRIAGYLRGDIFDKRYLDQLRQQARNNRRRISYGKSADYPSVFK AFLA_040510 MSNVERQFATLLTIVQNYQIKVVCPKCLRGFSRARTLSDHFQKE EDWVHRGLDQRKTDFKRFLTCYHLAIGAPIPAQELPRGSKCFDWRYVLEHYRAESQQP DELQPLPDEDNELETEEFHPPSEHADSWGPVSGHPPIIAPVHSDKEPRGLQCTGHEVQ IKSCSVLELDDQPCHLQVLKNEQVEARIRALIPQMESWLIGTLPIRDAFDNPQEDQGV VFPTTEIDVPLVAVRNLLYEGSLDVALNLSLDVVLNLSLDVVLILSLDVAFGQLRQKI TIYAQEGLSIAIHKLHKTPALEKYHPEVSCRQALQRRLGGSAKCRVGKRNLEQKLLVA AFLA_040520 MDTLEFASVCRPGVPLDGIDICGYSSITTEIVRTQPEEGFASNW RYGGKQATATKHRGYDLKWILGDWGDDPPSTVLKFEALSSCPPMDDIAWRLYAIEYPG TRVLGCSEFVGSMGHRVTPSEHGGFYVGG AFLA_040530 MSSPTLTSDQLPVEALLESFIPGYKFFTHLCAYYFRIDISSYLL VFAAGVALWTYSVKTFWAWSQRFLRERAALREIKSQSNLYNYAIRWIAHNDWFTGARK AVAETKMNFPWDDESDDDDIYEADRTSFDIDPSTPWPKIRFHQMRPIRNTPVPSCFHF FIYKGCWMFLYRQPTGSSDSFAAASMETLKLYAAPWNNPVLDTLLMDMQQAALDQDAC RVNIRRGLKLGYGFGWAHIASKKSRALSTVILSREKKKSIVEDIHAFLHPVTRRYYEE RGIPYRRGYLLHGLPGTGKSTLCFVLAGLLGLDIYMVSLCAKDLDDDSLTLLFQDLPK RCIVVFEDVDQAGLPKRKIGNSMRKTGEDAEHSRQDSAIEANNDKRPSNGITLSGFLN NIDGLTANDGRILIMTTNAIEDLDDALLRPGRIDLKIEFGYADSLALEQWFLLIFMKP AEGPVMGNRDSCGRIRPCSLPAHPDWTSDYIAHLSTLFAENLPPGRFTAAEVQDFLLK YRSRPVEAVNNAPQWADKPQAPFDVDFATPLSTFRIADRPDLYAIQGRVCSIRVSAVL LSCPRTEDGRTGETIEPRVLLLQRPSNGSKEGYYDPGPGGCVKIGDATLQEALKREVM ESTGLHFSKIAHSLSVKQWSQSTHSEQREWIEFQYIVNVPESRDDIFPADRGRASGRT SLTECVAKEREDIEWATEAEIRADKYKLHGDHKNILLEAFEKERRATK AFLA_040540 MTAVEFTSTKCGRTRTNLRRPRARHAGERGPKEGSGDVSGQREG YSARSCSPFSVLIENALLEALLDQREAHPGIFLPSHDLPIDFPTDDSSLRGCSKVAAL PASLQDCGRR AFLA_040550 MQGPDIYAIVVGSILAGLLLIRILSTITSWTNLITVLVSRHLTL PLVVNRHRYWGPWTRATALVHLSFIAVNVFPVFFRVKSLSSAGHRAAELALINLVFPL CANHLSHLADLLGITLRTCRKIHRTCGWMGFALLAFHVVAAVQEPGFGFPLNESKNLW TTIAGISLGLLAILSIQWLRRFSYEIFLRTHQALAGLFVYGTWRHLPAGSRRSRPYLL VALGAFGLSFLLQLVIFLYRNGLCAGRGSPRALVTFTSRKAENGDRVATATHIRVLLP RPIKVEAGQYINLWVPSVSLWSWAQTHPFTVTSWSKGGQETMELLVQPRRGFSADLLR YANGAMDGSVSFLALFTGPHGTTIDASHYESVLVVAGGFGIAAAISHLKRLIYGYNTY TSLVRRVHLVWQVESIDEIAAARHLLNNLLDDDILDAGYVCLPTISKKSPSILHISMF VRSGLEQKKVPFGEHERACLYQGVPDYQHIIALEASGEEIERLSNIQHNIQDDQGRLL VMGKAFLSSLFIS AFLA_040560 MQILLYINYEKLRKRSDTDVLNRILDAYVDDPNAARTEQSRKNR FHSFHIRRGKWWWRLAASLGFGILLIAAEDLMNIMNIDTFTNDQMDAFIAFILHCYPG TVELFRSLEPVAISILNGEVPPSFSELIFSDDSGLLSQKALWIAHNNDQQALAAQWTS TPWVVEKSKLLANEKLAQFLAFLDGGA AFLA_040570 MLVITLGLSLTNRLGRRLRRLGLLGVEYIIDLLRLAQRGGFIRW HMVNVDQEVLSSNFRCQNTYYVAFGFETLGSNILDKESSREFLRDLGEDLDELRKLER NQVDYVLDGIYQVGMVDSVTPSQVPVDHASLPSVIDSASLPDAMSHSDAISAMDGLMP SGPLNPGMNADNEFDCILNELCDFYDSQPCVSSIVATNTAGEWPAQMAVSSDPVFMED QADTTVLPFLSLGSENSPANQQLASLDPGNTPHLTSSSMDYASTPESAATLESESGTS PYYMTPPSSVDSPFAVQPINPRRPSSGNSTHAHAVHVWREHYISRQLQCCQFQDKKRK KASPSDDNWVTLSSKKISHIIRAWDYILPHGSLVCISELPEKNASMSIRQILGLPEVW EGIEGAVNYFRVLQSDKDGIAKLGSLARRFAQIFLYLNFEMLSMDGHGTVVNRVLDAC HDEPNITQPRESCRDKFSTIHVRRGKWWWRFAACLGFGILLVADDQLIRRLSSDSFKN GHIDAFITCAFRTRPGTVRLFESLGPVAMSLLCGNVPVNIRQELEDKATGLLRQDTIN AALEADQRHLALQETWNTWRATETDVLANESKATFLDTLRSSG AFLA_040580 MKPNSDKRSTRIELDGIFVSNPPINESLPPVSSPPRGDEKGKEA PQSTGRLTKRGASPSSPEPGRKILRGPPRALTLSKIDEAGEAAGSDPVVRNEAPWKTF KKSYDCDLAGKVTVCTRQSGRRGPWTIRQYPGEDAERMLSLLRSIRHLRVASVLECFR TPDSLYTISRFYPLTLDHIVACKAFPNDQQLAAIMSQFVDGLSYLVSNNLHNPSLDSS DILMDLEGNIQIARLDSFSKRPPGRIQEKDLFPVARVLMQLMQKYAKDDGAIGLDKID RWPADSAALEFLSATTSAGSFEGLKKVWAPPSILENH AFLA_040590 MQRSLFTEEEVRLATERRLKYLGTAKVPINHIQFDPPLPRDLDP KNLNRLREIFRKNRCRRLDIDNHVPAIVSHHDLSLALQRANVPQGSLLTNDPRHFPLL GFIPGHLRALHGRHRVQAGAEMLPPADRWWTVDLYSDDLGEGLKTSLVEEYANQKKPT DGEIYRKIRQYELEDNETFRQRWFVRLSPSNQDRLDQLDNRRNRRLRRAFDRLLAIPG LWLHGMRISMLHRLIATGCVEEILTYLDHIGDFWSSLVASGPSALKKIDADTVDALQL LAPGKCRSDAQKARGLVLGGQVFAGFDDEERRLIWNHMQEFDGLIPSLYTFFEDFKYL ESCSRCVKRLIGPSTNSVWKSMSSIFIPSEGGEGVIQTSESTFRSERASDAERLDKGY LQVWLYAMRHYPLMPPDPKNDDDLLAKPERGKADDRVIYDMAELARRLGFDSPEIRAL TGGSPDHQIARAALLQARKPGRFRYDPQQFDALVQRVVECFAAAVPEEAGQVCELLAD SSVSARARCGMPRMRTHKQDSPLLFFDRLHADVEVADTITTFFVRRCVYFAFFGKPAR LGSVDDHTGHSPADDPPGSPLFVGEMRPSNNHEPGAPLVSRPHGRDELLDSSLRIGAD GEREAQQRRMGSIQARRLQPQHASVDQEPMELDRIGTEDPDESMSDPEPLTWERPGSP AVAPQVEPVPPSSTLVPLESLEHGTAVSVSEDGEHTPPAPGQPESNMPHQPVLDDIDT SSQHTRISLEAIPHTRDSTQSTPAADAGQGSSVMTQPTLEAYLDQLQRAQEEQEELEK RLERERLEEELHLSREEAPVSEPVPRQGQTPIMGTGIESTDAAQGQAPASSPGQGDSN IRSHSPPANIIMQVGETPPYVGITFWSYERDDWRLSDNLQVDPSDPSPVERVARKYTW KDYSLYDKNMQSLSPAQCYRAATIDGNNAIFIISKHEEEKLAAEGRFVNDKKILSLVS RVLEPEPASPVKRLRFRSVSPEEL AFLA_040600 MATNIVVYILGFIALTNALHTSQLATRVSHEVASATKYDFIIVG GGVSGLTVADRLTEHPAVKVLVIEAGPLDDGREGVTIPGAYDPAAYIWQPLTSQPQTA LNNRTFLATCARVVGGGSVVNAMVYLRGAKPEYAAWEELGAKGWAWDDLLPYFKKSES FTLPSEQFAAEANISWVESAHGTEGPVQASYPNYYFPGSVKNNAMPNTDMLDTNATYD AEQRDLYNTAREGAYTIVRGLGTMLANPPLRNTTSNWKDIVSSARVAHVSTSLPSNLH PTVRAGYIAQREHILSQLEGPDVPVGLIHWTTGRVATITLLKPLSRGSVNIKSTDPRA PPLVDFGTATDPLDLDLFLATFGKNREIMSTPYMQSLGPHEAAPFGDNITSIEQLKVI FAATMGVTGGHECCTAAMMPRNMGGVVDPRMKVYGVEGLRVVDTSFWPMLLTAPPLAT TYAVGEKVGIRYW AFLA_040610 MNRKRRAHLKSREGCEQCKERHVKCGFSTPGVTTATLSQDTLDD LQLLDYWYRRPIPANASGPAKQSDHDIVRLGFSHHYLLNSILALAALQLFDEDPSQTR WYTRAVAHRQAAITRARPHVQQLDESHCRALLSFCFYTSMYALAEPLRRPALSSRIQP NFDPVKELVQAIRLGRSSTSLVQQHLASVTISDPFLVGKFYPCKLEPIQGLESAFPQL VSLRAFIQRQCMDQARVVCLHAAESLFVSIAHFVCDPGNPAQIRAIWGWASHVDSAFL DMCSAHHKAAIVIFAHFAVLMHLGGDNWYLQSWPAVLLRQIRGLLKDDMEYTIRWPED VVFGKTLVLSPGVQGSIATLGCHKTQHLV AFLA_040620 MAEAQESRTTIPRASTEDPVHDTITESGDRTRITASSDVYPTGI QLALLLLAVFVTMFLVALDRLVISTAIPQITDEFGSVTDIGWYGSAYLLTNCAFQLLF GKIYTFFSVKYTFLSAILLFEIGSAICGAAPSSVAFILGRAIAGLGSAGIMSGTLVII VYAIPLRKRPMYQGIFGAVFGLSSIIGPLLGGVFTTEVTWRWCFYINLPFGAFAVVFI FFLLHVPDRASTKLPLKTKMSRLDLLGTGALIPGVVCLLLALQWGGSTYAWSTWRIII LLIIAGFLLVAFIVVQILKPETATVPSRIFAQRSIYAGLYSTFCIGAQMMIFIYFLPI WFQAIHGLSAMDSGIRLLPLVLGMVVSSVVAGVLTSRIGYYTPFLIIGVSLMAVGAGL ISTLRVETPGSRWIGYQVLFGVGLGQSFQAPNLAAQTVLPAEDVPIGTSLMLFSQLLG GTVFISVGQNVLGNQLLMRLQGVPGFDATFLQSEGATTITQLPGNIRPVVISAYNEAL RQVFLVGLILACLTILGALAMEWRSVKRTTPESENNVTGGQNDKGTKEEA AFLA_040630 MSANLKTDLPWESSILGILYKQLFVHPKPLPTKPSLRGRTAVIT GSNGGIGFEAARQLLQLGLSRLIMGVRSQASGDAAAEKLRAEFHGADIQVWVLDMAEY GDIDAFARRCRDLDRIDYVILNAAMQSSTFKRHHTTGHELVFQVDYISTVLLCMLLAS VLKDQSRAGAVTKPPVLTVVGSDTMYLSKFQAAGPVFPRMDDPAGYERMRQYMDSKLL LMVFVRQLARQVDPDDVVINVCNPGLVAGTGLGRNGRPNPSFVEKHVVPVFVKALGRK VQSGASVYVHALLAEGRRSHGSFISDWTIKPYAGLLYTKEGQDLSEHLWNETMEELGF ASKGIRQLFS AFLA_040640 MRAWKQLSTNLPLEKGMKLVEDEPYPLSPNPTQVLVRVKSIAVN PADPTFAELGWLVRSLVRLHPIPGMDFSGEVVATGSNVTSVNPGDRVFGRVDTQKGQA GCMAEYTRAEIEGCMPIPPGIDWDHAAGAGTAAITAYESLVLNSNSGDLVFINGGAGG VGTFAIQFAKAHGCRVIVSCSTAKIGICKELGADEVIDYQKEDLVSVLKEKGKVIDLV VDYAYREEMNLYKASDDFLADGATFVMVPGGLSSAILRVVSKNSLCPHMLGGGKAKFK AYFAKSNRTAFQQISEWMAAGKVRTVIDSVFEFDDMPRAIERIKSGRTCGKIIVHV AFLA_040650 MHAVLEPICCPPWVHGLCGRLLGGALSESGCLKRRSNREAWTQA LSARVRSVRLKFPDSNDTLIASYLGLTAIVEILLQTEDIDVDSKDSNGRTPLSWAAEN GYEMIVKLLLDTGRVDVESKDSEYGRTPLSWAAENGHERVVKWLLDTGRMDVESKDSN GRTPLSWAAENGHEGVVKLLHRANLGNRDCVSEGPVSASPV AFLA_040660 MHHADTRTFLRYYLSRRINKNIPAIIRGLNPEDDIMRAACRMSR SIDPNRPQELTTAQSSSVNQDPEIADLIRRRDELSRQMGRPLSNHHGTEQYALYKKLN QEIAGARQRARSALLAQIQAKYDREQPMLEIRRQLSGFNLAEEEKPLKCSGAVPLPQK RLIESLLTLPRPTLEEEVARRTEAIDAVAAYALFEEGDTCRLPRDKRSATEPSATGQD DDVKGEMQLTRPSSPTNDRLLSAIQSVMKNKPSEKGIERPLFCFICLGQQDLDIGKRT YKFSSHGDVTKHIKRKHLRHISTQSKIRCNVCDEIFTCKMHLQRHAFDMHSTVT AFLA_040670 MYIFLDWVLNLRRGKDGRRLPGTKCKSSLDTFWKVFRLVYERET SNKITKQMNRQMRRVIRRLAKKHKLSSKGRDKPPMDVEDLTKVVETTVSTTKKKFGHG RHRIELALFLQLAGLTTNRPQAILNLRYRHIQVSLLRDPQGGPHRIIIEFTFEFTKEW LGAKDA AFLA_040680 MLPRSYTHSTTLVTGLILILGSYTYHVYYQNSVLSKIQNAFYNK VSNSNHDSDTPRNTEKFYIQRPEQPLIDEIVRGNEAGHYWLIFGEKGTGKTSMLVHAM RDIHGQGVVLLDAHDDIDVFRLRLGRALDFAYSEDYLGGWLSNREPRDGTALLDIERA MNSLEKVAIRHRETHGRPLVLVINDIHHIQDDTENGRRLLTLLQQRAESWAAGELLTV VFTNDEYRTSDLLRLHAARMNVLNVRDITVDLAVQSLKEYWLHAFWEEVPVETLERIY SMVGGRLSFVDEIAKSRDILKTCESICERERRWFLKKCWILGKNMHEGAKEQQEYCLP GIPLHKAQEMMTRADLPEKLNQMNIISIDDNDIGTASSVPMQSAFRAVCSEDGIKKKL KATTDRLNEIESLERTTEITMKDLVNDGQYEISKERGIRGEKNIRISYRKPLSYSSWS W AFLA_040690 MEGTFGQFISSPLFTFSVSPNKKDFIVHSGPLANLSPALHTLMN GELIEAKVRRVEWPDADEDTFIRLTEYAYLRDYTPPLCSYRPSNIVYNAIEKVEDSWD SLGSQRNDKSNGLHGIPNGQNGSYPHYQDASLEGPSQPGDKQDGGQGDGQSLVEQPPD DDEPLEQLPDTNPGQADDYSIPADDHLPQSDDYQGGNQDGNDGVSDGVNNGGYQGRCM VGDQQQGGGQNAGPPAKEPALGGHELPYREKGIWSRHLRGKFSTVNFSLAAHEMASKK TFAPRGNTQLNEDFTPVFLGHARLYVLADKYGIESLTQLVLEKLKQTLNDFKLSAANV TDIIELVRFTYAHTPRLATGRNELRTLVMMFIISSIGQIGETESFQELLGDGGDFVVD FWQIVWA AFLA_040700 MQHKFGKLSMLSLSVSLMATWEALCSTMVSGLVSGGPPALIYGF IISFIGSLATAASLAELASMFPTAGGQYHFISKMAPLRIVKGLSWVVGWITTFGWIAV AASAPFLSGTMIQGLLVLNYDSYAFQRWHGTLIYWAILVISAIVNIQGSRVLPVVENL SLGFHVVAFIIVFVVICVVSPTKHVPEFVFVDTINNSGWGNNGIAWCVGMLSSCYVLV GYDAAIHLCEEMKDPRTDIPLAMVGAVLINGTMGFGFLVAILFCMGDLKSALDTTTGY PIIEIFYNITGNSRSATALCSTIVIMAGLASIPLLTSASRMVWVLARDKALPATTWLS KMDERRQIPTIAVAVTSFLLGLLGLINIGSTSAFNAIVSLAVFGLEISYLIPLCFLLY QRTVFPETITPGPWSMGRYGIGVNVLSICFLVFSCIFLLFPPYQPLTAENMNYACLVF GSVCIFSGVYWLFKGRSVYEGPILPDFENL AFLA_040710 MFQILSSFNKSDQSQEKGPEARALPASWYHSSPLYELERRAIFS KRWLLVTHRSRLTKPGDFIRYEEAGFPIFLCLDRQGNLRGFHNVCRHRAFPVVISDSG SANILACKYHGWSYGLNGKLAKAPRFDDVSGFDKEDNGLFSIHVHVDQRGLVWVNLDA KETPTTPWNEDFLGADTQGRLQDFNMTEYKFDHAWDMVGNYNWKTLVDNYNECYHCGV AHPGIAAISDLTTYDVQTHGGQIQHYVKDKPGYDSDIKVAPTFFFPNASVTMT AFLA_040720 MRVVPTSATTTSMQYEVFRHRDASDKAFKELDDFFKQVENEDKN LCNGAQKNLNAGVYVNGELQPFNEKGVLYFQKLVKQSLVSHRAEEEAKGEEICPSMRK AIKSTSLDDEIGFCARLEGCGKAELAW AFLA_040730 MAIQYSRELASCVDPTSPETSMNTNEEQIRNRHDITPQSCEFDG MINDGCSSASCLLPDGFLNGLVTEDIRNGGEDIMDSLLENSILQSEFLCPLFKPIDDK AIVLSTHYFSHVCTINSCFDSHLNPFRSVIANLMNSSQLIFHLVMMTAATHLGHQQNE MLSVARQHRHDAISYLIENRNVTDKGRFEAMLGSILLGITSAWRDSSALGITHIHTAR ALFQESIARPEASNDPQSTSFLVGIMAYWEAMVAIVTTQSPRSLEYLIPFCKQENHDT LVYPNPWTGASTTIFIYAAEVSTLCRQNRLTKHLSNSLASTEVCENIFHEQLTKAGEL EAKVLQYSPPVSGCIKDPDDRFTSVSHLQCLAQIYRFSVLVQLYLTFPDLLQKSNTTM STLDTDLSNETSQRSPNEIIVGLAVNILNLISSVPESSGIKVLLTVPLIIAGSALQKV ENHNQDIGNLHLTNPFSIEKAILSVHSSDFMILHWRSLVRQKLKVLHEFVRLDPVPRA LQILEAVWLRADLCVSNRTNTSTQVFIHWLDVMNEERLESIFG AFLA_040740 MAPPSNEGFLWTPHGSTSGLETDAVQESSPVIHDSYDVVVIGAG FTGLIAARELSQRHDLKVLLLEARDRIGGRTWTARALGEELEMGGTWVHWAQPHLYSE LRRYGLHINLKTSAGTAAPTKQMFKQGKATPCEISIEETGDILERIAQSFFTIDGSSS RELMPYPHDPFKRPALWMKYDHLSVQDRLDNLRGFSSWEKDLFESNTSTFGSAPGKDI AFTEALRWYALGGHNMKGVFELAGVYKIGNGGMTSFARAVLGDYTGHMLLGATVKEVA QTKLGARITTRLGQEINAKYVVSTIPLNCLADVKFYPPISSSRQSAMTKGHINKGAKI HFKLKAAEPGWFATANSSDSAYVFAFSDHNGTRESEPSGTWCIGFGYNGRLDDKNNHR HIIDRFRKDIYPTGDVEAYVTHDWVNDPYAKGAWACWGPGCATAYLQELQKPHGRVIF ASADWADGWRGFVDGAIEQGHQASQCVVASLKSETETLRSRL AFLA_040750 MKTSTLTLLAFATSGLAFPDPGGHEFRAPGPFDSRSPCPGLNAL ANHGYLPRDGKNLDYEMINKAAQAAYNFESGFYIDAVNMVFEFNISTTNRPNETFHLR DLARHDTIEADGSLTRNDIYFGDDLHFDATVWDPVAKDLGLDHYRDVDRFVTVDTAAK ATQNRYGLAMSANPQFNASELWKQFQYGTTALYLLTLWDNDHNAVPKPWVKALMGEDR IPYKEGYTRGNVTKTGQHIQAMNKAVRDAVGLKS AFLA_040760 MVLALRKLGFNPYHGSECFKNPPRDFNLWIEAMECNFFNTNQNP RYGREEFDRLMGSYDACLDVPACLFWKDLLEAYPDAKVILTTRDADSWLKSANATVFK FMQTPFFRFWHYMDSVVLGPLFRKSEMVWKIFCNNNYDDAVLKQAYLDHNERIRRAIP EDRLLEFETGKDSWDKICQFLDLPVPDEPWPKAYPTEAFQAHMDREMDNGIRTILQFA VVSLAVGIGAFFAAKRYSLSSF AFLA_040770 MASSLSRGRRRSRKTSQEERICSVCSQSFKKAEHLARHFRSHTK ERPFMCQVCGKLYARQDTLLRHARSQHLGTQPHQHPVHGNSAPTSPPISDPVSHLATL ERPATDQVCASIDSIIPAPEQVLHELQFSSLDHDSNTATTPSLVHYPQHVDVRAPTTR NILENDNNSVPAFLATEMGGSRSWPHHMERPVGGGFPFVTPLGKL AFLA_040780 MWRGFEARESAREMGRQTETETETQSEAPETFHCSPCDTTYQVE VRTCGDEGVAVVVTKWLDLGAGLDPHDDRWRRHILTERHEMKEILRFAGGTLKAEQQS AGGEGVYEEHGPLV AFLA_040790 MEQHQNPKDSMKSTWRRWDRSQWTLSHKLLEQANVYHVDLDREV PVHSKEEKLPYVSDLSLHRWIIFHASIPLILHQLYVYLTGHNFGPIIAFAFYYYTNRI FTSRELRKLREMGHTYGFLDGDKHERDGVPDVGVSKVLISVMLASLVRPMMMVYLTYT ANKAPASLSWRWLPLEVSLYGIVLDFWFYWYHRIMHDVNGLWKFHRTHHLTKHPNPLL TIYADSEQEFFDIAGIPLITYLTMKFMGFPMGFYEWHICQLYVLFAELAGHSGLRLHA SPPNPLTWLMRIFDAELVIEDHDLHHRKGWRKSHNYGKQTRVWDRVFGTCCDRIEGVD TNVDYNNTVQMSIF AFLA_040800 MAVVRFPALGFHAFNSILSAYQTPVALITTACSAPAVINRGTST ISSVSQAPTSDTLTSLSPSVEPTCYTSSQWLVISGTTLFWPTSTDYLYGPTDSPGVDC AAQWIQYDGRSGGLESLGPTATSTLTELIPTSTGACTTSSHPESYYDTHTGPVTTLCD GHARALGPRETVTDYYPGTGACSTFYIPTTITTTLYNVPSASPTCQLDREGCKGIWET YKSRSRAYKPSISVSIPGDTNSPLIPGRCPMTTSERPEQCGSCHFLPDTATLFYWPAT TAGSDLCAQNGTTIPATPTGNGPNTAILGDQTLVSPSAYILFTSIYAWGNSRHAGQCG EYHENKMISVNPTTITSRRGHRNARYPIRGTAYPFNFAEFLPQTVGNYTQPLIPWPQY WGGSQCGVYDSACTLIRDDYLPFIDMPSEVTQIDAKWENCDRSWYIPAVTLVPIVDGT VSFPSPTPTGVENEVVHGVEEAVPQGSVGAPTPTPTEGLGW AFLA_040810 MTPTSPIAKLGHSVAGLPSSTSSPLLKLGQSLTGTQSAHILSPG SSENPSAATTGLRTKKGQSESSNAG AFLA_040820 MSGVELLGVLSAVITVLDATTTLYQRARKDIRLSETFEVAARRL PVLLHILQTFKSTLEPLKDSLSSDVCEALEETLNSCEEKARRLSEIFTKVLSGPTDSV SWRQRYSKIVHRLGQRNKVDLLMIAITQDVQLLVNCESIGSVTPSQNTELETILNEMK DHCDTTLLEDGFSLSFHSSGAQNNNVNSGNGQLNTGNGQQINTHARVETQTFNFGKDF RKPLGICLDQAPSMSPGLFVGRDAELRTIRKDLHPSSQGGQRKQQQQQRLAIGGIGGV GKTQIALTYAQTPHASYETVLWFNAATEISLKNSFATAAGLIFGSKVSQSLEGNEAVR RTREWLSDPENSKWLLIFDNYDNPSDFKLDSYYPPAAHGAIIITTRQADQVTGRTALF DIKPFQSVDNSLTILETRSERDDLLSDIFAVQLAERLAGLPLALATAGAYLRKSPFTF QRYLQEYENRWNIDPHRPVKLQEYQERTLYTTWEISYNRLMKDDTEAAAMLRILAYFD NQSLWYELFCAGLKHGCPLYEVISNDVSFNGAMTVLAEYSFLEFHPALKEWSMHKCVH DWVSASLGKAVGPQGYWYAFDCVSEFIEKSDGESLAYPHYSRYVPHAKHLGQSRFLKS DIIQMITPERLGAVRVITSLLQYQAQYESAERLLRSFLQSQAAHDPDNEVNLLIMDDL GYICLQQVKDSEAKELLGRALAGLEKIPGVNDSSLASIRMNLGHVYTNQGDYQAAEQM YLQAFFGKHVAGDKHIPVALDLLTMVESGLHTSRKHGNFEPLSWVLVISDNEKSFVHK GLVKDFLNVSENICGLLKRTGKTDQAERMLKRIILVRENILGPAHFSTLTSVGNLALL YKDRGKLDDAESLYKRVFTAREKLYGATHYSTIRVLQELGHLQSMQNRFRDAEDSFTR ALNELKTTFGQHNERTVRAILDLASLYAQHGKSLAAEQMYTRALTLRETVLGLSDPLT LDIAARLGALYLTMHRLDDAENMLLRALGYPSNLQALSVEAIIGSDAALNLSIVYGLT KRLHDAEGLLLHMSTVIAHTPGPDNHRVLLHVFYNLASVWLVSGQLDKAEKEFIKIRE GNKEVLGSEHPLMAAVSEGLARVIFSECVGRVAAMAECKNSENSGKLLKSRCDVRNLD LVHRRYSDSLLIGGYKLQK AFLA_040830 MKVILSSCALCASLSAPANCQAGLDYCAFNLMGKGNYHNEIYNT LRHADWPIDPTKVNFDYYLYRCHDDGTISKTENCPWGCVDGGDNRDDFCE AFLA_040840 MVMQCPVYPVRQSILAPPPSPARKSSQPGILLDPNDKLEEEQLP GYSPEDFYPVKIGDVFQSRYQVAGKLAFGGHSTVWLCRDLEQHAYVTLKVYERDPSHA RREKDVYKHLRNVESSHTGSMLVRRAMDDFQISSAGYAHSYQCFVHPPLAMSLCELRT RTIDGVLPEDLLKSTLIHLLLALDFLHTEAKIVHTSMIFLIVIVKPRTFLEFRIDPIS DIQEDNILLSVEDESVLVDFEEAERSSPGPRKVVGDRVIYSSRDLGIPKVHGCPILSD FGEARFSSNLGKQWEDVQPFVYRAPEVILRMPWNEKIDIWNIGILAWDLFEKKRLFYV RDSYRNVSDSHHLAGMIAIMGVPPKEMLRNSEYATQFFDSDGNWIGTAEIPSISMEKL EDNLRDTEQSLFLCFMRKMIQWQPEDRASAKELLADPWLKST AFLA_040850 MVTQNTVIEHDPGDVTAFYEMVCFTYSLNPVRGDRIKPRSSAER DGIGVAKCRRDFADAIAYFCARSCDPDNVTAVAVGRKDTKVVIWVASNANVSREVLEF LDNDVLNMVQRLAKMKQGQLPSEENRTVTRLLSNILNFTRKKIFKYYKATITAWKAIG EFSKSEGLDKITTDPSMFYDWFKREFYKSGDVLKECDMPRLAISCFFAHTDGTFGILE HRSSQGNEKGPDYEQLYKLLSKLGKHVRLFERMIHAIEALRRDFCEGFVVEPIAASIP KPNPLPKLHEQSMGKIVARVFQEEDERHQFYHHLNQFFNKEYISQSLQRCKKRRARVH AEILLIDFFDKFDANFLDNDDKYIACSKPACYLCYEYICQHPDKYTLPPTHQKLYYAW SLPIVRVNDRNCIEKFARHKRFLNHVTETLQSDLRKEVQRQLAPRKNHADSTAGASSV FNTGRVRPTSKIYEHHIRALTQLLSEAKISAKFDAGLEDEDGGVVLNC AFLA_040860 MARARHQKSVLQQLIRTIRSQLEEKVENEWILQTHRTESEAEWT LPTDDSTPNHSPQPSSPASTPSYPMTSTELRVPELDTSSDGTPSADRDPDQDEEDVIV FKGRCRF AFLA_040870 MQKGTKSRSQYDTHKPKELRRLYEPLAVLHELTMKGTTKPSIFS TRAQGINIMQRRRNFVDAIAYLGAYTKGCEIAVAVERQPDGLIVRIAGTGDVDGIILP FVNELLRMLLDTLNLGNDEIVGNNKEKILTCLSNFALDFAQEKVLAHYMKLLHHIAPV CLADMTAGLNGMREKKGLLPRIILRVLTGV AFLA_040880 MMHYLDPQSTSFADAIANEPAPHQLGAVKAREAMEILQKHEAAP DILTETFQVPGECGPTKDLARGTGAAIVFPQYTPAPEKQFPFQFEQTYEVLDYLVRHG SERNLIVQSIALAGDSAGGHMAIALMKMALERSLPAEIAHIVLFYPITDTHKKLESYE TFKDGPFLMADTLNWMIDAFIPEEKDRQTALASPLSFLSDDVLSRFPPTTVFLSAVDP LLDDGLAFGRRLQKAGVDAAVIRAEGEMHAFVLLKAIRDSPTARAIMDLTTLRLRTAL ASPP AFLA_040890 MTTTPTMDNVLAKPADLCCLKGAIHSGEPTGAITQIEGIDTYIA RTHPERSNGNVILFFPDAFGLHINSFLMMDAFAECGYLTLGVDYFLGDPVTKYSATPL NDPKFDFEAWKTRHLRASEEVAAKWGNGVKAQYGTTDDVKFACVGYCWEQKTNVLAIS WGARFVCQQLSAEGICKAGAIAHPSFLKESDIFKVKEPILFSVPATDKLFEPTERNST VEILTENGQQFNMQIFAKVGHGFASRARLTDPYERWAKEQSFKGILDWFDFWLAKM AFLA_040900 MPEEIRTVAIVGCGVIGMSWATLCLSRGLKVIVSDPAKGAKEAL ERYLDQARPFLEAQGNFEELATNYEFVSDIVPRLAEADFVQENGPERQDFKRELMKTL DEWARPGVVIASSSSGLPSSAFIQQCEQDQSRILIGHPFNPPHLIPLVEVVPHAGTSE EYISIAMRFYQSLGRRPIRVRHEVPGFVSNRLQAAINNEAYSLISRGVVSAEDLDFAV TQGPGLRWALTGPIATNALGGGGGPDGFVRRIERLGPSIRAWEDDMLKHRFDWSDERL SSLQDSVEEWLGATDWTNLVEDRDSILVQLLAAKSNTTSMRTHSP AFLA_040910 MACNSLNHDPQDSYGPGTVVDTDFLPLPAEYRRLLRIFAARTPG FTKDNTLLDGVVFHGDDLPCIPGPIKSQAVTAVLHAMVGIVGLEILHLRGISTGTVTH VDINHAGLYPATAALVNIDGHTGPSVINLPTVPQWDKDRASNSPLVYRATAIYETADK GVWFQLHGSLDSWKTLALLGIGKDLDAEIRTNDTAYALIQDRLRKYRAREIEQLMVEK GLSGSIVFSPEGWRQTEMGRSLARHPLINYRQQTQCPSLEPPPFLKVDDKRPLAGIKV V AFLA_040920 MGAEVIRVNSSKLKDYTPAQPSSLMAGKITIDLDLEDPADHKRL TRLFEQADVILQGYRLRSLERRGFGLQAALEIANKRGKGIIYVDENCYGPDGYYAERP GWQQVADAAAGSSYVMGQSFGCPPGQGVLPSLPISDMSAGILMALTVMCAIRDRAKFG GSYHGHASLTAYNMATLDPDVRLYQRQVVQMINDKYRFPVWSSDAHVAPLYYSILDAW DKNSDLIQDEKYYVHFSESEFGTDLRVLAPVVKYAKQECSPRWDSPPVSFCHHQFRDF SEI AFLA_040930 MRTMDLIEPGFRPLYEKVCVGNKGENAQSIFFEGMLLEEGFGTS LSCLGERFFMLMLIPHLQVVVSHGMAGPDGATPTTFANLSVCPWPMGNPQAHRKTLLD IMTSFIPIENVQFNKRLTHIEQGPAGVTLTFSDGTTAEAAILAGADGIKSTVRKHVLK DAYPSQVAPVYAGAYCYRAVIPMSEAHEILGDLTDVAKFYFGHKRSAVTYRITGGDSI VRQEFNFLLCVADSENAWNLKDAVTEWVTHEAMMADFEDPAIDDSFRQLLRKAKPVKW GFFHHLHTATYFRDRVVLVGDSAHASLPFQAAGAAQGLEDALVLSNVLAELAKLREGG VSQALAIHAGLTAYDSVRRPRAQKQLEQAAEVGRMIFVQHEEAGADMEKILPRLQQGR FNWLWFHDMNDDVQEVLRRMQKQIRTRSHEAMI AFLA_040940 MSVKDQFNIKGLDSTLGYVCNAFTPAKSDALLVHTLKQLGAIII AKTNLPQSIMWCETDNPLWGLTTHPTNSKLTPWGSSGGEAALLALV AFLA_040950 MSHDAEGIISIVMPPVASNRFIKVFLSVFTHTQIKHDGAILGII AGMPDKARVRPDIHGVKPRYKGLHKGRSRKSTHETCCVDGGDIDFALRLWYFDRWLKL PFVRA AFLA_040960 MSSNSAPNELTQLPLDEDARPRYSDAELRDYFECIKLPQKYLDS IVLKDKTQTGTKEYGLPLLQALTRYHTCHVPFDNLVLHYSPHKTVTLDQAELYTKIVR RRLGGRCMENNTFFGTVLRSLGYEVRNCGGRVARAMSPYPDVRHNQSATYDGWNHMLN LVRLDDEWYVVDVGMGSMGPNLPYPLRDGFETTSIPPRWIRLQLRSIPESYATRSANS TGPPKMWCYDVCYKPGDDGKKTWLPVYCFTETEFLPQDYEVMSWFTSTNPRSFFTRYI TCTKMLMDEDKEMIVGNVTLFKDTVRKSIGANREVIKECKTEDERLQALAEIFNVYLT EEEKRGISEDQMLA AFLA_040970 MMFAMASSFDRDHVPSAKKRFERSNIFYKRAQELCSDLSRRVIS LEMVHYLLLVVIHCQGTQRSVQAWNNHGLVIRSAIALGLHSNSNGQAVDPVNEEYHRR TWAVIYCLDKVLSVAFGRPASIPDELMTGREPAPGLSTTASSGPHGNVDLPGGFLVVS FRLYQVMAKSLINQYGANLEKTNSNQDDIASLKASGELRKMLQDWATSLPSYLSPCEP ESGILSQNTPANRFRVILRLRYINLAILIHKPLLRATIRHLFRVDNAASGSPSYLIQL AMAEAHECVRAAQLTIAIVHCIISADATSKNNLGAWSFTLYYGEPPEASLRWDANRIH PPVFTASLVICGRLLWAQHGETVADEAAVKDSKTLLSKAEAIFQDLDYENSLVLSCLE YIRRLARMCGVKG AFLA_040980 MPEQASKRPPSEHGRASALDPAPPASKRRRIGLACNACRVRKSR CDGHRPSCSSCTSLGLECLYEPSESATNVIVRKGYVSDLEQRVTSLEHKLQRLNDAFR GHLSPCPNNRPCHSTSSTPALVAATCAKETHATGLEEPQDEDASTNGMAMTFVEEQSS AFFGESSNINFTQLLLRGIAAVHQPSPAVASAVDKDYALRESITASVSQGQPYHPVAA PSTHLDSSLLLCRRWKKWTLCLTSTLTQLAPSFHLSMKIP AFLA_040990 MSNERGYLPRWGELPVEQYLIRHWDNAVTEPSDQQRVRLVRQYL DLDEIPQEWFPTQEGDPLPRTPTGEEIDTILRPWRPADLRRRAWHIYTRITDEPIFLR THYDPEDDKRMESWTSASEEFEDQAWWACLNNAELYNFGSDWQRVYEILPEIAGPSAG GLVSLETLSFIRSGFKTWLSEAKQIEPELWRKDPHRFIELKASRLLGAVTTRYMLLAD QEAFETDGRLRLIYLDNKRNIVRETRVDADGQTITDIIMAWFELTDPLELEDGITGDR YRVTGDLGRELYELTDSDFADP AFLA_041000 MNSFNFGSDWRRVYEILPEVAGPVEGNDCQRYASPELVNLAREQ FKSYLTKEKKARPDQWRNRDQFIEVVAADLLRKVAAMYMLIADKEAFDTGLLRLVYLD GKRNVIREMRVETDEQTITDVIMDWYNWNLPDELWEEGTIGDRYRVSGDLGKELYRLT EADLADP AFLA_041010 MEQARQGLPITNRAPDAKPERASGARRENQLALSRSILVVSPQR SFSNTLVQIKTHLNNNTSTFIMSTTTTSVAASATASCNSVTYWQLPVDDAACALPKTG NYSDVMDKCCSPAKVTDFDNGCGLYCLAQGQSVGDLKDCLRKNGAQDGREFYCKGNDT ATATASAPSSTKTGDKTGTATGSGASSTSSDSAAYAIQPAVSKGGLGLLAMVFCSALM GVVA AFLA_041020 MVEKPPMRGKPGSHTAESIEAFALCREAPMVPRSSPLTVIITTS PTPSIPSTDLISSVLQSFHRHCTDLISARVIVVFDTFDRIGLRSRLKKGQATPEVAAN YGIYKENAKELILREYASPDASPNHVTQEWQDQAEFGLNDLSNVVDLSITQTDDKQVT FIEPAARLGFGLAVRSALRVCETPYVWVQQHDWALVADIPLTPLLEVMERSDADPAVP VKYVSFPSVRMLSYATQPCVVEFPALRALTGDLTRDFVPPSHPEVTVPLTPLYFWFDK PHIASTEHYLSRVFPNRLTMRRGEFIEDKVGQQARQQMKEGQWHKWACWLYYPEEGKQ LCLRHLQGRTWNGAEGELLTKYGFSEVDTDST AFLA_041030 MMADEYSDSPQGSTIFELNNGMKIPALGFGAMKKGLVLPEEERD YVRSLIVQAIKAGYRLIDTSKIYATEDLVGEAIKESGVPRSEITVLTKLSSDDHHDVR AAFERSRRLLDTYIDIYIMHWPQGFTKDVSRPLAPEESPTYIEVYKEMEKLVGPDCRG IGVGNLTQRTLDMLLKETEIKPVVNEIEIHPGNPNTKLVPYCLERGIRPVAWGPLAGG PTSHYSDTSSIYESSVLTSLSSRYELSVGTIILSWLVQRGIVVIPHSSSLARLSENRR LARLTDLEIQEINSLHEEIGRTRLIDSVPQVWMEVPGKGKTIMGWTVQEIGWVDDKGE CLV AFLA_041040 MEQEAISQSQPHRPTDTSSSNKETDDRITEVLPQKHDVETGSTA DSAQAGVQRAAILQKTWSKKGMIITFMGLFLYTLATHFGDYSSQVYIPYTTSSFKNHS SMSAARVASNIASITAYPIIAKLGDVFGRGEMFTFSILITTLCYVIYAACTNISQYAI AAVFHSVGSTGFGLTQQVFIADVTNLVNRGLWSTLPDSISTIPTLYLGTTIGQSVLDH STWRWGWGMWAIVLPICGLPLLGSVFFHQHKAMKNGLGKKRLAAQLGLNASQPWWKQA YELLWVQLDLPGALLLLAGLALTLIPISLTGANRSDRWQSATFIAILVVGIVLLVLFA LWDIFVAKKPFIPYRMVRSKTVAAACLLGALDFLHYSMFTVFYSSYLQVVGGYSPGHA TRIDNALRVSFQASGIFAGLFMKYTKRSQIWVLIGAPLCVLGMGILLYLIDMGDGKMG NEASFVTAKALIGIGRGFYQTASQVSAQAVVTKQEISVVTAVFFASMGVGGAIGTSIS GAIWRNNLPTKLRQYLPEELKPQTMAIFQSIVTAKKYAKGTPAREAIDRSYRETQRLL AIGGLCAVSPMLIVMFFLKNVHLDKRDNVVGDAEERLDKVEGEAGKQVS AFLA_041050 MFAYAPNTIQLELKSSDGDAYLIQVAWPLAWDDPKAHGHSGSII YVLDGNALFFTTTEAARRSAMLPTSDNAVVVGIGYPIEDRPYSPRRHYDYTPPCDKYE APCGIDGQQQALPHGGANQFLDFLVSTVRATLLADVFPGLCVNKEILIGHSLGGLCTL HALFQHLTPFDTFIALSPSIWWNKEFILGEAERFLRQHLDVVGSEAYITLPTLIIIYG SLEQDRRCHPSWSEDKCRRVKALSHERKMKDNADTLADCLRQSGRLRKVRVKEYQEED HGSVVGGGISWAVGAVLDDGRFFSDGDDELTHFHSLTEPLQFPHDSLRQG AFLA_041060 MRLTHPIWLIALIGGRQVCLGAPHESGCGLDHLDWLALHNDAHV AIDAQIPDLNGEAVVEDREVDDFWFGKDEEIWNAKDNDQYNSGQVLLQSPLEENVPLD EVASDSISFDCKTKEQVIYNGQSQMVFTEVRNKAPQKVDKLIIETPDLRPWNLSIAKF WGSCPGTKPGATRIRCEYIDVHPGSVRIAQIKVQLGEYEGSAIEFPMKMTSYVNGHLK DDKEVKVHWDKREPLVYKQTDPSKFPQPRTFKVSPLVSPPDEALRLRQQFHWSDLQST GFYLNPNEPLTVFVESSVRDGPKPRLVLGPPALVHPDHGKEHVPAQLVELPPLENGRN KIVHNFGGIIYIRYTHRASDQPPPPVFLRLGDTAEPFPLFREGSTTDAQWKSMLDVTK VPFAEHDGKRVIITGLAKHAKKYADNGQRQQELLDTYAHIIAIQDRFSALKYNARDPR DRPSLLRPMVVESVNSGVATATNYRAAIPNRLSDQIYWVPRLRNSWMVFHELGHQRQI TRTWSWRAMTEVTVNIYSLANLREYKPPGHRNVAEWDNAKQYLAKPSKEKDFDSAGFY LSLVMFEQLRVVFGDGFYHELHRDARHTLVVDKDADKKHHFMTKAAQLTRQDLTEYFT KWGLKPENRTINEMKKQPKPKRDYTKTPVYGGH AFLA_041070 MSETIHLTEYLFRRLREVGLQAVHGVPGDYNLLMMDYIVPAGLE WVGNCNELNAGYAADGYARVKGIGALVTTFGVGELSAINAIAGSYAEMAPVIHIVGTP KRAMQTRGAKLHHSVCSGKPSDFTMFAEMYSKITAAQENLWDASTAPAQIDRLIRECI IQSRPVYLQVPADMVTEPVPAAALSRPLDLTPPSNDPETEQEICNIIVERISNAKQPF ILIDAGTSRYGLVSEADKLVRITGFPTATTPFGKGIPDETLPNFHGIYASVGEGTYLP YVESSDLIINIGPVHSNVNTSCFTTIPNSRVSIVFDQTSITIDGEVYNVFPKGVLKRV LDHLQDAMLSFWPYPNLPDPRSTLKHISRATSAGALTQDIFFKRMSYFFRPGDIILTE TGTASNGGRDFVFPQNVSMINSGVWLSIGYMLGAAQGVALAQRNMVSQGRTILFIGDG SFQVTAQELSTIIRKKLSAIIFVINNDGYTIERLIHGMDADYNDIAMWRYLDSPSYFG APSDDSYPVFTARVSTWGELDGVLSRESFQRGPGLRMVELMMGVSDCTETLRLFLKMY AARKE AFLA_041080 MGSRLVLFGLGLCSYSIVVLVLGGVCWRLLLRFLEREGGGGRYF RWDMRIRRGLV AFLA_041090 MTTMTSGGNSAFPSYNTIFAHIEDLNERRPLALAQIDNAPFGWR HARAVVVAGVGFFTGSYDIFAINLCSAMLGVVYWQDAASRPGKIPYNSDTAIKVSTSG GTVIGQPFFGWLADIVGRKRMYGNELIIIILATLAQALASNSPAVSITGILVF AFLA_041100 MSEKTEIGEGSDKVEVPPTAVSFFDPALRSVRRQVFIQWGRTVL TLCVFILCILSLYWAVQFRVEQNLHSLTVWVVDFDGMVDPYRDTKPIVGPAVTDVAEN LIRTPETGRLGYTIKSPDEFNYDPWAVRQGVYDEHAYAAIIVNANATTLLHDAVKNGN SSYDPTGAAQFVVITARDETTYSSYITPGLTAFASTVLAEFGPRWVQTVAQESLNISN VPQAINPAIGFNTVDLRPFGPAAATPSVTIGLIYLIIIAFFNSPFLMPIHAQFLKDNH PPLKIPQWLLWRVCSNITAYFFLSLFYSFVSLAFQIPFSNSPAPDTVSASNPNAYGHG SFVVFWMLNWVGMSALGFPLENMAMVLGFPWSSLFLIFWVISNVATGFYALDLAPGFF RWGYAWPLHRIVEALRTILFGTHSRIGLDFGVLFAWIGVSILFFPFASFIMRWKMKRG L AFLA_041110 MTTAGSPVGLIITVGSTAFEFAYTTPGFATASATSSGASKTTAS ATGSATLGSSASSSATATADTSDNAAMPLATGAAQWVAGGAAMSRTGHSLNKCLSCKG S AFLA_041120 MLPTGVGGDKDEWTVYNLTWKATVYSLEGGGYSNLASDQQHSMS SFKGTFFMND AFLA_041130 MCEDSYLTTHECQQIWTQRNGPRHFLYKINAADSDQCHRALEPQ ISRILID AFLA_041140 MPRYLAIVRYSTLESFGQCDKDIETMCHPPLPEAMTTGIKAKLA GQEITDFNLFPPTSALPPVHYASRSSHSHSS AFLA_041150 MQRRPISNSQKLALRSQHALKPYLTQLQLCQWFEAEYQQPIAES SVSRILSSRYDSLDGASSHQLRAKRRRAESWPELERALYEWIRRVQDQESLSQHLILQ KARTFWERLYPGQAMPQFSNGWMRGFQSRWDINKSNLQGKSASHSDDGTVEMIGIRQL LNQYAPRDIFACDETALLWKRIPESGLAIAGHTPTGTNGNDRLTTCFCCNSDASERLP LWFVGTAKRPQAFSAAGINIENLGCHWRFNTRAWMTSTIFTEWLLWFDQRMAGRKVVL LMDGLSAHKFAFEEVGSQLQNTFIIWIPATPEGKYQPLCQGILFTWKAYWKCKWASYL VHEHDRGWDPISTMTILHAIRWAISIWEIELADDIFTHAFRATMSIESIERIDNRQTI MDIENLLHHLYLSGYVSEPMDTSHFIDPVEEKVDDSTLHLDDSILCHFENASELQESA STALQPLSLVSVSDALEGLYKLRLYEEQQVNANMDLLQVLKHHEKLLLQKQTESTESQ RVSDARAFF AFLA_041160 MATAQITVSHPLATPNGSQPSSTEAPTISLLGPNATAASVRLDV SAPFSAIRTLFDHLYSHPDDATNLNAIYPRRGILKTAATIKTSSDQKFTIDLSPKRVA LIPASLQQSLAGHGLKDVLDFFNTLTTEYVPSILSTLSILAGADFTPAHSTYNMNFRL CDYNPVTAASGSLNGCGAHTDYGTFTIIFQDGTPGLELEDASAPGSWVPVPGDATVVL TGWCAVILSGGRIAAARHRVRRTPGVRRLSAVLFIAPDLDVTLRPLGGIQPIRPFSET VMRGDIDTGVFKEVMGKRWRYREGNEEMEGHEIGTQDDEIAKLIWA AFLA_041170 MLGRDSKVLKTQSESHPMVEYKPLSDRPSIDIGFPLAQDSEADI STENGVNDTYLTPDCSRRRRRCEGFARTPIKQTFLLLLAGIGLMNIGYQTYWFLRSLK PISCNCGETVSEAIANGCRYDSFAAAWLPPACRNDELIDRFERAGPNPDGSWQYYGDK NKTRVLSLEEVSMLPETGGHFFTTHQWHLVHCAYYWKKMFLAKEHGTIIEHRYDNMAH LEHCEMMFLKRDPLDTIVTEAGVALHSDVIVTAKKHKHDH AFLA_041180 MQFKIFTVLLAIGLPLALASPIPAEEVEAIPYYPKRSGDAEAIP YYPKRSEEAEAIPYYPKRSEDAEAIPYYPKRSEEAEAIPYYPKRSENAEAVPYYPKRS EEAEAIPYYPKRSENAEAIPYYPKRSEDAEAIPYYPKRSEDAEAIPFYP AFLA_041190 MSHCQLKETARTSIDGEGGFCKGDSERDSERGLLTDSLPAESGN YWSCSGTESKRPHCLSLWIICLNILIFSASIVFLLSPLSSRACLSHLSDQDKWKATSY YERFDIPRVVLTTNGSLYDSEPPSIMRIPQGDEADAEWSRISSGVFPILISAEEVRKL GKDPALAVRVPDEFGYGPDAYLAQTEVFHLLHCLDMLRKEISYEHYYYPEFGNNPDAQ HTAHITHCIDILAQYLKCQGSTDVILFNWVGGWDQPFPDFMNKHVCRDFEALLAYVNE NSLATEVFQKMKEPPDGYPVQPDPSIAPFVPTAVPGS AFLA_041200 MSLPETTHSTISQRAQSALVAGSKNLMWDVMNDLWCEKTNPNGY VNVGVAENVLMHDHLLSFINRQLDLPAKLLTYNDGPTGSGRVKRAVSAFVNRHFRPFH PVEPSHLFITNGVSSAIEHVSWAITEPGEAILLGRPYYGTFIPDISLRPGATVIPVSF DDCDPFSLEAVQKYEQALLSFQETTGRKVKGLALCHPHNPLGRCYPRDVLLKLMELCQ KYQMHFISDEIYALSVWKNTVDESPKPVDFESALSLDLTGIIDPQLVHVLWGVSKDFG ANGLRLGVIISQTNRDILAALRNVGLYSYVSGVSEYLVSLLLEDVNFTDEYIRLNREK LSENYSFVVQQLKSNGIEYSTGANAAFFIWMNLGKKYRELHPEVKDVEEVSETVMDLL LQKKVFLASGSLFGSERGGWYRIVFSQPQEYLSEAVRRIMAALEA AFLA_041210 MSSNLQNNTQANQEAALQNLEGTDSKPKTIKSEDRAALNEALTD QATMMLLSTAGRSSQQERERKRADAEARSRANGGL AFLA_041220 MDFDDINLDTVDNLRQEWIKLASNSSAGICELANRYRQRDDCKL CSMHCGSFNFSFRLNWDDEGEDWLIRFPLPGKSMFLDEKVRREAVLMKYIARETKIPV PRVIAYRMGDENPTGLGPYIIMTWIDGKKMSDVLRQNDLPDKHDTLDPTINPETLKTL YGEMAEILLQLWGLDFDKIGSISEDSMTGKPVVDGRPLTRELNELIRTSGLNDCTPRR IYHTSVDYITSLLALQSMHLEQQRNSVYDSKDCREKYACRQLMKATALNFIPNEDCGP FKLFCDDLCPGNVLVDDSLRIVGVLDWEFSYAAPSQFAASIPWWLLLRRPHSLLNQYG PDAFFESFLPKATLFLEVLEEREQIRGLTGHDCRLSVRMRQSIEDKSAWFTLASHMVA SVDLLYWDLLDEYCWGPRSSIAQRVRAITTSPEMHKRREDFVHLKIQQLQEYYNELGV DNNVSYEPEPPLQPIAERDPGPKYLDVANRGFLEGALVGLAVGLGITICLRWHRSPL AFLA_041230 MAPATLEQENHTRDAEFNRVLHGKSSQAQGGFAAMLGGKDAAAQ KAAVDEYFKHWDNKDAAEETEEIRAARRAEYATLTRHYYNLATDLYEYGWGTSFHFCR FAQGEPFYQAIARHEHYLAHTMGIKSGMKVLDVGCGVGGPAREICKFTDANIVGFNNN DYQIQRATRYAEREGLSDKLSFVKGDFMQMSFPDNSFDAVYAIEATCHAPELEGVYKE IFRVLKPGGVFGVYEWLMTDEYDNDNAEHRKIRLGIEQGDGISNMVKVSEGLQAFKNA GFEVLHNEDLADRPDAIPWYYPLAGSFKHMTSPWDFFTIARMTWWGRGIAHRFVGALE TIGLAPKGTQKTADSLALAGDCLVAGGEKKLFTPMYLMVGRKPE AFLA_041240 MPPPQIKQDLNRSGWETTDFPSVCETCLPDNPYVQMLKEDYGAE CKICTRPFTIFRWKADRTARTKRTAICLTCARLKNCCQCCMLDLSFGLPIVVRDAALK MVAPGPESTINREYYAQEHEKEIEEGRGAVEAYEKTDEKARELLRRLANSEPYYRKPR QIEAPQDEESEKPSTDAPVVHSRYGNGPGPIRTTESRRGTPLPGRGRGNMRGGRGGRP FPGTAQLPPSQEDILPPADPNVTSLFVTGVEDDLPEHTLRTFFSQFGQLRSLVCSHRA HSAFINFATREGAEAAAKHCQGKAVIQGCPLRIRWGKLSRWITWTAKSV AFLA_041250 MTAPALSARGAYRQILRATRIAFHEDTRVLLAARQEARRQFDEH KRVGIDTPMQINHAIEVASILKHNIVQGVKLEGDEAAKWELRIHDDIERGDNDSIKHA GKDIKIHKACSA AFLA_041260 MTTVQVVNVSLPALSEGWSAEKDFKAVGTLSAATQRNLEPVGPH FLAHARRKRHHRTFSEDERIQAQQNVKKTEEEEDDEISEDEDPMMLSRDAKDWKNQDH YAVLGITKYRWRATPEQIKRAHRKKVLRHHPDKKAALGDRDENDSFFKCIQKAHEILS DPVKRRQFDSVDEAADVEPPTKKEAAKGNFYKLWNRVFESEGRFSKIQPVPKLGDDNS TFEEVDNFYNFWYNFDSWRTFEYLDEDVPDDGESRDQKRQTEKKNANARRKRKVEDTA RLRKLVDDCAAQDERIKKFRKAARADKDKKRLEKEAEAKRLAEEKEKARLEEEQRKKD AEEAAKAEREKNKKAKEAAKNATKKNKRVVKGSVKEVNYFADGEASASQVDSVLTDVE LIMSKIDAEELAGLAERLTAAGKDAAAVKNVYAEESKRLVGAGKLKEGETKNF AFLA_041270 MAETTGPPHSDENIIGKKEALDLGKVESCSAGQYIERAGVKRNI KSRHAQMMAIGGAIGTGFFVGAGQALAIGGPGFLLLAYGLMSLLVYGVFTAVIEMSTY LPIPGSSIAYYCSRYVSPSLGFALGWLYFYSFGIIVAYEITAASLVINYWPNNVHIAL WVTVLLVVIVGLNLCPVGVYAEAEFWFAGIKVIMIIGMIILSLIIMLGGAPTHDRLGF RYWNDPGATNAYIVPGNGGRFTSFLYVWVWSGFSFFFGPELMVFTGGEMRSPRKNLPK ASRRYFGRLVVFYILGTLSMGVTCPSNAKGLTSNTGDANASPWVIAIRNAGITALPSI INACILTSAWSAGNAYLYMSSRALYSLAVAGSAPKIFARCTNYGLPIYAVLGSSCFTL LAYLNAGSQAGEVFNWFVCLTNTSGYTSWLTCCLIFLRFRKACNAQGIVMPYRSNIQP LAAWICLFVFAALLLCNGFTVFFPGRFSASGFLTAYLGIPLFLAIYFGHRLTVGRKDP WVYRPEDVDLRSGVEEVDAEAETWARLEAMKRERNVRPNVVWSKISLIWS AFLA_041280 MGSMGAREQNYDVLIIGAGLSGIYSLHEVRKNLPSLSVKVLEAG DGVGGTWFWNRYPGARFDSETISYQFSWDKELLQEWNWKDTFSAQPDTLEYIERVCEK HDLYKDIQFNTRIKSAHWQDAERTWLFVDEAGFHYRARFFISCLGVLSNPTLPAIPGL KDFQGQSFHTSRWPKDFDMKRDFANKRIGVIGTGATGIQTITETSKEPSIRSLTVFQR TASWSAPLRNTKITPEHMEKMKAEYDDIFQRCASTPTGFLHKPDPRKSSEVSHEERVA LWEKLYGEPGFAKWLGAFCDTYTDREANRLYSEFMASKIRARVHDPVVADSLIPKNHG FGTRRVPLESGYFEAFNQSNVHLVDLQKTPIERVTPNGILTSDGKEHELDILIYATGF DAITGAFNAVEWHGRNDRPLIASSGTEAGKRAVWLDHRPYTYLGLMAPSFPNMFMVLG PHQPFGNIPRSIEHAVQVVNQLLQFCHNEGYTVVEATEEAADRWTEHVVECSKGALSN EIDSWMTGVNTNVPGKTVRSVARYGGSAIEYRKRCQQTRAAGWEGFKFARGYSL AFLA_041290 MASFEGKVIAITGAASGMGLATAKLLASRGAIISLADINEAAVK EATASLTGSDKHMYTVVDVRSSQSVDSWIKSTVERLGKLDGAVNMAGVITPAKPITEE TDDTWDFNFAVNTRGVFFCLRAQLKAMTAGGSIVSAASAFGQMGSPGVAPYCASKAAV IGLTRTAAKENQHIRVNCVAPGENYIYSVVVVVAAAGW AFLA_041300 MATLTDEQSIQSHPTRTRSFGGCTTCRSRHVKCDEGRPTCAMCR YFGFVCAGYEKGIFFDFESSTDERRFRRPLLTEEEQERMSQWLVSSVPPESALQLLTR IDDLCEKAAPCRDLQVDCGPFGAFRLSQLPSAPSPDIVPEETLDPLSCSPPEDVVRFD DDFTASSEPPLTPRTQRILESIFGQASNPTSPDTDTGDMAMDLCRIEAVLNDAPLSNF QDFPMTSMTAEQQPQYLHNTDLAPIPPPNPCFSLTTTSKTVPQEVMLLLKHYTTTVIS LMTPIRHAKTPWHILFIPHAKTCLAALTLNEDLDNASLAAFYGTLAISAFSLSGLSQS QMWLAQGTAYKQQALNHAKLMLRTAYDIPKVSKYKSTMIALLTMVYVSMFSVDREQTE YFFLETERLIRLRGLKRKKSRKVRLLHHCYAFERFFYESTFTGGMNSRQRHYFRRSIE SSGLAQYSRDDLSFRLRGWENLDQEMMEVKSQEDGENDLHLERPGIFSATLYPEIFGV PESWVQLLSLVIRLGREKDIAESHDLPNPLTLKDFSSRAKAIERRINNLERPGQAQLD EHLSDMLDAMHQALSIYFYRRVYDMEASILQQKVIAVRDCLWRCADPPMLHASAGVIW AAFIAACEAEDRELQMSFSKWFQDSAQRSGLSSFRETMTRIEKIWREKRCGNGISATW LNLMRIDASFTVG AFLA_041310 MDVPGIALITGAASGIGRACAHTFARDGASGIALLDLDKTALET VQAEINSQSSQDKTARCRVEIYPVNVTDENRVDEVINSAAQTFGRLDYVVNAAGIAMK HQGGAAFAETSDWQRILDINLTGTFFVLRAAARIMLSQEPIRSSIDGRPLQRGSIVNF SSIQGVAGIPLSTAYTATKHAVIGLTRTASEDYAKDGLRINAICPGYTETPMTTKSPL VLQAMQERVATAVPMQRMGEPREIADGVVYLSGGRSSFVTGTALFVDGGYTQR AFLA_041320 MLTRQSLVALLGGLSLAAAQTSSEQNPSLEEIQAAQATVLPHSP VSNVKGLAFNRFVNIWLENTDYESAANDPHLSKLAEKGLLLTNYWAITHPSEPNYCAS AGGDTFGMDNDKFNQVPANVSTIADMFDVKNIAWGEYQEHLPYPGYQGKNYSNQETGA NDYVRKHNPMVFYDSVTKDATRLRQIKNFTTFYDDLKHERLPQYSFITPNMTNDAHDT NITFAGSWTWRFLSELLEDEYFTKDTLILLTFDENDTYEIGNKIYSFFVGGAVPENLR GKQDDTFYTHYSIIASLSANWGLPSLGRWDCGANLLSWLAEKTGYVNWEVETGNLFQN ETHAGPLSAGEYNTFSPEWPVPLTKGSCSAGHGILPVVQQTWKNLTATFNYTSPIPYD SVSGNNVGVKYSRTLKNGKTESGITE AFLA_041330 MTIGSFMPRSLSMLPGYSPESFQLLSHYLATTADVMANGSTPVN PFLVQIVPLAFTSDLLLQLVLTQSAAHRAFRCRNDSDEVAQSHYTKALQLFRKGVTEF IDGKESNPLMLTVGALVMCFTETAKGDMNGTIFDHLSAANSLLTRLLSLSDTAVPKDL KDFVIEYYTYTAAVSMISIDARVSHQLLLNFDLEQKARQLLESEYVGNLCGCWLELLL MIPCIFDLGRQWMMLDGQPAMPTADDIAMFGSLQAQIMRWNPFSFVTPEVFLAEQQEQ LRQRLITMVNTFGLGNMQRTLLLLESMWQMPLDEAGPWNICRAMQQHQIWISFA AFLA_041340 MVGRLAGKNAIVTGAAGGIGLETTILMLREGASVLMTDISEPGL QKALAKANEVVPQHDGKVEYRVVDVSKESEVEAAVAHLDAWGGLDVMFNNAGIMHPKD GDSEETPEAIWDMTMNINVKGVWYGSKHAVKSLRKHGKKKGSIINTASMVALVGAATP QLAYTASKGAVLAMTRELAIVHAREGFRFNSLCPAPLNTPLLQDWLGDDKEKRFRREV HFPSGRFGEAIEQAHAVIFLASDESSFVNAADFVVDGGLTKAYVTPEGPATEAPKNLG Q AFLA_041350 MTSPLLTFVQAVPQLLQSQACYDRIEAYLSRNPITQNDQALVPN VFEKTASNNSISLSPCSRHGIDKNTLISFRGADISWSSKSEPVFKNFSLDIGQGITMI IGPVGSGKSTLIETIIRETIVQKGHINTNFSDVAYCGQTPWIMNKSIRYNITCEDLVD EEWYNQCLSICSLKKDLMLFLAGDMYVPGSDGNGLSGGQKQRIALARALYSKLPVAVL DDVFSGLDLDNIRMISENLFGKNGFFRGAGRSVILATHTNSLLSFADEIIVLDKGAVS SRGSYTEIFSAVPRVALQSLEQIDEEDVHTTDEKINANSPISLAVDNKAKPRVDQQEL DLSRRDGTWGVYRFYVQNAGLWTTCCFVISAFLAALVMTFCTIWIQWWADSNAKHPNA ELGRYLGVYAVLSVLNITLYIWSTYLLFIKVINHTGVGLHTILLKTTLNAPLAFFYQT DSGTTTNRFNQDMDIIDMRLPSAAVGFIGGLALCVVKLVVLCVMGKYLAISLPFLIGA IILIQRVYLRTCRQLRLLDIEAKSPLYSQFLETLKGLSVIRTFGWESRLHHLFEENMN YSQRPFYMLLSVQQWLTIVLDLVLAGMAVILVAITTSLRDKFTPGEVGVALNLVLTFN QSLVQTINSWTQLEISIGAVSRVQQFAKTPSERRTSAANAAASHGWPREGTVVFDRVT AGYGPSNPPVLRNVSLNIKAGQKIAICGASGSGKTSLFMAILQMIDIQCGSILVDGTC LSDLDPEVVRSRVNVIPQEPFFLPETVRYNLDPHAKVTDDRLELAIQKVGLWDRVSGN GGLNMQLNPSTWSSGERQLLALARALVRDSPLLLLDEAASSVDDTTATFTQQIIEEEF RDHTILAILHRFACIERYDYIVVLERGEVVEFDSPTVLLGRDSKFRTLYTAMEKED AFLA_041360 MNGGVDKKRLAEGECTQHQARGDSQQEGVQVRAQDGLDNLRNLF DLKDIPIPLSKQWYHPGIEAPAPKSHTLFSSNITVASMQEPDFAQ AFLA_041370 MTIKVIVVGAGGNLGHHIVSALDDDHRFTVTILARASSKSKFPS HITVHRVDDYYPELEVVEAFKGQDVVISTVTTGAIQRQKTLIDAALKAGVGRFIPSEF GHDTRNGNASKMLPQMYQQKREVVEYLRAKQNDGLEWTAFVTGPFLEVAIENFLGFNL SQQHATILNEGSDRWSATTRATVGLAVKNSLLIPEKTSNRYLFIDTVTASQNDVLLAL RKMTGTEWGVDYVDAEEQKRVAIEHLSKGRLIGIPMLMRYITCVRGYGGDYLDYETSA NEILSLPVRNVDEVIASILKG AFLA_041380 MASPELASHHSDPSDGEGAPFLPGVDDESPESLNSDIPFQKHSN HGLIIKLFVIYLAIGMGGPMIQSPLTRIVESIACRNYWNAHDPSQLPGPEQISEGMCK IPEVQREVTTIIGYREFFNAFLTSTFALPYGLLADRYGRKLAIRLASVGFVFNSVLSF APIWLPNIFPLRTMWFGAVGWVLGGGPVLLFALFWSMIADATADSERDTVILRFGIAT VSAGFLANVTSSFVMKFDSRVPLMTGCGLLFAGLLVANLLPETLRKKSPETTISADTS VSLTSLFFRIKKTIWSYRFICYNYPVAVILPAFFVTQLAGGSAFLVQYISIRFHRTIA DATLLVALQHAFTIPVLFFILPQISERLRAYISRLQSDLLLARISVMLLALGLFGIGL SSSINTLIPSLLLHAGGAGFVLIARGLITGLARREETARLYTLIEATQSIGEVTASLY ITNSLNWGLGRGGLWIGLPWLIVSFLLALIALVLGILRLPPRSENASSGSTH AFLA_041390 MEPFSKFFKSFRRYHRFHPLDSSSASDDELAGKYEEHLLMDERL KRETVIFKSRIWILLTITNLIILGITVSMIVTSHCQLYAGKNADLRPISWWSPILDAI EIPTYETTLNGTFFAKPEVSIAREEPGPENDADWEQYETIRTHIVSREDILRLGKDPD TVMRFDNDYWGFGDDAYMVQLDVMHQIHCLNMLRKAAFHDYPGYVPTGAHTDANNTHA SRWTHLGHCVDILLQNIQCNANTEVITLAWVEGRTQPWPDFSVNRKCRDFEAIYKWQL ENSVDAGKFDRMPIPHDAYVWPAPWENRESELGEKLGKHQKQEGVLGQAGHQHTKRHE AFLA_041400 MHLSRYIAVLLSASSFVSALPLQNDVISDDGSKPIDAIMATAME HKVVNPENLDATPATPENPEDLDKRFYYTGYKRNAETPEDLDKRFYYTGYKRNAETPE DLDKRFYYTGYKRNAETPEDLDKRFYYTGYKRNAETPEDLDKRFYYTGYKRNAETPED LDKRFYYTGYKRNAETPDDLDKRFYYTGYKRNAETPDDLDKRFYYTGYKRNAETPEDL DKRFYYTGYKRNAETPEDLDKRFYYTGYKRNAETPEDLDKRFYYTGYKRNAETPEDLD K AFLA_041410 MVNQIRTLSPSTNKVIFEHPGTSLDEARAIAQASENAFQSYKQL SLADRKAIMVKALNIVDANKETLANELTAQMGRPIAYCTKEIDTMRKRADYLLSIADD SLKNLPGQAESGFRRFLKKEPLGVTLISTAWNYPYLITVNTLLPALLAGNTVLLRPSP QTPLLGERLVSYFHEAGLPTNVLQLLHVGSLDVLDEIVKLPQIKLVSFTGSTAGGLRL REATARRVVPVNLELGGNDPAYVRPDADIAYVAAQIVDGAVFNSGQSCCSIERVYVHA DIYDNFITEVQKELSTYKLGDPTDKATTTGPVISKQALKNIQSHIDDALSKGAIDATP ENTTFTSLPAEGNYIAPKLLTNVTHDMVTMREETFGPVIPVMKVSSDEEAVALMNDSD YGLTASVWTKDIKAGEALIEKIDAGTVYINRCDYPSPDLAWIGWKNSGLGCTLGPHAF DGFYKLKSFHIKEEQT AFLA_041420 MTRIIRVAILETDTPIDPILARYGTYGAIFNRWLNTGLQGLTVT DTEIQTTIWDVVNKSEYPKPGDFDALLMTGSRHDAHADVPWIIELVKYVHDIHEQHKK PIVGICFGHQIVARALGARVGRNDEGWEISVEPFQLTDTGKQLFSKDSLDIHQMHRDI VYDVPRGCVNLGSSPRCKVQGLYMPQRVLALQGHPEYDEFVMTELINLRHAVGVFDAE LAKDGLSRAGKQHDGALIAKIACKHILGEI AFLA_041430 MATNVTLENLSSLLADDIKVKVAGIDCDGILRGKVMSKEKFLGI AEKGFGFSSAVFGWDMQDVLYTTDANIAPKDSGYVDFIAVPDLSTFRRIPWEDDIPFF LVRFIQNGQTVSADGRNMLKSICDKLAAENCQGMAGVELEFMNFQTPSEDGYSNGSQT QNIAAFLEKNTPGSLRPITAGSFSYSATRPVAFKKYFYDIFNTCAQINCGIEGWHTEG GPGVYEAALKVCDVREMADRVSLFKLLAKSIGVEHGITPCFMAKPIQGQPGSSGHIHV SLCDLDGKNLFARETPDDNAPWPDAVGLSDLGRQFLAGLLEALPDIMPLFAPTINSYK RLVENFWAPVNVSWGLEDRMASIRLITPPVCKPGATRFEVRIPGADLHPHYALAVILA AGWRGVQKKLEIKVPPVSAMKKDNTRPELLPNTLEEALKRFNAADSVAREILDGEFVD FFTATREHELRVWREAVTDWEFKRYIETV AFLA_041440 MATISINAPGATGFLYNNASGKARLCISAETPDFDTETLRNWRD EGFDVIYVPYDGDQREYVARLKSVKEGLGVGENYAVLAFGDAASFCLDYYLKPTNCSR LCALVTYYPTNIPDTRSRYPPSVRILTHLAGTTVDVTTIPTLVGLQGKKKRRTRQINP GMGTGERLNIGHTAYTYEYVQPGFAEHDLDEYDRLACDLAFSRTLQVLRRGFNKDIDL EERWEEHLEAKFFSMNLSNTMEAYVSHINPAVTYVPTVSGGIGNHALRRFYEQNFLRQ LPPSMRLRLISRTIGVDRVADELHATFQHTQEVPWMLPGVPPTNKQVEVILVSIVSLR AGRLYSEHVYWDQASVLVQVGLLDPKLVPQGVHGVDRLPVVGREAARRILDENPEVEK KEYHNRLIRRAKAKKKGKDGVTPGVDESGTEYFKSEAEKPLENGKGKGKTVQKQPPEH GPEGNESHRNDNTEDNQDNKEEEDGQDRAQTPTPSKGSASVEDANDEE AFLA_041450 MLSSVLLVLFSAAVGAKTVPNGQTLTLNGIPYYLSGIPISNFSH NVFDKASNDVDIFPFTVIQTSSTVHSSFLSETVANFTQQDDVFQPAFLQTVYLTSSVE ASQIDELSGSEALHQFDNKMFLTESDASLSTPLPNGPYFASARTGHIFRAYRLYSDDS LAFISAAISDESGGFIPMTGVTEGVMTKNVAVPSRLYYTPTAEKPLAGLRLAVKDIFH VKGLRTSGGSRAYYYLYDEQNVTTPSVQRLFDLGAVMVGKVGTVQFANGDRPTADWVD LHCPFNPRGDGYQYPSGSSSGSGAAIAAYEWLDLAIGSDTGGSMRGPAGVQGIYGNRP STGAITLEHALPLSPPLDTAGMFARSASLWSKTVQAWYPNFNRSYPSHPKQLYLSHSN WDESTAPEANEHLETFMQRLEDFLDTNRTIVNVTERWSETHNSPSLINLLNTTYAYLV GVGQWNNLAKGFFADYAQAHDGRRPFINPGPLARWEWGQANGGNASYDAALHNMTVFR DWWSTSGYGRSDDDSCSEGIFVHAWATGAADYRNRYFNPPGPPFGFTDDAIAVFAGAP EVVVPLGESPYNSTITLHEEYLPVSIGLQMARGCDRALAELVDDLGKAGILKPVSAGS RLYS AFLA_041460 MPFLSPRHILKVSLATLTVVQCGYANPYPGVLPEDGVAVVEDIP ENQASIPYMGEEQVLSPYDPSDDLALDTSADSNHTLQYGECYKVQADGNWLGSDSNPW NYYLFGGYSNSRTFQVCRLMSSCQRQNTQDQEVRHRGHLYLWDFRGNHYSRNGEFVAN NNRGYFYPAGLSARNYAYFEAHMEDCDDITHSKDTCYINLVLVGQASNNNGIEIRSNN YLANAYNGKSVTVQFRRVKCPLD AFLA_041470 MNYLMFLPLSYTTEYHQVGNGYLSLTDILGTLVPMLIVGTAIKY YRNKPLLNGKGPLNDLLSPTVYWAGKEMMLYKSYLIRLPHEYYIDQI AFLA_041480 MPRDNIEFQTADHVTLRGWFFRPPTKAPETRLPCLVMSHGFSAL KEMELDTFAEYFTQNLPISCLVYDNRGFGDSDTKEGQPRHEILPSQQTSDISDAITYA QSRADVDPDRIGIWGSSYSGGHVLWVGAVDRRVKVVLSQVPFVDGWQNMGRLIRPDIM EALNKSYQEDRLARAAGKPPATMKVVDEDPLKPSALPTPDSYQFFHGWEVKSNWKNEV TLKSLEALRGYVPSTHIAHISPTPLLMTVADNDVITPADIALEAYSRAREPKKLHIFP GGHFDGYAGKGFERIVSVQVDFLKEHFVG AFLA_041490 MVLTHFIFLAATTVKLVATLPLDSIKPPSSRSDVHFNVQQAAAD AVPLDKQLMGLSIEFGNAVDYFGDVNNPNAFSKQLLQNIVDRSQSPAILRIGGNTQDR ANFCENCNQTMSSIVLNDPNDPKGTEAVNVTFNKNLFNVLANNVPAGTPIIFGLNFRN NSYSLAQAEIDGAFKYIDQSLVMAYELGNEVNLYGDFRPPDYDVNDYARQMREWIPRL RARSSAASSFQFPSFAGPELFKPDMSISELVRLGVPQSIPGIEYFSVHGYPWNICTDE DAAKVDLRNLLDHQQTLDLLDQYSSQISAAKPLGKMMHMGETGSVACHGKDGVSNTLG AALWQLDYALSGATAGIDRFFFHNGKGDFYYSTWEPLPTETSPVPHINPTYYSMLFIA DLVADLNGPRITPITSLDSSSAVHFAIYDNDQIQKLVLLNTEYFNETTTRSSREFNIA SSLGLNLKVRRLTGQSSDARTGVTWAGQSVDASGGITGDLNIESVSTGIVTLLASEAV IVERA AFLA_041500 MSSSTSFADTLSPGTNGTNASRRSTSTVRSRPRRLVSFTDDDES DNGRQLEPSGLSTTLSSDLPVPRSRGATPSPYTSRGASPMPMRHPSRVVSSPGRGASS SLGGFSYTGKNQVKFAESSRAAVEFLDASWSSLQSLASSVLGSDTARPATNGAARTHA RKPSRPDSYLGTSSRTLTPSSWGPAVPSTSAIGAGTKEERQAFVQAKKREALLLADTE TNGNLSTKHKRRDSSDRMSHLKGGSNQDEEALAYVHHVQPTDSITGVTIRYGCQPAIF RKANGFWPSDSIQGRKTVLLPVDSCSVKGRPIRHDLNLVDAGSSRRDSLEDPSGSSIA PSTVSGPRDQPEAIPDAPSDVEADQIWKHESWVQIDGFSAPVEIGRVPRRALGFFPRS RRKSVSYTDSDIPSFSGRERTPTLSSTSSSIEPQSSRDTPISGNRPHADSSGSRASAK SKPAVRHQRQRSNIQLAGPGVGTLDRDTMAPGPALDGLSKFFAQHLPNLAPTPTPPKF QDSTEYTSNAVSNTPTGLDNIGGAVEGWVRKMTSRAKAGFNELQQSTQGHQMNGTAHR PATRGVGDLIELNDGLESRDSSKILRPEINRSATSLHDGGSVRGRFHSPSAGTSRTRA TGDRFKDD AFLA_041510 MASARGPRWQQFLQELVMVAGTSASAYFLIRYLLSRLDFDPESQ KKEEQRRKSAAILRRLDGGEESDEDSPRREGKRGRRQKRGDLALTQYEQAIAMDVVAP DDIPVSFEDIGGLDEIIEELKESVIYPLTMPHLYSSTSSLLTAPSGVLLYGPPGCGKT MLAKALAHESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLQPSIVFIDEIDAVL GTRRSGEHEASGMVKAEFMTHWDGLTSANSSGEPQRVVVMGATNRIQDIDEAILRRMP KKFPVVLPPAPQRLRILSLVLKDTKVDRENFDLDYLVKAMAGMSGSDIKEACRDAAMA PVRELIREKKAAGLQMNTVDPEEVRGLRTEDFFSRAGGVRIIPPPAQLQAPIKASSEK DWSTESEATSEVETRPSAEMAEPPE AFLA_041520 MPPKRKAAAKLSNLAESDNEDATQAQEPRDDERPTKKPRGRPRS KSAELKPLIQSKNDTATAPSQAPEAAPKRGTRRGRPRGSRSSLQSVNQEIEEQKEPEE GEEESGPQVPESAPASNDELVASQPAARSTRSAKPAKPTTTRGRRKATVEKQVKTDGE FQYTPRNTRHYKSPVKANEEAEQSTKQQQKADPQSELEEEVSEGEKAAPDVVEETFVQ EEPMEPRSISMSPAKRRQSTQRPLQGSPLKPNAEPELRRKIGELTKKYDTLESRYRTL KEIGVVEANANMEKLRKQCEAMTNASNNLVAGLKAELEAQKALGQQSRTLQKQLKERD AEIAQLKSQAEEATSQLSSAQTEVKALQTKLAAARNTAASLESAAAKVPGSAIKGGSN RANAAASAEAAQAAQFAQLKEDLYTDLTGLIIRDVKKGDSDNLYDCIQTGINGTLHFK LAVPHVSSANFENAEFQYVPLLDDNRDRDLIDILPEYLTVDITFVRQQASKFYTRVID ALTKRRTSTGN AFLA_041530 MARVGRVGFLTLAVVFHLMYAYSIFDIYFVSPIVSGMRSFGVER EASAEAPAKRLVLFVADGLRADKAFQALPDPDAPSDLENDEPIYLAPFIRSRALSHGT FGISHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVDFDSVFNRSRHTWSWGSPD ILPMFKEGAVPGRIDADTYGEDAEDFSADATKLDIWVFDKVKELFASAKKDPELDAKL REDKLVFFLHLLGLDTTGHAYRPYSKEYLRNIKLVDKGVQEITQLVEDFYGDGKTSFV FTADHGMSDWGSHGDGHPDNTRTPLVVWGSGVASPRYTHEGTITGHEDGVSANWGLDS VQRNDVAQADVAALMAYLVGLDFPTNSVGQLPLGYLDTSPKDKALAALANAQGVLEMY RVKEEQKRDALLRYTPFEPLADNGETSVEARLERIKTLISNKSYDASIQLSSELLLTA LEGLRYLQTYDWLFLRTIVSLGYLGWIAYALTTVIDLHVLHGKSESNRTTFSIMFFSS ILVALFSVLLYQGSSWRYYLYALFPIFFWEEVFARRKALLAGREILLGHVHSVSGYFA FAIQLLLYVGVLEALVQSYFHREIFTVCFILGGFWPITYGTKFLGQHKLLSASWALGC FLMSIFTLLPANKVEDMMMISCGSLLMFLTGLLYLIFERSILGQKRSSDPNSAVSSCG SRTIMGAQTFHVFHFVTNSIQVGMILLALIVTRSSVASLQAKQGLPLGNQVLGWAILV SSLLLPFLHRLYPNSHYLHRLMVIFLTFSPIFIILTISYEGLFYFVFCMTLLAWVRLE QAIYIHTTAPTREQDHSVANGSLPAKKPSTGNTVVVEGQPYRYRTLSVSDARVALFFF FLLQSGFFSTGNIASVSSFSLDSVYRLIPIFNPFAQGALLILKLLIPFAIISANLGIL NHRLEVAPSALFMVVMSISDVMTLNFFYMVRDEGSWLEIGTTISHFCIASFLCTFVAV LEFLSELFISGVDFGHPATTVGSAVAKAVNGSVACGHSPDSDISGEDSTSVGITAKAD PDARS AFLA_041540 MVADHQNQSWEGPWVDGNGRDLKGVQMDANQIYLIAVSIDNVRR VLVSLGVPCTNCVAFSIECKIPTPKRKKNQTKAKESSGSEENPQKETPKDDQSTTDGK DAFGYSSNRMAVDGMPVTSLTESQAAQQATQNGAYAQFMKPKFARAPIKEAGRVAYLG ESSNLSLLVQDRHGTTDVVHYPLPPNIRGSRARLADLDNLELDILHQRGAFLLPPKPL CDELVDAYFKWVAPVVPIVNRSRFMRHYRDPKNPPSLLLLQAILLAGSRVCTNPQLMD ANGSTTPAAMTFYKRAKALYDANYEDDRVTIVQALVLLGWYWEGPEDVTKNVFYWTRV AMVVAQGSGMHRSVESSQLSKPDKRLWKRIWWTLFTRDRSVAVALGRPIGINTDDSDV GMLTEDDFIEDEIDIAAEYPPDPVHVQFFLQYVKLCEIMGLVLAQQYSVASKSRRMNA MDLTHSDMALADWLQNCPKEVCWQRQNHHFWAALLHANYYTTLCLLHRAHMPPASSAP NSYRVEEMAYPSRTIAFQAAGMITSIVENLQTHQEIRYTPAFIVYSLFSALIMHVYQM RSSVPTVVATCQERINICMQALKDVSKVWLVAKMVRTLFESILGNKVLEERLQKAAGK RHQRIRHDTAQHQPPRKPDPPKRKFDDMDLGLPNGGPTPPVSYERSRPQTPAVTPSRE MGQPGLNVPQGSPTGPPAGNSRGNTRPTTPFNAQFSLPATPPDLFLVTRTSPNLSPSL WENFQPDQLFPDGTAIFPELTSPQQTAVDPQLQMSSQLQTQGMDQRHMMPHQMSSRGL PGTQGSPEMISNIPPGLGMQGQQPPQVFGMENQQPWPMAGLEAALHTGVEAASQDDTW SNSSRSGPTAPTTLNVEDWYVKWYLAGFSFY AFLA_041550 MSASGSGAAPAASSSTNPAKKAFPSVDLEGHNLPPSPAPSSPHT GRRYAIATELVYTDSGDQYNASSVPIYQSATFKQTSGGGGGEYDYTRSGNPTRTHLER HLAKVMSAQRALVVSSGMAALDVITRLLRPGDEVVTGDDLYGGTHRLLKYLSTNGGII VHHVDTTQPEKVREVLNEKTAMVLLETPTNPLIKIVDIPQIATAAHEVNSTCLVAVDN TMMSPMLLNPLELGADIVYESGTKYLSGHHDLMAGVIAVNDLALGERLYFPINASGCG LSPFDSWLLMRGVKTLKVRMDQQQANAQRIAEFLESHGFKVRYPGLRSHPQYDLHHSM ARGAGAVLSFETGDVGVSERIVESAKLWAISVSFGCVNSLISMPCRMSHASIDAKTRQ ERAMPEDLIRLCVGIEDADDLIDDLTRAVSLSL AFLA_041560 MPGNAISPDGALSMQAVNERPTSTWNTRRLGSRLGVDIASAATA GALTCPVITVIDRAIIEKASKGIPIRQTITSCFGSMIRHPAGFFLSTPFLLIYTLYTS TYLTANAIDTISSTMRNQPFSSVFAGTAKFLATTTVNMGICVYKDARFARIFGAQSKP TPSSSSTPARTSPPASCHPSGAATVPKVSYALFCLRDSITIFASFNVPTLIAPSIPDY IASTPGMKAAIAQFSCPALMQFASTPMHLLGLDLYNRQPPGGLGWRERVSRIRRDYVP SCFARMGKIVPAYGVGGVANVRLRSAMMEYLERTEK AFLA_041570 MPEIIDDKSQHCIPFLLERLKAHQERFGNDPARTPPFFLGLNGV QGAGKTVLVSTLQSTLRSPPYSLPVITLSLDDLYLTHEEQVNLAKSHPANPLLQHRGQ PGTHDLPLAKEVFESLRAGRATAIPQYDKSAYAGQGDRVPESQWEIVNGEGQEKIKVV IFEGWCVGFRALDDQLLREKWDAAVLRKEKGDYDGRLGYVPFEAAKAVNDALKDYDLI TDQLDALIHIDAQDLHFVYDWRQEQERTLLAAKGTGMTPEQVTHFVNGYYPSYELFTE GLRAGTFKPVPHTTTASRPSTGWEDRQLHLIVDRNRKVQEVRQI AFLA_041580 MSELRFDNQTVVVTGAGGGLGKAYATFFASRGANVVVNDLGGSH SGEGKSSKAADVVVEEIRAAGGKAVANYDSVENGEAIIDTAIKNFGRIDILINNAGIL RDVSFKNMKDQDWDLITKVHTYGAYKCARAAWPHFRKQKYGRVINTASAAGLFGNFGQ ANYSAAKLGQVGFTETLAKEGAKYNIIANVIAPIGM AFLA_041590 MNDDLWNPVLNVHLRGTYKVTKAAWPYMLKQKYGRIVNTASTSG IYGNFGQANYAAAKLGILGFSRTLAIEGAKYNIKVNTIAPNAGTNMTRTIMPEEMVQA FKPDYVAPLVVLLSSDIVPGTGTKGLYECGSGWFTSTRWQRSGGHGFPVDVKLTPEEV VKNWKKITNFDDGRADHPEDSQAGSEKVMANMGNRSDAAEGGNDILANIEKAKQATTD GTAFDYADRDVILYNLSLGAKRTDLPFVYENNEHFQALPTFGVVPWFNTTVPYNMDDI VKNFSPMMLLHGEQYMEIRKFPIPTEAKTLTFPKLIDVVDKGAAALVVAGFTTKDAKT GEDLFYNESTVFIRGSGGFGGSPKPTAARPKGATAAYKAPQRKPDAVVEEKTSEDQAA LYRLNGDRNPLHIDPEFSKVGGFKTPILHGLCSLGVSGKHVYSKFGAYKNIKVRFAGV VLPGQTLRTEMWKEGNTVLFQTTVVETGKPAISGAGVELLEGAKAKL AFLA_041600 MVLSLPVPSFSKSPTTSTSTAPPLRPNDSNQSTTISEALRNNPF GITSRSLLIPPSAPTIADQNREQEELNNALEILARIFPDVKIEVFRELLVRFDGQSRL HVCVEQLLRHKKEWVAGRWNVPGDDAEAAPVTGSLVIPRNERFRTTEYKLAVRTVLLK EFSGLSKSTVDAVLAEVNFCYVRARPTLRDLSKRTWRATFNSLFPSFKSKKDKEESPL VVWQRHANGEVVPTLKETGCVELDRELHDALLAPLLSAKKVECEDRDRRLAEELNEEE AKAAAALYECECCLSDVTFEQISTCSESMHIICYGCIRRTIQEALFGQGWGKSVDVER STLKCLTPFARDGCNGSLHPEVVKRAILLDKGGSETYVKFEGRLASEALLKSQLKLIR CPFCSYAEVDSVYHPSAKGITWRFRRDGIISTIILTVMLLDMIPLLVIPVIILYILDP SAVQNFLHNSFLNLCLKVRPKRFTCANPLCQRVSCITCQKPWRDPHVCFEPLLLDLRA TVEAARTAAVKRTCPRCGLSFVKSSGCNKLTCVCGYSMCYLCRKGLSPPSKATQRFRP RQRQQENINPANAAENDDENLDDEEFEEPEGYKHFCEHFRINPGAHCTECNKCELYQD EDEEAVARRAGEKAEREWRMRQGMASLGVRSLQVNRSFSRPNKSAGDISLSGQGKGLN YWLDEVWREGRWKMEGQAFVDWVVERVVVIDEI AFLA_041610 MTGDTYGTTIAHAFSSTKDTAAKMLSGGECGKRLIPHVIDETAR KTPDVECMSTPRSNNRHDGWKPVSWAQVANAVNYAAQMLIMQAEHPAPGTFPTVAYIG LEDPRYPIFVVGAIKAGYKALLFSPRNSIEAQMNLFARTDCNILYHELQYASMVQPWV DARPGMEGVAVAPFDEWVAEGVTPVLYTKTFAEAEWDSYVVLHTSGSTDLPKPVVVRH GMVAMNDLHRYIPARNGNLAWLSTWTSFPNPRHLLIMPLFHTGGLMIMTVCAFYYNAP IAFREPSRPITGDNVVEWLQNSNSGWTFIPPAILDHMSRSQQAIIELKELHAVGCGGG AIAHDSINILLSHGIKTINAIACTEYFYFPYYSQPDPAMWPWFIIHKELMGIEWRLID DDTYEQVIVRKDKHPGLQGCFYTFPELDEFSTKDLYRPHPTLADHWTCVGRADDIIVF STGEKLNPVTIEGAVMGHPAVFSAQVVGSKQFHAALMIEPIQYPKSEEEKQHFLDDVW PTIEKVNAETVAHGVISRDDVFLADPQRPFPRAGKGTIQRSMVEKLYAADIEGFFDNS RDKLVIAVDLDVTSETEFMHLVRDLVQSVFKIRQLDTEEDFFAAGLDSLQAIQLSRAL LVSLEKAGIKVSKEAAESRVIYAHPTVTQLAAYAFSLYIHDLPAAVPNKPAPADKGQV VDITGTTGALGSYLLDFTLKCSNVSKVICFNRAVNGLERQTVVSTSQGLSTDFSRAEF LHVNLAEPGLGLAPEVYSRLADEVDRVIHNAWPVNFNMSVASFEPHVRGVRHLVDFSA QAARKTVPITFISTIGTIEKWTTPEVLVPKKALPDWSLAAIGYGQSKLVSSTILDHST KVSGVPSVIVRIGQVVGPRGKKGKWNSQEWLPSLVRSSVYLGLLPDSLSTFSDMGWAP VEDIANVVLEVSGVTSLWTVEEITGYIHAFNPKVTE AFLA_041620 MPSWIHQRRGDMVNDPASRNVSTTSSTPIMQALAARIRSQPGGN TAPIVRSEKGQLPMLHVPHTFQNTFVAVVGEFVGTFMFLFFAFAGGQVSNTPKPAEGA APNTSNLLYLSLSFGFSLLVNVWTFYRVTGGLFNPVVTLALCLCGGMHPVRGVLVFAS QIIAGIASAGVVSCLFPGPLSVGTRLGGGTSISQGLFIEMFLTAHLVFVVIMLAVVKQ KSTFLAPVGIGLVLFVNQLVGTYYTGCALNPARALGPDVINRSFPGYHWIYWVGPLLG SLLASGFYGFLSIFHYETVNPGQDFNQWEAAAGPGPWHEEINKHSGAPNHSHLSGDQP TLHQDNV AFLA_041630 MVSIYELPHEILLSIINEFETERDISVFTQLNRFFYRCFTPNLY QHNVGQSKSSALVYAARYGRLSTATKAIELGGADPDLIASNQTVLSHAAKAGHAEICE FLLSRYNVKVDSRNIHNNFTPLLIAASFGHAPVVRVLLAHGANPNETEGERDRSGRSA LSLAWTVTPQIRTISLFWWQLDSATSQSRFNSWIGVPILVYRFVKEYRPTLPLWRSSP HRHWDLSLRAPN AFLA_041640 MSLPSYDALVVGAGFGGIYQLYSLLKLGLTVKLVERAEGPGGTW YWNRYPGATSDTPSHLYRYSWDKEDLQSYSWSHNYLERKEVLAYLEHVVERHDLRRHM QFHTEVVSAIWNDDSCTWTVESSQGSFTARYLITSLGIITEPNWPNIPGRDQFQGSLY HTARWPDQYDLKGKRVGLIGNGSSGVQVITAIAQDVESLVCFQRHPQYIVPAGKRAVS QEERNTINKAYDEIWQKVKQEIGGMGVEEAKTSAMSVVDEERERIYQAAWDDGGAFRF LLGTFNDLILNEASNRTACDFLKKKIDQIVQDPEKRRKLTPSELYARRPVCADGYYEQ FNRENVDVVDIAESPMLEFTRDGIKLADGTVHKLDVVICATGFNAFDGAYRRIDVVGR EGKTLNEYWKDGPTTNMAVATAGFPNLFMIFGPQTPLTNGPPAIEAHVEFITGAISRA EKHRKEQSTLPTPAKIVIESTEEGEAAWGGLCNAISDAALFRTAASYFNGVNVDGKPR SVYLFLGGLNMFLQKLKECEESEYPSFHPF AFLA_041650 MTTHKDSPSIGVNEDPILPAVTTENQDQQDGVRVAEAVTASWSK KSLIVTYASMWMLYFVNGLNNNLTSNLSAYITSDFSEHSLLTVISVVTSVMGAACVMP IAKVLNLWDRTLGISIMVLIAIMGLIMMAGCNNITTYCAAQAFYTVGFTGTIFCVDVI TSDTSSLRNRGIAYAFTSSPYIITAFAGSPLSNQFHETNWRWAYGTICIILPIVASPL IITWELAKRKADKEGRLQYKPRSTRRWWQSVWYYIIEFDIVGIFFMIGGLILFLTSFN IAGNTKGEWKSAKIIAMMVVGFCVLAAFVAYERWGAPKPFIRFALLSNRTVIGACLLD ITYQVSYYCWASYFTSFLQVVFNTSLTQAGYISAIFDLMDPVWLIGCGYLIRVTGRFK WLLMLAVPLYLLASGLMIYFRAPGHSVGYMCMCQIFLAVGGGTMILIEQIAVLAAAKH EDYASMLALLSVFGNVGGAVGNSVSGAIWTNTLPKKLRELLPAETKDQWADIYESLDV QLSYPVGSPTRTAIQNAYAFSQRNMMIAGTAVMGLSIGWVLMMRNIKVKGNKNVTQVL F AFLA_041660 MSDSSSIPTPALPSYSQVACIGAGASAIALGASLKRWYGLEDIR FFERQTDYGGTWHINTYPGCACDVPSALYSFSFAPNAQWSKLMPSQQEIKSYQDGVVA TYDLKQRMTFRTEVKRCVWRDDASRWVLFLLNVETGEESTHECQVLFSATGHFAEPRP CEIPGASSFQGNIIHSARWDHSVSLEGKRVVVVGNGCTAAQIVPAIVKRTEHLTQIIR SQHWIFPATNFTYPKILKWIFEYIPLALKLHRLHIFLLAENGFRMFPMTDRAARLRQK RRIEVEKYMKETAPAKYHDILIPDFEIGCKRRIFDDGYLKSLHSENLSLTTAKILEIV PEGVRTSDGIVAADVIVLATGFKTNQFTPFMEIVGRNGSLDDHWKRYDGPEAYNCSAM SGFPNFFLLFGPNAGTGHTSALMAAENSVNYALRVLKPVFEKGADSVELTQVAEDEYS QRVQEALRNRVWNAGCQSWYQNDKNWNAMAYPWSQAYFWYRSLFPINSHWNVRTRNPK KFRIGKYWTILPIILSLSFLASRTGNTLAIGPTILDFGKNMLSSIRHATISGFKESLI RGAKGLF AFLA_041670 MSPIFYLKVYVIRLLTRLFAWLDRRGGPLRPPGPDLTVNIPSIL SESKGSFDVFFYFPPGYDRNYPKGNGFPVVLNFHGGGYCVGHARDDERFIAELTSRGA VVASVNYRRAPESPYPVAIEDSLDALLYIWRNAASLNIDKHRTVLAGSSVGGHLAFTS LLMLWKRMKDKRLQIDPSNLGTVKGIMAFYPVMDMTKSRAERAQSNPAFLALKKKPAS SKKFIGSVFDEAFFWKLKEKPDKGFMYLSPGLAPEDSLKEALPPIISFKLAGLDYLLS EEKEAVRRLGLLGKKVDCEVVEGVSHYWDHMARTPEMKELRDKCLGKAAEEIEQMWQS AFLA_041680 MSEAVLNSEKSPSSSDSSGTAVVGTDGESKIPHVFNEQTNYIFL ACSTVDLIALMDQTTLASSLSIIGNALHASDKASWISGGYFVTSTCFQLIYGRLSDIW SRKLVLFVGLGIFFIGSLAASLSQTATQLIVFRAFTGVGGGGLMTVAQMIVSDVVPLR ERGKYQGILGAVVAIANGIGPVIGGALSSINEDSWRWIFRLNLPLTAITTLCVLFFMP LRKVTGDWKMKLKAIDFIGAFLALGSTAVLLLGLTWGGGEYPWASAHVIATIVVGFAV AVGFVIWQWKGATYPLVPMHIFKSRIVNGACLTMFINGWNFLVQVYYIPTFYQLVFGY STVKAGAMLLPVTLMQTVSSTVSGLVVHWVGRYRECILFGWMIWAVGLGLFSTLNESS GLGKQIGYGLLTGVGVGNTLQPALIAVQAGVERKDMAVVTSFRNFVRNLGGTLGLAIA GTIINNLITSSISSLGLTQSETRSFLSSPQNYLSKLPQAEAERARSLLIPAYQKGFRI IFLIGAALAAVAFVLAFWLMPQVTLNRADDEKLKEEGRKRVKGEGKCDEEVNEEERR AFLA_041690 MTNLNERNVYFGRDSLKKYFDPDCQPPLPLVELPEHLNPYHQDG VRVYAKMMTMHPANNVKAMPAMNMLEKSVTPGKTNTIIEYSSGSTVISMSMIARVMHG IQDTRAFLSNKTSEAKLQLMQFFGLNITLFGGPSQPEPYDERGGIQSARRMAMDSDSV LNPNQYENDHNWQSHIRWTGPQIYKQLPEINVLCAGMGTSGTMTGLGTYFKEAKPSVL RLGVCTAPGDRVPGPRSFALMKPVEFPWKAAVDVIEEVNSSDSFSLSLDLCREGIVCG PSSGFNLQGLFQMLEKRKAAGTLSEIAGPDGYIHCVFLCCDLPYQYIGEYFQKLGADK FHPIQNERLTKVDLYRYDESWERSPVVLFTHFYNTPNVLSECLLSDIKLRPLCCVLDL RTTADFASWHLPGSVNIPLRSLDSHTVKPFSDPGVLEAQWSELEAMFKDPSVITKLDS HHVLVICYNGDTARVATSVLRAKGIEADSLRGGYQALKDHGLWGSSGVESVEKNTYPT TTTTELSVSTN AFLA_041700 MHQYKQDEAAYGERRRPLGDTSHTKVTNYLQFGTVLLLFNIWKG QMVEKWNTSVVYWTGVAALITQAYLTFMSLPSIRNRYYEFFKATHFLVALLFLLFFFF HCDFRLTSWDYFVAGGAIYLFSLFAAWTRTHLINGRHSATIDLLPCGFVRIRIPTIMS WRPGQHVFIRFFSPQLGLHCLTAHPFTICSLSHDPDKVGKASEIVFYVKPRHGITARL AKIAAKSPVFSQTVLLEGPYGGISDTASPAEFDTVLVIAGGSGGGFSLAIVEEALRVY GNMPDLQQRNIQVVFATRNSKVAEWYREEIGDRVSMYDVPDKRISVSIHDTSLEQAEK PVSAPGDKEPSNEITDEIEPALSEKGHDVAATNKHMSTVVHGPRPDLPCLINTATSTG SHRMAIFACGPASMLHDVRNAAAEAQKRALRGGAEVYLHTESFS AFLA_041710 MASTATTVPTQDHVLVPETLLKKRKSQEQARAVAREEAEKKKAA SKEKRAAIFKRAESYVKEYRDAEREKIRLARVARKEGNFYVPEEPKLVFVIRIKGINK ISPQPRKILQLLRLLQINNGTFVRLTKATQEMLTIINPYIAYGYPNLKSIRELIYKRG YGKVNKQRVAISDNQIIEENLGKYGIVCVEDLIHEIYTVGPNFKQANNFLWPFKLSNP TGGFHKRKFKHFIEGGDYGNREENINALIRQMN AFLA_041720 MASRRGVGLGAFANRSQASQSYANHGANLRSTHLSSLQAQLSVF QSLLHTFALEHSSTIKSNPTFRAEFARMCNAIGVDPLAASNVRGKNGRKGMGEGASFW TQIMGGDMNDFYFELAVRIVELCRDTRSENGGLIGVEECRKRVGKGKAIGSGLEVTDD DVLRAVKALEPLGSGFSIVRVGSKQYIRSVPKELNTDQATVLEVIQVLGYVSISMLRV NLNWEKARAQTVIDDLLADGLVWLDAQGEENEYWSPQNLLDDSG AFLA_041730 MSRFFYGSGSDSDSSSDEEELLTDREEEEKSEEESSEEEEETSE EESSDDEGETGANRFLRDASESEESEDEEKVTVVKSAKDKRLEELEGIIKLIENAEKI NDWAVISSEFDKLNRQVVKVTQSGPVPRIYVKAVADLEDFVNETITKQKSAKKMNASN SRGFNAVKQRIKKNNKEYATHIEKYRSDKDGYMEGKEEEAKPAIVAPRLTKVERVVEA PAAATSTDDGFATVGRGGKTLQYTPESILKHLRVIVESRGKKNTDRLEQIKTMEKLLE VAQTPYQRIRVYLTLLSTRFDISSTSSANYMSVDQWKLAEKELAALLSVLETNRDHVV TEGAEEWEDDEKQPQVKPGETLHIPGSIVSHVERLDDELTRSLQHIDPHTAEYIDRLS DEKQLYTNLVRVQAYIEGLVEAEKSDMRQDSLNRVVMRRLEHIYFKPSQVVTILEEGT WKALPSELDSKVTPRGNAGDVTGLVQTLCNYLFTYSDGIIRARAMLCQIYFLALHDQY YRSRDLMLMSHLTENISNFDVNTQILFNRTLVQIGLCAFRAGLIYEAQNTLSEVCGSG RQKELLAQGIILQRYSTVSPEQERLERQRQLPFHMHINLELLECIYLTSSMFLEVPLM AQTSSSPELKRRIISKTFRRMLDYNERQVFTGPAENTRDGVIMSAKFLAAGDWKKAAE MLNSIKIWDLMPQPDKIKEMLSQQIQEEGLRTYLFTYAPFYDSLSISTLSNMFELSEK KIAAIISRMISHEELAAALDQVNDAIVFRKGVELSRLQSQVVTLADKSMNLLEANEKT LEQRTQGMANAFQRDQGAGARGGRGPGRGGQARGGPRLPGGQQGRRPGGQQFSGGALG GAIKA AFLA_041740 MDSQWQPYQDPLMGRPAQLNNGLTSNPPQLGPKYGGQPQQSQPP VGYTYEAFQTPGIAAKPASTGMNSKSVSMASSPAATPRSRDYVTDADTTMEDADPYNR AKYSARPSHHSRPSSQYFPTEESSAARRYSPMNVLSPSMPYNTSPGKPHNAYAFPPGP NQTRRSPTRVPNYSSPPQPFQSPPSGSRAPRLPPLQPTDMSPEQFYPPSAGSQLSAPF GQDGRSPRSASISGGSQQPGRGPVPKFQKIKSVQELKPRVNAQPPFRRANPEGGFISP LQALTTHLPATYRICNPGFNYESSRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDIL GSEEAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNKTAYFNQSMMEVSV LDLLNSRYDKNDDHHLLRLKDTFIHRQHLCLVFEILSVNLYELIKQNQFRGLSTTLVR VFAQQLLNALSLLNKAHLIHCDLKPENILLKNLESPIIKVIDFGSACDERQTVYTYIQ SRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVCRIVEMLGLPP TWMLEMGKQSGEFFEKTQDEFGRKTYRLKGLEQYSREHGTKEQPSKKYFQASTLEEII RSYPMPRKNMKQAEIERELNNRVAFIDFVRGLLSINPLERWSPQQAKLHPFITQQKFT GPFVPPMNLKYSSLNKTVAPGIQQQQQAEAASKQRAAQAAHAQSAAQTAYSMQLNQFH TPTHAQPPPPMYNGVFTGHQQGAPPPYPTQQPPGYGHQMNLIPGQMPQSQYAPSQSLY AQATTRAGRQRASTMDPQGGGIPPTIQRVASHLDPNAPIRLQPSPAYYPPPPDGYVDA GAANQRRRGSRAGGTRNRDFIRTLEDGVLGGDGFMGQNQWH AFLA_041750 MSQPIGTSARVRLHNEIQKLETRNAALANDLKEIQQRINERHEG QESGGPAMGTGRMPNESRRDYLIRTGKITPFSKMSTGPNEGPLASLHDALIDAEDERD EREALEQVRNRSAVSHRNLVRPSFGFDEASEASMEGDGHPKKRRKLTQRPKDRETTMD SEEMDETVTPVEDVASDDGSASYVASEEEKSPSEDEEDFVPEGTPPRSASRSKAKKTP DDVEDFSGLDDGNEKVYQSRLQSWVTRRSAARKRALQGSTDAHEHEEQEEWFMPHPTV PDVDYDNGYRIPGDIYPLLFDYQKTGVQWLWELQQQQVGGIIGDEMGLGKTIQVISFL AGLHYSKKLTKPVIIVCPATVMKQWVNEFHRWWPPFRVSILHTSGSGMVNIRNESSRE DALLSQTWDSRRSLGGLKAGRKVVKRVVEEGHVLVTTYSGLQTYTPLLIPVEWGCAVL DEGHKIRNPNTSITIHCKELRTPHRIILSGTPMQNNLTELWSLFDFVFPMRLGTLVNF RNQFEFPIRQGGYANASNLQVQTAAKCAETLKDAISPYLLQRFKVDVAADLPKKSEQV LFCKLTKLQRQAYKSFLGSEEMQSILRGRRQVLYGVDILRKICNHPDLQSHKLTSHKA GYGNPDKSGKMQVVKSLLELWKDTGHKTLLFAQHRIMLDILQKFVESLSGFNYRRMDG TTPIAHRQSMVDEFNNNPDLHVFLLTTKVGGLGVNLTGADRVIIYDPDWNPSTDVQAR ERAWRLGQKRDVTVYRLMTAGTIEEKIYHRQIFKQFLTNKILKDPKQRQTFQLSDLHD LFSLGEDGQGPTETKGGSTTTQQTCTGTRVQSHPRNQQDEKKDVSRVEGIASIENFQG DSEPPSDRDQGPPGANKESRIMEGIFARSGVHSAVEHDQIVNGKRVVRADPKIIEAEA KKVAAEAAEELRRAGEAARSVPIGTPTWTGQFGLAGRPEEPAARPAFGGGSSSARRAA AGPSSASILANLSARTPSRSGSNSPAPGKAPSGTEFITMIRDFIVSHGGSVHTQMLID HFNRFCTTPQRSAEFKEILKTIAVLEKGGRNGRGKWSLKAEYAKKR AFLA_041760 MKFFSSLALLVSAVAANPILRTRDTPKNFHLKTTGADNSAHNDL YVYGYHTGAGFNDAVLTSDVGTASPAFLNGTNVQFSLDTPFPWGLVMRPQNNYGAWEP VEINTGYGSGSFSINDDSLEWSEQQGFGGWLVCDWYHNAPQLFYLYKYREPTIPSSCS KVQLAVEPVS AFLA_041770 MRSFALLPVLCLLVLLGLVSAWPHPHGEVVARAETTSAETAADK ATTGAAATTEQSATKTESQSDATETGKKTGKETGTATGTKTGTATGKSTKTGTDASST SIDPRDPVGGISMLTSAGATTYYKIGEYVTFQWKYTSLQVTPSAVNVVASCSKNSETY TIASNMSVSETGKVVWDTKKYQSNATVPLLTATYTLYVYDVNSTLGDTASAGHLGSQI GYNFGMYTPQSYTPLDHYICATCNSALTSTERNAIKFAVGMAAITVASFTWFASGTGA FST AFLA_041780 MASTSQAAPKFPTVPVVVPKVEFPSKPGQPKVSETKPNNSNTNQ TNVIKSEGDNINVNTTSSPAIKQDSDASKQVSESEMQLAAAGRAVPRSPQMLYEQLSQ AAKAGVAEVTEFQNMWRSPEMNAVWERVDAQIKENGGQLLQPTGVWDRNYGTLLEELV KEENARMEQQRKSEEELERSRIQSTEGGWRAIVDSFIQKNVPGVRVLPSKSETSVTVV LPKAGMTFKVHTIGGSEVNGVPEWQVSSRTMPGQVKTKLESAVSDCLNSRSRQWDLTY LLDMISSYSDVKQTPCVKCGRMTDNAAQLPAVRQQKQSSDTQQPPIWEAYHPSCI AFLA_041790 MLAQIAPLFGALCITFLVLQYLQRLYQQRKRAQSLGCQPAAQGP SGIFGIRSFVRLLNEVRHKRWVEYIAGQYGRYGNTYTQKALGKWMVSTIEPENIKALL ATQFNDFGLGTRHREFYPLLGDGIFTLDGPGWSHARGLLRPQFTRDQVADLELMDGHI SRMIDLIPQDGSSFDIQRLFFLLTIDSATHFLFGESVGALESSNSASLLGRSSVGSAQ GFAEAFGTAQDYLTTRSRAMHFYWMVNPKEFREANQRVHEVVDHYVQLAIQSKNNPDK KSDRYIFAEALAADNDDPKVLRDNMLNILVAGRDTTASLLSSAFFYLSRNQDVWKKLR QTIIDEFGDSQNPKGEITQAKLKDIPYLRYVLNEVLRLLPPVPLNFRVAAKDTSLPVG GGPDGRSPVFIPKGQVVAYSVYAMHRRTDLYGPDSHSFRPERWEENGRRGWDYLPFNG GPRICLGQQYALTEASYTLVKLVQRFDTLECADPELKQPAILSTLTMSHDRGVKVRLS SSVPKC AFLA_041800 MRFDLFLILSSLAILAGPAVGLGLFRGSRYMRELQLAAELNLDP RSLSKKNTVHSVLAKANTQIEKVTTEYITIPIDHNDTSVGTYQNRFWVNDDYYEAGRP IIMYDAGETNAESIAKNHLTSSLSFFRKILEDTHAMGIIWEHRYYGNSTPFPISRDTP PEHFKYLTTKQALEDIPYFARNFSRPKFAEHDLTPSSTPWVLVGGSYAGIRAAFARNK YPDVIFAAYSSSAPVQAQLNMSIYYDQVYRGLVGHGFENCAKDIHAALGYIDQQLSNN HTAAAIKKLFFGPGADQNSNEGFTAALATIYSYFQNYGLDGPEGTLRELCEHLEVDPT TKEAAGPDGFAPVRGSKHVAERWAAWPAFTPLVNNFMETNCRGLSDPAKPSCKLDMTY YDPDSISWSWQYCTEWGFYQSSNFGPHSLLSRYQTLEYQQEVCNNQFALAVANGVLPS YPQTEALNKEYGGWNIRPSNTFFTGGEFDPWRTLSMLTTEDIAPEVAPDGITFSTKIP NCGETSEDKVFGYLLKDSEHCYDFQGLSTEGKAARDLFKEALTKWLPCFEPSSSKASM VNVTQAEITKGAVMWGKREGYQMWSG AFLA_041810 MKLLGTAEAEVGWGWDVRLSLATVCNHQPTFSEMHLIDSDGPFQ DKTLSSYY AFLA_041820 MLSRTILFSTSFLWVRVANAAFGITTSDDSYVIDAGSANSLKFT VSRSSCDITSINYYGSELQYSGTGSHIGSGLGSADVSAVEDGDYIKVTCDTDTLTQYF VVHNGDSVIHMATYTTEEPSVGELRFIARLNSELLPNEEPFGDVSTTSGGEAIEGSDV FLVDGETRSKFYSSQRFIDDQRHCVAGDAHRVCMILNQYETSSGGPFFRDINSNNGGS YNSLYWYMNSGHVQTEDRRQGLHGPYSMYFSRSGTPSTDIDTSFFANLDIKGYVAADG RGTVSGTASGADSSFKWVVHWYNADAQYWTYTSSDGSFTSPAMKPGDYTMVYYQGEYK VAETSVSVTAGSSTSKDISGFVETGDTIFKIGDWDGTPTGFRNAENQLRMHPSDSRMS SWGPLTYTVGSSELTDFPMAAFKGVNDPVTIKFTATSAQTGAATLRIGTTLSFAGGRP QATINDYEGSAPSAPTNLNSRGVTRGAYRGLGEVYDVNIPSGTIVEGENTITISVISG SSGDEFLAPNFIFDCVELFQ AFLA_041830 MAPAYIVFVGVFVHLPRSTSKALAIQQGALWVSVADGRIKGADW TVITESDLRDFLKEKSWVLEDAPRYKSGTRVKIVRAREEHNEFFFPGFIDTHIHAPQY PNNGLFGSTTLLDWLKQYTFPMEASFGSSLSNIPSPRAFRVYNQVVSRTLANGTTFAS YFATIHVSATNLLATLCHKRGQRALIGRVCMDNPNFSEPYYIDPSTEESVELNKEVIS YIHSIDPQGTMIKPIITPRFALTCTPKAMRGLADLASSYEPPLHIQTHISENTQEILD VHCQFPSAKSYADVYDTYGLLTPRTILAHGVHLSPDEQDLIHDRGAKVSHCPASNSAL GSGICPVRKLLDKGITVGLGTDVSGGYSPSILEAVRQACLVSRLLRHVEPASEGDEGK KLVLSVEEALYLATRGGAAAVNMENDIGGFDDGMLFDAQLIHLGRFLPASRYDNGESQ VDVFGWETWEEKIHKWVWSGNDRNVKGVWVGGKLVHGEDAVEGEIRSGWWTWVLSAGV VGVGAVVALRRLRL AFLA_041840 MAASWCALRGTRQLALRTRLRSAPSPIALRRATPSSPFRATHRA LHTATQQPSRRPVYTSSVADHGDPHPRDLFQPLDTFPRRHIGPSPDAAKEMLATLDPP VASLDEFVKQVLPADILSKKDLKVTDPHAKTNLYRDNVGGGLGETDMLKLLDVYRKQI DVSGKTYIGTGYYGTIVPPVILRNVLENPAWYTSYTPYQPEISQGRLESLLNFQTLTA DLTGLPFANASVLDEATAAAEAMTMSFATMPASKQKKPGKAYVVSHLCHPQTIAVMRS RAEGFGINLVIGDILADDFKLVKEQGDNLIGVLAQYPDTEGGIYDFQALSDNIHGAGG TFSVATDLMALTVLKAPGEFGADIAFGNAQRFGVPMGYGGPHAAFFACVDKYKRKVPG RVVGVSKDRLGNRALRLALQTREQHIRREKATSNICTAQALLANMSAMYAVYHGPTGL KSIAQRIMSMTSTLQAKLAALGYNVPIKTNVADGGAIFDTVTVELPTSQEADAIVAAA REQSIFLRRVNSTKVGISLDETSGRDEVKSILQVFATHASKGEVSIDGELGISPLPAS LERTSEYLTHPVFNTHHSETEMLRYIRHLESKDLSLAHSMIPLGSCTMKLNATTEMIP ISWPEFSQMHPFLPADVAKGYTQMIDDLEQQLADITGMAEVTVQPNSGAQGEFAGLRV IKKYLEAQGESKRNICLIPVSAHGTNPASAAMAGMKVVTIKCDTKTSNLDLEDLKAKC EKHKDELAAVMITYPSTFGVYEPGVKEACEIVHQHGGQVYMDGANMNAQIGLCSPGEI GADVCHLNLHKTFCIPHGGGGPGVGPIGVAEHLRPFLPSHPASEYLQSKRTESSSPPI SAAPWGSASILPITFNYINMMGAKGLTHATKITLLNANYILSRLKEHYPILYTNENGR CAHEFILDVRKFKETCGVEAIDIAKRLQDYGFHAPTMSWPVSNTLMIEPTESESKAEL DRFCDALISIRQEIAEVESGAQPREGNVLKMAPHTQRDLLSNEWNRPYTRETAAYPLP YLVEKKFWPSVTRVDDAYGDQNLFCTCGPVEETD AFLA_041850 MVLIFFVPYVIFQPPMTVITRKIGPTYFLGTIVILWGAILVGMG FAKNWKHMVATRALLGILEAGYFPGCVYLLSSWYTRSLTGLVDVQKRFSVFYLIGCVA SALSGILAFGLMQMGGLQDLEGWRWIFIMEGVITGVIGILTITFLVDFPDKAHKSWRF LNEKECAFIVRRINRDRSDGDVEPFSLKRFLSPALDLKIWGFAMIFFCTTTVTYAIAY FLPIILERGMGFSTAAAQCLIAPPYVFAGIVMYATAWIGDKYRLRGAIVVFNALLCII GLPIMGFAKGNAARYVGVFFAVAGANSNIPACMAYQANNVRGQWTRAFSSATLVGFGG IGGIVSSLVFRSQDAPGYRPGMWTTIACNLLMLVIVAAMSLWFRRSNREADRGERVIE GSPEFRYTI AFLA_041860 MAKVPILLGTNTDEGTSFGTTGTDTDEECIEQLISSKRWVLNRE QATKLLTFYPNDPALGCPYGWGNTTWPNLGLMYKRYESMAGDLTMVGPRRLLAQNMVK YRNEIYSYRWDVPALNTSSTIGVGHFAEIPFVFANPVQNITALGDDPARLELGNLAAR MWTSFVVDLDPNGHNGMCLIIIEKLDIGRNLTYAVANIPQWPQYSQETSNFVFRLPKD GSYIETDTYRADGIDYINSIPR AFLA_041870 MTGIMKVFSEQLSWNLEMPMGLPGMGQSGTSQCTIASRTKQGMI IFDVSRHSANEIKLFFFIGHTSMPTRCTLRNNIRCRI AFLA_041880 MACHYQKLLWVALTLGVSVSALPGSSKATRADGSPSPPVATIKS NNAQTETVFVGRSLPEFDQELFLGIKYADKPVRFTPSSLKTSYTADDSDSGAYTASMD DLNISQEAVLYNATEYGYECPGYGSDETKLVDMGLIQLNEDCHNLNIIRPKLQPNETQ LLPVMLWIFGGGWQQGATADPRCLLGSSGRYNMSYIVRQGALNDKPVLGVSINYRLAA FGLLDSEEVRASGNNNLALRDQRNAMRWVKQNIEAFGGDPDKVTIWGESA AFLA_041890 MGIPDDILLADAAGRIPSGMSLEYLAESRDRPAIVGIIFLVCFT GVIMIVRLYARIWLVKKLGLDDVLAILTMMIYISFVVLSLVLIDLGSGRHMDYIQYVL AMPTVRQTEVLDFVAHVLYTTALFFCRLSGLAFYHRLAARSSKLHLAIKIAAGFLFAA YLPQLFLLIFHCRPVTGLWPYPWQEEPISYNCLTWGLVYSVNSGVSLTCDVLMFIIPT ILIKELHVSMKRKAQLSLVMFPGVLVIVISAVRVYFVAVGQWADDGSWAYDPMMVIEN AEIAGTLIALSVPALKPVFGNIFTHLTEYTLSTRNRSNMKSQSKPTSGIKSRARDSKR LLSWSKHGYDDYEMMSSETNIPPSGPVQSTEPGSPGNKSIGVTTEVSIFHGQQEADQR HIV AFLA_041900 MVSKVFGRLLATGLVLANSVSASTEAANDDSLVASQVVTNPYEY DFPTLGATGASLFPMRLCNGFKLEEASIDAIQEQLGAGNLTSVELLQCYLERIHQTQP YLNAILQVNPDAFKIAKALDEERAQGKSRGPLHGIPFIVKDNIASKDRLETTAGSWAL LGSVVPRDSYVVHGLRKAGALLLGKAALSEWADMRSNNYSEGFSARGGQCRSAYNLTV NPGGSSSGSGVGVGANLIPFALGTETDGSVINPAQRNSVVGIKPTVGLTSRAGVIPES LHQDTVGTFGKTVRDAVYALDAIYGIDARDNYTLAQKGKTPEGGYAQFLTNKTALKGA TFGIPWKSFWALGDEDQISQLLELVDLIKQAGATVINGTELPHYKTIVSPDGFNWDYG STRGYANESEYSYIKVDFYNNLKDYLSEVENTKVKSVEDLVQYYQDNYGSEGGYPSIH PAFGSGQDGLIASLESKGIMDETYYQALEFCQRTTREEGIDAALKYKNRTLDGLLVPP DVAQSIQVAAQAGVPRDYRSGWRWQGVWHAFRTGDHEHCFLGAHLDQVCQCY AFLA_041910 MRSVFVNSPVRLGRISRPLLSSTYPVSQSSFVQCKRCLATQADR SAAIPESDRGKERVVILGSGWGGYTLSRKLSSKSFSPVIISPRSYFVFTPLLTDAAGG SLDFSNIVEPVRDPHAKVDFIQAAARAVNLEKKTVLCESTVVTSGVTETPRTHENERE SEEGPDTTSMRPMQEARKWEKGDFFEVPYDKLVIAVGAVSKTFNTPGVRHNAMFFKDI GDARRVRRRVRECFELAVLPTTTPEMRKWLLHFAIVGAGPTGTELAASLRDFIYKDMT ILYPALKDLPRITLYDVAPKVLSMFDESLSKYAMETMKKEGIDIKTSHHVEGLRWGEP GAEPPYEMDPKRCLTITTKEEGEVGIGMCVWVTGNAMNKFVNKALQDVETFPTASTLL KDGTHPPPELTKDTTWHIKKAPKVGALLVDGQLRVQLENADGKIAVLQDVFALGDNAM PETGAPPATAQATTQEAKWLATRLNKGDLQTSQPFSFHNMGTLAYIGDANALMQFPTE DDKPPKYLTGRMAWFVWNSAYLTMSMSWRNKLRIAFRWLLNNIFGRDVSRY AFLA_041920 MSRSRSSIASDSGDRLEPRLLRAAENDSVEALRRIIELAHENGQ YSDNFLRVGLMRSCERGCIAATQYLLDLLCMNLKDGNSQPSPLAANNRPSPLLRAVER NHVRIVQLLLDYGAPLETTDKDGRTALMTAAWKNHWHVLQLLIARGANVNAKDHRKRN VLHNLAADKHCNWGEDVIALLLKTVCEIDGEAGQDDLGRTPLHWACATGKLRFAELLL TRPHGPIANVNAIEFRNKSALHIAIAHDWDNIVHLLLRHGADIDSRSDGGWTPLHNAC DKGAKEIVRILLHAGAKINSQLLNGVTPLHLAAQAGHTEVVKCLLEYPDLKRRIRDNF GCTPFLRAAQFKRKDIVLLLAPFNNVDALSPDARGACEGFEATVVDFGNFRNENRVRK TSVFNLLYGRDPENPHKQAFTTVPMDSKVTDFRWIHLPANNMAWVEGLLTKNFIEEGA HDIESFKGLERSFHYQHRGQRTHSHFMRPMCQRTQRKQRFHEENEESPEEKVENKLPQ IVINGRLQEPPKSPANGGSNKAKKQKTQGGKSERADSDDTSNSSHGKKAKNHTKRGKS KGESMQKSKNDEQHRHPLSLCKDNGHSTTSNVCVFMPYLHFETAERRLKMQEAIQRAE TLNFQPGFTRSPTRDEMLIRAHLASSTASLHVRRTLDQFFYPNIDTQTRDQDQVVYRY QTMGQGRERYGTEPKIFMVDQLWMWIMGTDLIVTCFAQRWEQPKNDPLNVLDGIIEDI NSKTREPVRSVYDLASIITNRCSGVFDRHRMGDDEYQFLDMFESSIGIATDRETVLFN KFNRASAQASDWLKNHRKLSRFARNSKTKKDVTNRDGDKQFEEDEDEVPLFVDNLLDI GQEIDLLAEAKDIRDELNMIRTVLEHQQNVLLDLQDIIFGIYQVQHRSQYDIKRRFKE QQRNIDMHLKDIERMDKQAERIYSSITDLLDLKQKHANAFEARFARDQAAGTTRQGKI MMVFTIVTTVFLPLSFIATFFTMNLEEFPHNADGSEQLPLSYVAEYTFGIGVAISIPL ILLALTVDDIGDGCQEAFRRARRWMFHRKKKPRGRSMIEEPRPRTALGLDNVLSGTKS RRSVDTELAGSLLPISTRGTVRSLGKRSISLRRDEAYR AFLA_041930 MLSAPVKSAKRISSLFSLGSSKEASIPSSPGSPGAPKASPDQQT QDGRYRSSSRSARLASNPISVDYSESRTPISPIPNDNFDLDAPLPPPPSLLAVNQDLA GSAPGSPDSRPQSRGRIVSGSRPSSSAGLFVPGTGPDSRPGTPSKRRSWIPGRARASS VDTRTAPTSPSLPSAWIAGLDQKILYDLEPLSRGEQIPELWNENGDTYVYLFPQNTGR PASFRVDSTVFAESPSLNFLARGTDPRTPGSIEQQTRNLSLASPVMGPASPPLTPQDR LPDNDNDSTSSRRMAFVEDDGQDEIQELHLYLPIPLNSDVSNPNSRISQEDTETLLLF RNLFAFLLGQSLIATPRSPSLFAIFMDVATLLSRFEFTNFDGSNFGETATTSFGNYCD ELHLADVRKSREKTIEAIVLGERLRFFPLYLEGFVHGVGKLDELKQLRSPKFGLISAA TQKRLERGFIDLDTRLRVLYGKLNDFDFPSAFAGAANSTTSAESKVIRFKAWKAAFMD MRRHTIQYYRQRYGSWPPKARSKKNQFEENGLNRRVLMELYSDFANLYDMLVDRSSLT TRTVDMGADEVAEADDLNTMTNRALRQVLSEYDRSTPPVQPPIPFDIPQFPSLQPLQR KPMDAKKEAKKNAKKLKDADINAVLMGSYTRESLKPTPFIESFMQFERRCGHGKTIND LIDLRCGQWIFLYCVIQSLPLLVVDVQDVKYTDGVEYFCCIAPRGGAPWIQNDGKVAR SWFGVAGGAGVVSLPSDVVINGVEGIYRRSHCWQVAEQWAEKDAILAPPTVEDPYDNE SSLSSPYQAQQSSAGSSAEHQQQQPTPLLAPGGNGLTPPPVIPRTNSPALRSRAEHRH SIYPGLEALPLPAGVAPIDPPARPISRFNPNMSFDDILKQVPNQKGKK AFLA_041940 MESPEEVNLFRGWPNPALLPTDALAEASATVMASPTIRVPALMY GPDEGYQPLREHLAQWLTAFYQPRHPISSERICITGGASQNLACIFQVFTDPSYTRNV WMAAPTYFLACRIMDDAGFAGRLRAVPHDESGLDLTFLRQELVKAEEKAQAEQRLEPI YKLPRPWAKVYKHLIYATPTFSNPTTLTMSLADREGLVRLAREFDALVVTDDVYDFLQ WSPDPEQPLAQPDKAQIPRVVDVDRYLDGGPKDEWGNVVSNGSFSKLIGPGARTGWAE GTEKFAYGLSQTGSSRSGGAPSQFSAAIIAQLFPTGFIQTYVDQVLRPRYAERYYRLI SAVREHLLPLGVTLPSTSLEAVGGYFVWIQLPPPLQADDLATVALREYKVNVIAGNRF RVQGDPDTRRNSFNRSIRLCFAWEHEEKLAEGVRRLACAIRSALK AFLA_041950 MASLHTTIMLFLAHVLLLLGLPAGMVGAVPLGQETDITTNLAAR AASEYWVGTIKRQGAVAFGNGTDYQVYRNVKDFGAKGDGSTDDTAAINQAISSGNRCG KGCDSSTVTPALVYFPPGTYVVSKPIVQYYYTQIVGDAVNLPVIKAAAGFAGMAVIDA DPYEDDGSNWYTNQNNFFRAIRNLVIDLTAMPQGSGAGIHWQVGQATSLQNIRFEMIK GGGDANKQQGIFMDNGSGGFMSDLTFNGGNYGMFLGNQQFTTRNLTFNDCNTAIFMNW NWAWTFKSLSINNCQVGLNMSNAPQNQTVGSVLILDSQLTNTPTGVVSAFTENSIPIG GGVLILDNVDFSGSKVAVAGITGNTILAGGSVVTNWVQGNGYLPGSAKQKREASVKVI TQTVTETVEVCTADYTDSPSAPTALPSSLGESRTAGLLPTLPLPNIPLLSGLLSGSQS SATQPAGVLSSEVPEPTATPSTPEEAEPSTEVQPTPQPSAPAQSQPETPVESTVAAPL IPSQPSPTVQGSSSVVTGPASSSVAHATNQCSVKTVTKTRLQTALPTHAKPSSLLNGG KVYERSKPLYTSYDASSFVSVKSAGAKGDGSTDDTAAIQKILNSAKEDQIVYFDHGAY IITDTIKVPKNVKITGEVWPVLMAYGQKFGDEKNPIPMLQVGEVGETGSVEITDIALQ TKGPAPGAILMQWNLAESSQGAAGMWDTHFRIGGSAGTELQSDKCAKTPKQTTTPNKE CIAAFMLMHITEKASAYIENSWFWVADHELDLPDHNQINVYNGRGVYIESQGPVWLYG TASEHNQLYNYQVTNAKNVFMGLIQTETPYYQANPNALTPFTPQTNWNDPDFSYCKTD GCRKAWGLRVQNTSDMYVYGAGLYSFFENYGQTCLATESCQENMVEVDCSDVHIYGLS TKASTNMITSNSGAGLVPQDENRSNFCSTIALFQQS AFLA_041960 MDESHLRQSMSHHPSPFNSPQPPHSHIPSTLSYNEATTTALEPQ ASQHRTGNTSDEEGQPPPRYTRENDPFQLASKIKTDEEIRQIHPQANTARKRDSCGPG GLLRATKNPKKALDTAISSRQLQGFYHSQNENIERMLKPVEEHVRDAREISVNNQLKY KIAVYGSFAANVILSVLQLYGAIASGSLSLFTTMADAVFDPMSNLTLLLCNKAVNRVD PRKFPAGKARIETAGNICFCFLMTAVSFIIIAFSIRELVEGSQSETSDFHLPSVVAVI VAFCTKFALFVYCFALRHQVSQIRILWEDHRNDLFINGFGILTSVGGSKLRWWIDPMG AIILSVLVSCLWLYTAYHEFQLLIGVTADTKMQQLITYISMTHSPFITAIDTVRAYTS GPRLLVEVDVVMDPNDSLRATHDVAEELQMKLESLPDVERAYVHVDYETTHKPEHFLK KEL AFLA_041970 MKQTGTILALAGLVSMAHGHGFVTSPKARMPGTAFQEACGQQMY NNQQADNYGNVQGELQIASSQNDYDAAKCNAWLCKGYKFDDNKDNVFQYTPGQNVDFT VDIRAPHTGVANVSVVDTASNTVIGEALKSWDVYASTESGVKETDKKFSVTLPSDLGS KCSEAGACVLQWYWFAESIDQTYESCIDFTMSGSGSGSSSGASSGASSSAASTAAATA SSTATGVAVTATPTTGNNVAAPSGFATSAKPSATSAPSSAASTVTIPTDGTAEEKLNW IASLLQTLVKYTA AFLA_041980 MKRILTSFSKRSGPTPEGPSSYAEDSPEGIVLREVQGNEFVHLP AIVESAESSPNAAREAAHRIRKLLSDPASTPANVQYNAIMLIRILVDNPGHTFTRNID AKFVATVKDLLRQTRDSNVQGFLRQTLDALEMQRGWDEDLAPLLQMWTREKTKLKRTN SGPVTLAVRDHATRCFQCYPPTGAAAGYAKPLYTSSNAPGGVKPQRDFNADEQRHGPI GVSVGGLSEGRTEGTANDSWYDPYQRPIQRA AFLA_041990 MPRAKKRTTTRLAISKFQRPTERKATKLLTRHRGPPRSATTSRW IFFVFQVRYAAQDIPAAKSARARGSYLRVSFKNTRETAQAINGMKLQRALTFLDNVTN KLEAVPMRRFAGSTGRCAQGKQFGVSKARWPEKSAKFLIDLLKNAEANADTKGLDTGN LVVKHIQVNQAPKGRRRTYRAHGRINPYMTNPCHIELILTEGEEVVQKGPVAKEAHLS SRQRGLQVRRAIQA AFLA_042000 MPSALLIGEITHARKEWEELSSILTLTEFPSGTREDFIRNCKEG QYDDVLVIYRSNTSTKFTGPFDAELLAVLPKSLKYICHNGAGYDNIDVKGCTDKGIAV SSTPVAVNHATADVGIFLMIGALRQAYVPLSALRAGQWQGQTTLGRDPQGKVLGILGM GGIGREMANRAKAFGMKIQYHNRSRLSPELEGDATYVSFDELLASSDVLSLNLALNAS TRHIIGEKEFQKMKDGIVIVNTARGALIDEKALVAALDSGKVLSAGLDVYENEPVVEQ GLVNNPKVMLLPHIGTMTYETQKDMELLVLNNLRSAVEKGKMITLVPEQKNVF AFLA_042010 MATVTDTVACQALVLHGAKDLRMGTKPVTAPTGSEVQVAIRATG LCGSDLHYYNHGRNGDFVVREPFCLGHESSGVVTAVGPEVTTLQVGDRVALEVGLPCR KCVLCKQGRYNICPEMKFRSSAKIFPHLDGTLMELTNHPAEMCHKLPDSVSFAGGALV EPLAVCLHAVRRSHPPSKEEVQLAESLGDQSAALIFGAGAIGLLLAGALATAENFSNI VVADIDPARLAIAESLNLGLKTALIPKADPAHPPPAKDAPHAEQTAYALQNAQRVAAT LKDTIGLTSGFSRIYECTGVPACVQAGIYAAAPGSVLVQIGMGNPIQTLPVGAAALRE VDVIGVFRYDGHAYPAAIALVASGKFNRVEELVVTHRLPLEQGERAFALAGKGVDETG RPVVKVVIES AFLA_042020 MEQQNDISGRLALITGASGGIGAACARQLAAKGVHLALTYSTNV SSTSSLAEELKSKHSDSYSLRVSIHKVDVSSADEIQRMFEEIDQQHNKRPDILVSNAG YGKRVPQVWDISLEEFDYTINVNLRASFILVKGVVEHMKSQRWGRIVFMSSIAGQGGG INGCHYAASKGGLTGMMKNLSTRLAEYNISVNDVAPAMIGETGMIPSAAAIPEVAAGI PLGRLGLPDEVANVVTMLVTTGYMTGQSLLLGGGLK AFLA_042030 MEQEEQSSQPESTPATRPKSRSDHLKRVSRACLHCRQRKSKCDL DSNGNPGVPPCQRCLRDGRECILGSSNRGGRRIRKNKMKNFTPDTTLSGRRESIVESI SPTASDNRQSTNTSYPGPVVFVPPNPTTATSISVDDEDTASIGSVPRNPSDAWQCLTG IAKRGDDGPTPETNTDSLRTTHSAFSFGALQNGTVADFQPNSGIKAYRLVQSRSLDPG TVWQLVARYAENFHPYLPLVPRKYFNRSALDSFATNEKHLLTAVLTIASKDLVERPDI HEYCSKYMHELISGIAAGADCDVEAVEALLLLAEWEPQGLRPRIERVGRGEEDRAAWM HVGLALRSGYFLGLDRTSFRGDPSGDTETEARRRLAWTSCYISDRLISVRIGRAFWSR GPGPMTGLVSQDFPSLQPIKDGDEDYARIFQATLDLTQLYGNVHDVLYSGMRTSNQMM LMGDYVKYVDDFRLAILRWKSLWGSLSCSTPIQATLQLSYEYLRLYTNAFAFQAAISQ SMVSKLKNDQSQREHLRSTFNDVASMQDARFIYESVDAAKAYLTILVDLVHPEKHLHF MPLRFYLLDRLELCQMARKQNAGPDDIGSRYSRLLELLWRPKAATIASPAGTHQSSDF QVQSSTIPHRLPEQSNYMQFSPANDFSWLDLEAVGDYVSGDQLPGANTLAFDSFQNPD PYQTGQDRSQAWQPNWPGDMTNLLF AFLA_042040 MSASLFSLSGKTAIVTGGTRGIGQAMAFALAEAGADIVLIQVRA PRDESNTSTRDEIISRIGRKAWIHVAELSSRDAIKGVIPALTSQGLKPEILLNCAGIQ RRHPSEQFPDEDWDEVIQVNLTSVFTLCREFGAYLLARDASEFPTGRRGSIINVASLL SFQGGITVPAYAASKGGISQLTKALSNEWVSKGINVNAIAPGYIATDMNTALINDSNR NAGIMARIPAGRWGSPDDFKGVIVFLASQASSYVSGEVICVDGGWMGR AFLA_042050 MDDLRRHIIPRGYGTNATYVYEFSRGLGGVNVPRDVIITRIIYV SVLALAFIVFCGRIAQISHAYLRHITSLNSSKRQQTYWSVEESDTWTNIKKHLLYSPL GRKRHNREIQLSSAINVGTLPSRFQTILILLYLASQVAYCTILDYRVNEKKALVAELR GRSGTLAVLNMVPLFLLAGRNNPLIAILHISFDTYNCLHRWLGRIVVIESVVHTVAWA VNAVDEVSVSDMLKRLCDTPFFTWGLVGTVAMVFLLLHSPSPVRHAFYETFLHLHQLA ALLAYVGVWFHLDLDGLPQKPWAIAIAAIWIFDRAARFSRLLYLNISPRKGATKLVVQ ALPGEACKVTFHLPKHVHINPGSHVYTYIPSVSLWMSHPFSVAWVDPCSSVTNAAEPE KFAKSQTSTGSMSPSLLEKQPVVDLNDYMRESQEPTSVSLIVSARQGMTRKLYNKALI APNQILHLSGYIEGPYRSHVSNMGSYGTAVLFSAGAGITHHMLYVRDLIIRATEGRVA TQKVYLIWSVRSTEHLAWVQEWMDEILRLPGRRDILTIKLFVSKPKSSREIVSPSATV QMFPGRCRPHVVLDEVIPNRVGATIVSVCGPGAFADEVRAAARDNIGKGAVVDFVEEA FTW AFLA_042060 MSLLGTINPNLNPARAVGAQGDAEGAAPVSGGEPMPIFEGNDSA RTSDTALDKLGKDESKYDEQIAEAEVTRLAQQLTRQSTRFSVSQNAENPFLETKEDST LNPLGPNFKAKNWMKNLLALTSRDPERHPRREAGVSFRNLSVHGYGSPTDYQKDVFNM VLQVGALFRAVTGTGKQKIQILRDFDGLVKSGEMLVVLGRPGSGCSTFLKTLAGEMNG IYMDDKSDLNYQGIPAKQMRRQFRGEAIYNAETDVHFPQLSVGDTLKFAALTRCPRNR FPGVSREQYATHMRDVVMAMLGLTHTINTRVGNDFVRGVSGGERKRVSIAEATLSGSP LQCWDNSTRGLDSANALEFCKTLNLMTKYAGATVAVAIYQASQSAYDVFDKVTVLYEG RQIYFGRTDEAKEFFTTMGFECPERQTTADFLTSLTSPSERIVKKGYEGKVPRTPDEF AAAWKNSEAYAKLIREIEEYNREFPLGGESVQKFVESRRAMQAKNQRVGSPYTVSIYE QVRLCMIRGFQRLKGDSSLTMSQLIGNFIMALIIGSVFYNLQHDTSSFYSRGALLFFA VLLNAFSSALEILTLYAQRPIVEKQARYAMYHPFAEAIASMLCDMPYKITNAIIFNIT LYFMTNLRREPGPFFVFLLFTFVTTMTMSMLFRTIAASSRTLSQALVPAAILILGLVI YTGFTIPTRNMLGWSRWMNYLDPIAYGFESLMVNEFHNTKWKCSSAELIPNYEGASLA NKICSTVGAVAGSEYVYGDDYLEQSFQYYESHKWRNLGIMFAFMVFFLATYLTATEYI SEAKSKGEVLLFRRGHYSRGAADVETHNEVSATEKTNESSDGAGAAIQRQEAIFHWQD VCYDIKIKGEPRRILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVVTGEM LVDGRLRDQSFQRKTGYVQQQDLHLHTTTVREALRFSAILRQPAHVSRQEKLDYVEEV IKLLGMEAYADAVVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDSQTSW SILDLIDTLTKHGQAILCTIHQPSAMLFQRFDRLLFLAKGGKTVYFGEIGERSSTLAS YFERNGAPKLPVEANPAEWMLEVIGAAPGSHSDIDWPAVWRESPEREAVRNHLAELKS TLSQKSVDSSHRDESSFKEFAAPFSVQLYECLVRVFSQYWRTPVYIYSKAVLCILTSL YIGFSFFHAENSRQGLQNQMFSIFMLMTIFGNLVQQIMPNFVTQRALYEARERPSKAY SWKAFMTANILVELPWNALMSVIIFVCWYYPIGLYRNAEPTDSVHERGALMWLLILSF LLFTSTFAHMMIAGIELAETGGNLANLLFSLCLIFCGVLATPETLPGFWIFMYRVSPF TYLVSGMLATGVGRTTAVCEKVEFLHLTPPANTTCYDYMSDYIGSFGGYLENDNATDS CSFCQISSTDTFLSAVSSYYEDRWRNFGIMWAFIVFNIAAAVFIYWLARVPKGSRSKN AFLA_042070 MSSSELDISQLSDSERSALDTYIAVTGQEPSEAIPLLRRSQWNV QIKSKIAISKFFDGEGPDPVEEARAALNTPPPRPNRQTQNLMTDDLTANFSSAVRAAD PAPRVETQPEGQPVYRPPLLLALLFTPINLLYRLLYSSFRLFGALFPFLPRFFNTTAS SALHGTRLNTNGRRPLGPKDTAARFIREFEEEYGTNTLPFLENGYNMALEKAHRDLKF LLVVLLAPEHDDTNGWVRDTLLSREVIDFVNDPQNNIIVWGGNVQDSEAYQVANSLRC TKFPFAAAIVHTPNVSSTAMSVVSRISGTTSPSEFIEKLRTAISQHKEPLERIGAARA EQQASRSLREQQDSAYERSLAIDRERARQRREAEAARQREEQEAAERQAAEEKRLHDL EQWKRWRVQAIPDEPSADVKDAVRVSIRLPSGERVIRKFAPEADLEELYAYVECYDIL QESHEKPTDVEKPDGFEHQYGFRLVSPMPRAVYEVSAGGTIREKIGRGGNLLVEPIDD ESDEEEEEES AFLA_042080 MTYVDCTSSNNSRRRLNVDSPSFTPSLLSSNGSSPTTASVTAKK AATISPKAANAAPFQPRNITSRSNTSTPSGRAETMTPDWSVAEVQEFVPQGFDTAHMA SLQGNSNGGVPSTSPFDPFVTASNPLSAASAVGPVQANPFAHDTAAAAAALGGAFFAG QSGFQQPVQYHLYAPIGPHSQNTLGYQRNVHDLFLPNDFREELQKKAAATLQTLPTIS NGADWKPDTQLPAQVDYFHSLVPLDLNHQKNATIFGFPSWVYKAQSSKDGNFYALRRL EGFRLTNEKAIRSVQAWKRVCNGSVVTVHDAFTSRSFQDSSLIFVTDYHPLSKTLAEQ HLGAGNRFQGRHNTHIPEQVLWGYMTQIANGLKAIHSNGLAARILDPSKVLVTGKNRI RLNACAIMDVVQYDTQRSIAELQRQDLVNFGQLIVTLGANTPSVMHNPTKAMEHFTRA YSPQLKNSVFWLLNGLQKDQDRNIDIFITGISSQLMSTFDSALHLDDELTSDLSRELE NGRLVRLVTKLNFVNERPEYEHDRQWSENGERYFLKMFRDYVFHQVDAQGDPVVDLGH VLSCLNKLDAGTDEKITLVSRDEQSCFVVSYKDIKKALESSFQALLKPTRRLH AFLA_042090 MKVHLWTVAATATLSSALFIPTSQQALKDLDLNNIDVNDKAALD DYLLQLSLLDAASEIQNSRLDDILPPIFDTVDAEDYDYDYFDDDDYDDAEYFPLDITE LPPFSQLHPPHHPPSDKTIYELITESKYTTILAKLIKEDEELVHLLNSTEANHTFFAP TDDAFEKIPHHDDHKPPKELIRAFIKYHLVPGLWDARTVFHSHTLPTELESRALGDKL PQRLSVRAGWRGLTLNFYSRVVAPDIPGTNGLIHGISSVLIPPPTTKTLLDLVPTKFS TFDLAILKTNLTIPENTGKDTGKGHTIFAPSNTAFSKLGLKINAFLFSPYGQKYLLAL LKYHIVPDRTLYSDVIYTEEGEIRPFGVKGFTHLDLPTLLGGRRLSVDVARFGPYASL KVNGFQRVAVADALGRDGNVHIVDQVLVPPKKVVEGATEWDEWNEELTVEDLKERLAA WVEDDQDDYDEGMELWAHAFDL AFLA_042100 MSERGSFRGGRGRGGGHDRSGGRGGHAKSGGASGGAQQQEKPKK ENILDLSKYMDKEVRVKFNGGREVVGTLKGFDQLMNLVLDDVKESMRDDEGNENTRSL GLIVARGTIIVLISPADGSEEIANPFVQAEE AFLA_042110 MGPKRTVEWTASVGLYPMEVELRQHPYERSGKLGWCRRMGPSIC TGIDQAAGKIEYQVETGMEGDEFSSELPGHTEWTAEETGGTPPATCWAA AFLA_042120 MESRTATAEPSSKRPRSPSGDFPPIASKVPKTHSNHLQINYLAR QYPDNLPLVSIDDTMPAIIHLIGEYDGVLHRHESIAGNLGACPLGPILIKRFERLFDG PPRVLKSHGKDSPNITWLDVVEFAKNKPEQFNLEKTRNGVRVCQFYTKQCRVEISEED FVLIASGMPQKMIPPQPIIEDEEKELGALEILEKNLQQIIQVADQGEFPGKAMCISAG ANRSPRLVSARARQLNHRLKNRRTAIVTRRENDLSLHSQSQSQPQSQSQQQQQQHRSI SPVWRDANGSQHPLNGSSHSNTGSPSTGFVAVNTGRPGGEPTTEDNALSQQFMFSHSN TDNVTIINGTSIKGASPTTRAELMKKFFTTQDRQSRSYEEGTGSQNRQSSRPRPRASD ATEYNMYTPTAATVAIPNTPSSLLPPPKSHHHEKDDGGPFKMEMVARMEELQRGERIL PPCDRCRRLHMDCLKNLTACMGCTKKHAKCSWKDVKAEELQESREPREPRPDPMARER PESLTPKESLPTTTAPAPMAPSISSVPTPPSAAVVPEIDRHREIEYNVRRESAPTAGP IPGAPLGKEPSPRRAMSEIRGGSLSHDRHIGINRNTNPAAEDDDPDANQRLMQAILDT VDHHARAAAAAKEGGQPMTEREREQERDRDRKLVKA AFLA_042130 MVPTYPSLDDKHSNENATDQANQVNEGRELFAHYIWNAAIVVAE GVEDTYYSRTGPQPEKSNSHELWHVEGESVLELGAGAALPSLICALANAAKVVATDHP ASPALTGPIAFNMSSNLPDPSTAQVSIYPHEWGVFTAPFAQENKGAFTRIIAADCFWQ KSQHESLARSMAWFLAPGGRVWVVSEPHLGRAVVVGFFETVLALGFEIEVVFERDLMS YIESGVEVRRE AFLA_042140 MYSWLSFLLLHLYRLCYSTYLITSILKNLFVSFRELQEPIFNRH DVVSQPLNFYFTSSVARPFPACNREKPHITAVFLGHLDHGKSTTADQLIYQYGRVSGN PIAEYGSMLSLSSDLLCAGVRPHDNHSPQEAGPSYKYGWAIEKLRAERKRGIKNTITG ASQADCAILVTSATNGEFEAGVDQGGQSRQHLVLAYTLGVRQLIVAVNKMDTPRYTDD CLNEIVKETSDFIKKIGYNPKAVAFVPISGLYGDNLVEESQNMPWFKGWTSETKYGVL KGKTLFDAIDALVTPSHRNATNKPLRLPIRDVKELTIAPTNITAEVVSIERNDEELHA GHAGEHVSVHIIEVEEEIRPGYVAGDPNNDPPASVASFNAQVIILSHSGEISPGYTAA VDCLTAHIPCTLSMILHKKDRRTGRPTEQSPDSIKVGDCAIVEMVPTKPMCVEPYSKN PCLGRFIIRKWSCTVGVGTVQSVEFGTVEDESDEYDHENYSD AFLA_042150 MDPSQVKIPPMKDLTVDNITENVIRINSLCEDERMKYVLERLVS HLHDFARETRLSSQEWMAGLMFLTEVGKICSDVRQEFILLSDILGLSILVDSIDHPKP PGSTEGTVLGPFHTHEAEVMPHGDSMSHDPKGEPLLVVCTLKDTNGNPISDVKIDIWE TDSTGHYDVQYADRNGPDGRCIMRSDDSGVFWFKAITPVPYPIPHDGPVGKLLKKLHR HPFRPSHMHFMFEKEGYDHLITALYLRNDPYETSDAVFGVKDSLVVDLGKAGPEYAKK YGVSEDHALLTYDFVLVSDAETSALRERNSKVALDKLGRKVKIVNGLPVPDLD AFLA_042160 MVSEDDGTTPRKKRKITPPKAVPYMLRPLFDEVPLTADDPNDDV HITCVEYWNDNLYIGTSAAEILHFVCLPPDPSDNTNEPSFILASRLPIPFSKNASVTN RQQGIQQIVLLPSVNKACILCNGTATFYLLPELSPAFRENTKVNNCCWIGGLDQNASM DEPERPVVMVATQNKMMLVRIGDDARLVRNIEFPGCLTASRRGIITCAADARSYSLLD VEHQQKIPLFPMSFFDEALASGQVEDMPPASLSPSSSAESHAHGRSPSLNTLSGMLQP NARSASQDRSSSVTPELSSTSGTPTRSRSKERGDSTSPRTSSEHPSRDSQPSPREDPK PLPPLPKQSSTQLKPHILSPTSSEFLLVRGTDATEPGVGMFVNVDGDVVRGTITFHKY PESVVIDKGDENNLIGAPNDTREDLILAVIEADQDGKLRKFLEVQLWDVDPGNADNHK SWVEIPSVQSHVGLLHTLSPSQLEVGEMRELLQMVRLRTPSLSPHIPATDPRTQASIE QLQKEKELFESQEAIDAEGTKDTPDWEAERNADEAKFARGLGKTQSSLVLWSGNQIWR VVKNPLTMQLNDALQGAQESQGSDHSVLRRDVIMDIIKMVQEAEPKSEAEFLGLNYVK QKSSLLLFGDLVFMDPTVRDGATIDATEKALIAGELDPRVALLLVPLLRQEVLQGPQG IWVHGGLAEVSEVYLKRLDSVKASKEPDVFDSGVLNMIKRFLLAWQQKRGYGSITDDA YIFDSVDAALLHLLLEQDSNVPTEHRLSSRTRTELNRLVDNWKGNFDRAVALLENYKR LFLLSRLYQSQKMSRNVLKTWRRIIEGEEDSGNETTASGVETQMRRYLVKIKDAQLVE EYGAWLAQRNPSLGIQVFADNTSRVKLDPANVVRLLKERAPNAVQDYLEHLVFSKNYT QYADDLLSYYLDTVLSVLETSPAARSSLAESYSTYRALQPPKPTYMNFIHENTPSESW WQSRLRLLQLLGGGGSSQFSSVPSPKLTYSIPAVLARIEPFQNELVSESIILDGLQGR HREALHLLTHGLGDYDSAVRYCLFGGPRSTSSTNTSTEFADRSHQSELFGYLLDEFLH IQDPSECIERTSDLLARFASWFDVGEVLRLIPDNWSVDILSGFLAHVFRVLISETRET RIERALSASLNLRVGAEYIEGVEKVGGWLEDGEGLRCLKEANAPAVLPADDGDDFGDM VEPRGGD AFLA_042170 MDSFALTEGIVPDSNNQDGHDAEKDTTGKLPEGANKFQRAIAAW RGIDLSNTIAKLDSTASDIVAQQRDALVQRKDLAQKTKDFRKLDDATKLAEFKGLLKA YQSFIDVLTNQGKASSNAFLQLYSSLSEAPDPYPLLEASVDSLVHSEETVPKLTSERN QLQSNVDRLTSQLDDAEKRLEEERTARKKLEDNQESKIKEIESSWSAVLSEKSNNWTA KEKSLEEKVENQERLIKELKASYEVSQRLGQEESSGDGHQQGGATAAELELVSADLEK TSIRLAEVEARNEQLRLELAQVVSHSQPEQTTSVEEDPAYLRLQSENSSLLRKLDAAR FDRESERHSWEAKISQSERHGAKVAAERDELRTRLDKVADYEDIRRELEMIKSIEFSA GDDDDARDSTDDAAIAANGASKAGAKNSLEQLLMSRNKKLTDELTILRVSHRDLQGQL ETLREDLSTTKEELEKSQKLSTTLENDLLRVQEEAANAFPSSAMSVAGTYTSRHPYSS RRGGTSPTSSIISGFDHSSGSSNTMDAIRAGEPVGGGSGLLPMIQAQRDRFKKKNAEL EEELSKMYNSVKSLRQEVSSLQKDNLSLYEKTRYVSTYNRGQGGSSSASAYGNKPSAS SVHLSADTPSGLSLDRYQSAYEAQISPFAAFKGRESVRAYKRMSLPERVVFSLTRIIL ANRTSRNLFAGYCFAIHILLFAMLYMMSTMEIEKHSASLGAAAVAGGAGNIHSSGQQL NGDDWQQEGFHNGR AFLA_042180 MAISSIILNHEEKRIREFYRIVYLLSSLGLRRGDRIKRGDRINR GISVEQKKFSVAEYRRNVADALAYISAYDKTPARVTAVALGIEEGRLVVWIAANQEVK PKVENFLNSVLSRLDQIAYDPELECDDLVDFVLQFNWKGVKKYHEKFGSEWASYYEPL QSSGHSVLRELNHWVQETCPKPGKPEDMADLARKCYEERKRNGGVFHMLNEATKQGIM SSKVSEQLCNLLYKIGKHITMCLNLIDARMSLTEDFKHGAVVKPVRVPARHLDRTEWR FSFDSITTHIFRSESEKQTFYGHVDLFHDHEVISEKLQTWVKNPLRVHAEIQLINHFD TNNSPLLEERNPYIGCSKPACYLCYKYIAHHPRQWFRPPSHQKLYYSWSLPKPRGKEN REEFLATTAEVLQRELREDIANKRGPRSEFDDSTAGVTSVPHTYAMKKEGYVNPQSTV GRTMEAGHGKAGPLALHEWLYLIDVSWHIV AFLA_042190 MPMAMCELLLKSANSIRQDLHREIKVYNVPPGERMKIPLVRKKL NLDDIAHRMFSDSQRSKQLSQVLERFVPAPSKLIERLKYYQENVLTQIHPELRLVDYF DKSNRVQYFDKYDRYIATSKPSCYLCSQYMSHRLNYHLRKCDPNDIDLHWRLPDIQAA ESSAHFKEQTDILRKITERVRRDVESFVLDRCSESNESLMDDDISQSFSLSSETTTKS EDLSYGEADECPTPYRFPLGTEGDKPSGCDQYAGGEDEDEDEEDEVVFRGRQGTFFIT AFLA_042200 MAQRPASSPYVCHRPRELRRLYEPLSLLCALQEQMVEQKDIEVV DVGEVGGILHHRRDFLNALVQLAAFEQGCDLAITAGYDHDSVVIAVAGSPDVSDRVIQ FLKRLLDMVTGALHAGLCAETWNRDLLKISNYVTGLHNENSFAVSSDPKGMTMASLWF GICN AFLA_042210 MAYKAFPNTGNCRRNKVLSQLPSLANPLVLKMSPAAHQIDDCYT IADFEIGKRLFTTHMAVQLVVASPEGREIGSLSQLDALITGYDFEEAIATLVKAGLDV PRTNLVCDETYEDVLYKIIGRTRLGDSKESFPTLLGQLPLLDLSTDGVDLTVTATTQR VANGLNLSPPGSEADNWKYTCRLWEDAQGTGEEPKVTEACISNFPTRFRITIQYCGVE ASGEGRNKKTARHLAARRVCERLNLRLSL AFLA_042220 MTMGNAISHLYRFCAELPAQPFVSNSPAFSYETDECGQVQAIVR LPSNLDPSLQTFKSLRKWAKKKWAKEDAALQAYKALFHARLINDHFLPLRLSDIIEKD LSPKSHYIISEQLDPWHDVSLLWSRGGELFSHELRIARPQREDIELFMILPVPLRIET RIPLFLGSGTEYKAVVSSARPVSTDISICQQVTHLILQSIHQCHGTQLNTDYVNIIVP ERDPAAMSKFLEAHSGRTSLAACLQQRPTVPGSLGLLRHVTKTSRPLRIEVIDGHECQ DPHRDGVNLRIRGKIHPLTRRRNFLYDPKIVTVTPTENQHSTSLVRQGLSELAADDYL VDRLPSIYAEAALLAPSIIHRIGVYLIAEKLRKQIFSNPQAARFERMDLLCIALSPTD DMHRPEFRSMAFIGDAIVKFLITRQLFLHHTLWHEGLLTSVKDSIVSDTGLAAALCHS GFGSYLITTRFNGKRWRPFFVSTTLSKSGPTRRRYVGAATVADMVKALAGAAFLDNGL DQAFICAAAMIPKIKSWTTISLYDGTYSKSRPSKSLGASTIIDLEALLGYTFSDKSLA IESMTHPSCVGLYGTASYRRLSFLGAGIIELVVVRYLHRQKSFTSSKRLQSLKSAVTN NMFLAFLCLTFHWEKQHDIIEVDSMGIPSVIQTRGRVALYHFLRSQSDSLSDKVSSLV EDLTSQLHVIKRDLWENGIYPWARLSVFRGLKVFSDIVQSVFGAIYIDSLASLQQSEA LAERLGILPLLEHFISHDVVTDHPKQPAPTQAQNLWQDPPGEGTAHVNQI AFLA_042230 MASTMHNTQGSVPGDAVDTHFQIRDYQVEMVNKSLEGNLIVVRR LHSPCKTPRQFYAQLLRFTNQPLIVPLVPLRGSMTSPSPSLILERIRGLLQSSYERGS YSKNAKKHLTRFSNQAETIGRELGPWAATKYIQTSITQFKEHMRMNAEKTHTPSPERE YAMEMLCKLGNIEDYDELVHSDNISPMSQRLLDTLIEEHRKGFRALIFVSLRATVLAL KWLIENHPETRRRFRCGTFVGMSRIHQSKTRLGDVHDIRSQMETLQKFRDGVLDLIIT TDALEEGMDIPACNTVLNFNCTLNLKSFIQRRGRARREQSKFFIFLQDEEDLKNVSLL QFRESELVRKLQDEGRTVLPDSLNHDERLRDSLAFSSSTGCVHVPQNCELLN AFLA_042240 MKQVLPGLEPGSPEYKKGYNHVRNLRLLARRFHILQERFGNGIL ALIPYPQHFHHPGLELTDNMLSKVPESVFRDIVSILDHSQGRYLRALGEAAGKVIKMM LYEPQEFCPPLQLEMIDNSYILQQPKDLQTILPLLN AFLA_042250 MDRVSNIAGRFQRNLTELHTVCELAINFREDQCEVLIPARLSLK SGTTELAFNTSPYKDLRTSVYSVSSLEGQVMGFPAPYESLALTKTQNTRLSLIPGGNS THPCRNPKTILCNGRRINVSLNLYDALLSLRQIQSKSWYWIDALCINQSDAEEKTLQI QKMDRIYQSAELVLVWLGDCSSKLARGLPSLETLAKKTQNELPPLPKFKGPGGELQAA ATTAVDLSCRQWFKRIWVLQEYLLARKVNFLYGNNEVSLGALLTACIWVSHDPGAAMT PELPMKAELREALAHTNDIPNFLLARQAIGQGRRLTLREWLRACRRRYAKDPRDFVFG GLSLICPESLKIDYQRLQLGDYPCVETQAPILPPRAGIHSQKDNRFTAEHPTATFKGP TSSSLIPGGLWDFLRADYAASEVEVLINVAACLLSQKGQHTLDLLSIAARRPWDDFDA DVRNWFWPRAHPILPSWVPALGSRGVSSSDICSTRPLLLYPTPLSTQITAHTNLDLYS LLNIATWHLQQKHRIMLVQPLQLVY AFLA_042260 MTREDYVLAHGVESSGAKWPEEASIERQKKLDSLISAYWQLNEK FDDLPWSRTADEGVAAATAATPSNEVTSNLWDLLETLQSAVDEKSFVWKRKLLISPEA QQYVTAFSLDLKRRSLFITQDGLIGMGPSWLRKGDRVMLVRDASVPYVFRHVDEELRH QLQTMEMREDLLRECSVERKYSLSRQLKRPTLERQISDLNLRISHLQSGTKDGWILIG ESYIPGVMLGEVLERGGSEIFGRIAIV AFLA_042270 MVLKKFFTNSLEELCTKEQLELLDSIDTLRLQGISHYISLPQII VCGDQSSGKSSVLEAISGVSFPVRSNLCTRFPTELVLRKSPQIGVSVSIVPHHSRTEA EQQSLSQFHEQLEGFDGLPRLIDNAKAIMGISTHGKAFSNDLLRVEVSGPDRPHLTIV DLPGLIHSETKQQSAADVKLVQDVVQSYMREPRSIILAVVSAKNDFANQIVLTLAREA DRTGSRTLGVITKPDTLTPGSETEAMFVSLAKNQQVSFRHGWHVLKNQDSEKSNGTLT ERDAEEEHFFAKGVWRDLPLSDVAIKPFRERLSKVLLGQIATELPSLIEEIQVQVGDC QRRLERLGSPRTTIAEQKYYLLHISQSFQNLVRTATDGTYNDPFFNSGQSTSGYSRRI RAIIQNLNQEFTERITSGGHYRQITDGDESEAVSRQQVLVTREEYIQHIQNVLKHTRG KELPGTFNPLIIGELFREQSSQWEAIARGHVTTAWNIAKDFVRAVVLHIGDITTAKGL LREIVDPRFDQLLRDLQQRTSELLQPHQQGHPITYNHYFTENLQKARREAWGDGLSTI ICNFFGVSSLEATSLDGPSYNLRHLHDSILQSTESSMSRFAATEALECMLAYYKVALK RFIDGVAIEVIETNLIKPLADIFAPLLVFDMADDLATRIAGESIENKSLRDQLSRKLY ILRKGSETCRMFIGIRGLDIVEDDRPEELDNLEKVDTPQASIDDLYENEDPTFIDMSV NNTYLSPTIPDILSPVSQQAPPLVDEPTPAEIVTESGYDYIGDQPIGAPSIEQRDLKR SKKSKKGKTKKKSSRSDDWEL AFLA_042280 MSCVLDQCNQITSQEKALLRALLVKVFESDEQRQVDENIESVVF EVVDIVEPLLERGRTGFEDELRHFLQNAADLWGKVQRSSKWVTTISDGHLCAEAGRSN TGKGADLRGHPVLVLFPHFITPGEASPLHKGSMLQADSESIRQTPSKWLDVERTMPNE ESAVILREVPRYNHNLSRSPRNEKSFTQHLNTRKRHESQTRQSRVSRGG AFLA_042290 MTPKDKSKDKSFWSSIKALQAAAEDVIYHSKSFKTHEELQHKNT ELENQLKAAHSTVEDHKRQLEEERQKQQTLLTEKAHLSDFLEERVKGWVEKEKELLAQ LQKTREDAETSRDAQLHDLSRKVRNQDEQLRRVRTELGEKDTTIVGLQGRLAQSQQEV EELKRATQLEDFGPDLIQNIKELEVALRDMVQKYFYKDFPTDISQVYSPSLTLCFDTD L AFLA_042300 MSCPCISPETACSLFNICLGIFLEQDPTIGRVVALDEAHKYMNS SAEAQIFTETLLSAVRLQRHLGTRVIISTQEPTISTDLLNLCSVTIVHRFTSPEWLRT LQKHLAAAACNLFSSKSGFGNNGSEVEEPGDEKESPSAFDIIVRLRVGEALLFAPSAI VKVGTLEDGRVEFHRLGSDFLPIKVRERLTSDGGKSVLSV AFLA_042310 MYFQSLAVAALFCLLAIVRSEAPKAPPRIDSLGTLLALKYNYLD PWNSGTAAVLSYEPLSNAEAHARCGAIGESLYPFQDTSELNRTELGYELDYLVHIQDL QPYSTLWVGSSGTSGHACTAYSQKDKAAIPLPCHRKLPTLCTSSAPPTKDKHREPVKS SRLSVSFDDYDITGYRDARSFRFLGIPFANPPVNELRFAPPQPYTGQKEIDATSLPAS CIQSQSGFGTLENSRISEDCLYLNIYTPVLPAQRGKNVTHRPVAVYFYGGGFTKGSTA MIDYDGGNFASRNDIVVVTVNYRVGALGWLATNKLTTGSYGTRDQILALKWVQQHITT FGGDPSQVTIFGQSAGGQSVIAMLSSSAASGLFSGAVVQSAALDIPWYSRQVYTEIIT PKVAKAVGCDDSDELVMLSCLRSVPAAKYLDNSTEFMHASDEIAKAVGDDYLHTKKFQ VSSSPLMPIVDDHGSGIIDDQFHTLLATNRLPNAVPIMFTTVADEAALYVDRYVPHIP LLGNTKVALGLFFNAVYPAGLAESLINSDAFPINSSDLDSLRNTGANALTHSKWFCPQ AYLLQHGARTVLPVIYTVQIQHGHVQTTIDTPKVCSPNTNYNATCHTSDVLPVWGTLN SKTQNVNPYYDSRDIRHSQILNDIFSSFFRTRNPNPVPELLKIRGPAYSSSFDVFGTS GYHIPEYRTDADSLCSLDIAPDHIRNPAKSVGCDVFTKYGYPFQHAEDSS AFLA_042320 MLKYSCTDRLIFISFFLSLLHYWPTSCCYIMAGPMLPMYFGLPD AHLFELPPDSHPLPSSPASRSQLSWDRPINISPALFYHTLDVKLPLLIATLYALTVCT LNYINRKRRNRPWPLSRSPLFHQFVLIHNVGLVLFSAWLTLGTYQTIKSTLMDQRNNP PLASAIHVLCKFDRKDELSYFLQRRVSESRSHLDLNDHRSEVVHPGVLEFDSLWDRGI DYYMWMFYMSKFYEIVDTMLLLVKGKKSSFLQTYHHAGVMLCTWAGVRYVSPPGLIGL MLNSVIHTIMYLYYTLTTLKVSIPGFLKRILTGMQIAQFILGSILAWSYIFISYDASI WPPLASINNKREENRGNESRTNIFFHEDNSQLIPCLDNSGQVLALLLTTVYLIPLTFL FVRFFIRSYLSGSKRKLVKH AFLA_042330 MYGKLTPQEQQRFCFHHVNVVLKGILLVCVSSSIVQVTIGGKEW TDPYYHHSSVTLWETSTFAGYIVVAVSLLDLIYDTSLRLVYMVHHVGVLLAVQGLVFL IVNAPVERAQEMRYLGIMSEIGIFWVLFSGMPGFTSHLTLILRRCFTHGDVRMRNLYY YAFYVNVSVTVVEVVSIFYLTYASRNRLPVAATIGIGALQVVFTYTKANKCQRIYAVY EEQLATLNKIRL AFLA_042340 MVVAATFRIVEILVIPDWTVKASTDVHSNLPYVTPMVAVILSFV AFGSSRDLCQAIEGTGFMAPAYVAILYTCGLFYFCFLTALMHRIKDHWYPDGSDGKHE YSSLPEVQSDRFGCNQNWD AFLA_042350 MQLATLVIAPTFFSAGIYLLLGYIIRIFGRKSSYFRSDCYFWFF TVCDLISLVVQAVGGALASAALSAHRDMSNGTHIMIAGIAFQMASTLAFATCMVDCVL RTIHRPRGTIPKSVSFLFAAMVLSVLCICTRSIYRLAELSQGWTGYLITHEKYFIILD AVMMAIAVGIFVIFHPSLMVSAAANPPMGRRYRDLAPINVK AFLA_042360 MSLSNDLLSLRKEIKHGDIDSMVPVLVSACGLTVRQAVKETEAE INRNIERFDQIADALLEEIKLTHPEKVDEVASYIVGCRYNQMANFLWSLTTTRYGLGD VVRDAGGRIPIVIENVN AFLA_042370 MSQSVVVNPRPALKAKLTKEPFSIPNLKPYYKAWPAAVNPNYPG LKVALEARIKNLYPPKKAAKLIQDDYALLSSMWWPRATADRLQTCTFWFLWLFTWDDE IDQSTSDLFIHIHKANDFRKESLEYVKFCLGVGDDETAKWDFQNNPPNRPLIRSLDVI GAHLQKVYNHDQIMTFVNEIDYYMGCQQREQKRKLTGRLPFVAEYLETRMGTSAVTSM LGLSMSMVAL AFLA_042380 MVDGMARVAIYQGRLYVLRALAKGEDHRRKILGILASIHRALVS APQLAAILDTEIIFSVEDKLEDVAGPDHPLWVLARKATEESVWLMPDFGFWSWGHIDN RIGPYDEVVKHVEEQELPWDKKEDKLVWRGKLSFAPKLRRTLLEVARNYAWGDVKEVE WKNKANYLSMDKHCDYRFIAHVEGRSYSASLKYRQACRSVVVIHKLQYIQHHHYLLVS SGPQQNFVQVERDWADLPHKIQQLLENPTRARMIADNSVNVFRERYLTPAADACYWRA LLQAWTTASPEITETMIDPTNVSNKGIRYESFLLLDPNCMMGFGA AFLA_042390 MTAKVGINGFGRIGRIVFRNSFSHENTEVVMVNDPFIEVQYAAY MLKYDSTHGNFEYNVHIDGDSLVVNGKKVKFYAEKDPANIPWKEAGAEYIIESTGVFT TVEKASAHLKGGAKKVIISAPSADAPMYVMGVNEKTYAGADVVSNASCTTNCLAPLTK VLHEKFGVVEGLMTAVHAYTATQKLVDAPSKKDWRGGRAAAQNLIPSSTGAAKAVGKV IPELQGKVTGMSIRVPTSNVSVVDLTCRLEKGASYEEIITAIKEAAQGELKGILDYTE DDVVSSDIKGNPHSSIVDIKAGISLNPNFLKIVSWYDNEWGYSRRVLDLAAYIASVGK AFLA_042400 MANNPSSLAEACHENQKAPTTHLITLLDQVADQFPDHDAIISLH QTSLRWTFAQLQEKSIQLAARLASRGVGHGSRIVTLMYNQAEWALLFWASARLGCQFV PLDARVLDRDAGDILFLLDELVPAAIFVSNEAMANRINRITIDHDDRPLVQCVVSDNE ETAISAGWMTLSNIMSEPLLNNPPLHQPGSPDDTILILFTSGTTALPKGCPHTTVSIG AQGLGFMYALELGPGSNLCQHLPNFHVFNIAFTLAFWLAGATVIFPNVSFDPQSTLQL IRSYQRVTAPCVPAMIHALWNCASPQHGSRPSFEVLLGGAPVTLDVVKRCRDLGATRV MTGYGMTEGIPFANTSLEKTSMDLTTRDVSVGKVFYGGRVRICAQGSRNPIHKEEIGE VHLGGLPVFGGYLGGNNNSCYREDGVNWVVTGDQGYMDSEGYLYILGRYKDIIIRGGE NISPLKIEQCLGEVDGIRDVYVVGTPDAVAGEVPVAVMRKDPSGQMPMKKNLQAMVSN ELGQSFAPARILDLQEDLGKDHYPTTTSGKIQKTVLREWVTEHLNQITVGNPISFDGL TTELTTLWSGLAGLAPIDIDQDVSIRTFADSMMQIQFCHLISQKLQLVITQIDLIKFN TIRKQAKLLNERKHVKNSHCRSTAHTLPAQLDMERAKKAAFSKLYGLGFRWDDVEEVV PIADCVKQTYYGCRPNSWNTRMSWIASSSISVADVEAALHTWLQRHPLLRSTPLTYDE ELDLYLVMHPSENWMKLQVMDGGTVKDINSVETYKLNDPDFDHIDGKGPLFRATILSV ENPSVVGLVMHLHHLIFDAHILLRWFEDLKDLLNHKDQLLDFHPYRDYIADYQGNRTG KAARRAVDFHVNRIRGVSSATDALWPRQIAPHWLKGDNQGWVHSDNTPGHPSERPLLD GDKSIGTKGITRAVHVPKISELQHKFAITPPIIAKCACALINVRMTGAKEAIFGLVES GRTWPAADDSHPNNKGIDILEVDGPTINQCLSRTTITPDERAIQLLSRMSKEQDDIVS NIYAPLDEICRKLDDSDSKSFLDILFRQSLNWRMGGYKEHASDPIKVIENISRSDFGL FWLPGMMEGDLFRLNVKYDDAQLRAADVYNVMTEFLCAVAWLCDPGNLDKPVSQCEFQ GRDIMDLDREGPARYRM AFLA_042410 MPPLSQYHFEILPHDEASVQQWGPKYIEFRLNALKTAPDSFGSS YEKEASMSEAEWTSMLCEPAFRVIIAVPRADDGALQTPVWENEWALISSLYGPRCHHE PYHENENDCNESSWFLGTSFVSPPHRQRGMVIEAFLTAERAAVSEDRQTFQKRHKAQL QRPSGHRSNQFRTRMLGSSTTTHPTVLNYYRTSGLEVSHTIRRRDIARLWRWKIFVTP DKMDELATILVKIIPWDGEPNLARL AFLA_042420 MLQHRVRLSRTSHSVLVEEVRSVESKISIHGDLCEDLHVNFPGL ITDFRSGGTEAAEIDHVEKKAIQTIEPSSGCTEQVTRDTPLGWH AFLA_042430 MEKQSYDDTCSFPTPSQQEKMPVASGGEDGRMDKAEEQEAAMER QDYITGFRLALLLSALTSAALLVLIDTSIVAPVGLLGDTRDC AFLA_042440 MAYHNRQFPELQASFILFLMWHGMVVHISSLVHLFSHLQGNYTH TSTPRYAGTYLILKIFITLLGIFMVSSLICALASSSKMLIVARALAGIGASGIQNGAL TIITRCFPPTKQARMHHSILPPLGLVLGPLIGGALTEYVSWRWCFYINLPIGGAITLV LLFVTIPEPKVTNDPLPTLHIIREKLDLIGFSIFAPAIIQLLLALDYGGNQYPWNSST IIGLFCGSGAMFILFLGWEYRTGSVAMFPLQMVSRKIVLFSCLFMFFLGGMNACATYY LPLYFQVVKGVSAMMSGVSTLPSIISQLILVIFSGFLVRKVGYYLPFSIGSGGLLSLG NGLLSLLSSSTPTRTWIGYQILIGAGRGLGTQAPLLAVQNILQKAETSIALSLLFFSQ TLGQAIFLTLGQVIFINSLKSGLAVYAPSVDSEAVVAAGAGAIRSAVSEDKLAGVLMA YSRGIDHVFYLSAGIGVGCFCVAWGMGWKDIRKRQATGDEERCR AFLA_042450 MDATCDMVHRGQFLGCENWVMSAILKVGLLDRWKREEEGNRRLS FRELANRAKRLEDCLENGIRELSAKTSGSSDAVSSITRIHASSTLTYLHSVVSGLNPD LSEIRDSIARTIELLTQDLVEARGSIQRTMGYTFVDVGSHFYP AFLA_042460 MDVTGPPNASTGLVVLYDIFGMAIQTLQGADLLATRLNSLVLVP DFFEGNYAQPEWFPADTEEKKNALTSFVSNEASIPRNVDTLLEITKQYNTLFPSVSKW AALGLCWGGKVAVLASGPGTPFVATAQVHPGRTDKTDAEKLTIPHIVLASKDEPAEEI QGYADVISTNGIGGHVETYSTMWHGWMGARANLDSEESNAEYRRG AFLA_042470 MAHGNQSTNETEDISPVNSIIRSKDQTDETKIDNNELYPVGNEC DKNDDAPYCSLSERRKISVMLIASFSGIISPISASIYYPALPTLAKDMHVSISLINLT IMTYLILQGISPSFTGSFSDVYGRRLAYMLCFTTYIGANIGLALQSDYAALMVLRCVQ AAGSSGTIAIGSAVVADISTRAERGKYIAYATMGTTLGPALGPVLGGLLDHFLGWRWV FWFLVILGGFNFTLILVACPETCRAVVGNGSIPPAKWNRPLWAILRDSVRPQSHKAEK RVDYDTLERSKTRPNPLTSVRIALEKEGGLILIYGALLYAGYMIILSTLTSQLESEYG FNSIQVGLCYLPMGIGSLTSRWSAGPLLDWNFKREAKRQNLPIVKNRQQDIRDFNIER TRLTITIPFVYAGCLFFLAYGWVMRFQTHLAVPLVLLFFSGHLTTGAFSTLSTLIVDI HRQSAATAVAANNLFRCLLAAGATAFATPLIDRIGIGWTTTFIVGVWIVFSACLWAVY LWGHKWRDELRVKRSEGDGSPA AFLA_042480 MSSNRAAYLLEAHKTPLEIQQAPYPTPDPGTIVVRNHAVAINPV DWKLQKFEIFPIKYPFILGEDVAGEVIAIGDGVTNFTIGQRVVGHCKNFTAGDNRYSG FQNFTVLSATLTAPLPPSISYDKAVVLPVSVSTAAAGLFQKDHLNLPHPSLSPQPTGQ AILIWGGSSSVGLSAVQLAHAAGVEVITTASQHNHALLKSLGVSKVYDYRSPTVVDDI VAALENKHVVGAYDCISEDKTQRACAEILERSNAARKVLVYTNDVLTPEGLPASVTAK GIFCLTVEDNEVGPAVWVEYLPKALECGRFKPLPEPLVVGTGLECVQMGIERNMAGLS ATKAVVRLV AFLA_042490 MEFRGQCLIKGAASAELQFCPVEISFWGGVNPETGQVVDRHHPL CGQSIAGRVLAIPCGRGSCSGSTVMLELLLNGCAPAALIFEKPEQILTLGVLVGKVLL DCPIPVVVLSSSDFSKICNRHFAAIDGETVLVSDALLPTPELPADPVEGDFDLSDLDR SILAGEKGQAAKVALEIIRSFAIIQGARSLLDVSQAHIDACIYTGPASLLFAQKFQSM GAKFTLPTTLNSISIDQRRWKELNVDPKLASQAGTLANIYLSMGAQPSFTCAPYALDA APKAGQNIGWSESNAVVFANSVLGAQTQKYPDFIDVCIALTGRAPSAGCHLPEGRRPT MCIRVSDLGSINDSYYSLLGYVIGKLAQHNIPLVCGLEYLQPQLVDLKAFSAAFGTTA SAAMFHVKGVTPEASKFAELEQELPVIDLRHEELVDTWHMLNSAQDLSVDLVSLGNPH FSLEEFDALSKLCAGRKKADDVKVIITTGRDIYEKSKKAGYIDIIEEFGATIITDTCW CMLGEPVIPVTSRNIMTNSAKYAHYAPGMVKRGVHFGSLAECVEAGCTGTFVGVPPGQ ASDAGGQQTCQYRN AFLA_042500 MEWFPQWLLDLAKKHNAVIASANYRLLPEATSLDIFEDVEDFWT WLHSSEVEELLSSCVNPTKLNLDRIITAGESAGGLLSVCLALAHPDEIRAATASYPCL DMASAHYSAPNPVPLTNPPIPESLIDETLAQVKPGAIRSSAFLPDRLDLGLAAIHYGR LTQLYERGIGSSHHRDLRYPLERLDQPDAKIPQGGIAIIQGLQDHIVPAEGNQRFVEK GREVMKGKPGADKIILTLREGTHGLDIPTRLEEGWMQGHLEAAVEAWLM AFLA_042510 MDAGGLPLIRCDDYDCEAPLNIDDAQMEDAIPKAKPLEDFTETS LQLALRRSLSVRLQIAQFLNDFRRGTSYDEALQLSKSLINIYRESSALFDAFKNSGKC PTLFQSNLYNLMTQRFLLALHDPFAIKAKADPKLYYSHKEALLVSMRILAPFSTSTSE DLDYTALLLTGSPSFQDVPTQAAAVVGDDLIERIKEQINTAVSAPYTSHPLSHGDSRR AVEKFVSCALARLESGETNIKGYLVSACFLAQIEAMECGQPIDEALSRTILAVLQTCS KILGDRVETLSPSKPGIELSTAINEESTLTKFDNQFNWSLTDDFVSCLLVLVGKQGAL KFTDRIQDMEMDLGSWFPSLAYI AFLA_042520 MSNGSAFFLPPEKAQGLANYPHARILPTNPTSRTIHVSGTSSRR GDGTWDGVTEHADGTWTLDIRQQTAAVLRNIDTIIRGATDGKGSIKNVVDATVFLTDL TGCYQGMNEEWNKVWPNREDAPARTTIGVKELPNPRLLVEIKCTAICEW AFLA_042530 MTAQTFRQISNHNLQTFRHNYVSEISGSLGDLGTFLPIAIALAI NGTVSLSSTLIFSGLFNILTGLFFGIPLPVQPMKAIAAVAIARSFNNGTIAAAGIFVG AIIFIFSITGLLHWFADVIPIPVIKGIQVGAGLSLVIASCGNILSSLGWVGPSWADNR IWAIAAFVFLIITNVYRKVPYALAVFILGIIFAIIRSALVADLPSLTFWHPYTVVPTP DQWSVGALDAGIGQIPLTTLNSIVAVVHLAGDLIPNVRTPSITSVGLSVAAMNLVGCW FGAMPVCHGSGGLAAQYRFGARSGSSVIFLGLLKLVIGIFFGESLVGLLKRFPSALLG VMVIAAGLELVSVGESLNTTGARDIMKASFGILGDARQDIAPMLSDADRKRRWTVMMV TVGLLVGFKNDAIGFLAGILCHLGYELPGLWEKVRTRWNEGRVRLQ AFLA_042540 MSQSYSSSSSYFYSSSSSTDDGTAATGQRYATVSQTEPDGTTTV RTIRQDLGEPAVVDEKRYDQTGRLLTAGNSSAGGVRRITELDDEGGESYD AFLA_042550 MAAPVLPLQQVKLPALPSTRLTPEQQYWKTFKNPLLIPSPANGP VNLITQPSAPSSASAFPSLTQPPDVFTVTTGARVQIYSIRTRKLLRTVTRFDDTVRGT DVRPDGRVFVAGDDTGALQVFDVNSRAILKSWREHKQPVWVSKFSPSDPTSLFTASDD RTVRLWDLPSENSVKTFVGHTDYVRSGAFMPGSLASSGLLVSGSYDRTVRLWDPRVES RSAMTFKMAAPIESVLPMPTGTTVLAAADNKIAVLDIVAGKPLHMIQSHQKTVTALAL ASNGERLLSGALDGHMKVFETTGWNMVSGSKYPSPILSLRAITSGPAQEDKHIAVGMQ SGLLSIKTRLSGQQKIKEKERRKEMQALLEGKLEEHDRKVAKQKKLRGSGWEKRFRGR DFIGEGVDIIIEGQDRKRKKEQPWEHDLRKARYSAALDQVLASGDKTAQLTLLTALRH RSALRASLQNRDEVTLQPVLQWVNKSIGEPRLVKLSVEVAMNVLDIYSGNLGQSATID KMVDRLHRRVRDEVEMAHQACQTKGMLDMLKAA AFLA_042560 MEGLTPTQLTTFHEKGYLVLPNHLTPTEITSLLTETHHLLDTFP LDTHPLTQFTTGDDLDTNSKPHVGDTYFLTSGDKIRYFFEPDAISATPDPTTGKPTLL KPKQQAVNKIGHSLHSLSEPFRAVSLCERNAAIARSLGFKDPRVLQSMVICKQPGIGG AVPPHRDSEFLYTDPPSAVGWWFALQDAGPGNATLGMYPGSHRGKAGGRIKRRFVRRV NGEGEVVGTEFVENDGPGLPRGKEEEEEEGQGPKEEDVEVLEVKAGSLVLIHGNVLHK SEKNTSERSRFAYTFHVIEGAEGWKYDERNWLQPPENGEDFSKLYQ AFLA_042570 MAITLDGGIALVTGAASGIGKETVFALAQAGVEGVILADLNLSG AELVAQESTKPWATNSYFRTTAVQADVSDEAAVNNMVDVAVKEFGRIDYCVHAAGVSR NKQRERQCSFMRTDIDKNYR AFLA_042580 MAVNARGTMLVLRAVSAVMAKQEPRMHQSARHGTSRSLGRGSIV VVSSVNGTMVAPGMLSYTASKHAVAGIAKTAAIDNIKNHIRVNVVAPFYTETPMFEAS LKRVPELGAAIKAITPLKRAAAPEEVADPIVFLCSPAASYTNGATLIIDSGITLTIPR TSL AFLA_042590 MNAARALLDEEHESLPPKPTDDNSYLLGRKNGHNVVIGYPGSGL YGPHNASHVATNMVRTFPISGLGCWLESVVERREPPMLRIRGMAFDWEMLFYKHTGEG DCSKWDRAYLVKRLDRESDIPVVHYGLIASGSAVMRSAQRQDQLRDEWDNKEWEPYAA ITAAAYAKDLLRVIHPETVEGTANVVGSLNDVSRALDKGDLEVSQLTTSVDKIHEEQK NTNILERLHLHDFNAEQSEASSESREVTGEWLLVLVGKRKCTGFSP AFLA_042600 MKLSFLSLLSAAAGVAVAAPTESTKDHSVPFNNRALGPLKPNPF QGLKYKGDWQVGRYSVQASNIKTTSGNKLLFYPMTNITDVEVRGSVSVDGGKDKFNGK SVKLGCSVKNGNKKQTVPCDIQITGSNLVNQKFVSVKYTDTKALQTVDLDDLYLVDAL FFRIKSAGKDKELTEDITLLVDDFKYAIESR AFLA_042610 MTLVANELLIRYGKKKPIYSLPGWGTSDTRIGWATYLEQETGVH GRKWLTYPAYLLLDEAQQSLWDENLWTDLFKRLEPVTGPFIILFMSYGSPHRGFVGFG GEEHARTPINFAPEQQISLQPEESIGPQTLAPPRWRPVGLLLNEDEAEDVVERYASAA LSSNMAVAITCDGLYKSQFRTENEELKQALKWIWQNGWLHAEKSYNDIRYVFASQIHR WFCHTLFTMRVPDNNIIYTTPLQLAIHAITKFQPSQLAMPPRSRAVEGNVLPLEDQYQ KEFYRCLFPILDGHFVLSPEFVVKAGPKGGTIDFLIAEKKWGLELLRERDRLVEHMRR FEQHGQYYSMLKSGEMEQYIVLDFTNTAPKKSRPEYKKKLYHVVFTDNYRHVDVIDGS DLSVVQSFVLLEQSSSNV AFLA_042620 MDGLKIAAQGMQEEAAQAGRKGALSRALQERRQATNNLGWELVR LGKERSHLQARCDMCNAAIESSQQEIENAAAEEDWLRTKYTREQLYNRDDSAVAMLSR QTYQLAVEMANVAQRALHFEHSLRFPNTINPDSQPAKGLTDYWKQSPDGQLAGEALYL DLKRMEMLHISIRQLDPRALLHLREQDTSDFDLPEVLFDMDFPGHYCRRNVSVAVSIP CILGAYTSLNCTLRLLSHRYRIAPAGSQSAFYNQERSESKFHSGSIPIDSVAVSNGLH DTGTFTLDFNGQPRYGPFEGAGAISKWPLEFPSPFPQFDYHTITDFTSAIPLLMVEDD LLGRLQAQ AFLA_042630 MGNDFPGTFNSAARCITAITSVIIGSVYAHTRLPNPIIETTLVA YLSKWFTYSLSSRKRSKATTLLSSFWNPR AFLA_042640 MIGNTHLLPDQKPWIYFEQLAKEYNTPMITFWTGRRPTIWICDA WAADELLNKRAAIYASRPRMVVFSELGAG AFLA_042650 MAEVSISTTTLWQNQKCLFLCSLVSMANLQYGFDLAAIGSLQAM PGFLKVFGYPDPGSEGGYAIDSTVQQLITSLLTLGSFVSSLVAGFFSAYLGRRHALWL ACIVNAIACAIQIGAPSAGVLYLGRLLLGFANGFLVTFSNIYTAEASLAHLRGVMVAL FAYWVNIGSILGAAVDNKTKERMDRLSYRIPLACLYIVPKFLFVALFFVPESPRWLLH RGKAQAARQALEQLRGTSYATIRASSSGIDLRRTILCYGMIGCQSASGVWFLIGYQTY FFTVSGITKAFEFSIMNTCFGFLGVNIGMYAIRNWLGRRAILMLGVIACGLCQLASAI AATVSPNSLPTGQALVAFTALFMFFYNGCVGAASYPVATELVSSRLRAWTVGTATSLG YLLAWLVNFCTPYFINPEHLNWGARYGYIWAGSNLACVVFFYFFIPEMKGRTLEELDE IFAARVAARKFKSYQCLIGEAARIAAVHAEGRKQNWEM AFLA_042660 MMPASHETYSRSALPSGPPDPVGTLLKYPSFKDMRKAIGHQIGS KTAASRFNAVQDLEVRRFLLRVLEDPDNLLQHIRKYAGAIVLKIAYGYTIEPHGHDPL VDLADEAMATFGLAILPGTWVVDFIPILKHVPTWFPGAQFARMAKQFRKSAAAFSDVP YAFVKRRLAQRDFEPSFLAGLIRKNEEQPGPGSYEETVIKWAAASFYGGGSDTTVSTM SSFFLVMAQYPDVQRKAQAEIDCVVGPDRLPSFQDRENLPYINAMVKEILRWHPVLPM GTAHASVMDDTYEGYTFPKGTLMVPNVWAFTHDPSTYPDPHTFKPERFLSYEGHEPEA NPYYLVFGFGRRVCPGRTLADANLYISIAQSVAAFTITKPIRDGKEVDLRAEYQSGAI SHPVPYNVTITPRSPRYEELIRAVETEHPWEKSHSEELRLV AFLA_042670 MASKPPRLSMINHAAGIYADMSVDGPAIGTLVLVIDRAKNLPNR KTMGKQNPYCAARLGKEAKKTETDLRGGQTPRWDQELRFTVHESPDYFRLKVTIFNDD KKTDLIGETWIDLKDLIIPGGSQSDHWHPLQFRGKYAGEVRIEMTYYDTRPEDEAVIE RRLHAAEKVNVQGKPSASSSSLNLRSSPGPISSSSSLSGPRQLKEVKRRPLPSGPPGS GSARPALPEKTASAPPAPTQSPPRPTPEHTHSTPPLPTHDYPHSTPSPVEYPRHSSRH PGPPEVPLDASAYGPPPGVASHSARTYESPDDFQREWSNPSHQAPAPPRRHLQEVPYH SHRERPDSYDTRSHARPRSGYGNAPPTDFRSSRHDRPTSRSGPEMYAPMSGATPPRPS SHHSNHHAFASQEQYVPNEIAHAQQVSRYRQRSPAGIRESQVEYGSHPVETELRYRPH SNSLVKETSPIRPPSSRESLPAEYATMQPRVEDEEEEGPPPPPPVHRSGLVQTSQQLV PSPTPSYQAYSPEFASPRTSNEINLSQPSHMQSDGGRMQDLPPHTNGLSMPPSLVAGF DPAIAEAEADRAEHERRQSRRRSELIEDIIMPPEPTSVIVPYPVEPSPPIMDDRRSLI SRGSAHSSETRLVPRRKSVSPRPPPLGERETSQIPFSPDSFDAFNPNAARAAVLRDPA PAYDTPAEAMDAARRSEREAARDPGPIIGDDGREIDPSDHLPTDTWAPEPERKTKKPG VVVRFKNVPRKRSPAPPPVREYASRSRPLVDGQHRRQSYVPDPAMRTSPGENRGRALS PYRHGRTMSSPNAAPSHRTSVSPSPRGHSPSSLYAPVNTGPPIPAKVPIAQPMNQNYP VMNGNGTPQGFPVAGSHPGMDALSRELNTIDIGSVGCSNQRALRRYVPKVPAGYAA AFLA_042680 MPPKPKPRKENQPQEEPLPPCEFTFPCPATDDKANNPRRKVISH IFGRNKYATKRFPDHVWVHYCRQHYQRARYRVEWPVTQCELLMVVLGRMERWGGVKGW DVVLRKREVERLKGEDGGEGTSTSTSTSTTECDLITLSSGSGSSSACVGFTTDDGSEH GRRRKPNIVASPVPGWLLREVGSDKSFADLRDLVRRVREDMDSLRGRGVPARRVVFPD IELLPTFQSWVLAPAKKRRRSVRRDVGRGKKGGIGEKVSRVNGKGAVKRVHQ AFLA_042690 MDFHLVPRGEDRNYTGFLTKTIVYTSSLVVFLAWNAAFGLTVSS IVVPKWISYRNDQIWYSYGLHRRCSSVTDACVSFPQQDDCSGRGRYFCSMWRSVGFLM SFAVVLEGMSIVAYLIILSGGKRLRESGWKVLSLLIVLSAVVQAASMSIVAYLYDHDT RFFPGWRLDESWIYCTISWCISLLCAAALIVAGRVLPSEGGYELIPDHS AFLA_042700 MMDINSLLSPQESNSQSGRSSPSAAPTSVSNTSIPSTGPPPKPL RKNRAGQTRQGMTSSPLAQHVFAPPHVSEPSPPAISPTVGPNVGSGSGTPPAADLPPP RQPSTPGMDTLADLASMQHHQPQRSNAPLLRSTESYESQLSPSTMYPHVSSVAHNTPT PRSSFDIAMSDGPREAARRNYMDTSLVPNAQRMATELFAQIQENPQSYDAHVNFVRLL HAGFVNHVYPPNNPDIHGDPRKYDLLKDMRTAREEMDKLFAMGEDLWAEWIQDESMLA QTVDERIVVMELCQRSVEEEYGSTKLWSIYGEWVLYLYNSAHAEGAQHQWTEEDRMIG REVFSWHMVLDVWQRGAEATRWRTPDSHLVWDRLLDLQVQDITRNPSQEKIGHVRNLF DIRLQTPHATWDQTFQAFSGFVSTYYNANYETIMADTAGRYATSCKEQYSAREEFEIR LRNATEAGDVTQEWTIFTEYIEWEISNNRRNHHSNFELVNAVYQRAVLRFPTDANMWE DFVMFLIDESMHGNANTTTISTLERATRHCPCSGTLWSQYLLSSEREGQSFSKIADIK HKATSTGLLDVAGMEEVLKVHTAWCSYLRRRAFMPDSTDEDLDVAEVGIRSAIESVQE LGEKKYGRSYQGDPLFRLERIYIRYLSESGSWDSARETFKGLMGRRGNSYEFWLTYYE WELISWSKFVQGEATVDAARRTPNPSFATAVLKQAIKRTDLDWPEKIMQTYIAHCEDY EDSDELQLAILETRKAMRAVRARRERDARELAAQHAQQAASSEQATHPEKRKREEEEA NVNGLPAKKARGEEETSAAEAEPAVLQRDRENATVVVKNLPHQISEHKVRQFFRHCGT INSVKMFPGDGNSEVAVIEFNSRDEALVAQTRDQKSLDGFTIEVQIGTCSTLFVTNFP PEADENYIRGLFREYGEIIDVRFPSLKYNTHRRFCYVQFKTAEAAHSATKLDGTTVGK GLTLTAKISDPSRKQDRHGPIYEGREIHVSNVDFKASERDVQELFSKYGTVELVRLPR KVDGGSKGFGYVVFSNKEEATAALAMDGQEYRSRTLHVKISAPQSTKRSATTIVSQVG KSQSPAAVVNGSKESADADTPSRERAARSLGLMNIPDTVNDARIRALVEPYGKLIKIV LRPDHQGAIVEFADVNHAGRASLELEGQEIAPGRKLHVGTVPEMLKQSAENKDGRIQP SSKPKEKQGGFLQPTGPIKRPQQPGSRGGRRGGLGVKRAGPHGGHNGEKTMTTTMMTT DSAPSAEGGKTKKSNDDFRAMIQRGREE AFLA_042710 MASSHLSELPARTGITYIPRRTLTYTVPRRAQENGDNGNKEPQS KSSAFSSIKNFFFGGKSDAKPTVQRRTAPQKREGSLSADSIFAEDEATPKLIASGRTP AARKQEAEPTEEEEVQTGVEHRNRANMQMVLDPRPEARIRWERKMVVREVRRRGRLSK PEQIMRTERESVSKSHWFKTSVKKLGPLARQIAGKNIDEAMLQMRFSKKKAAKDVLEH LKHAKNVAVVRSGMGLGAAEATIRKPITITLKSGERKTITDPTSIYIEQAWVNRGPYG VDYDHRARGQINLLRPPYTSLSVVLKEEKTRIREWKDREAVSQRKRKTQLWTQLPDRK ISAQNQYYSW AFLA_042720 MTSKSPSDFHFNFTTVTGYFLQDDPKTDPDNFDYVSSNFGLIPR SYDSDPEFDPEGRKTQWERFEYHIDKLNRDSGPETQFKLLFLGRHGEGVHNVAERRYG TELWDVCFLSFPFSFLRSFSLFGSAGFHDHDPILAWFTVHSISLILMKRGEKCYWSLQ NGDETGTWVDARLTPLGISQAETANQAWRTQIQNNIPSPQSYYVSPLNRCLATASITF KDLGLPHTEPFRPVIKELLRETLGLHTCDSRSSKTAIAEEYPLYRFEEGFAEEDPLYD PELRESDSARDVRLRELLSDVFAHDASTVVSLTAHSGAITSILEVVGHRRFALMTGAV IPVLVRGVRVEGPSPPVQVEPPTRAPVCPAGWV AFLA_042730 MGHSSQGDEFFDFDNFFDIPSDYVDSNPTSVNSISPKDFDLTYN DLDGSNWDSGLDMCTQFPFTDFVNHEPSFQEYFGDSANAEPVVDPNDILQLPSTSPSE VFVGSEFDNAWLPGAHGYDDHYYSTIRHMVESQAAVDPSCSSKKEKRREAAIALHLQR LQDAPLPETDMSSDSNTSFPSPQWSVSHDPACASPATTSLSDSTKSPTPPSADATPGG IELVLDLNMNTPANLPRKQKPRSRAQKENYIKVRKHGACEKHRKQHKRCNCLEIKGVR LNVNNPALSPTTAVLDATRQTTWCASTDCRNSKDCGLEAQGERRSSVSTGQLLGHCAF TIGCVPWKALAGFSVKAYECCKHGTTQGAGAAALQVDNALAWCRPDSFSSWSPDVANA DIACNDLKAAICPGTGNLPQCRQSRVKRFAANYYLACPPSSKWQQRRFTSHTVHQCGD ELSATPICVYQPLVEWWKPTIAHFTDDVYRHKPTSVGWKLLYRAQQLCYHSDFQEWSR ICRFLAKYWIGCFIGRRHVWQTCCLCFQRTSVC AFLA_042740 MPESLKEELPSFSRHHLSSSGSRLSARPDWVPDGLFRVAMRHHM SFVTGAFSPHSLP AFLA_042750 MRLARCKPFYQRVFLPQAPIKDPEAYIYLASETTAGGNKCADNH EGSRGRLYAFHILPAVPTATTGLPSSVTKSLDKHYVNQKIFPPDTPAADMFIPQGVTV TCKNCTLQGDIEITRGSFNISGNTIKDTIAFFDDGALEITSNGLFAQVELGLSLSLSQ SLASLNMSLPTIPLTPFEIPGVVAFGPIIQPDLSLSLNMVEEIGFSYGFNLSVPDNSN IKINMSEPGNSSISGFDKTKVHALEFESTSAPASLIFSVTFTPQILLGISTAKGFVSG GVGAFVNLPKVSVNATQLSHVSEKCEPVADKGNEGSSLISVLDDVFDSLTHIAPSVDI DMGVLANMEVDVADFSERVGVQAVLASTSYPLPTACLKYDAKSHTYGTPSRTPSATAT SGSTKGAADSSSDSDKQSGAARLLESLGILPLSILTASVIAVGCCGYGVLDME AFLA_042760 MRLTFTLFYPKPPLLESTIGDHFAQIVSAYGDRTAVICKHQNDR VTYAGLDAKSNALARGLESVGVRTGDRVGVMLGNSMEFSIATYALFKLGAILVPINPS FNATQVVSALTHLEATHMIVSTESNLPRKEPRSNIPILQHLVQDLHKSKLESALVPSL KHIIIVDNSSGRVDISEYRSLTKFSSVTSAAKADEAALPYRDLSPHDVVNIQFTSGTT AMPKAACLTHRSVLNNGSQIGDRMRLTPEDIVCCPPPLFHCFGSVLGYMATATHGSAV VFPTESFNARAALTAVQEERCTALYGVPTMFIEELTLIDDGEVPNEGFGHLRTGIAAG SSVPAALMQRLHKVLNLTELTICYGMTETSPVSAMTTTDDPIDKRINTVGRLMPHVEA KIVNPADRSQILPVGVPGELAVSGYLLMKEYWGDPQRTAESMIADEKGKVWMHSGDEA TISPDGYVTITGRIKDLIIRGGENIHPLEIENCILTHPGVMDVSVVGVPDEKYGEVVA AFIIPKEHQDEAAPLTEENIREWVRGRLSNHLVPKYVFNLEHTTIFPKTASGKIQKFK LKEDAIRTLKERNSLS AFLA_042770 MTDKNIHDAIQAEGCEIFFKSRHLVEPRPSRYVVYLASCPEGPH ASIPLLTVDPNLEDPLKFTIILPLLLFGMTVFQLDRMNIASALTGGFGKDIHIDQSTV NLGNQLMFLGIIVLEIPSNLILQKIGPDKWIPAQVLAFGTIATFQVFLHNRTGFLVVR SLLGLAEAGYIPASLYTLSIWYEKTELAKRVGIFFFGMFGGNAISPLLGAGILKLDGK HGLKGWQWIFLIEGIFTTTTAIIILLLLPQSPSNPRPYLLKRGVISFSPEDRDILLTR TSRREGESESEIESETPSKTHHSSPAYKSNIKKALLNYRRWPHFLLAPCVFSTWSPLT TYTPTIMMTLGFTRLQSNALTAIGATLALPLVFFFSYISDRTTKRGLTVIAAVTCYLI VLIVCRCLLPRVEGKWGKFGIWTVINAFAVCYHPVHNTWLQLNCRCSGERSIAIA AFLA_042780 MSYNRLGESYGEDDHSHSPMMNPHQTNNRSPSPGRPLNAYQLSD VSYGPQERLHMPSSDLLAEQPTYSVERLPNSYGHNEAYEQHHQQSYPGYEYAVDPEAH HDAYYTQPYQPTVTPHDDYDLGQYPEHQHQHSYSDDRIPMLQQDNPFGPDPYSDEYQV EEPADGHTPSPAPIRRWKTVKEVQLFNGNLVLDCPIAPKLLNQVPHAEPPGRDEFTHM RYSAATCDPNDFFEERFTLRQKLFAKPRHTELFIVVTMYNEDDFLFARTLIGVFKNIE HMCSRTHSKTWGKDAWKKIVVCVISDGRAKINPRTRAVLAALGVYQDGIAKQQVNGKD VTAHIYEYTTQIALELKGTQVHIKGRSAVPVQMIFCLKEKNQKKINSHRWFFQAFGRV LDPNICVLLDAGTKPGKDSIYRLWKAFDVEPMCGGACGEIKVMLSHGKKLLNPLVAGQ NFEYKLSNILDKPMESAFGFISVLPGAFSAYRFVALQNDKNGQGPLERYFLGEKMHGA NAGIFTANMYLAEDRILCFEIVTKRNCRWLLQYVKSSTGETDVPDQMAEFILQRRRWL NGSFFAAVYAIAHFYQIWRSDHSAIRKFALLIEFFYQTINMLFAWFGIGNFFLVFHIL TTYLGQKDLLGTTGKVLGVVFEWLYLATLVTCFVLALGNRPGGSNKFYMTMVYFWIGI MIYLAFACIFVTVKSIQTEVQQDGFTFTDLFTNSTFFTIIVSLGSTYVMWFVASIIFL DPWHMFTSFIQYILLTPTYINVLNIYAFCNTHDITWGTKGDDKAEKLPSANLKPGGKV DVDIPQDDGDLNAQYDTELAKFAEKPPKEVQVISEEERQADYYKGFRSAVVLAWVFCN FALGAVVLSAAGLDRFDQSEKTSEDSNKRSTIYMAVVLWSVAGLSIFKFIGALWYLVV RMFRGV AFLA_042790 MKSSVSALALLFLGAPQFSLAHPTGTENLNGTEGDSELEKPGYD VLASFPQPAGDLCDGQLKDVNVEFIPTGPGAFRIDNVPPACMTLATLFLDGPDSPDPI PLGKDNLTLLYLASVANIRSAGSASIGFSGIDDDELQRLQAILDANTNH AFLA_042800 MVSRQILGVLALALLCLFQSCGALPLESRVSLSASGSEAKLEPR LFGLGKIASLIGKLVGRSKGSGGSVGGSHRKEEPKKEEPKKEEPKKEEPPKEEKKEES KKEEPKKEEPKKEEPKKEEPKKEEPKKEEPKKEEPKKEEPKKEEPKKEEPKKEEPKKE EKKEEAPKDGKAEDKKEEAPKQQSGQGQQPRQQPGDPTQGQQLGQGQSPAQGQPPVTN RSARQQSAQQQQPGQGQPKAQDTTQQQGFQQQQPTQKQQPGQGQQTAPETAQKSSQQQ QPGQGQQAAETAQQQQSTQQQQPGQGQQPGQGQQPQSPTQQTFAPQQASTEPANVHVD NTPTRQDIYGSAVLGAGIGAIPASISAATLKNENELNREQNAHEGELNRQQSLDLANK QNNNNSGGATSPAPPASPAPPANPAPPADPAPPANPGNTGYGGAGDTGSTGYGNTGNT GSPSYGNPSNAGSPSYGNPSNAGSPSYGSAGNTANAGTVGTTGNVGNTGYGIPNTAGT TGSTGTVGTTGNAGYPGNTANAGYSASTNQKRGFPQIDQGVPREIQEVYDSCNKDIDG PSYLVTYYAISSDCKFLFST AFLA_042810 MTRAEPVTFTDGLPLPRLIAFDLDHTLWPFKVDADVCEPVEARD NNSCVVDRRGKSFAFYPAVSSILSSCKDRSIPLALASRSHAPDLALAMLEALHINLAS SDSTALNTPSVGARNYFDYMQIVSGTKTQHFTRIHHASGIAYEDILFFDDEARNLDVE TELGVTFCLISGGITRDEVDRGVRAWRKRKGIAQKTTDNRSSV AFLA_042820 MLSEDSATLPYAERPLLVVGDLILDQFIRGTVKRISPEAPVPVV EQQEAAFYPGGAANVACNLAALGAAVVLVGAIGDDEEGRQLMRSLSQSKIDTSLIQVI PGRPTSLKTRIIAEQQQIVRVDREVTTPLGEQDVSRVLEAIQGCLAGSAGLVFSDYNK GFLSPSIVTAIIRQAQSLEKTVIADTKLQVLDHYRGVTALTPNINELQLSTGRLLCSP SDIDVAARELMDKIQAPVLLVTCGQNGIRLYDSDSQQRTHFPGHAETVADVSGAGDTV IAVFTWALTIRRFSVHQAAKLANDAGTLAVGKKGISTINVDELLSLVEVHSINGLDRE LGPSKNRTLEQLLSDIHAVRQSQPSAKIVFTNGCFDMLHAGHVSYLQRAKALGDLLLV GLNSDSSVRQIKGNRRPIVPEAQRVQTLAGLKCVDFVVLFDQETPLHLIQAIKPDFLV KGSDYELHQVVGRDFVEANGGRVELLPSNQGISTSKIIQEIMNRYSE AFLA_042830 MGAHPSLPSYDHSTGQSLQDVLRDNPHLLSTHVSQKFRTTLPFL FKVLSIREPLCIQAHPDRDLAHDLHARDPLTYPDSNHKPEMIVALTPFEALCGFRPLK EIDRFLSSVPPLRNLISDGTAMEVRSTCGSAGDRCDQKSAEIALKRAWSELLTAHPSR VRSCAEDLIRFATSRPSNESFAVEHGNLTDLILQLSEHYPYDVGLFAVLFMNHVCLSP GEALFVRSNELHAYLSGDGIECMASSANVVRAGFSRKTKDVDTLISMLKYEYLPPFIV RTPTPYLRVAMSSQQSTSVLYESPAEEFNIIKTSLAPRSARANFQAFRGPTVLICTQG SGKIGVADHAELIECGYVFFVGAGAEIFIQSSSEEPLILFQSFCEIEECNPQL AFLA_042840 MTATKAAPSGHQVNVLFVCLGNICRSPMAEGVFRNMAASHPLIN EIDSAGTGAYHTHEPPDSRTMSTLRQHGIKNYNHAARKVTKEDFLTFDYLMAMDKYNL RDLLDVRESVIASLSKSKKGTRAASGEAGAKVAEVRLFGDFGAGGKLHERVGGGEVVQ DPYYGGVNGFEEVYQQVVRFSKGFLDYLEKNQGGEDDN AFLA_042850 MKTVAALRRCPLTHSYRPSPLVFDFLAPSTLRQSSRGYKSTAKT PFWGKSHDASPSPDTTPISPKPKYVLSQKQREFLDSALRVNQAGELAATLIYKAQTPQ VVRSHPHLRPLMKHMYDQEAGHFSTFNQMVAKHQVRPTAMYPIWEVAATFLGWSTGAM GREAAMACTEAVETEIGSHYNEQVREILSWQAEAERRGEELDDELKDMLATFRRIRDE ELEHLDHAVENDAKEARPYDPLVSVIRLGCRAAINISEKV AFLA_042860 MADDLDAELLALAGDASDEEASSPPRQKDASPSASPPQSPEESS TMGRKGTAKPVRRGRKSRKDDEEDGEVSAAESHNSLDSASMVESESGSDSEGSDAGAE DDGPIFPYDKLYYSSKDKEEIMAMPEIQREQILSERAQQVDRHNQDLALRRLLASRER EEARQAKKNKRKASMANLEDGQRKSSRQKTTLGGRKVGETSDAIEAYKRQREQKGRRD ELRRREPASKDQTVRPRDRVSDEDAEGESDAEWDDGDRSPSLPKDDPPAELRDIQRAR VGRTNFAQVCFYPGFDDAISGCYARVNIGPNRETGQNEYRLCLIKKFTEGRPYSMEGP NGRSFVTKQYAVLAHGKAEREFPFVACSDSAITEVCFIFLPANPLSNTVAAEFNRYRQ TMAVEDCKMATKSTVAEKVVDINRLLNHKFTPEELTEKLRKQGSLDTKSTVFKRMETE KKLKLAKAAGDDAEVERLESELASMSTPKLAFNATSSKPRADKPSEHERLLELNLRNQ RLNTENVRRAQLEERKASRKAAAAVARGEAQPNPFMRVRTHARTHYDANGNGTTLSET TTRDGTPATGSDTPSKANTPNGSNTPSGSQKKTTKGGVATIRHRNMDDENIAALDLDL DIEI AFLA_042870 MLTPGQKIKAMLAQFDSDSDSDSGKPQPQRSISKLTDTLKNSTV SDQPPSMDLDEEASDEDDDIIMPKGRMAARLQAQNDENNESAFDRVSKSLRNAQQEKR DSKADGAMSEDDDDDDDLPVAGPRRKTNNRVVEEREESDAEESPSRARAFSPLFVSSP TRGNDQEQDGAEDSEQDEVRPKANSRFLALVAQKRKEREEKERIENEKKAAKAKQREQ FSSEILSGEDSEGDDESGRKLSQPARPARKASKKALEEMNRETQRISRSMQLVHQAQT KKKISKESFFARFNFMQPDQQNASGSAPDNSSTTADSQNSSDAEAQKNKDTPHTSPIL GPSEKPSTGNDTNDASKEASTEFPTLEEMIAKAPQHSEQPIVGRMEEQTTDKKPHVAE EKKQKKVLTMPPVRVRLSREQVARNQRDDSDSDDLEIVTSPAKCRRYAAFENVSMRRH QESATMLKLKALAQLTSPPKKSGMNYAELSAHLLHQARQQASKERMERIEELRAKGVI IETADERAALEDELENLVEKARKEANEIAKKEKAAANGEGDDEDDDYEFSGSEEEADE ADEDGDDDDEEEEEEGNEEGEKEGDLLDAEAGEDEESDDDELEVMSSEETSLPTQRRK RPTRVISDDEDEPPIPKTPAKMTNRIKVQSVERPHIPGLPSDDMTMSLTQAFAGTLGD NHSQAGSTIPHSLPDPVDGRQESDSQMIIKDSQEQRHETPDVLAGYAQSEIRVSESPA PRGMSQFSQIPDPTQDAGFVLSPFDPSKRFMSTPASTVETVLINRNQSQNNSPTVERK SKHLRRGRTTELSAIEEQSEGDFEIDASAFDIMKANKKKSSVPFDKKKSKAKDVVEEA AEESDDEYAGLGGASDEDDDVEDAYDRQMIDDNSGETADEKQLAALNALHQRNADEKQ VAKLLKDITTGALRRRRGGDDEFDLDDSDDELLARRREKQREFARMRKALMADEKIGE IAENPKKAAFFKAVEDRDMDDDGLDFLEPEEHPESQGESSSQDVIPDSQPDTTTTGDS NKRKRPLEPSAEDINNRPPPHMRRKPASVMSKKPATLAEIRETLSFLTETPEYDSFHE DATVDDDEEQDKAIDGEAADEQPSNSQSTEEFAVPRHPRRTKGPVVDRLALLRQASSN SATSGSSNTRFAFQTGSGPDPIGFRPPLLRKTTTSSSSTSSSSKSDTSRRVTKPASGA SVAKKGAVNYYTAAREKERERELRARTRNTGTNITALVNKHSSNRLGALGRTGQWD AFLA_042880 MTQTHKRTLLLTLDAFETLFHPRPSVPEQYASAAHHFGLPKTAI TAERVLSAFKPVFKAQSQARPNYGRDDVIRGCYGGPRQWWGEIIRGTFSRVLAEHYHY NNITTDSDNNNSNSRSQVDLPDGLVGYLLDRFASKEGYALYDDVGPFFSHIRAVKENG GRLGPFERVVVGVVSNSDDRVPAVLKSLGLRVGDCRADEGVDSMRLLGFEERSSSEMT EGSGVNDGVSDIDLVITSYEAGVEKPSPRIFEVARRQAKALTRVEDLGGWTCVHVGDD VDKDYRAAVGAGWDGYFLARGDEARSADADNVIRSLVDLIPMLEAYR AFLA_042890 MAGLTLPVVLLRAFLLLFVLHVPSVTASELNFPPNANHIFNAIH SSMRQWGSSLHHNGMSFFLATVPKGTQLYHGNSSPDPVNGTEWLAFEPEHAMVFARPH HGPPPGKGPHKRSQLPLKLGPKESGKDQDKDQGKPGFLHTYTAAKDLRLLYLDGMSAA KTENGTLDSQDRILLRDALEGRPGMLEGERAELICRMARDNYQGRLDGVIRMEAGFEI ILCNFARDLNEIRVSQVKSDRMGPGPRGGKGKKGGPDGGMLWYKAIAARYNGIGSNRV ILNYDKFVTAYVYGLDLFRSSGSNSNLLPRLNHLFADELGPIRNDLDHLILNQDPSEA SFNWQGVADMVVQRYAHELRYLASGQLSTLQALHEEIENSLGPFVDYSDRNAALEADR CSMQFVPAGAPSGGLASGAVRSVTRSICSTMIEAWNQTDYDTAVAQLQSLIDYLSWTT WKECKGCADNETRKVQMDSDTQVGYKEYYTTCRR AFLA_042900 MKATILATIALAACSAASPLGSAPHARGLLGDSSKPCDCESDHD GSSPEVPSGPGSEGPAPVPMPVPVPVPGGEPNGPGGVPTVPGLPGIPGVPGIPGVPGV PGVPGHPNHPEDPEDPEDPEDPEDPEDPEDPEDPEDPEGPSKGGCDDDGCHGTGHLIQ DLGPQANDILTIVGEHTEELLLKLSPGVAGLLTGLGLPGLGQPVGHIIKSAATIGELI ADLGDSVECLLTVIGQDGGFLLIELEPSIAGLLTGLGLPSIAQPVGSIVGTVGKHLKR DDGLLEDLAPVVNCALKVVGEDSKILLIALSKSVAELLIGLGLGQLAEPVGTVIQSAA TVGDLVYDLGDPVECILTIIGEDGGALLVQLSPSIAGLLVGLGLPGVGIPVGQVVKTL GEAL AFLA_042910 MAKSPQDLQIAILGAGMGGLTCALALAQEGFKNIDVYESASDLG FVGAGIQLAPNMARVLDRLGVWKGIEAEAVNIEETSVRVGATDAELAHVELQYIKDTY GYPHMVGHRSSLSNGLYQGCLRYPNIKFHFATSAGNVDSFGPRPSFTATPRDSSQAPY RVEADVLLGADGIKSNTRVAMMDKLGIQTGVKDTNQAAYRIMIHKDQIKDDPELLELI NGTKVTRWIGEKRHIIAYPVSNNTIYNLSTVQPDTNFAAATNATYTTRGSKKTMLEVF GDFCPMVQRMLSYVPEGEVCEWKLRVHEPLDTWTHESTALVGDACHPTLPHLAQGAAQ AIEDGAVIAIALSLLPDTTPASIAKALKVYEKVRKDRAYALVELAAASGRALHLGDGA AKEERDKQFAALKKGNGPVPDKWADADVQKIIYGFDCQQETRDKFNEYFA AFLA_042920 MSARPYRSKRHRPCDQCRERKLGCQTDGGLPCVRCRSADLPCTF EHPPPKRPRRESSGHMGDHSGSVASSIDPESSFLPPSWEEPSNHPASHLPSRGASVNP AVHQPAFAPLEDVPTNGAVSPAVSGHLVTVGRSPTQFVQSLDQLEGFSAHLFGASAES DPWLLRHCSFDDAGVKCFYKVHFRNAGGVPTADKIPVHFMLAADDLATSAKQETSCRF SGDATREELNRLVPHDYGQRLVSL AFLA_042930 MGLTPSCSIPEPWALERTPVHLLAAVYASALPFAAHDDYLCVLQ TYNAPPADRLWRMAYELISEEIHTPHLAVLQTALLYLHRPLDEARASIADTPFVWSFV GTIVGLAESLGLHIECRMWGIPAWEKRLRRRLWWAIYAEDKWRSLLMGRPPYIHRSEW DVSELDGADFLYHTRGASSSSSGVHQPQDPVPFRYLVDLSGIAEQIYESFYTLRKSQY LSERFRVSHDTGRPLLEKLNDWYSSLPESFRLPNWSKSVSGLAPYPTSIHFAYLILVL FVYRALLRPMARSSSPPLIFDLDEIPTNPSALDTAIDDSPVLDFLNMPEIESFPAVEL SDHSTGETTLNSAERCASIVISFTRRLTSSDFTGFWYSWSRIGFATVSNFALLLLVQA PNAERAAKGKQLVDSWLRVLRCQSQSFPMMKLGLTRLDAMHWVGLGQTFVLPQHVQEV IQSSGN AFLA_042940 MPTTTTQCMTLSRSTIQPLKSDHVIPKGVHESIRQVLHHEFLIT ERLLRANIREGLVLPIIGLIARFLPAPDLILTTPWTQLALVLLKTFICFICHLYVFEI VNQVLSVDEDIANKPHRPIPAGFLCIPGAYRRWLLSWAICPVIASHLAGPEAAGLFGA YQAWVYFCYVWPKINHWIFRNAFASIGAYNMFRLVDTIVHSEIPSFPVMPKHILLLFS LWVVTTVHMQEFHDAEGDKRMKRRTLPVVVGPKGERLLRAGTAMLVIGSGAVLLIATA SYIQGSSLPSQRWTVAGLVITGFLHNIFACIVGFRCVWHGGVAFDRKTYKRFYMLAAY TMICYLSFWQMTEKISISILA AFLA_042950 MKLARTDQPLPGFGPLASQRCLLASASRPFRYIQWLPCAFSTRL ALRPGKGGPIVVLGSGLATATVRLLVRGVNPVLWDSKWISAASVLKWRENGVNPGLTP CNGQTPSVFRVEDPSNASLYGD AFLA_042960 MPPSSTPFRVPSSRRPNSSSRPSAGSQFASTPRFVLSQQTHSSN ARIHGKDDLIDDDGSQSTPIANTQAAKRDQDLRPTQRQKEIIEDSDDELEYNESRPSH NDITGSPDPDSSSPGDAGELEAEFEALFGPTTTRTKRRRASLDPQTPFTQRRKHDDDI IQTSSPEVLSPTKDRTRLQIQTPNQTYPEINPQQTTTTPQPSTPATIKPTVRNNPRFM LSASQAFPSTQPQSSARPTPFATPAPTSPPPKRKPTFVLPRSPSPSQAPEDPSAIPTP FSPSSHTLRRRGRARSSAPSYLPGGMAAEVRSWILELSTKREQMQMNYRNRTGAGLDL QRYLLVVRIADIRQSTLASSGPLAFVRGQPVTSLDDEEDASGHREGSGMKNILLLGTP RSQSAGVSSQHPDASRVPELVGGNVVGVHRGLVWELDLEDRLAGYGTHSDPERDGHGQ SGTTTKWLVCMEWDLISVQ AFLA_042970 MPRPMHNTTSLPRNLRDELGIKDTYGDKKRRQNGPATRKDRRRE ERDQKKTKRAPVSKHGGRQRSNRRDDDYDDEDGFEGFDDGSGAESDAAGEEDVFAKLK AAKQAKQQPKPILKKAKAGKSAPEGDSEEEETIRPRQISKAVQEKLDEDEAEIAALEK KLGLKKGKKLPKSFEEDGLGDLLGDLDEGSADEGRKRKREADEWLRNKRMKAQGLAPE SDSEEDGSDLGSEEEMDDLDEDEDMFDDLDEEDDEESEEEKPVPKKRENPYVAPVAET NENRPQKYIPPSLRAASGSESESLVRLRRQAQGHLNKLSEANLVSILGEYEKLYREYP RKEVTTTLINLLFGLICERSALQDTFLILHAGFIAAVYKIVGTDFGAELVQKIVETFD ARGDERGSFEGKESLNLVSLLAQLYNFHVISSTLIFDYIRIFLQDITEENTELLLKII RNSGPQLRQDDPSSLKDIVLLIQPAVAKAGEASLSVRTKFMIDTITDLKNNKLKAGVG SSVTTEHITKMRKILGSLNNSRVIRATEPISISRDDIHNSSKKGKWWLVGASWREDPL VSARQELSNLPADQQNPAAAEEEDSDGEPDFVNLAKAHRMNTDVRRSIFVAIMSATDY QDAHVRLLKLRLKKAQEYEIPRVLTHCSMEEEAYNPYYTLIARRLCGESGRRLKVSFM FTLWNIFKRMGETGDMDEEDDADFDEDEQNQLPMKAIVNLAKIIALDFVL AFLA_042980 MAPHLGHEEFFSSLSDLLSKTSQKARGSVFLTQKPLIDTTASSE NASSSSRPSILIRATDGNTNAPNPKNNKVEKKTVSKVKLSTIVAPEDLEAFYTRYAEV CKAGMTGLKKRDRKKGKAKAKAAKA AFLA_042990 MTDTSDAPLPQIRRSATLPSKLHPRSKRSVESLRPSENDLFYHP AAKVVHFAPRALAPIPSSTAPADFDYPVDTVETLPWRSPTERTVAFAPLRLEKVHGLT VFLKCGSVVHAILKNSQCWCVDGVSTFVLRIRPLTYYRIELPNETEEDRKSVAAMKEA LPQVLRYEVTPCPFKRGFTVEIPEEARVPRRKRAWRPKGRRESAPIISAYMLDKSPAR EIALTDSLSAGEDTDGNLTDDSCFTTKGSNSTVLETIPDDNEPSLPNKMPEITDLPRR SVSETQQSFQTLLARFEDTPEPPVEPKMPLSSSVDSFHTVASLSSSPAESDSGSTFPS ATSTDGTDLGLRESSNNQGHLENLKFEEVDFSAGRTVPEVKCEDCCSPRPPASPRASF SEEEFRRVPGALPDDQMSIASYAGTSKTTDSNPNLSSMSIEFRRRSKASRERELSPMP PQSALALTSPSDKENAASPIQKTCTVVLIPPIQLFIVLIHIAARIVLGPALTSAMGEL NHKYEYQVADPQEAVDDFDLPLAPDCPRKQSVSEANSWDLD AFLA_043000 MPNFEPNAVIRGAQLTIVGTVRALRNPELFKHEHFRQAGFAIAV GIAIELLIQIPIIGVKFLLWILSWMADLESATWDDTLLESLDFLSKSVLQVPFLVMTL MRYITPTLDEIFMESIKWVDSTYVDKHKADDPKTLRAMYYPSLSMYSTKGSVGVSKPK GESALVFVRRYGRKVGMMLGVFLLSLLPIVGRFVMPAASFFSFQQMVGPAPAAAIFGT GLVLPKRYLVTFLHTYYSSRSLMRELLDPYFCRIKYTHEQKRRWFADREGVLFGFAFA FTIVLKTPFIGVLMYGIAQASTAYLVTKITDPPPVPAESEGFAESQVTWKNKHDFLQL SLENIDKINLAAQNKKDGDKADEPDALRRKFT AFLA_043010 MGHKGIRTALKVDLDKPAWEQPGLHNRWHPDVPSCGKIANNEVV KIECLDWTGGQIKNNDSADDVKNVDLTQIHYLSGPFDIETAEPGDVLLVEIQDVQPFQ DQPWGFSGVFHKQNGGGFLDEIYPESAKAIWDFEGIFCSSRHIPHVRFAGLIHPGILG CAPSTEVLAEWNRREGELIAANTTSREVAKPPEPKSAHAGSAEASLKERIAKEGARTI PGRPEHGGNCDIKNLSRGSKVYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAGII TLKFTVMKGGMAKLGMKSPIFHPGPVEPQFGPGRYLTFEGFSVDENGKQHCLDATVAY RQTCLRVIEYLRRYGYSDYQIYLLLSCAPVQGHIAGIVDIPNACTTMGVPMDIFDFDI RPEAEVVKLDMGSCAFARN AFLA_043020 MTSSKLTPTSSILIVGAGTWGCSTALHLARRGYKNVTVLDPHPV PSPIAAGNDINKIMEHREVKASETDPWSIAFSTCTRAALKGWKNDPIFQPYFHETGAI VSGHTASLIKHIQEHEIDSSDAEFIKLNTAEDFRKTMPPGILTGNFPGWKGWLNKTGA GWIHAKKAMFSAYTEAKRLGVTFITGSPEGDVVSLIYENGDVVGARTADGTVHRADHT ILSAGAGSDRLLDFKKQLRPTAWTLCHIRMTPDEAKKYRNLPVLFNVAKGFFMEPDED NHELKICDEHPGYCNFVPDPKHGGEVRSIPFAKHQIPLEAEARARDFLRDTMPHLADR PLSFARICWDADTVDRAFLIDRHPEYRSLLLAVGGSGNGAMQMPTIGGFIADALEGNL QKELKHALRWRPEIAAQRDWKDTQNRFGGPNKVMDFQKVGENEWTKIGDKSRL AFLA_043030 MAPSDDILTDVPGTVYLVDTSGNLADAAHANDILLIPQPSCSAA DPLNWPKYKKYWTLCLISAYACVNSFGENNWGASWTTISDETGVSLENMNGGSALNYL MLGFFNIIWIPTAMKFGRKIVYILSLIFVGASGIWGAFYVGTGQYYVMTTISGMGTAA YQALIQLTIFDTFFAHERGRMIAIYIFFQQLGSILGLILGGYISDGIGWRWSMPIVAI ACVSTHSLYGVLILLFIFTFDDTMFPRYRFSERTPSEALKGEQGYDASPEMQSEKHRK EESQMSVTPSNGAGEVDMPPRTYTQKIALVHYFKDDQTTWFQYFRRPFYLFAFPNIVL AGVQFAFGCTAGIVSFNTISEIMTEAPYNWSNGSTGLLFLAALIGSFFGSHENRMGIG SLSDWLVLFLARRNKGYKEPEMRLWAYIFPLIFAALGYFIYGWGATAGAHWMTIAVGL CCMIAQQVSATSIATAYAMECFDKISGELVIVLAICSSVINFAISFTVQHFINATNYG WAFTFYGICVVLSMAMGVPMLIWGKSWRRRGKGRYEKFLAETGRQY AFLA_043040 MADSQRRPRVFFDIQIGNEKTGRIALELVLVPKTAENFRALCTG EKGMGKQGKPLHFKGSIFHRVIKQFMIQGGDFTAFNGTGGESIYGEKFPDENFELKHD KPFLLSMANSGPGTNGSQFFITTVPTPHLDGKHVVFGEVINGKSVVRKVENMNTQADK PVKDVTIVECGELTGQDYDDADKQTPDATGDPYEDFPDDHQGEELNAQVCFKIASELK NFGNAAFKSGNLALGLEKYQKGLRYLHEFPEPDENDPKELDGQIKALRFALHSNSSLL ANKLAQYGNGRSWATYALDTANAANAKDADKAKAYYRRAVASSGLKEEDEALKDLQEA EKLAPGDAGITNEIAKVKKAIKDRQAKERATAQKFFS AFLA_043050 MSRILKERFSAVCDYTSTVSRLCLLTVAVSQFTLYGQLKKGKQP DFHDAADVETARKLYDYFFRRLGEAYKPERVKNGIFQAMMEVELKNDGPVGVDYRSED AAVTIEINTQLPKKEKSEKEEPSQPVQKNIEIKLPPELLE AFLA_043060 MTSRKTQQEIDKTFKKVAEGIQSFEGIYEKIRSTSNPTQRDKLE ENLKREIKKLQRYRDQIKSWASGNEVKDKGPLLEQRRAIETCMEQFKAVEKEMKTKAY SKEGLSAASRLDPREKEKVETSDFLSSMVDELQQKIEAMEAEEETLHMQMKKGKKDVA RANRLADLQRITERHKWHVNKLELLLRSLQNGNVEVNQVLDLKESIKYYVEDGHNVDY SGEDETLYDDLNLDGETEAQFGMTGDNDRVSSQDTQSVQEEDLDLRPKPIKSESTAPR RPSAQMKSPLPVLATLHPSTSTSGTSGMKPAPPPTRLPGETLKYASAAAAAAASDKNG VGIAPLPPPPGASPAFPAASLASRPSSTASPSIASVQPVSKPTATTSIASEERSKTPA LSPNVTAASASNTVQSTPATKKAEASATKEPQPTVNGEASKEESREEESIYHLPPGLQ DLIHSFEVTKSRAAAQSSTNPPPSVQRLLTASLNTCPEPADAEKPRHYKPQNPYNTPL YYPQEPLAIFDDPRLYDTGRIDTDTLFYLFYYRQGTYQQFLAAKALKNQSWRFHKQYQ TWFQRHEEPKTITEEFEQGTYRFFDYESTWMNRRKADFKFVYKYLEDEL AFLA_043070 MEHSYSTSSEESMQSPMGYYDMYSMASNDFELYHAQSNASSYSS SYPSSNYSYSSFGSYDSGSFEASSYDSGSYEFTTPPPIYCPPDLNPHFAPQYFSSPVQ GTWPTPPAQVSPVEEIPYPPLETNYTPCETAKPVKPYVCECGRAFTRPADLKRHESSV HNPVFQDCPVQGCIRKDSNGFPRRDHLIEHLRSYHHLNVPKRRAATKRVAKAA AFLA_043080 MTTRPQAPPPHTTTTTLTPSTTPSQPPSPSPSTPTLTHKSNPNP NPNTLKQIHRIKSHPTLTPHQRRVYLTLLTIPAGRWTTYAALAKHLSSSARAIGTAMR TNPFAPDVPCHRVLSADGGLGGYMGAGPASGHANLERKRLMLEEEGVGFEWVVSAKDR SRMCGSRAGGGEGRWRARGECFVGFSSL AFLA_043090 MCDQIPKWNVVHRLEKRKLLIGINSVAALSILFFGYDQGMMAGV NNSKDYIDLMGFGYTEMKDGYLTPVVTDSLLQGGIVSVYYLGTLFGALLGGWIGDRIG RIKTIAAGALWAILGAALQCSAQNHNWMICSRFINGIGTGILNAIVPVWATETAEHTS RGQFIAIEFTLNIFGVVLAYWLEFGLSFIDGGRSPFRWRFPIAFQIIFLVLLFVVVWF FPESPRWLVKVGREQEARYILGRLRGSSDEDAVRAEAEFRDIQNVAEMEKSMNHSTSY LAMLFGYKTGKLHLGRRVQLVIWLQIMQEWVGIAGVTVYAPTIFSIAGFDSMKSQWIS GLNNVFYMFATLVCVFTLDRIGRRWTLYWGSIAQGIAMFLAGGFSRLAIDARADGNIS RANSFGAAAASMVFIFTSVFGATWLTVVPWIYPAEIYPLAVRAKGNAWGVVGWSIGNG WLTLLCPVMFEAIGEKTLYVFAASNVITIPMVWALYPESNQRTLEDMDLLFAAETPWV WDAERTFARLKAENPGYIETANRKNSAVDPEMGKPTDAHEEHASSAS AFLA_043100 MPSKSEITEFQMPGAVEIISTRPQKLIEIVEPDPTWPESFAIIA RRIADALGNRLLSIEHVGSTSVPGLPAKAVIDVDVLVADPTAEDSYVPALEAAGFQFL LREPGWYEHRLFGFNEPYANIHVFGPNSAEHVRHRLFRDWLRNHEDDRQRYVDTKRQA AAASRLAGETVNEYSDRKQSVIHEILQKAFKEHGYLNE AFLA_043110 MFRTLLPRAAPRAALRTARPQSVPSNFVAAPTLSFFSKRGYASE SGEHDLVIIGGGVAGYVAAIKAGQEGLKTVCIEKRGRLGGTCLNVGCIPSKSLLNNSH LYHQILHDTKKRGIEVGDVKLNLEQMMKAKDTSVEGLTKGIEFLLKKNGVDYVKGTGA LVDPNTVKVNLLEGGEQTLRGKNILIATGSEATPFPGLNIDEKRIITSTGALSLQEVP KKMVVIGGGIIGLEMASVWSRLGAEVTVVEFLNQIGGPGMDAEIAKQAQKILSKQGIK FKTGTKVTKGDDSGASVSISVESAKGGKEETLDADVVLVAIGRRPYTEGLGLENVGIE KDERGRLVIDQEYRTKVPHIRVVGDCTFGPMLAHKAEEEAVAAVEYITKGYGHVNYGV IPSVMYTHPEVAWVGQNEAEVKAAGIKYRVGTFPFSANSRAKTNLDTEGQVKFIADAE TDRVLGVHIIGPNAGEMVGEATLAVEYGASCEDIARTCHAHPTLSEAFKEAAMATYSK AIHF AFLA_043120 MAGVGPRIQFGTAGLRGRMAAGFSCMNSLTVIQASQGLAKYLKD KHPDSASGGVVIGHDARHNSAKFAALAANAFISQQIPVWFYSEPSVTPSVPFGVTHLK AAAGIMITASHNPAQDNGYKVYFKNGAQINTPIDVEIAQSIEENLAPWSGAWKDLQAC EYLHADAYKTILPHYTKTVWDYANSTVSDWKQPRPFVYTPLHGVGGLVFPDLCQSVGI TEFTPVPEQVEPNPDFPTVSFPNPEEAGALDLAMQTADREGKTLIIAHDPDADRFAAA EKVDGSWFSFTGNHIGVLLASHLFDSLENRKDGKRIAVLNSTVSTGMLEKMATAKGIQ FEEALTGFKWMGNIARRLEGEGYNVPYAFEEALGYMFPAVCHDKDGITAAMVFLAAQA KWQSQGLTPYMKLQQLFNEYGHYETLNNYFRSPNPETTTALFRAIRNGPYRAEKTLGP FKILRWRDMTEGYDSGTTNNKPTLPVDKSSQMLTLWLDQDVRFTFRASGTEPKVKLYV ESCGASREQAVDAVCNAFLAVLKEWVVPFAPSMTYSRQMPTSSGYVFQISE AFLA_043130 MADTLKAAATPTPPSRKDTILSRRWIEGQIAEGKHVIVYDDRVL RVDAWIKFHPGGDKSIKHMVGKDATDEINALHSKEARQRMLAFQIGRIQGPWLNFLPP IQGGKFRPYTEATCTSDEDTSGQDLSTPPSPIFDSVDAKSGLRRRKSVSSDTSVSSAT SECEPKPFFLDARTQEEIVLDVTKYPSLDTESQESIKKKYRALDQRIRDEGLYNCNYF SYFIECCRYTLFAALSYIFLRSGWYATSGFFLGCFWHQLVFTAHDSGHMGITHHFHVD SVIGIIIADYLGGLSLGWWKRNHNVHHIVTNAPEHDPDIEHMPFFAISHRFLTSLRST YYERIMTFDAFANFMLRYQNYLYYPILLFGRFNLYRLSWEYLLCGQAPKKGPAWWHRW FEMAGQVFFWYWFGYAVVYRSIPDWSSRLIFILISHMVTAPLHVQITLSHFAMSTADL GVNESFPQKMLRTTMDVDCPTWLDFFHGGLQFQAIHHLYPRIPRHNLRRTQKLVMEFC RDTGIPYAVFTFYDGNKEVIGKLGDVAKQVRILDKCRKSCAQQGVFSDHH AFLA_043140 MANWPPLAPVPPPAVQSAIPPQEWELYIDAWILLLTLRIEASDA EFTEHASTDESVVTFLTSFYDQLASTGTPGLHTGPKTRILRKLCFLLTRRLLLDAPTS PPDLLGWKYLGSMCSCYPSSSALKKLLSEAWDKHEETISSSLEKAKTAMTKQLAMLSS AQAPRIIPDIRLLTILGSVIPACGQALMAGSDFLDTCCEAYQAHKRDDFRKVLVAVIY VGLTSLLKGPKPNLSLLLDQLFSLKASAGINAPTTKKEPTLLSDLICSSDLLVRLDRY LISHPQKRGQDLLSSLRAYQIESNVFHHRYQKQKKKLDKGKARATTDLPQAEDMHIHR MSLVTQIQDLFPDLGSGYIVRLLDVYDDNPETVIAHLLDDSIPPELRDLDKSEQLPTA NSTAPKHDPFPPRPTPPQISSPPVQPRKNVFDKDVDLADLAETDKLRFGRANPDQTAD DILADRSKHAVNKAAIMSALATFDSDDDERDDTYDVADVGGTVDAATTDTDADAKQKA DELDLTLFRTYKASPALFARDSATRRSQPRASLKRETGMTDEAIEGWAVMLARDSKRL AKLEDRLSLSVVGPGGTGLMQPEIKPTAYRRPGPRGDGESGSETDEPAGSGSRGRGDP GRGRGRGGRRGGGAGRGRGGNAGSGDGNTTAQRQRKEENKASRANHNRRQQRAKKVAR AGGMMG AFLA_043150 MSFQKPEKDFGEGPKVHKIRITLTSRKVASLEKVCSELIERARS KSLHVKGPVRLPTKTLQISTRKTPNGEGSKTWDKYEMRIHKRLIDLLAPTETVKQIII NIEAGVEVEVTIAA AFLA_043160 MVVCSFFQQGRCKFGERCKFEHPGQSSLGSGNRFGVLSGGGGGG GFGGRSAQQNQQPANYGVTADDIKTDLTAGKGRPEWVFSCYGPGKNAPKQLFGGAQRE QSFEELRLRHYEAAATGNVEQAVQEAQALYAEALKQMDVILNDLGGAVKYIVDGINEH PNRIDIIEGKTGPAANQGPSPFGQPSAFGQPAASGQTSGFGQPSALGQSSGFGQPSAL GSGSGSAFGKPSGFGQPSTLGQPSGFGQPSTLGQSSGFGQPSTLGSGSAFGKPSGLGG GQPAFGKPAFGQPSLGQQNPGQPAFGQPSAFGQPSSVGGSSFGASTNASPFGAISNQN QGAGVGFGQAASAVSPFAQAASQQPAAPSGFGQPSTTPATTGGFGQPTQTPSPFGQPQ PQPQSNPFGQPSTAPNPFGAPSQPQQQQAQAAPSPFGQPAAGFAQPAQQQPPAPTATA GTGPPAIIKVEDPNQLSPIPPLSGQTVRDPMTKRLSTWKGQPVKYIDNNPCYLHPQDR QTYVRIFFPDGPPDQASLRDATGKSEEYTPEVTEQYEFFVKNGYFKDGVIPSVPPKTE WVSFDF AFLA_043170 MAPWEDFDSVFSFNKNFTYDGKVIEQILSNRRALDNQLFADRLL GLLGVKAGNNDQTLIMYNVSDKHNYIVTKVYPPKSNADLRTLFGHIVSSPLDIHHKQA LIYYLLKDCRAANDYASQFSRRFHLPEKYRFFIEGLWNLDRLDFKLPKHDDSLVMAYY LTVSPPLASTKVQKAFFRILCRSSITEAFYFTRNYDDSLRQNYLAQLIEFVHSTEAGE TRSKRAMELIGLPFDDQEEGWFEDCLLRGNAKGLHGAKDTVMMRRLATGKLENLSADL ESLGGKKVDGLNWDILRQGIQPSQT AFLA_043180 MTPKPERSSVEPSISPQSQARSQRGSVPPLGDITEAAVNKSPSP EDTKSQISIINPHSTVLEKPMDIMMKARNLAHPTIPEEPSGPKSRMVIKTLILNNFKS YAGKQIVGPFHASFSSVVGPNGSGKSNVIDALLFVFGFRASKMRQGKISALIHNSADF PDLPFCEVEVHFQEVLDLPDGAHEIVPDSQLIVSRKAFKNNTSKYYMNGKETNFTAVT TLLRDRGIDLDHKRFLILQGEVESIAQMKAKAANEHEDGLLEYLEDIIGTSKYKAPID EAATELETLNDVCVEKNNRVQHVEKERNALEDKKDKALSYLNDENELTQKQSALYQIY IDECADNIRVTEEAILQMQELLNMELEKHEGNESGIKELEKAYKRGMREYENMEKEVQ ALAKEMAKYDKESVKFEEKKKFLVGKQKKLEKTIQTARLAASECESLVEKHTSDITKK TKETTDLEKELKVEEDELSAIRESLKGKTQGLSDKITAKQKSLEPWDEKINKKVSAVA VAQSELDILRERSNAGAVLLEEAQGKVSSIEETLAAKETDLEERKEQKAILEEEVAKL KHDLKKYAHREPDVRAHVSSARQKADEARASLASTQNRGSVLSGLMRLKESGRIEGFH GRLGNLGTIDEKYDVAISTACPALENMVVDTVEVGQQCIDYLRKNNLGRANFILLDRL PRRDMSSVFTPESVPRLFDLVKPKDPKFAPAFYSVMQNTLVAKDLEQANRIAYGARRW RVVTLDGQLIDTSGTMSGGGTRVARGAMSSKQVADTSKEQVARLEGDLEEMERKFQAF QEKQRHIEAAMREKTEEIPRVETKIQKILIEIESTNRSLADAQRRVKELSAAHKPSKT DAAQAAALEKQIASLEEEIEDLRSQKGGIEEEIQTLQNKIMEVGGVRLRGQKARVDGL KEQIGMLAEEISNAEVGKSKNEKLIAKHQKTLGDAEEELNHITEDLDKLNEDVSNQAN DASGWKQKVEEAQEALESKKGDLKTVKAELDEKVAELNESRATEIEMRNKLEENQKAL AENEKRGRYWHEKLSKLTLQNVSDLGNEEAPAELQTYTKDELLEMNKESLKATIAALE EKTQNSPVDLSVIEEYRRRSAEHEARSADLNTALASRDSAKARLDGLRSARLNGFMEG FSIISLRLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKKSWKNIGNLSGGEK TLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIVASYIKERTKNAQFIVISLRNN MFELASRLVGVYKVNHKTKSVTIENKDYIKRQ AFLA_043190 MDFILSLTHFCEVHGPTSIICSQVLPFSCSQCYPDRSDFSPDDT PATSHDTVSSHLQSPTYGKDTNSSKLHGKLPGKADFEKIEDHPYFIKPQANSAEAQQR LNPLGGADGDTCASCSLTLPDNVSKQLPPGAPGTPKSDGKGKNGSPVLRSREVVYSCG SNHSETEDGAHDPHVHASYPESLHSSSVASDASCHTHILTYLSLRGPPNPADYALLRR SSIRTLSCELLPQGASSGPLCFGDSSAGYTIAFVFRLPDPMARGKRRSYALVALAGKD TGRAFRACPVIWRAFGRISAGIVKSAEKYQEEEKRREEQNKGPDRTNSRQYTPVSSFL TGRALDPDGQLRRPGQVKARNLSEIVGNQYIFAEIHANFVALLQQLGSMFGADPISEE RFVCSTIRDGEDSRRPSIVGGGKPKASQKYEDSDLGMSTLDISSGPKPIPIAPRRSVV A AFLA_043200 MTEPPNDFNGKQAHDHTPLLLTKTTTLGSGSMILPSLPTVSTAA PRQLQVTVPFGGGSTVDIHREL AFLA_043210 MKRKAEKQQAAAPAPMSAVAARKARQQQMQAAIAVAKPSQAESV QEPPSKKARRSPEQAAPTPPASGEPQGRTTRSSKRKAESLKVDKLIEKKEKTLSAEYT EQLPVRSSPQEQEDQSSSDEESEEQNPIVGENDAGIAPLRGDVDGYESPADTSAPIQE FPLSKTRLNKSNIIYSDEHTLCVRIKEKMSLVLLGHYDLWVKRGVVSVMGAKLHPSPR LYRVYAPSTHSLPVINCTRLQTIPSNGISGHCILRSNGAQLSNRYLSEEVD AFLA_043220 MAPKSKEAVASDSESSRSNSPEVAEKAEVSSSSESENSSNESDS DNDSVASETQGKKKSSKFSSQAPQPYRAPSGFKAAKKQSPPSSSTTSLLSNLRSKQVY HITAPEFLPLSKVKEISLSKIMKGEPVMKHEGVEYGIPAESINQGDMGGKALLLYDSK SQTYYTTSTNDIRSYHVQELINLPERSEENDTVLEAAKEQIKPPRKQPKHLKMRFRPV GSEQGPPETIGSSSEESEGEQPTFKMPKESRKEKEEKKRKHHQTDGEGSQPSAEPRKK SRKEDGVEKAEKSKKSSKSKEEKKRKKSEKSA AFLA_043230 MIAAVGSKSDFHARFDESSDSEGEAEGQTQKQPGKASLSNKKKF PLSPTLKPQSTLEGRGRRHRRSISDNKLLRSLKPSPKSSKGTETTVQTEPPTSDEMSP LASPRRARSATPRAAPILSRMLEAEALLDKKQSADQPSSSTKGETDGTSEQSCASPLS LRLKEMFGFEMPEKVLMEYACSLLQNILLQGYMYVTEGHICFYAYLPRKSAVTIRSGY LHKRGRKNPKYNRYWFSLKGDVLSYYTDPSSLYFPSGHVDLRYGISASLTEQKDKDKE VRDFQVTTDQRTYYFRADSSASAKEWVKALQKVIFRAHNEGDSVKISFPIESIIDIEE SPITDLAETFKIRVVESDESYAIDEYYFSFFESGRDAYNFVKGLISEGPMKTSQLLPP PSEQTSPATRARGPRNRWSLNSDLSQSRGNGIFKTQRKRSASTGQTNSGPDGIGMSPR QRDLSDSFVNSFEQATDASAVLQSMIDTTESASQILNRSDVFQSPTIHTLRQRHPSGD RTGRRLSDGTARSTHPNAADANRNGQEMQYASSDSDQGTQHPSKVNSSAPTLNELVKA GAYPLQRAAGFAEYLRSRSRQMSNLLASESMGYIEKVSGMWAGGRRHYGETEGVLPDD QDVDPEDKEDGVKHGDRFRAHFALPSTERLQATYYAYLHRVLPLYGKIYISQKKLCFR SLIPGTRTKLILPLKDIENVEKEKGFRFGYQGLVIIIRGHEELFFEFNTADARDDCAV TVHQSLESMRFLVESGLLAEQEKDEIESAQAEHRMLQEARLDGAGEHDSHASVNESSE LHPIFDDPRASIINFKPSESLRITCLTIGSRGDVQPYIALCKGLLAEGHKPKIATHAE FEPWVRQHGIDFAPVDGDPAELMRICVENGMFTYSFLKEASTKFRGWIDDLLSSAWAS CQDSDLLIESPSAMAGIHIAEALRIPYFRAFTMPWSRTRAYPHAFAVPENKMGGAYNY ITYVMFDTVFWKAIAGQVNRWRKKQLGLKATTLDKMQPNKVPFLYNYSPSVVAPPLDY PDWIRITGYWFLSEGGNWTPPTDLLDFIHRARSDGKKIVYIGFGSIVVSDPSALTRTV VESVLKADVRCILSKGWSDRLGDPASAKVEIPLPPEIFQIQAAPHDWLFSQIDAAAHH GGAGTTGASLRAGVPTIVKPFFGDQFFFGTRVEDLGVGICMKKLNVSVFSRALWEATH SERMIVKARELGAQIRSENGVDTAIQAIYRDLEYAKTLARQRSIVSSTPFSPTPSAKT TAEQEEDDVDDSEEWTFVGDDTEIDVSRRLRDRAVSDADMLPEPVTSAS AFLA_043240 MADKKTPTINPETNPRGIPVAPFVDNVTDYVSTRADVEPTLRSF QEMISKYQFMEVNTQRRAAGLREKIPDIKKTLEMVKFLKMRRDNNADPLETNFELNDT LYARATVDPADTEEVYLWLGANVMLAYPIGEAETMLTEKLSTAELSLANCDEDLEFLR EQITVCISSFPFISHSLYIRCSGALELYMCGSVLTGRRRWRLLPLVCITGTSCSVEKT RRTGRATKMMIRQRVLRVLKAHFHDSVFLMTLSGLPRKDFSFTRVAYILVRVGMKQKC CLDRCRYKQSLLSISPMGSIMNWIK AFLA_043250 MALTPTSISCCSHNTPYRPQGLDQESKFNEFLVWARLTVTSEVS SDCDTPSPVPEYAVQLVKQVNYGPLKSRRYFIPRRDLSSVELIEVSEQWLIEKNFEKL NSYKNFRCTLHNKFFELNLYRKDPINTHHWRANVARPSNEIDL AFLA_043260 MLHEMGDPLGASRNGRTWEDALEHLYFSQLGQSTKTQIEEHSRN QRLQLRDIGALKSFEKNVSDDLIRIFFEMCYPQCPIFDRADFQHNYEAGRVSPLVLQA VFFLALNHCSEELYKRAGFANRYLATFTCYQRAKTLYDTNYESDAIATLQAVYLLSFW WGSPMEQKDMWHWTGIACNRAQSLGLHQSVEMLGEDDFEISDDDLINPDLFREPTRQS RLYFIYLAELYSRTGAKFNESLALKSLDDLTSWKASLPRELKHRESTVSVEDGLWATL VNLSYL AFLA_043270 MWTTTTTTMRTQILRLQTWKHAFAYIPLTQGTLTPLSFKLKQTR QSSTWNPHTVPKLPSQEQGITTNKKEDNGKLEYFLTTTDQLINWARQGSLWPLTFALA CCGIEMMHVSMPRYDQDRLGIIFRASPRQADVMIVAGTVTNKMAPALRQLYDQMPDPK WVISMGSCANGGGYYYYSYSVVRGVDRVVPVDIYVPGCPPTPEALMYAIFQLQKKIRR TKVTRMWYRR AFLA_043280 MAAYPRPDFQRPALNWTSLDGPWDFIFDDADNGLSQRWHQKGIP ANTITHAKRQIQVPYAFQTPASGIGLHEAHEVLWYERTLTDIRTADELAKRNRLVLRF GAVDYDCTVWVDGQMVGGHRGGHVPFEVDVTDAFGTQESDTADRRLTVRVRDSPSDLC QPRGKQYWGPVPESIFYTPTSGIWLSVWLESVPRMRLGSSSDGLVLRSDDINQGQLHA QVVVLDRPAATKCQVEIEASLGGIAVSRSRQDLPQDKDYVSLDVDMRGLDPESLRENV PFNVDGCWYNGIALWAPEHPNLYDLALRLYDASGILVDVVQTTTGMRSVTWKNGDGTF RLNGKPRFQTLVLDQGYWPETGLTPPSQEALKADIEMAKEMGINGCRKHQKVEDPVFF YWADRLGFLVWGEIANAYEFSDDYISRFNSEWIEAVKRDINHPSIVAWTPFNESWGYP SLKDNIEQRNHIRAVYYLTKTLDPSRPINDNCGWEHVSTDLTTYHDYSDHPALAATCS DFNNGILGQKSNRDMFVGPIPSASSQPLDPGTQHTPGAPVICSEFGGVNIIPAKGTAA GERDWGYTTASDPEDLLSRLENLVMAVVKGGHTCGLVYTQLCDIEQEVNGLYSYDRKE KVPAARVKAIMDAAHIYYYEHVAPK AFLA_043290 MVQLITTTRILSALEAIPTSDRKDLNLPSNPSLDSPITHDQLIR LSRYFRSENATSNPNDARTLNSLLHGTKVYVPPPPPKPKPSPEYLALKARLLAAYETD TYNQMTTSSNTPNGPSPIFSSSTPTVSALHDDDAHSDADTLTPGLVLNIFLSVVITGF SVYWALTSFSTPEVLTEAVSSVWDLDRGSRRGGGVSEAVKVLVSFGAAVAVGVAEVAI YAIYLGKVERARKKERGVKERKVVVGREVLGRGDEGESESVQRVDGEKEEIWGRGPNG GLRRRVRERWEEKGKEGDGN AFLA_043300 METQQIPSIAVVTSSRAVISGRLTSATIVISRTSGKITAVFDSV IPASDFPEGTPYTDYSPYVLLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGGVTTVI DMPLNAIPPTTTVAGFREKLNAAQGKCWVDVGFYGGIIPGNAGELKALVNQGVRGFKG FLIDSGVDEFPAVSSEDIRKAMAELADEPTTLMFHAEMVPPNTSSQADQPQIPEGPAE AYSTFLASRPSAYETCAVEEILSLAHLAPKLALHIVHLSAMEAIPLLKKARADGISIT AETCFHYLSLAAEEIRDGDTRHKCCPPIRSQLNQDALWAELERHAEDGVIKTVVSDHS PCTPDLKLLPSHIPGSCTSKGDAAIEKNQGSFSSAWGGISSVGLGLPILWTELSRRKG LTSAPEDTNTKRALQDIVRLCCANTAAQVGLERQKGDLVPGFDADICVFDDSAEWVVE PSTMLFRNKCSPYQGRTLRGMVRETWLRGEKVFSRDGGFSRKTPTGTLLLEKRV AFLA_043310 MFVYRREDLPPEHEFPADLEKLGYFINEKDQIRKIADPTQEFQY KINKNARVNDMQREAMSECIRKTVASRLRELNLTILRLPLISKVNEAHVPILVSSNLS TASHIIVVFGEPVQDLGIWAYRSIGVDGINAGSAVSFVKAVLQSETNKEGTNTESAPK RGDTALVLANTGQLIWHCGARRPMTLPTWLAYPRPSAVDPPLMMTHRNKIPDNGCWED HISCVFNEILADRGRLVRHDAKISIVGIAEGGQGAIRHLSLNWEGWRGYISAVVLTNP LHSTDVELAVNDEMSGSFLAFISSRCRAYVISDAPKGCLVPGSRRYGCNCYSSGEMQH VECIMPRAWKDMLEWLNRAHADPTMYEAQLKLKEMNDSDLETLNTDGSNE AFLA_043320 MSLFRSKRLDLSGFINARVIRDHTKRKVFEQHEPERQALRYIIR NTSLPQRTRAQAQLQLSQMHAYTRPTQIKNRCVAGGIARSVIRDFRIARYQFRQQALA GELPGVKKASW AFLA_043330 MSLLGKKFPTPIAKPLGPFFAAGAVILYGINSLGNALANTGEFK NDPRNPNLKNSKH AFLA_043340 MAASLNASVTSLQSSLQLLDSSISTLESGVSDFPRLCKVLQTTR HFELLPEPTLREAQQSLLDEITPSIGHLLSLASNHVEKLSRREQALRAKAELQEGRMY SSESRQTSSRSQNAYGDRQKANAAKAAEFRRLVQKKERLKYAVERLELQSKQRERQLR KSMAAQ AFLA_043350 MEMAELMDELSLLDDYWEALNLDGPELDHAFSETIGHRDQETLE ARVQEARQVFGDTLPDGLLNDTELRLYTQLYGEPIIRQEELELEATEDEKDADVLYRE DGQGGWEAVDFEQAESEDEPPVVYDMEAGPVEDESIAMQRTREVAEQLGGEIMLEQFE EEANPDDTPRLHPLTVEGKFSTDPSTVFLPKDTVTGPISIILSDYSNKHIADVAHRTF GGTRLPYSTTTPPPRAQMPQLPIPLDASQRHMTEMEANAYIAALFPGMYTSILSTLVE VRKRLGTEWLRGLISKEDGPHILDASAGGAGVLAWRDVIRAEWESMVPDHPRASPYPL GRSTVVTGSDALRMRASLLLENTSFLPRLPDYVHIREKPTLDDERAPPKRKQYDIIVA PHSLLGIEEEFLRKEHVENLWNLLNPDGGVLILLEKGHQKGFEAIAGAREMILKRFVS SPGSTTYTNFTESPIEDSHIEKEPGMIVAPCTNHEKCPMFNTPGHSKGRKDYCHFQQR YIRPSFLQRILGAKDRNHEDVKFSYIAVQRGVDLRETQGIVQGSEATEAAFAGYEDLH DPAVEEMEAPDEATSSQTEASEQAKPENFHTLSLPRIVYPPMKRRGHVIFDFCTPAGK IERWTVPRSYSRRAYKDARKSNWGDLWALGAKTRIPRSLRLGDKHGEGKKERLARRAA LRADLAEEGELEQQSEGSDWPEVLIQQRKKGQTIPSWKKHADKKKVRQASKKHAAAKV AQDDFLV AFLA_043360 MATWVYPPLPPQQLEREADSALDIQLRLGSLAPARGSPTTRLCL SNSPGAPELVLHQLVSVRNLVNQSLDVVDVSTWTGDPLNASFIYGQLRLLYETLSEAR QMLKGESDQVRGKWWETSALEDMFDPPLPLNLSFHLSIADSALVLYLRTLESSTPTHT PTAFATDISLTGFNLRDRLFGTRHRGHDEVGDVFTWKGDEVKVREKIRVESQDPSLMA VMAKLTALQHEVMKWISALKVLMGNEDTDSEE AFLA_043370 MAYQLSVSISGPGTSERAHWGLVIHKPPSRVGDLLHVRVIDENT NLFAFENRSGHVIDDQNAWGLAKITMLDDLQRAKAISILFNERPPSNGGKDCQDWVLD ALVSLEVEELVPDGTTQTWTSRTGKQTKAIQHEVGVNWEALNGR AFLA_043380 MLGFLGLFFTAGALLLMFLTLLGGARNSVPLNEIYFLQVDTGNI PGAPSVSRWTFWNICAVGDNGKSDCGTSYPDFPFDPPSHRNFDTTTNIPAAFIGTNHY FLTSRFTFPFLIIALFFGVVSLFTGFLAMCTRIGSYLSSLMAWISLVFQIITTSLMTA VFVQGRNKFNANGQTARLGAKSFGFMWTAVACLLLACIMYCLGGSVGGKETGYSGREH RRRGFFSSQRSNSVRSNKEANP AFLA_043390 MSDGTNGAPERFAIGISFGNTSSSIARINPEGKPEVIANEEGDR QIPSVLSYIDGEEYHGTQAKAQLVRNSQNTVAYFRDYLGKDFKSIDATPCHNSAHPQP HESTVAFSIVDSTNETPSTVTVSEIATRHLRRLKQSASDYLGKEVNAAVITVPTDFSD AQREALTASAKAAGLEVLQLIHEPVAAALAYDARPEATVTDKLVVVADLGGTRSDAAV LACRGGMYSILATAHDYELGGASLDKIIIDHFAKEFIKKHKTDPRENARGLAKLKLEG EAARKTLSLGTNASLSIESLADGIDFGSTVNRTRYELLSGKTFAQFTGLIEQVIQKAG LDVLDIDEVIFAGGASHTPKIAQLARNIFSEKTKILAPSTFTGAINPSELAPRGAAIQ ASLIQEFEQEDIEQSIHPMVTATPHLKNAIGVEFTTGETVEFQPLLNTETALPARRVA QYNAPKAGGDVFIRVCEGVREIKVTKPEPKPKEEKPKTEEDEDDSDFDSDEDEEEEIR EIVWKTEKPIAELAVKGVKAGSKVELMVHVNADLGLQITAREVGGQNAVRGAVQAA AFLA_043400 MASPLILLPGDEVPSEYLPSNNSAPLRLGAGLRLLSQPSSNPPS HVITATQPGLLSTDNKRNAVSILSTPNRRYLPTPGDLVIAQIHHSSPDYFHCMITPQA PQALLGQLSFEGATKKTRPMLKQGDLVYARVLSTGLGAGAEVELTCVNPATGRADGGL GPLTGGMVFDVSTGLAARLIKASSSSAEQQDGVAGLVVLDELGKKLEKAGGFEIAVGR NGKVWVDCANGGDYAVKATVAIGRCLSTIDEHELSSTDQRKLVTRILREMKIES AFLA_043410 METSKGQSDDAVTPKRKRKASKHGKPAVATLSPPVEEEPRPSKR KKLTDSPTDNTEDGRNTEKASTTVTDNSPVKAQVNGSPSIETSNDATIQQGTVKKGKR KQVGKGLTGFFSQDEVQALENFKIEFCNSHGLSSHAFDAMVQHSEREKGIEFPCDSSI TMKPEFWKTIYKILPDRDRRSVYRFMRRHFQNSDVKPHHWTHEQDEELIQLVARYGFK FAQIAKELGRSDDDVVQRWKNRLEHRTTMNRGAWSEEEIRSLQNALQAVWKNLKEKGH DVGRDIYEMDETLISWGHISNKLKNCRSRQQCADKWRKARKKVQQLRDKGNPDAVFDP VKESKKNRRKSRTGTPSSSQTPQTLHKSAEYVHSDDSDDEAAHQDGKTGESGKASIKE STSNDKSSSPDSESESTDDSRSGDTTEDVKSNAKRSERPKIKDQLQATEPKKSTKTTP QKRKQSTSSSSASDSEGSDSSTGSDVPAHPQTSTNGMSKTSPVAPKNKDKVEKSSSED DSSDSSDDGSSESDGESDESESDVTSSSGNEKGKSPKKTSNNIKQQPKKSSSEESSSA DESESDDSDSAESESESTPAVPKPSKSKKKSTKEAGAMKRRRVAELSSTSTSARSSVS RDIKMEVTSD AFLA_043420 MAAQDAACPVWVHSDGKQYCSSTMERAQQDVSGDLHPRELPFDR VLGDMSLPPAVLYADVASPMFKDFHQTLSALVKEGQISYRVRYRPPQHWSARPLFVSG YGVELALKRTDYIVIDDRDAGQKEPSNGEANKAGDIEGDAPDDLRPLSSSEVSRLGVN TVSYVMDSANPLDTLVKLSQDFPKYSAKIAAHNATTKLLQDIRSSRLGMLPSGVNVMW INGVQMDPRKIDAYSLLDHLRRERKLIEKFRDLGISAQEAVDLLSHKLLGESLEQDAP QRYNYRDETEGGKVLIWLNDLEKDAKYDSWPSELGAFLRPTFPGQLPPVRRDAHNIVV PVDLTDTEDMNFVVKTIQVFVKRKIPVRFGLVPIASSPGSTAQLKVAHYLQETFGLAS LIQYLEESLSSNKIASPDKTSFQAATKERKVRADRSALSLEEVLKHEELDEIVSRTVN YQNRLRITGGSSLIFINGVPIVRDDNWVQEMSNRVGKDLQSLQQGIMDNAFEEDAWLP KFFLSQAFDRRNPWVIPEDPKDIRVVDLAKVSASQKGGLGVLPRIASNKDNALDSIQM IVVGDFDSESGTKLLTAALESRQKHDEVEILFLHNPAHVPTASGSIAVYQLLKESQNV DVTQVLADIGSSGVSLPAHKEAEIARFWALQQPLAGELGFAPGASGVVINGRAVGPIP NDYTVVPDDFDQLLRYELTKRIGPVSEAAKELEIDSKLSGPLAFAKLTSLATLSTISD VPEGIFESTPDVRMNLFDKWNDSHSVVTVSNSEDAAITIVASIDPTSEVAQRWLPILK VLSELASVRLRLFLNAREEIQELPIKRFYRYVLDPEPSFAGDGAVLRPGASFSGVPVE ALLTLGMDVPSSWLVAPQDSVHDLDNIKLSTLKAGSDVDAIYALEHILIEGHSRDLTT KTPPRGVQLALGTQENPYFSDTIIMANLGYFQFKAQPGLWQINLKPGRSERIFKLDSV GGMGYAPQLGDENNEVALLSFQGKTLFPRLSRKKGHESEDVLDTNPKPGSAMDYVSKG LNFASGILSSVGAGSKLSTTEKQADINIFSVASGHLYERMLNIMMVSVMRNTKHSVKF WFIEQFLSPSFKSFLPHLAKEYGFSYEMVTFKWPHWLRAQREKQREIWGYKILFLDVL FPLSLDKVIFVDADQVVRTDMYDLVNLDLEGAPYGFTPMCDSRHEMEGFRFWKQGYWK NFLRGQPYHISALYVVDLSRFRALAAGDRLRGQYQMLSADPNSLSNLDQDLPNHMQHQ IPIKSLPQEWLWCETWCSDESLGQARTIDLCNNPQTKEPKLDRARRQVPEWTEYDEEI AALVEKVALEQQQSEEAQPDDEGDEDDGVWTKDEL AFLA_043430 MPSLLDLPLELLMQIVQETIPVGFEAATLSCKAMFAASAPFRAQ YTTRRKRFRHFKFSTKVEENSEGEEEPSLGDYWDEITKETGIKIVTTRGLLEQIALDP PVAQYIQSIDLRGHGDVDDDDEVIESLEVGVPRTLRDLVLASPFIEAGGGDTNDWIGG IKESTIDADVFLLTLLPQVREVALHPRWDEADPSNERLWSVLSLITHRANHQEEFPNA PLSKLSVLQPTRDMGYEERSRLTPFVPLLAINSVSEVYLGSCIFKDDGYTGYAFDPVV KCYSTNLRKLCIESSVAGPEELSQLLSRIPNLEIFEFSHETKWHGCGYNWNVGAFLDT VQNICAKTLKELSVTTLTEWCNRGATLVDMTRFQKLEVLDLGVDMLCGPAYDPSMRDL EWDETESVGNPAWPRLIDMLPASLKRFNLYLETFDEDHLKCISHLIEGLSDARTTKLP HLNNMSLFVRMDSPKIPDMALEVLNDAKKSGFSILKFATSIPLL AFLA_043440 MAHSQEHLDAPDGSALTWIFDHCLRYPGSYELPLRTMYALNCNP TRQPPPANRAPETAFCERPSHSPKSSVSSQDAPLDRAADFRALLTHQISRLPSQPCSL PPSFVTSFLRRCFTPELGDVDFPQALTGLDYLRDLEIRRRKEVKAALDRLQVKPDDLK EKAELGKKWPNVLTWIESNCAKNRTAEALYTQVYIGLRRWTLINEMLLEPHNKANCIA MLNTLFPPVTDATVNPTPQLTAKILKSQRDGFFRYIAAFDKPNGKDILQKVITQGAPE GEETGWPLVRDALDRYLRLTNEIIDDCAMVNDQSSLEVTVEAESQPRRKVDSGISFGS ADKFHAPSVHSRSGSEDMLDKPLPPAPKEAHQKTGGSALERLTRELRKLSDAGKVKSL KKMKSSSALAMRPENIPSHTPDNSSFFEIDDQKRKRLIWEATSRKRSHSKQPSNDSH AFLA_043450 MSYLMGSEHTESTLSRIQIARPDRPTEVVRQDRQREREKKTGKR GGGKSKEKASISIH AFLA_043460 MPTDTTRYRSTFHVKSQPFTEKYLSESLTQNDYLVGWICALPLE AAAATALLDEEHPPLDQDPGDMNAYTLGRIGRHNIVIGCLPLGTIGESPATAVAKDML RSFPNIKIGFMVGIGGGAPGPASENPEDDIKLGDIVVSKPGPGHGGVIQYDYGKTLSE GEFVDIGYLNRPPNVLLTALTKLISRNELEGSSLPRQVADMGKKYPRKYQEWKYQGVE NDQLFVESFRHPDIDRSCKDIHCAESEDRLVSRKPRRSTDPVVHCGLIASGNQVMRDA VTREKLRKKHGVLCFEMEAAGLSNNFPCLVVRGICDYSDSHKNKRWQPYAAAVSAAFT KELLQFIPVVQLERAHRVSFMTKKMEKEFTKLNDNIKPLLQTQEREERRRVLNWLAPG YYESQQADAQRNLDHDAGRSFIENEKFKAWSEGSKDVETLFCPGLPGAGKTTLASIVI SKLRKAQEKQNSAVTFLYFSYNLQAEQTPIHMLRTLLRQLIDTLPSIPSEVTEFYCAN QFPSLHETFKILSGVIQDYDNLYIVVDALDECLPEYLAGFLEAVKNLQYMGARFMLTS RYTNIIEREFRDMKKCSFLDIRAVNKDMETYITRNFDFSAFYKIPDELPQIDRFKQVV IGSARGM AFLA_043470 MIEKSDHSEWAYRVISWVFYARRPLSVDELSEALAAQTQRGNNC LDMNYAPPDIQIVISYCSGLIVANPSTEKIEFVHLTAYQYFEENHHEHPWIAKSLKEI SLTCLRYLSFGQFSSKEEHTSFLDYAVHFWAEHTGPVQADWEVRQTAKRFLQNPSLTS SADQMFPANEHTYISLSLLMDGSQESGATGLHLVARFGLVTQLRDLLKEIPVSEINSR DPYGQTPLVLAVRHGHWDIINIILDDSNVDPNLSDDDGRSPLSIASQLGYSSVVEKLL STGETDLNLPAFDGWSPLMYASRGNHTEIIKLLLADKKIHANFTSPDGQTALKLAVEM GFTDVVRLLLESGRVETNPSDSTSRDTFMTALENGDKDIIYLLLEYGYTNAIETLTLP SSAGLVPTVGLRRRSSSLFTYYGSPETRRIFWMAVEKGFVDVVLLLLRTGKADPNLTD SAKKSALMLAVENKHKEVVEALLCVGSACTDAMAENGDTALFLAVVKRDVDITRILLE IGMANPDLVYDGPFKHPLSYAVENNYQDIVQILLSTGKADPIPGLQAAISMKQIATIR SFLGIAGVDPNTQDRVSGQTALMAAIQAQDLQFVQTLLENKMVDINAQNWAGGTALML AVWFKDLDAVELLVGYGADPNPSLRSWDGVTLRDLSDQHGVKRLLSPKKVRTV AFLA_043480 MSAQSSVEIIPPTVPQTSGGAKTKQPKASKKKPNPAKKDLLFRT VGESLASQLFSHSKLHNTCTCCALAADHQRDQGFLLPPAAHPTHDARSRRCGSSCCGS SSSSQTLVEPTSDSDALSSRYSSNTYGRSSSESSLPLGRAGFVPLSKSTSLPQIKLPG RDHMDNAEVLERLAGRYGKVSHMVILDRSYNFFLNKARTGALCYKVQNQVAIVAGDPL CEPYLFSDILDEFKAYRKQFHWGIAFMGASDSLVKHARRQHWATLQFGAERVLNPMTN DVLMERSGKRIIVQNKQLLNPDKGGITLGAYAPAYGADPSLQSELMGIYESWRHQRNQ AAAAAQAFITVYNPFDFPNLMIYIYTRGPNGVANGFAALRRVGANEGYHLDPCIAAPG APKGISDLLAYAAMALLNQMNISYLSLGYEPLTILGDVTGLPSAIEKITRSLYRHTFQ RLPIGGKKAYHDKFRPDPFLDSELYLVFPSGVPGLRHMLAMVHMANISIRKLVRSEAK SASHKENPRDDR AFLA_043490 MVCVLVADSHDSAVLWVCLSGFYGDPIVRSTASTIFVTLSTRSE HIERILQSEIFGISRKHKAWHKKANIKNDNHLFHTVKAEI AFLA_043500 MQRILYRLTGGIEIAYVIRRVERNQRSTSKGECPWSIRQTGVYQ KLTYPHDGSPSSSVFILIAPSRTVENEVSLCLSGSEFEGEVMTPHFSVHERLISDSLG SWMDYMAWLESECKQKADRLIVWDVEDRDKHMTYFKVEDRQRLKQLGDYITDLIVVLQ TAVNTIGRIGKSCQRHCKMSCAARDDCFCNSMIGEFVEYETEARVYLERAKVLQERVQ STEQLVVDGQLTDLLSFEETRALKQLARASHVETKALKELAQTSQEESHHLAELASCW SGLPPHHHCSGAFHWLTLKWGKRRWDC AFLA_043510 MYSLYYLIFLLACLFLLLSWNSRRIKNGPRPQSDSPKQYTPATS PPMSREGSHSSQDPFPSLASTLDRIRECTIKLAFIPRSIIDAEINEEAVRDILAEKKI GSRFRRAKLAKKIVQTAQKLFTILVMSKKVDYINTFLDRGIHDGDLPFKLDGNVLRTS QGQEVLVPEGWDYEDLKTLEANQWRVLVPVFHQGAHYDFPKQQIFPFVGKESEKSAEG GYGRVSCERIHADHHEFWEPPDSESQGYRVAVKKILWREPHLFERERRFLKALGSAGP HPHLINLLCTFSFQEDNHLVFPYADENLREYWKRTRLPEWDSQNILWLLKQMVGIASG LSVIHKLNRAGKTSGKKLYGRHGDLRATNILWFKKRPGCTDANGILLIADLGLAKLHG FESRSNDVDAVFPLTYSPPRRPGERINRAFDIWGLGCLFLEFGTYAICGDQAIEEFSR LRGYDDPRGEFTTDCFYSIDHTTVRPSVNEWVLNLKKKPRCSPVFLDLLDLIMSQMIR VQAHERSSAQTIYRKLNVMYKKAQSDPQYLLGNYEMSLQQELDDPHEPSPEASPSARN ISHGSSSTYFTPNGHSLGDAVHNARVLHLNKLDSGMQSRLVLDSDDSWSMSEFGLPRS RGTWPQVTSS AFLA_043520 MYEACIGLIKSGKAYADNTIKEIMQDQRMKGIACACRDMSPRES LAHIEEMKSGSEEELQWCIRAKKSVDDTNKALRDPVIYRCNHEPHHRTGSTWKIYPTY DFCAPFLDSIEQVTHALQTNEYRDRNPQYHWMQEALGLRKVEIWDFSRLNFVRTVLSK RKLTVIVDKGVVWGWDDPRMPTVRGIRRRGCTIPALREFILKQGPSQNIVNMDWTRFW AINKKYIDPVAARYTAIPSLNAVTALIDGVEATTSAEKPKYKNPSLGTKKVIFSKEII ISQDDAQHFKENERVTLMNWGNAIVSRITTDPATGKVLTLNLKLDLESDVKLTEKKIT WLAKDSSNMVPIKLYTFDHLISKDKIEKDEDFASFLTNPSEFCTEAWADCNVTQLLRM TPSSLTVPAISGLTKHTVTVSRRLCLTSRRKGGLKATLDC AFLA_043530 MLGYKRREFSSLTKWFFSFMQLRRHFGAEWAMREKEFDHTEKLE AFAARKVDELDKYDKASEKMEEKKALVDSENITKQEFIANALIEAGSISHFS AFLA_043540 MSGTDPIDPNARREVSKAIASSGSAAVGRMIDAANSSVEKRRRN KEARAKREVEKKAAKEGQPPYLVRLAHLSANSLVHKSRIPQVLVLID AFLA_043550 MAIAAAELKQSVTFFRQVSRASVPGRSSLAAISHGKLQKESFSP TPDLRRCLGHHGVYRKCVQAAQEAASQPIHASYGVNNNKYHTTPDNATKHEPTTTTTT PPIRSQISQAIKAMTQRRHISASSIKPENDLIRVPSRTSPRKSNMAGSLQCRVKLFFS RKSLPEERPLWQDAAG AFLA_043560 MPPKEPLRNALTFEKKNKDMLKLPKHAGIQKRPIPHAPIASPYA GSSVPKVVYISSNTPFMSAVKRVQKFLQQAEKRATASVNLSSSKKRDRERLAEIARGN ESLKKEEVFVKATGRAIEKALRVGKWFEERESEYVVRVETGSVLVVDDVVEDEERKRK LKEKVKGGASQGSESAAKKQRRAASALAVAEEEELPETRTRWVNKVEVSIAFK AFLA_043570 MTAVASPPSVQSGPRLGWYESGNGGQGALSSMNGDEVSRMFMPR KTIQRSNSSSSLGSTTSTSTVTSTPQNTNAGQSHIPESATWSSKKKPSRSLWPNSKSE PVSGVSNARSQAMPAFSSGPTASSAMSAIHHQPSSIVPSQHMLQPQQNGVRAGSAPSG EPPAVLTLVPLNGTFEKKQINVPYFPEVVRIGRQTNAKTVPTPANGFFDSKVLSRQHA EVWAEKGGRIFIRDVKSSNGTFVNGTRLSPENRESEPHELRENDQLELGIDIISEDQT TVVHHKVCCKVEYAGVYGTMPNILDLTLGDLDPASGGGLLPSPLSQPLSHMRGRSGSS LSNRSVQSTASSQFNALQQQRQMNYWNSPISIEQVVKRLTSEMKQAKQQSQDLRQTDE FLTNLTKSGHPEKERVKSSPADSTSSRQVNGRPKMPRLDSFSRFSDPPAPPPQQPLPE KPDALPRNGTDATSPLKRSDTEKPKIGATSSPVSRESSQILSLIEALSSAKRELDSQG ARVKELESLLLEERTARQSAEEKARSLELRAKDNDFIANHTTSDSHTINNQETSAEQL SPEETLVNGVSPEPSLNDSIPEEKSAATNNNDLQQRLEAMMEEMEEMRKQITVFRDRA EKAESETVETRKSLAEMIETLRREREERSEANESTVQTQDATDHSSPSSADEAKNHPV ADSETEPECTGTSPLSKEAEISDTTFATQPRKHDILQHSSPYASMLGVVLLGVGLMAY LNGWQKMDK AFLA_043580 MWSSDAKQWVLQTKEAWSLGLVRTATGKNAVQREERKQHETGIP PCTGLGF AFLA_043590 MPVVAGPPQAHGPSTFDKMKMGAMMGSTVGGIMGFIIGTVTIFQ YGAGPNGVMRTLGKYMLGSGATFGLFMSIGSVIRTEGPHNDAWLRARGPPMMLPRQSP LRPMRQ AFLA_043600 MDVPEPEQTPFTAVTAQTSKLARKYQTLLDASTPFTAYRWIGTV VLLIIFFLRIILAQGWYIVAYTLGIYLLNLFLLFLQPKFDPSLTQDEGLEDGDAAASL PTKQDDEFRPFIRRLPEFKFWESATRAIAIGFVCSWFSVFDIPVFWPVLVVYWIILFV LTSESPLSLKFRMGLFSSTDRVIVCSAPTDPAHDQVPLRTFLLRQGQVRSVVNWDCIV RFPDDDSRVQLSGVLQTWLLQVFPARLTF AFLA_043610 MFARQCSRLVSSRTAVPLSSYLSRVRPYSSASGYEHILTSSPKP GVGLITLNRPKALNALSSPLFKEINDALSKYDEDKDIGAIIITGSEKAFAAGADIKEM APLTFSAAYSNNFIAPWSHLANNIRKPVIAAVSGYALGGGCELALMCDIIYCTSNATF GQPEIKLGVIPGAGGSQRLTRAVGKSKAMELILTGKNFSGKEAGEWGVAAKVVEGGKD ELLEEALKTAETIAGYSRVAVVAGKEVVNKSQELSLREGVEYERRLFHALFGSKDQKI GMTAFAEKKKPEWSNE AFLA_043620 MASFKPQDAIDPADEHLPESRDGRQDSTASAAKIVHNAKAATDK EQQMTLLQGIKLYPKAVAWSVFISTCIVMEGYDISLVNNFYAFDQFNKKYGELTADGT YEVPARWQAGLSNGAYVGEIIGLFINGWASERFGYRPTMIACLILITAWTAIFFTAPN VQALLAAEILAGIPWGVFQTLSVTYASEVCPVALRGYLTTYVNFCWGLGQLIGIGVIM GMIDRTDEWAYRIPYGLQWMWPGPLLVGIFLAPESPWWLVRKGKTQEAKRALQRLTSA KRDTDFDPDETISMMVHTTALEAKITRGASYFDCFRGTDLRRTEIVCMVWAIQNLSGN SFSNYSTYFLKQAGLATNKSYAFAMGQYGINMVGVFGAWFLMTLGIGRRTLYLYGLCG LCVMLLVMGFLGLVPDSHRDQSALATGAMMLVWALFYQCTVGTVAYSLVAELSTRRLQ IKTVVLGRNLYNIVAIVCGVLTPYMLNPEAWNWSNFAGFFWGGICFLCVIYTYFRVPE PMGRSFAELDLLFERGVSARKFASTQVDVFDETIEDHVVKNYQTQKSATTDVAQLEKQ AGSS AFLA_043630 MSSSSSDKQNLMLTDVVAGLLGGVGDTLGKTVDGVTGTLGTAVG GLGKTVGGATEGLGKTVSGTSEGLGNTTQNVGQSAGGIFSNTEQKK AFLA_043640 MIISNALLGVALLPSTAFGLGSVYFGSRGSSPLIPPQVPLAEPP ALSGTHEFTLRHIFRRGAYEQPDLHQRLDIKPYTRLRTVSEDGLEEGPAILDFPLVAL SRPISIERLADRRPSKIEAHLMAARSSGSAAVLSPSDWVMDTLAGPDVTHKESVLTFA QMTANDYIEEPGSEDWQDVHGHFNYSSSFGWRGDGLRGHIYADKANSTIIISLKGTSP ALFDGAGTTTNDKENDNLFFSCCCGQGGSYLWRQVCDCQTSAYKANLTCITEAMNDEN RYYRAALDLYSNVTELYPEANVWLTGHSLGGAMSSLLGLTYGLPVVTFEAVPEALPAA RLGLPSPPGYDPRHPQSRRYTGAYHFGHTADPVYMGTCNGVSSVCTWGGYAMESACHT GQMCVYDTVEDKGWRVGIGTHRIKAVISDVIKVYDKVPDCAAEEECYDCVLWKYFRSN GSEITTTSSTTSTTSSTPISTSTCKTPGWWGCLDESTTTTATTTSTSTSTSTCKTPGW FGCNDPTTTADATTVPSPTTTAPTMTSSTCKTPGWFGCNDPTTTSKVPQTAPPTLTHT CLTPGYVWGCWDSVTTSSHPITTPP AFLA_043650 MSFDPIENGSGKPRLHTARSFPRMDTSETSPFIRSRSKTFQSVA TPEHVESDAHPLPLSPAEEDQETGPDLFEKTGTSELGIDDDGQGEEASMLSRGTEEQS EELPIELISLTDRFVNSLSAKVHSSPPTIEKISLLYQDFYVRAESHIATHISALASRI NRDPSPHPQPKSKNKRVETSRQMLTASEVTEKRIARKMLASKRVALEEAVERRACESI YDKIWRHKSTLDEVRDEKLRSKTATLLLVGINLNDLGVDVDTASIDEEKQKEADEGLS VARDYLNKMNEAKYPLGKLRHLAAAHKAIVDALTKLLPSSSSADEILPTLIYSLITSP PEGINVISNLQFIQRFRSSNKIDGETAYCLTNLEAAISFLENVELSELRADQVPEGQT KVSSNEPTSAIEKGDPRPPTKDEPASSFTTVTTCAEFSKSAGKESGSNTFPRPQQSAT TQQRLNNLFQPPSKVFGAANDAVRNTADQSLKNIGATLDSSFTFLFGRLKELQSSQHA GKDGGNPIVPKTLAEARRLVASPSSTDNNSPDQELKSTREAPNDRPHLRRIGSKAEDT FLGLVGGQRTPRDRSADSVRTQGSSKKTNAASITLRDESASGIPQSSPASSSSLAPTP LESMRNFGNTLNPLNHIPGMIRSFGRATPDGTGVLSTPSPSTKSPPISREMSSSMTTT PSKIDPPIQRFLQTAHASDLTIGDVTTLLEDYKRIAAVLLKQGTNPK AFLA_043660 MGVGDYVHSKEAGQPRPPKLDIPNQSRQQIAEQVRVEVPTPKLN APTQLPVNRPTQFEHYGGLPAPGGSHAPNDNGAKKDMFDTDVEGVDDSTIAATSVVGV EDLPYRFSPGPNARQQGAAANSLHQFQQTRRPFDSQWYDNFGDKAMKTAGFDSDEIDN ESQLTSVVGDDEASDGTNESVVPIRKRLNDEPLSKRLQNFWNAGRKTYQQPANQAHME SSKIPTFTRSIQDAKRTSHVLPATAPRKVTLPPNTSTTPRTRFSPPKPTLLEQLDLTP TRRTPGPRPQQSQRITSIETSDSVENVGLGFFSNSYGRRGSMQSITAFDVTNIDALND DDDPINDPFSRRLSVQRISPDQHNPKKRHIEPDYPPDILYQKSFAELQAEPFDHIPAA APSPNATQETQPDPEDRIPYLMNLSDADRRTYFSNLSMDEWEDCGDQLIDQFTQMLTK MKDLRHARRKTAAVFEAEIKRRHESVEEQSSDLSRKLEGMRSGGAEVLRGRTP AFLA_043670 MASVPSASAFSLPIPSWQQPQSARVARYESRKRKKVHDGWDTED DVFEGETTDAGSEVAPSGTSLILSPEEAHQYRIAGLSFDRELPGGHFPHGPAKDERAS NRGKDNVMKGLSSLTPPIYPPQSAAYQGNLRLQHIAVLSSILHRCLLNRDYVRAGRAW GLILREEFRGNPIDVRVEGRWGIGAEILLRRDRQLSDITSGSARSVRNGQKTEASKLC FTREGFEAAKQYYERLIIQYPFRKTAPDFTSSLHFYPAMFGLWVYVTQEESNAARQDI WNRQEVAPKEDPDDAASDPESSDGSSQETQSLVADIRAIELHEAQKIAARMDEVLVSP PYSDSPELLELRGMVSLWIGDLFMSSLAHRVEDNDGFDDNDLTAGEDFQNSIQARREQ RLADEKRQSEVEKAHDLFEKAKQRGKGMTSTLEDLHIDDNALFD AFLA_043680 MGQSSSTHQRHNSSSNRLSFINRGHRDRDRDRNRDMNNDHNLEQ NGVFRPQRGADNGDTLPDQASSGWETWRPAEGQTSGIGHMGSIQEENGPQYEPTQREY RSAIFARMAARRQSTMSRLGSRILPNSVIRGLLNSEEETPAEGHAHRHGIVSRSIPRS EVAHSSSRFSPFSSLSSRGISRRRSARGPYFIPRSDPGLLSDTPHSPTFFDPTSDHTP ETTRSSWRRSARLHRVRNSLSGPITQMFGQPSTNMSDQNIGDLRHSMGPIPGDTPGTF LPQPGPMSSRMDFDEPHELDSVEPAIGNTRPTSPMSLQSGQSPSGLRHFPNLLRARPS RVLRREEQTPLSRVLQLAAAAIAAQLSGTTGPVMPNIQALGNEGLDNSLENFIQSLQH ATSAQTAADSQTTSGDNGAATPVNFLRVFRFANSDGARPSTTSNRSATATNAPESGSD GMNVENPVEGPEGRTVTLVVVGVRSVPSGNNLGNDQQNAGPGPGLDTLLRLPFLSPGS LSRNPDNGPNLTSRAEGRPRFIPSRHQTGGPSSTTNNEDIPLPVGHQSPSRRLSDTGS RGPLSSLPSIISESPPGPHPPPSTPAEPGLSAVSSGASTPSRRLSSASVMSPSTLPQL DEHRIMQPPAESADGNIPFNISRQRRRSDSEYVRHRDLGAGAIRRNGVVEPDNAPSPA GRSWLIYVVGTNLSENHPAFATPSLFTDNPTYEDMILLSSLLGPVKPPVATQEDLTSA GGVYRVVEYSGSLVAEALDGAGAIQIPNGDRCLICLGDYEAAEELRQLTKCAHVFHRD CIDQWLTTGRNSCPLCRGQGVAETSNADRTPENTSSA AFLA_043690 MDAPIRLTVLISGNGSNLQTVIDQTAAGELSVNIVRVLSNRKDA FGLERARRADIPIHYHNLVRYKKQHPATPEGIQAAREEYDAELARLVLADSPEMVACL GFMHVLSPRFLEPLERAKVKIINLHPALPGAFNGAHAIERAHSAWLEGKIDKTGVMIH NVISEVDMGTPIVVREIPFVKGEDENLEHFEKKVHAVEWEVVIEGVKLTIDEIRKEKK HGSTEA AFLA_043700 MRNKDDKLKENKLVTAKKVDKVSHEVTCSGRRSPWRSNAAADSQ PTTDTGRMHKQFTRCLT AFLA_043710 MANSLPPSQTPLNNFLLHPSQHLNEPSALSAYSILGPGQYPESV ALWHNPPTQPPSQSGLSVTAPPASFASVPKSQSLLQPIPDHKKHKRTRSGCFTCRARR IKCDETRPVCDRCRKGNRDCVYPTPGTSGSMASAGSRSGSKSKAPRPQSRGSDSSSHV EADDVHILEPIADEDEEEESSVASIPNTAHLPEDVRFYLSFHQGYMTPRHYFMRGDSD RFIHQSIIELALQYDPLLYAVVGFAAYHHCIQTGKGKLYTFLKYYNMALKLLRKSLAS SEEHSEATLITVLVLTTFEEFVGDWVNLIDHHQAAHALMRELLSPKSIITNELHGQIF PWYARFDVVAGILAGNEMVLGREWYIAKEDYDAQQATKYPGNADKQLNLAASINRRFG LEMASLYAKLSRGMIPIDEFIIQNDQLGQTLERMREILEKFHNSEYAVWQYPDRQPLT EDDIVDPYIPGGMYRGPLWDVNVAWIDYYSTKTMFKYQSLLSVRQSSPSELQHLALEQ CRLIEAIERWPEKENGYMFTYKNSIGMACLFAPKDSKHAMWGRKRLALLERNGYVMAP KFRQLLATVWQSPETDHWWLPNDEGYPDIIREVRSMTEERSNNPRDNFRESVRNMRSL FWNISMDDSSSSSGSSPLGQEDR AFLA_043720 MTGAKPQTNRSNSVSKRKKPSVEDSPLDQDGASTTITPEQPTKA KRRKKAQGLTPPDSSTTSTADNVSDTPKGNPASKKTAKKGPKPKVTAPPKRTPSAPWP DPFKKLSHTHRALNIVYTFCCTRKHFATTFENIKKAVQAQMGEELTVEEIARVRVLVP RAVRFEYVDEAKLEVMTVGDKEMAETYGRHGRYELDNGGDGNLEPPSQTKALLFEFID GDLKRENQRSKPGEDTKPMRKLKDEDLKMPVYSQKQMLALIEKRNKKFSDAVDAFLVQ CEDDGSDPVEKLERDKDAWVPVLPDDGDAVPVRKAPPQQIPKERKSMSEIIADIREMD WYTAQIVPEGHRVIDAQPAIHGELAFKLSQNLVNALYNTRGITQFYSHQAEAINHLYE GHNVIVSTSTSSGKSLIYQVPMLHELENDHDSRGLYIFPTKALAQDQRRSMKDLLQYM EGLQHTMVETFDGDTPMENRNIIRDEARIIFTNPDMLHITVLPQESAWRTFLKNLKFV VVDELHVYNGLFGSHVAFVMRRLRRICAAVGNRHVRFISCSATVANPEDHMKAIFGVE DVKLIDFDGSPSGRKEFICWNTPFKDPGDPTSGRGDSVAETARLFCQLILRGARVIAF CRIRKLCEVLLQAVRAEFQNLERPEIGNLVMGYRGGYSPQDRRKIEKEMFEGKLMGIV ATNALELGVDIGSLDAVITLGFPYSISNLRQQSGRAGRRNKDSLSVLVGDRYPTDQYY MKNPEELFTKPNCELQPTNSSSKATSNAPHSRCPSAPTKTASTSANNSPEFAATRLTK DALGFYHCHERFRPQPSRCVSIRDTEDQHFAVIDTTNARNIVLEEVEASRAFFTIYEG GIFLHQGQTYLVKELNPDSRFARVVRVHVDWNTMQRDYTDIDPIETDTIRQISPTTTS RAFYGAVRIHAVVYGFFKIDKRGRVLDAVAVDNPPIDILTKGMWLDVPKRALEILESR HLNIAAAIHAAEHAVLSLLPTFVISSPGDVRTECKVAKKELGKNLRVAVEARSREKEN IQNILRPPARQRPARLTFYDAKGGSCGSGIAGKAFEFVDVLLRRAVARIEACQCITPK GCIECVCDERCKEMNEVMSKAGAGVVLRCLLGWEVDVDSLPWGEDVNGEDGLGELAGG LETVVPAQEVRVRS AFLA_043730 MATDSGDDVGVKDDARVDPMDTRATADDSQASDNDNAERPVRHK LKETSITSAPNASTTGASTEQQQDGENSRSSSRGRKRSFDEDQPENLDEENGHRRKRS RDSKAEEDENITSLNAPEAEGEQTQTTDIARKILSPKKKRSRDQLDKDEPKAESTVED NKTSAENGASTTTAGEPEKKRHRDASQERGSAPLKSAFANTSAVSPFGSLGASKPKEE TSKPVATSSSAFAASSLAAFASSEQSPFGAIGGSNTSVFKSATTTEATKPAATGFAGA ASTSGFASLGSGFSGFSGGFGAAGLKGGLTSFAAPGGAGILGSSSKSKPFGAEEDEEE EEKEKEKEADTAPGEFEEDKTDERFFERPIQTGEEGEKTYFSSKAKLFQFSNGEWKER GIGTFKVNVKATDGKEDKKAARLIMRADGVLRVMLNTPLFKGMKVGDASGNEPKSKQI HLASLEEGRSVPTLLRMPSEDLAKELYHIVSDLLEHQ AFLA_043740 MASVSHTHPHGDLRDDESILDDDVIEADDALDADDPLHDTDTTP LRGNIQAEASSSRGGNLSGNYLTSSIPGEDRRAAQNTIDETVWETVSRDLLAVWEKMR QVLWPKYLMGGMLQRGGGGIGGAAERGEATGFGSGGGLRNLVGRWPDADTVLQGGMSE GLRDWDLWGPLIFCLLLSFFLAMRAKGDQSDLVFSGVFCIVWIGEAVVTLQIKLLGGN ISFFQSVCIIGYTLFPLVIAALLSALGLPTIARIPVYLVLIAWSLAAGISILGGSGVL KNRVGIAVYPLFVFYIAIGCLCFIS AFLA_043750 MLRCTNASALRTGVKSCPLTRLSLGSRWSRGVSGGARAISLSYP APRGIAPGQISAWRLPVGARRYSTNSEVTHSRGSEPLSGTEGIEDPESVDVSEELDDS EPLVASEGLEDTLLEPEPSLKSRQGITRDDLLLSIAKAGTSPKTREELDGTMPVKVSG KVVEMELKWLKDPRALSDRVGRLLKADDVLLAVALVRTAQREHMECTVAWNHLMEYCM EKNNPKAALKFYNEMKKRGRMPNSVTYTIMLDGLSRVSRDTGVHPVKTALSIYKSIFA PNSTVTPNLIHTNAMLNVCARQRDMDSLWRVAGELPEDGPGSPNCTTYSIILRAISDA AQADVVRMRHSQVEKILARKAQGVKEGKRIWSDIIYRWKKGQLEIDNLLVSAMANLLM EASTDRGCYDVFALIHQVTGIPILAKEPSDPSSKIQKKSRGIDLEEDVPFVDDSEKLY RPVEAEPEEVEQDGEEETFENVFDPIVSPGTSSPSYISVGNRELSLILEACLSMTQEF GIGAGKAYWQHLTLEDTDYKIEPDGGTYHQYLRLLRLGHSSRLALDVIRNQMVPAQMT EGRSFRIAFACCLRDRKNINVFKNANGLLHLMETSLVLPFPQALGAYLDLVRILGDRP QLLMSLSGIDGNGKRPAGGLSALGQQLRLNLQTTALEALRPCIAKLDKAMEQGLVSPV PVEGRGIRSNSSNEHAVSGKEALKVLATVRGLIDDILMPANSKLLSKETRAQLKKESR DLRRYSNAKITNKYKDSLVSPTSEQVLAYKDQQVAEGSLDVD AFLA_043760 MDNRPASEYAQSGSHFPYPPSVAPHSELPAADQASAAATAQYTP QPEVRPNPQYTPQPEVRPAANISSSNTPQSDYGLNQPPPAARSPAYPDYLARPPQYHH APNTQAGGAAGMAQATSPSMHTLHDGLQNDHRNHTNVKSDADVPIDPSIAASSPTYPP PYSPYQPQGHEMAQYQGHPPPPPPQMYARPDWSHGYGQHQHGLPGPYTTPATTVGPAS PAATAGPRPGQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNAH VTMQSHGAKRTPEEFKEIRKEWKARKKEEEAQRKAAEERERAAAAQAAQANQVDAPGP GDPAQAGQPPAYPGSVRPQLPPIGYQPADGQVPGQYGAPGGGMVYQGNGQMAYPPNYP HSPYGQSGQVYQQRK AFLA_043770 MRFTSHLLLTTGASLSVALPSGLPSQGFDWDSIQYLLAFGDSYT YIQGTAGHQNYSFIGDQLNLEYDAQTLLTNKIVQNQVRKQYSLLIQFH AFLA_043780 MWLRRRVNFSVKLYKTAVGFCFRGGRYFRGTVRECLLLLPLFST RLTFHAASTPLHHNFTVSLVNQVAQYRAYGHPVLKDIVEPSRALVAIWIGINDINDSA KYAVHFPTFYNELMDILFASVRVLHSLGYRSYLFMNLPPLDRTPSNQKTTSPSPNATQ VAWFNKALAQHAGSFDKQYPDTNVLLFDANSVLNDMMDQPARHGITNTTSFCPGYDQP DIEWNYRAYGCPMPLDTYFWFNSGHLTSHVHKLLAKALESTLK AFLA_043790 MPSLDSCSKPSSEEEAWQNRLLSNIHISDEHLNALLRLSAGSRD ERGYIKIIVTIRCFVPQAFEDRHVSDELAQDIFNLAIENTVKEKLRSIESIHGYG AFLA_043800 MPTNTITLIISPYHVGLRDHRVGNGPHRIQSQGLIPTLKQQGLQ IRIHEIPSVDNFEGEIGRSFEILRRISVAVTEAVDNNTFPLILAGNCMSSAAVACGLK IHDLSFIYFDAHDDLDSPDVNENGYFDAMGLSMLRGESWKTLMGTVPNYKPFSYEDRF LYCGLRDQSDVQRQRVIEAGMKAVWGETARKVDFVGELRTQMEERSYGSALVHLDLDV LDESYGKVNDYPSPGGLTEEDLVACMDLVPRLSEPRSLTVCSFDPDVGDGDTIARIGI RAIRAFVGALLETGVLIRG AFLA_043810 MSSSSASECPLSPLRENRNYGGLYYRPISAFVENASICADCSIL LQAVEVIKPGWVRENYKHGLVNVEREGADDDFDLVVPTMTLGIRPPKELRDPFSRELQ HSFSFHLLRLSTSPVYRSFDFRAVRFVGQALSQSLEVSKDSGSSAAFNRARQWLSHCV QHDQACQPPDTEFMPRRLVNVGSWDGSREPFLFEPTTPVIYACLSYCWGIDIDRVMKT TTDNIHSHYQRLELAQLPAAIQDAIAVCRALKIPNLWVDSLCIIQDDKVAWLHDASTM HDVYHNSHLTIAVMEPNSCKLRFLGKQQFGDHSWQRLFCPTLPDLPEDTSTELLMRPG KFKPRSDTERSSLDKRGWCLQESLLPNRRLCYDGKEMIWECLCRQVCECGHVVEPQIP RNTSKDYGKLGTTLKTHLPEAEPPFDRMNGFRFRHCSPSMMPYFGWRDLATDYSHRSL SKRYDALRAISALAKMVQKRLPEKDGLSDEYVAGLWKGELHFDLSWEVKPVDAHDVPP STGLEDDNETSYRIPSWSWASVGKPITYSFTDAFRIWKYEPEVIDRCQIKVIDCKREV PEDPTSAVIGGSLVLQGAFAPVKLVNENERKVAYVQSPGAQTLPISLDSPALVANGDD QYYCFKLFTLVGYNYDQFAMGPETRFLVLKRSTYKEGAFERIGTGVWDDNFGGKRPCP LFVDVEPVAVEMV AFLA_043820 MASARAKLAELRALRASGKKRISTYEVEEQDDIYEEVDDEGYKK IIRNRLDEDDFVVDDNGEGYADDGREDWTVDYHDSESDDGDLPANGKAAKRKREEDKQ RKEKINNGISKYFNKGSGASAPKPKPVATAEDEAFMADLLGEVDTNVVSNHVPTQNVI KSETRRKVRVLSPPLSHRPRPQKKQTKDENSDPMSPIGQKPDLDLDNDDGPLPVADDD DVPMSDPMPSSPVSKAVERKTAITVKTEDPDEEDNDLMEIAEVTGQHEAKTTSVNMAG SRPPPKIKKEAYTTPANSSPVKAMPDVANASWNDVRNKLNVLSSPASETRTFGKLRAQ DVVEDDGSLRMFWIDFTEVNGSLCLFGKVRNKQTGNYASAFVKVDNILRKLYFLPREY RHKHGRDTDEEVDMEDVYNEVDGMMSRLKVGMHKIKPCTRKYAFEMPGVPKETEYLKL LYPYDKPALPMETKGETFSHVFGTNTSLFEQFVLWKNIMGPCWLRFEGADFSAVNNAS WCKFECQVSKPALISPVPDSENLEAPPLTLMSLAFRTQLNVKENKQEILIASARVYEN VSLTDTTPPEKLPCKTFTVMRPVGSSYPMHFEAETKRQRGTYILERSEQFLLSKFLAL FEKMDPDVLMGHQLQEVDLSILLNRLKEKKTPGWHRLGRLKRGDWPKNFNRGGGFFAE RHLIAGRLMCDVANDMGKSLMMKCQSWSLTEMCNLYLGPGNVRQELDGEAALKTWATT KDGLMNFVNHCDTDTYFVAALVLRLQMLPLTKVLTNIAGNSWARTLSGTRAERNEYIL LHEFHRNKYICPDKYSAKLQKAEVKLQDGDDDDATDKKKKDKYKGGLVFEPEKGLYDR FVLVMDFNSLYPSIIQEYNICFTTVERTATAENENEEKVPEVPTSDQEQGILPRLIAT LVGRRREVKKLMKDKRATPEQLALWDTKQLAFKLTANSMYGCLGYTQSRFYARPLAML TTFKGREILRSTKELAESKQLRVIYGDTDSVMINTNMDTLSDALKVGEEFKKSVNERY RLLEIDIDNIFRRLLLHAKKKYAAINMTEMDGKYVDKLEVKGLDMKRREYCALSKEVS QRLLNEVLSGEDQEIVLNRVHDYLRDLAGKMREFAVPVQKYVIYTKLSKRPEEYPNKE TMPPVQVALRELARGKSVRPNDVISYIVTSGDSETSSLAPAKRSYTLQDVMKPDSGLN PDIEFYLLKQIFPPIERLCAPIPGTDAVRLAECLGLDVRKYQINTSSGGNQQNTDIFP LESQIPDSVRFETAARLTLTCRSCKEKSVFEGLAASSHMCNANGLFCPNTACQKQFTV LTIIAQLESQIRAQTSKYYEGWLVCDDSACGNRTRQMSVYGHRCLGPRGHAEGCLGRM SYEYSEKQLYNQLLYFAGLWDVDKARVAAEKEASGEKKDSVVALASFNRARFETVKGV VDAYLKKCGRQWVEMDSLFRFMLP AFLA_043830 MSVKRVLVIAGSDSSGGAGLEADQRVLAAHGCYALTATTGLTAQ NTLGVQDIFVVPAEFVKKQINAGLEDIGADAVKLGMLSSAETIDIIAETLVTHQVPSV VLDPVMVSTSGSKLLPEAAVEKLRTKLLPLTTVLTPNIPEAKLLLKDAGLDVPEPEGL PDVLQLVKQVKALGPKAVLLKGGHLPLTKDHKTARNQDEATTVIDVLYDGQDITLFET DFLLSRNTHGTGCSLASSIAANIALGKDLKRAVHSAVRFVEAGIKTSFDIGKGSGPIN HFHSVYTLPFAPGRFLEYALDRPDIRPVWQKFTEHEFVLGMGSGTLPVEKFKEYLVQD YLYLVQFARSNALAAYKAKNMESIAASAQIVLHIQRETALHLDYCTSFGLSKEEMEMT PETIENYVAEDYSEAVRLGSELLERHMREISPSRMEELIQIFIRATELEIRFWDMGLG AR AFLA_043840 MSYNGGYQRDQRDSYRSRNGGGGGYSNGYSNGNSNGYSGGGSGG GYGGGYGGGGYGGGYGGRGGGAGGGDRMSNLGAGLKKQEWDLDSLPKFEKSFYKEHPD VANRSQRDVDEFRKKFEMSVQGKNIPRPVETFDEAGFPQYVLSEVKAQGFERPTAIQS QGWPMALSGRDVVGIAETGSGKTLSYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELA VQIQAEITKFGKSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAGRTN LRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTCMWSATWPKEVRQLASDFLND YIQVNIGSMDLSANHRITQIVEVVSDFEKRDKMIKHLEKIMENRGNKCLIFTGTKRIA DEITRFLRQDGWPALSIHGDKQQQERDWVLNEFKTGKSPIMVATDVASRGIDVRDITH VLNYDYPNNSEDYVHRIGRTGRAGAKGTAITFFTTDNSKQARDLVTILTEAKQQIDPR LAEMVRYSGGGGGGRGGYGRWGGRGGGRGRGGNYTASNAAPLGGNRRW AFLA_043850 MNKVDKILDQFTDPLTGSVHGAVFIAIDSSGKIIYHRAAGKASL DDENAPALQTDSLYWIASMTKLVTAIAVMQLVERGIVSLDEDVRTIVPEVRDIQILED IRNDKDSSSESNQLHLKPVQGKITLRNLMCHTAGFVYDSSSPLLQKWSKSHGRTAYTF CGSMAGYHHPLLFEPDTSWGYGAGLDWAGRVIECVTNSTLEDYMQTHIWSKLGAVSTT FHPELHRDTLPPQMGMGYRVSVGQGTKSLKSGPIILKQPAQDDLGGIGLFSTPMDFVK LLSALLDGGYPLLTRESVDVLLQPQLSEASREAMPRALGAQMRRVLGIKDAGDTQQAD HSLAGTVTLRDIAGRRRAGTVNWSGLPNLHWWIDRQTGIAATLFTQVMPLRDAAVTSL LIDLEESLYAALEESGGHMKTHVKL AFLA_043860 MLFTTILASTLALSMGVSAAPRPAPPADTRYVQLRLWGEPGCSA LNQGELGVYGGALNQCQTFNNNTIVKSVRFEAKYFDTCTVALYDDVTCSSSPHEIQLE TCLSSDAQYRSYLVQCPGVPV AFLA_043870 MSNPVPLRIAVLVNTPPNNEFWNDVNEAYRAAFQAVAPDAQIDM YDPVFQGNFPDPQHYDLIVLSGGKADASSSEPWVLGVLDFLRKTARESPNTKILGICW GHQAISRAFGGAVRAVSTGPIAGVEDVKLTDAGKKFFACAPGIESYRLPEFHVREVAK PGLGFVHLAENHEMFVNQENTVLSFQAHPEVQAALAKKMLLEEDDVYNGNLSQQELED HLKKLDQPTDGFEVLRRVIEWVKE AFLA_043880 MYTQNLKQKFFKFIKENPLGILITGINSSSQNFLTGDNESTAPQ LRAHIAKQNPQVKAMLETLDGKPSGVLSLDQDVLVIFNGQHDHYVTPKYYTETKPDTG KVVPTWNYSAVQIYGKLSLYYDSKTPDAGSFLAKQMHDLSEQCERNIMGFTGGERPQP WKVADAPGRYIESMLGNVVGINIEIGRIEGKFKMSQEMRRGDRDGVVRGFADLGGEAG EAISALVKERGELHDKKIEKLKAAKAG AFLA_043890 MGNFQGFYCHVQGMMNFLVEWRGGAGDATIKPLLTSWMQTRYVV WWARAYFSSLDIHQQLPSIPLPISMKEVPLTLHERRVKVLSIMCESHRLNFKAVLQRF KNMRSEEEIPLVNNQGYAECVCLLCQEATKLDEWLLHLPPSEQPIYELNDTSSTAIHF QSHDAALNYAYYVVARIMQCTGLLRELYSQTTPDHEDECYKAEFWVQTLVQIAQGADM RTSLTRNSYTIGFSGLLLAGILRCQSLSVGLEIQNWLQTLQDLQPTEEGAFPVYQTLS VVKVINQQRMVGRDVFAVTQPVDDGGGHPKVTAYNNFYPWLIVNTTASGKL AFLA_043900 MYAVHHPLISNTSLYNSSPQSRGDFINRKTAAPRRFTLGSLRSE GAAVRRKWCGMWRSITLSALGRTSLPYYNYIRYLDLEGLQKVLGGDSRHVYPKSGIRN AEVDSEKFLNELRPNTLDSFEVLILSNLGPRTVRALSSHWNSLTELKLLSLGIEAIAE LHLLTAPPALKVLTLQHSTVGVYEEAYSRSLNRVADWIRSFKALQRLELIRFMKDDAL LLAKVLPEESLRLSSLSVEGCRTHDAILFHEILHHQSSLQYLYLEGHKIDQPEHNGSL VRSISQLNSLRELELEHISDGFTTDHLKTLTPCLPHLERLRISGEYFRDDALDAFLCL HRLQSLIIDGPNSFTTQGLLSFITQLGPGNRGFALSIFKSANGTDITEEAQKPIRELL ESKLDGAFLFETDPEGN AFLA_043910 MVALSFSLAPEALYQLHDALTCLAKFYETVAIEAEFDLLRLSVL NSTKTAYAAFVFESDTFFESYSFDMPRGSRASRTGRPDRFCCQLYIKALLSVFKGRTR DKDTAVERCEVELHEHPDQTECRLAIKMFCGLGVIKSYKLTYEPTTVNHAVFDRTKTT NQWSIEPRFLREITDHFSPSAEQLDIYSENGKAVFTSFTTKITDGKEILKKPVHTSVA IDKKDFEYFLAEDNLHIAITLKDFKAVIAHAESAHSTITARYTRPTRPLQLAYDFGGV KTEFTLMTTGDPDSDIPDSSRAPELSARQTPAPASVSRANVTSNTSHMPPPRARSIRP LTGTPGASVRGTDTNTQSQRPPPASIQFDSLFVPADDDRQWDVPNDEEEEAEDRLGWD ATGDQTFDESLAPRLRDIQPSMPHQDTSHEEDMGIPPTQRISQLQGLGLFD AFLA_043920 MPLYAFGSNGSGQLGIGHDEDVSIPTRCLFEASEPEALISSEGN STNSIRRIAAGGNHTLLLFSDGAVYAAGCNDDGRCGVEPGDSLVKFWRLAVGSEHALA LLDGGTVVAFGWGEHGNCGPVADEQGDVKGKYALIPLPMEGESQVVGVGAGCATSWVV MS AFLA_043930 MADLGPRAPHGPDMSGTHNPLEDMDHHEKGAFDALIRPDDSYTP EGTYWADLPLLKKVKFVSSYDAKEAKRELGGIWEMMKQDPLSPVSYYFKNMVLPGAGL GLEGYVLFSIGNVKPLFQAAFKSCWKDHKICNAQWLNAIDYLEIIGIIVGQILVGIVG DWLGRRWGLIQDAAIMFIGLIMLTAAWGVTQNGWVICYAWSLFFYGIGVGGEYPMTAT SGMENAVGSGKVSTKEDRLHRGRKVTSAFLMQGWGQFFNQVILIILLLCFHHGSGNPP YSSVSAQWVYRVSFAIPAVGTLWLVYYRAYHMKAASKQLAAAKKKASVTGYDFNSLAL TFKYFGPRILATAGGWFANDVFFYGNKLFQSEFISVISPASKSIMPTWLWNLCNVGVS LVGYYLASFLIDNKLYGRKWMQMVGFLMCFVLFVVPAFHYKYYTSPEHIKEFQTMYFL SSFFNQFGPNSVTFLVAAEVFPTPIRATAHGLSAAAGKAGALLASVLYNYIDTQTKFY VVPWFGLAGMVLTYVFLPDTTGLDLKEQERRWQYIRDGREHEYHGPAVHPKHLSLWER LMGKGKLYDADADYKQKVEEYRAEWESAMAARIAEKEKGEELAMDTDESLLEGHVHSY FHRTSPMFRPMEQNAKSDNFALPPAAQEDDSTVSFNEKSEKST AFLA_043940 MRCSWNVHLELHCLHQRHWNCGAVSGEEKLMAGESRFGRAFCGI LRVVWASVNEVRRGPDWVVKLLIVDVSYVFLGGGRGNFIMWRMIMHIYDEY AFLA_043950 MSWKLTKKLKETHLAPLTQTFTRSSSTSTIKAESGEETPVVSQA PTPTISTSNINGISASESLVSPPVAPVKPGILIVTLHEGRDFALSPQYQQIFNSHFQN NYAMRPSSSSSHSTHGQAASFVHNGRPQSTSGGINAAPTIHGRYSTKYLPYALLDFEK NQVFVDAVSGSPENPLWAGDNTAFKFDVSRKTELNVQLYLRNPAARPGAGRSEDIFLG AVKVHPRFEEAQQFVEDPKLSKKDNQKAAAAHAAQERHLGQLGAEWLDLQFGTGSIKI GVKFVENKQQSLKLEDFELLKVVGKGSFGKVMQVMKKDTGRIYALKTIRKAHIISRSE VTHTLAERSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQREQRFDINR ARFYTAELLCALECLHGFKVIYRDLKPENILLDYTGHIALCDFGLCKLDMKDEDRTNT FCGTPEYLAPELLLGNGYTKSVDWWTLGVLLYEMLTGLPPFYDENTNDMYRKILQEPL TFPSTDIVPAAARDLLTRLLDRDPQRRLGANGAAEIKSHHFFANIDWRKLLQRKYEPS FRPNVVDARDTANFDREFTSEAPQDSYVEGPVLSQTMQQQFEGWSYNRPVAGLGDAGG SVRDPSFGSIPE AFLA_043960 MGVIRKKTASRGTEAGTKYHCDICSVDVTSTVRVSCAHPTCHEY DLCVPCFAAGEKSKNHDPSSHPFQVIEQNSVPIFQEDWGADEELLLLEGADIYGLGSW ADIADHIGGYRTKEEVRDHYISTYIDSPNFPLPERADPDDTRLSDSISKEEFQSRKKR RIEERKEAAKAAPPTTPKQKPTASVPACHEVQGYMPGRLEFETEFMNDAEEAVQHMTF EPGAGETVNGETDAEMELKMTVVDIYNSRLTARTERKKVLFEHNLLEYRKNTALEKKR TKEERDLLNKAKPFARMMNHDDFEEFNKGLEYEHNLRLAITQLQEWRQMGIGDLKGGE KYEQEKQQRAQRLVPQGSFDRFASTRPKQTQQPEGPSAASQLTTPELPLRLQKASGAN KAPEPVNQPMNDFDRAFASNGDGLTTPQPAKTKFVVQPLNGVIPWKLENDGAPDLHLL TKEEVEVCNVLHVQPKPYLVIKETLLKEAMKQGGSLKKKDARTICKIDATKTGRIYDF MVHSGWINKA AFLA_043970 MTFKTPPPTPQHAKVTFPTPHVLQVTLSRPKDLNCINTAGHNEL HALWEWMDEEPSIRVGVLTGEGRAFCAGADLKEWNNQVNSAEGSKRQQPSSGFGGLSR RSGKKPIICAVNGICLGGGCEMIVNADMVIACEKAFFGFPEVQRGVVAIAGALPRVVR TIGRQRAMEMVLTGRRVTAVEAEKWGFVNEVLPTPEEVVTRALEIAGQIAANSPDAVI VSREGVKLGWEGVGAEEGSRWLIDGWQKRLNEGENIKEGLRAFVEKRQPKWVDSKL AFLA_043980 MGKKAIQFGGGNIGRGFVAEFLHAAGYEVVFIDVMDSVINSLQQ TPSYDVTEVSEEGESTKTITNYRAINSKTHEADVVQEIASADVVTCAVGPNILKFIAP VIAKGIDARTEERPVAVIACENAIGATDTLHGYIKQHTNPDRLETLSERARFANSAID RIVPNQPPNSGLNVRIEKFYEWAVEKTPFGEWGHPDIPAIHWVDHLEPYIERKLFTVN TGHATTAYYAHKRGKKMIAEALEDPEIRETVHKVLEETASLIVSKHEISEQEQKEYVD KIVSRISNPYLEDNVERVGRAPLRKLSRKERFIGPASQLAERGQKFDALLGAIEMALR FQNVPGDEESSELARILKENSAEDATSQLTGLEKDHPLYSHVVERVSTVQQGSKSVL AFLA_043990 MRDFYSIYVMSYCEGFLHAENRNLTGCSHPSLLFSFNATEALTK DAGNTTSLSSLGWPSSITDDLRTFGATSQSMGVFYCIGIGLAGLAVLERLWFVIAKGP RQTVVEVSSLMLSFTMLSIPSIIATVVALQFVSLINRHGEESGVTARYGHQFLGMTWA AVGLLLVGSTVSLLTVLVDRNRSADQYEPVAEPKTVAEDSDSVASNQKGD AFLA_044000 MGHVYIWSYETQSIIKTFELTDVPVRAGRFIARKNWIVCGSDDF QLRVYNYNTSEKIASFEAHPDYIRSIAVHPTQPFVLTASDDMTIKLWDWEKGWKCVQV YEGHAHYVMGLSINPKDTNTFASACLDRTVKIWSLGSPHANFTLEAHETKGVNYVDYY PQADKPYLLTTSDDKTVKIWDYTTKALIATLEGHTSNVSFACYHPELPVIISGSEDGT IKIWHANTYRLEQSLSYGLERAWCVAYQRGKQGIAMGFDDGAVVVKMGREEPAVSMDG SGKVVWARHNEVVSTVIKGGDATIKDGAPISLPTKELGSCEVYPQTLSHSPNGRFVSV CGDGEYIIYTALAWRNKAFGQALDFAWGSKDNSNDYAIRESPTSVKIFRNFKEVSGGL DVGFQAEGLTDGVLLGVKGQGGIGMFDWETGNLVRRIEVDPKAVYWSESGELVTLACE DSFYVLRFSRENYINGLNEGEADEDGVESAFEVVTDVNETVRTGQWVGDCFIYTNSTN RLNYLVGDQTYTISHFDQGMYVLGYLPRDGRVYLADKDVNVVSFGLSLSMVEYQTVVL RGDMDMAAELLKDIPQDQINKVARFLEGQGYKDMALEVATDPEHRFDLALSLNNLDIA LEIAREANVEHKWKTVGDAALAGWNLELAQECFTNAKDVGSLLLLHTASGNKQGLRNL AEQASEAGLHNVAFSTLWSLGDIDACTDLLVRTNRLAEAVLFTQTYKPSRAPELVVQW KQSLEQSGKTKIARLIGVPPGAPDATADDDLFPEWDEYLRLEKEGVVPEPPSSESLID VNDDEQAESAANGAPEVEAEA AFLA_044010 MRSTFRLLANVKPARYLEPFAPTGITGLVTHPSPRPTLIYLYTT TLQKLKAFPESSVYRQSTEALTRHRLQIVESTKPPGYEAWLERVKKAIGTEPERFASL LRPDGTYAAVMRSDGSDNPRGEEWDGEALEPTSEGPARTPEEEARWHQAIEDSVKAEN ESDFQTHAMKWENEPALEAEQVAEIENQIGAGLIEEVIQVAEGELKLVDEMSKSKVWE ELEEQPAPGQWSYFERKDSA AFLA_044020 MSTLTSTRFIKQFSPACPHCQKIAPTWQTLYEYYYTSDPLASSS SKPSDTKSLNSFHGFYNFHFASLNCQAYGDFCKKLDVKYFPQFSLYHNGEKVEEFTGK KSMEGLSEYVEDKLESIKPGSRPAKGVNLPKPGAKGVDTKAEPEVPAAKDKDPEAGAK AGEKHNEQVSAEDASSEKASTLKSKTKPKGGPANPQGISVPLTAESFQKLVTTTQDPW FIKFYAPWCHHCQALAPNWAQMAREMQNVLNIGEVNCDAEPRLCKDAHVSAFPTMYFF RGGERVEYNGLRGLGDLVNYAKKAVDVGLGVQDVDATSFKELEEKEEVIFLYFYDHAT TSEDFEALERLTLSLIGHGRIVKTNSAALAERFKISTWPRLLVVRDGRANYYTPIAPK DMRDFRQILGWMRTVWLPIVPELTASNAREIMDGRYVVLGILSRGRSDEFLQSKRELK SAALEWMDKQVQLFQLERAELRDAKQLRIEEADDRNDQRALRAAKNMRITIREDDKKQ VGFAWVDGDFWERWLRNTYGIDVANGERVIINDHDNRRYWDSSSSGSPILASRTSILE TIPLVIANSPKLTPKSTVGTFESVFFSARSFIVNHPILFVLILIFSVVGATWLARARG RRAGRGGILGVTNGNNGFFHLDGKEGLLNGGSTGKVD AFLA_044030 MVSRYTTPLNFHGVTVGAATKYAQIAATFGASAGVFALFFFGEV PRVRNDILRQLPFFDQYFDRTIAPEDNVCTPLLNFSLRSTIHQPYPTRRDFLCTASVP R AFLA_044040 MSSVSKSTPLDKTTSKGSVYADVDHDPVTTVLPNGDVPYTDHAM DADERVIVALGYKQEFKREFSLWTTFCVSFAVLGLLPSFASTIYYGMGYAGTAGMVWG WIIAMVFIQCVAMSMAELCSAMPTSGGLYYAAAVLAPPGYGPFAAWITGWSNWIGQIT AAPSVDFSLAAMILAAASIQNPDYVPTSWQTFLLTTLIMILHAAISSMPTKWVAQFNS WGSTFNMFALIAVIIAIPAGTKNEPKFTPSKEVWGTITNLTDFPDGVAVLMTFVGVIW TMSGYDSPFHLSEECSNANIASPRAIVMTSGVGGLMGWFLQLVVAYTVLDIEAIIDSD LGQPWASYLLQ AFLA_044050 MGQGCMVAASRVTYAYARDDCFPLSRIWKKVDSRTKTPVNAVII NAILGILMCLLILAGDVAIGALFSIGAIAQFVAFAIPICIRVFFVGNRFRRGPWHLGP FGPYIGATGVLFVLLMVPILCLPSVTGDDLTPDLMNWTCLVWGAPMLAVTIWWVVDAH KWFKGPKVNVEHAIHPVEEEEPVVVDVGFDGGEEELDRSQG AFLA_044060 MMDPRSHPSRPPSTSLPQGSTPLPTTISSMPMPQYTMQAQYPVS QPHTLPPLQPHHSQSPAPHSYMSQPPYRPDLSRYPTSTHDVYASSTAPIMPHTTVGSL PPSSFLSHPNPQAQPQPSQYPPPHSVLPPASSAQTYPQPIAPAPPRDRRPDFSGMPSG AFSYSDGKGSPWMNPDPVAGANGASPYGAKEPPRTQVVGSQGRRGILPSVPGRATPVT NGVNGTAKNTTIPAKDADGKFPCPHCNKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTF SRSDILKRHFQKCSLRRGNPTGATHLSHPQAHLKRSQAANPAKPVQDEVSSTVPPANA LPGTAYGEGAVNGNGLASGRPGFTDQQPLGYPMSSVNGMNRGQPDDAFPAGQPHQRGP WLAAPKQNPYLAQPGTDVSQQLSVDRPSYEQVKPPVVQDPKRPVMPGPTPNHTGEIDW TSMFQPGANDGYINPVFPQSMASGQEPIHAHVDTERKFYPTTTGGPQEGGMNGLYLAS TTLAGDGTVQPARQ AFLA_044070 MSTNRPFLANFLAAFRAQSTYKASAAGSQSATTSSSLSPTQISQ SARAIATKASNSGASSEAASTTHYHHHHHHHHHSSSAGASHSRPHSHTRSPLNQSSPA GDSPVPSSSATPPAPSSPPTPASSNPIPIANGPDRQRRGSDSSNGSGGFRDALGPEKW YIGGRTPGGEERFYRLGMVTKGGGRLGGSGRVGSIDQLSL AFLA_044080 MALAISLLDGVHCRNSVDVIVHGEYWVSVLLMCEAAVACICCPI FGYIVDNTPTRQFPYLLGLILLGASMVILSIAHTVGLFIVARLLQGGATAMVTVAGLA LLTDSVSFDNLGQVIGYLGSSVALGFLLGPLIGGILYEKSGYQAVFAMAFVIVGVDLL MRVAVIEKKVARRWLLEDSETSQSGPHAGGYNTFGDTSEPSDSESPKSRKPALFLVIC QPRIMISSWALLVHGLLYSAFDATIPVFVETRFHWGPLGAGLTFLPSAITAFFEPYFG YLSDRYGARKVTLTGFLVLSIPLVCLRFVESNTTSHIALLITLLTFIGLFMNLCVPAL YVETQQVLEDMERERPGIFGKKGAVAQAFGVQTMAQFLGLFVGPLWGGFIEYRFGWKN MSWTLALLAGLTAVPMLWLSNGGDKVRGEEEEREREKGKVYWNGDGA AFLA_044090 MSAVKAARYGKDNVRVYKVHKDEKTGVQTVYEMTVCVLLEGEIE TSYTKADNSVIVATDSIKNTIYITAKQNPVTPPELFGSILGTHFIEKYNHIHAAHVNI VCHRWTRMDIDGKPHPHSFIRDSEEKRNVQVDVVEGKGIDIKSSLSGLTVLKSTNSQF WGFLRDEYTTLKETWDRILSTDVDATWQWKNFSGLQEVRSHVPKFDATWATAREVTLK TFAEDNSASVQATMYKMAEQILARQQLIETVEYSLPNKHYFEIDLSWHKGLQNTGKNA EVFAPQSDPNGLIKCTVGRSSLKSKL AFLA_044100 MSQTVGKTRLAYSRAWHHVDVGTDPRSLGRLASSIALFLMGKHK PIYDPSTDCGDYVVAVGCHDLKTTGKKRFQKKYYTHTTRPGSLRSMTMDKMFEKWGGG EVLRRAVKGMLPKNRLREKRLARLKTFEGLAHPYEENIVKLGNQSVIANLPEVKEAFK EAKTQA AFLA_044110 MASVPSVQCFGKKKTATAVAHCKQGKGLIKVNGQPLSLVQPEIL RFKVYEPLLIVGADKFAGVDIRVRVSGGGHTSQVYAIRQAIAKSLVAYYQKYVDEHSK NQLKQALVQYDRTLLVADNRRTEPKKFGGRGARARYQKSYR AFLA_044120 MEEDTPCLANATSPLSPQDDTNHSTAKSPASPLSDISGSGLTAT VHALIRLIQCSCCSRPLRAPLRLPCGNTLCRSCLPPIHERTGITYPSSDDRKMGFTCH WGTDNGCAGEHCLGDCGADVLLSRLVDVFDAVLCNSSAGSEAPLGNECGFRVTWTGLR GDQPGTVAKSADAAGGLLEGMYDLVKCGRFDYDASDLKFEMHEPIDQENQRFERLKDA VQNELDCQVCYSLILDPLTTSCGHTFCRRCVAMALDHSDLCPACRRKLNMASTVKSEP TNKRISDIIETLYPEQVALRRDTSAQEVTALDDEAILPLFVSSLSLPTMPTFLHVFEA RYRLMMQRVMQSRGRRFGMVMFNRAGRFQQGLGRSQFMQYGTALVVDRYELLPDGRSL VVATGLYRFKVLSSYMLDMYYVGKIQRVDDISVIEEENREALETSVADASGEQPLESM STQQLFQLGLDFVRKQHRQAAPWLHPRVLLAYGDIPTEPSHFPWWFASVLPVWEEEKY TLLSTTSVRERLKITARWVRKLESREWTARSRPSITSAL AFLA_044130 MASISFVEEANAQEFYQHAKSHGVCIAGKRVEISWNDRQFYLPP FVRAKINTGASRNLVLYNVHPNVTEWLIRKDLDHIHNLIVITVKFKNGNAYISTNSVH NALFARSCMMSRLTYKGMRIAFYPDECAEPLSKLTNGLKKESQVPSKKSVSALNRFQL LSLDGAEEDETDHDHGQVGLDSYQQNTALKVQY AFLA_044140 MKTSRSTTPRPDTTFRRRGSSNVEPDDLSSTEERQAAIRNKIAK EMKIKTGTENMLEALLAKNPKQTKEQRLKVESELSSSNRKLAELHHELEEELLRAQAP STPPRSRLSSLFQGSSMRSPSRSNVELDEGQLEDGEAEMESPTYVLAETLQALEIEGM SPDYYVERANSLVELFKRHPTLKYDLAWSVFGLRVQVMLLSDNKEVVAAGYRLTRYAI ADRKSLQIIRSLHTDELVILSLVKESKASIEREQALKFVRAFLDVKDGVREISRAVVR TIVSVAEHYEDRLRNISIMTLAEILVKEPELIAYAGGFATLHDALAEGTFGASESLIA SFLHVLDTPQSRKHLRGGTELEAVLAPFTDSLADSVRNGRLKSAAKAISAMLKTWPGL VVLARNGGKPLQSLLESLHYPDSQARDLIMELLFDALRIKPPSWSSSFLAGRRLTTYG RVSNLRSETDTKQYRGYYDDNESKFDLTAHFSTLILATLVDAGLSKALSELIEDEEDQ SLRRKATLLLTEVLKLAQHSLPQDISSKLQVLPHLLPAAIKFDVDNHDVSMATIYQIE SINRTLARSIGFSNGAGRYSVDVDISASLLSSDQSKDRLSPTMDETQFRNAILETHVL NTVNYLKWKWDLIHRIVEGPLTNPKRLDEAIKGSKFMKRLMGFYRPFKYRFSMLPNTK PNQRYVRTGCALMRTLVSIPEGTKYLAENKFLRQVAECLAQVDRMSGLTSSSPLFSRE QMANTLSGGYFALLGTLTGDANGLAMMERWHMLNMFYHIIELRDRDDLIQTLLGNMDY TQDSHLRVMLSKALTTGSKDIRIFATKLLRKYAVGNVPLSPQMAIGNADWVVKLLVTQ LYDPDVSVCQMAVKILEEACNHRDYLEFVVKCRPSLDHLGEIGAPLLLRFLSTSVGYH YLDGLDYITQEMDDWFLGRNDAYVGLVEAALSRAYVDQPRRGSFVPEDLVDLQDIGLV PPHFYRELARTAEGCKLLEQSGHFSEFAWTIRDFSLNEEDTEVLLKVKGCLWAVGNVG SMELGAPFLEPDIVERIVKIAESAEVLTMRGTAFFVLGLISRSRHGLRVLRDFGWDSA FDQKGNSLGLCLPTDFKKLFLVDFPSHSRNRESKRISQDKFKEATTDPDSTNQKILKL IVDMGNTVLSKRAAADLHSIKSKQPERFHQPHLFRKTLSILESHHFRLPARRFALDLF DKSVMRRIVMEDDSDTDSEVASSQESG AFLA_044150 MQTFRLRVECRQVSSTWGQIITHIPSKGGGVSYSFNVPENTASD INDTIYLQLSAPSHTKWIALGQGERMAGGSIFLIYASPDGSITLSPRKAFGHLDVFYD PNIQAHLLEGSGIHDGVITANIRCDNCMHLDNGDSLMGSSSSWGWAICHGYPLVSSDV AVKIHKHDVHGSFTLNMTKAIGGNSTNPFLDMTYPRHDVTLFSKQHVIDDALLYRKRV AHGVMTPIAFVLMFPGFGLLLQIYPSRHTVLWMHAPMQIIAACVALIGLGFGVSVSMD LKLSNGYHPTIGYVLVGVVVLIQPVIGVVQHLHFLRSGGTTIYGVLHRWFGRLLSAIG IVNGGMGFYYAYQHTEDIPPIPPIIYGMVCGGVCILYVFVVMWRREKKKSQAVIANLQ TEFFQNRRDLEQASDKLDSARAKSVESSSISEKKW AFLA_044160 MGFTKEDAMIGIIGMGDMGKMYAQRLSMAGWRINACDKPDSFES LKSEFASQQGVTIYPNGHLVSRISDFIIYSVEAGVIDKVVAEYGPSTKVGAIVGGQTS CKAPELAAFEKHLPSDVEIVSCHSLHGPKVNPKAQPLVLIQHRASDESLRFVENVLSC FESKFVYLTGEMHDRITADTQAVTHAAFLSMGTAWRANNQFPWEISRWVGGIENVKIN ITLRIYSNKWHVYAGLAILNPSAKEQIRQYAESVTDLYKLMIEGRREELKQRVKAAGA AVFKEGTEGQDLLLKDEVLDRFSLSKGPREASPPNSHLSLLAIVDCWSKLGIVPYDHM ICSTPLFRLWLGVTEYLFRSPDLLEEALDTAVDDKTFRSDDLEFTFAARAWSDCVSFG DFESYRDRFERIQGYFAPRFPDAVKLGNEMMKTILEKTKSN AFLA_044170 MAPKQKTAAAKENVTLGPLAGDGKLVFGVARIFASFNDTFVHVT DLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGGN GTKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDSTRRKGGRRGRRL AFLA_044180 MASVTPSPTILVPHLPPKTLVGIDLITFTSTPNFHGIRDLPTGW HFLYTGATESLSLRSGGWFYVGDISAAGSTNDGALIPAPRGNLGPDVIIWKWDTDTET LAPLRACDDADKQEAMRHKANLAAVWQSGGLFRYRSRVPPSSQDRAQSRDVDDDENEE EGRQDWEGLTNRLSPRLLKRIIGDPEEDVDGRPRWMVTSASTAQKDSESIPGIPEPGQ DSDRLADVIGEQESEFSFLHIDLKKTWREGAIGRERTEAAQDRSWALGDLIQQVSNAD QGTSEIDEQLGEAQILGELQFTFLMVLTLMNFSCLQQWKRLLGLILTCQRAIKEREQF ISNVLRLLLVQLRRCDDIEGGFFDLDGEEGGEFLRKLLMKFRTSLYEVIEDAGTLVKE DFKALESWVKTEYDWELNHGAFVRRGMLQLEDGEQVEMDMPDDEDDEMGEYAPVVVDL GEGNTM AFLA_044190 MGSVAHSVAELDASVVKITRSTNLRPVPEPGSPEELSHSYCTDH MVTARWTVANGWETPEVKPFENLSIPPTASCLHYATECFEGMKVYRGYDGKLRLFRPD CNGERLLSSAQRASLPSFRYEELKVLIAKLMQIDGPRWLPKDQPGRFLYLRPTMIGSG PHLGVQTPKEALLFIIAVPWPDPSKLKKPEEGTKPGLKLLASTPDTIRAWPGGFGYAK LGANYGPSLVAHGKAQAIGFDQILWLFGQDRQVTEAGASNFFIVWENKETGKIELVTA PLENQLILPGVTRRSVLQLARTELSKPTGSLAPVEVVERDFTISEVEQAWKEGRIIEA FVCGTAFFVTPVKLIRNGDVDMDMLEAGAARGGYAVQIKSWLEAIMYGKDGKENDEWS YIIEGESEK AFLA_044200 MLAFEVGKVLESNGDKVSFLGSFNLPPHIKSRMHQLDWKERLLH LSYFLDLMTEAHARKLAAELQGASREQAMAKVMEDADQNRLFELALSPEALNKWATLA FALQSMAIDYDRSGSSTSMDVFYCISLAVVASSKKQWRNEHLRKWVDIARSEPRFHEV GGAHYTMLGPEHVFNFQKTLRAALDARGIYARMVIVYGFPSVSFVLTGH AFLA_044210 MFPAARISSTVTLRAASCCPSRSGGAEQSSQLGPQLPELLSHYG VPAHVIVPGFGMTGTCAGSIFNTKCPTYDKERNLGFTSVSACMPGIKVKITEGSNSET VPVGVVGNLDISGPVVFKSHFNNVTATEESFLSDGWTKTGDKGSIDEIGYFTLQGCAK EVLVINGVKYNPRKIEPALDESKIPGLTPSFNR AFLA_044220 MSRSMLSSAARQLWRQRSPRTGVSAFPSSKPRSSISASFSDSTP RRSISYAICESKTNRLTSPRRSLPAIVRLQQRCAFSSTSTRPATKVIQNPRTGEDGNP LTIEISPRAAERLREVTDPTSSPSVLKEENPYHHLRITVTSGGCHGFQYMMSLEAASK IDPEEDTVFEAEYSPEEGSSEAAGQAKVVMDEPSLELLYGSTVDYTMELIGSQFKIVD NPRATSNCGCGTSFDVTD AFLA_044230 MSYEERANAHPNLGDESDVEEEALVNDYREQVNFDDSMSELDRT TSLGAGSQTQDLQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSDGPVELEVP SYYWAWDVIDEFIYQFESFCRYRNRVARSGSNEEEAQLLRENPNTWGCYSVLNVLYSL IQRSQINEQLAAIKRGEDPMAFAGEYGSRPLYKMLGYFSIIGLLRVHCLLGDFSLALK TLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYGDAIRMFSHILVYVSRTKNFQ KGGNSYDAIAKKNDQMYALIAICVALHPTRLDDTIHSALREKYGEQLHRLQHGGPEAL PLFEELFRSACPKFISPTPPDFDNPSVNVDPVDHHTAIFMDEVKNTLYNPTIRSYLKL YTTMDLQKLAGFLEVEPEKLRSWLLVNKQRSRQVRWVEGGLLEGEPVAANDLDYALEN DLIHVSETKAGRRLVDWYLRNLARVY AFLA_044240 MIQTVGFTELVVNMQDLLFDEAFDMNIGDLCRAVSAVYTRLETW LDNLPPPLKIDKEAVQVPQVLSLHIRYHHAIIQLFDFLMNHEDFAPMSHPSDVNQARL IRLQSAKQIADYLLLYHEAYGLRHVPGHILEPANASTLILLAALDDCKDNLKEEFIEV CRFLVAFSKRFSLARDMLANIESTAESKGIKLPPEAGAVFDHRNLESSQWL AFLA_044250 MREGNKNTEFCTPFLVNSILAIASTYSDFPEVFAIPGDVSSKGA HFFNEAELLWKAEEGRPSLANIQALALMSHVLKLKGKPDVGWLLLRQAVQLGQDFGFF QAPRTGHRKWRDTPVDMRSAGATAAWGLFILNSYSH AFLA_044260 MGSRLHVQEIKKGPPLPFKDDIRAFTREYAESLDAQDPLRHFRD EFIIPSKKDLKRKTLNANENIEDSSDPRSIYLCGNSLGLQPRNTRKYLEHYLRTWAIK GVTGHFTPHDDQLLPPFVDVDDAGAKLMAPIVGALESEVAVMGTLTANLHFLMASFYQ PTKEKYKIILEGKAFPSDHYAVESQIQHHNLDPKDAMVLIEPENLDRPILDTEKILRV IDEHASSTALILLSGIQFYTGQYFDIEKITAYAHSKGIIIGWDCAHAAGNVELKLHDW NVDFAAWCNYKYLNSGPGGMAGLFVHENHGRVDMTKVGSKDEPFRPRLSGWWGDDKKT RFRMENRFVPQPGAAGFQLSNPSVLDMNAVAASLEIFNRTSMAEIRKKSLDLTGYLEH LLLKYPLDAAPEDKPFSIITSSNPAERGAQLSLRLGPGLLDSVLEVLEENGVVIDERK PDVIRVAPAPLYNTYADVWQFCQIFFDACQKAVRARK AFLA_044270 MATEPLNPVPPPQWAVALNSPAPQPSKAASRIPDPPGFSSSKVG GKNRTQQSTSTAASKSEDTDTLKLKKAWELAMAPSKQIPMNAIMMYMSGNSLQIFSIM MVFMLFKGPIQGLINTNTVFAKFDTESTRGKLLGVKAVYVLMQFVLLALGVWKVNAMG LLPTTRSDWLAWESERQPLERAYFALG AFLA_044280 MFISVIPYATQHGLPSSRSSQGKVAELRQELHSGGKKDKNYSAK KIALKKIVANMTMSNNDMVALFPDVIECMNLPSLEIKKMCFLFLVNYSRMKPDIALKA LPILVNDMEDTNPLVRALALRTISYVHVREFVEATVQPVKRLMGDMDPYVRKTSAFCV AKLYEHDRKMVESSDLIDRLNHMLKDENPTVVSSVLASLNDIWGRSETISLTIDYTSA SKLVSILPDCSEWGQTYILEALMSYVPQDSAEALLLAERVAPRLSHSNSAVVLTSIRV ILYLMNYIADERHVTSLAKKLSPPLVTLLSKPPEVQYLALRNAILILQKRPEVLRNDI RVFFCNYNDPIYVKVTKLELIFMLTTKENISVVLAELREYATEIDVHFVRKAVRAIGK LAIKIESAAKECIDTLLELVNAKIPYIVQEATVVIRNIFRKYPNQYESIIGRIIQNID ELDEPEAKAAIIWIIGQYADRIENSDGLLQDYLATFHDETVEVQLALLTATVKFFIQR PTKGQQLVPQVLKWCTEETDDPDLRDRGYMYWRLLSTDPATARQVVMGQKPPISAESE KLDSRTLEELCLNVGTLATVYLKPVQQVFRSARTRRLQYSPALQKPRNDDGSNAWQYP VSPSSATPVTPTSAANGAAPAPGDMNAAVNAADSYFNSVGTQQMAALDLGGRGDGIGG GGAPQTQYIVSQNQQQVYQPQLAGGAATGELLLL AFLA_044290 MGAPAAAQPVVGTGNPRDMMTKVMADPLMEIRKREQAAYENAVK EAAVRGKVIARGEKEKERERERDRGHRRSRRYSDEEADSRRHRHRSHRHRSRSPASPE RLSHRRRRDERDDRDRRDRDRDRERRSDRDRRDRDDRDYRSSRREDRDERHDRPSRRD SLRDRSPSPRADHRHSDRRRTDDRKNDYSRDHDRRDRPYRHNDRDRRDNRGPRDSYTR DRPNDAGAGDTNKAKELEEERKRKLAEMLNNADEMEDTRRQRIADVTAMEEKKREEDE KQRSEKGRFVAGLHRQLQEDNLDDRIKRSRGGLARMED AFLA_044300 MYEASPVQSPPLPPVPTSPERRSSVAPPPPPSMPPPSAAPQPPS TSRSNRASLDVPRGPSNLRRSMDINRPSVDQGYIAMDVDLAEHTLWWAQPNNPPPAFQ NRKDVLFEFEDSGPANRSGSSTVTKEVYILFIDYSQTIITVNFDARNPSDATLEQRHE APPLQPRQDQLENAHLQIGTRIATAVNGIQNTTVADGTPFGLVQHLLSPITDALHPVG TRAYGALVYSNLANASVQQNDEIRAGDIVSFRNTRFQGHRGTMHQKYSAEVGKPDHVG IVVDWDGTKKKIRAWEQGRESKKVKVESFKLNDLRSGECKVWRVMPRNWVGWGK AFLA_044310 MSSPPFTVKAVFEYSSSHEDDLTFSIGQIITVTAEEDAEWYYGE YTNESGSKTEGIFPKNFVEKYDPPAPPRPTRPSRPKKEADVAPPPAPVAVETPVESHP PPEPEVEEAPADEPRSVPNPPQSPPPPSEPSLSEVASPPKPAPAPAYQPSSPEAREPA PKASPKPPPPAVAEKPASSSFRDRIAAFNKPAAPPVAPFKPGGWSSGGSNTFIKKPFV APPPSKNAYVPPPREAPPKIYKREEDPDVQESVSREPPASESRPAPIENTENKEEDQP KPTSLKERIALLQKQQMEQAARHAEAAQKKEKPKKPPPKKRAESYEGAAPAEEPSAER PGSMESARDPSVDTVKPDALPVPQPPMSPPPAREPASDANDADDSAAADIEDAEETST SKEDYDERARAESRHVAQTQEQKGEESEKDGRDDNEEEEEEEEEEIDPEVKRRMELRE RMAKMSGGMGMMGFFGPPGGMPLPGAAPRKPKATTEPERSNRDVEPTSPAAAPPVPVM ALPGMNTTKPAAPPTVEKEEEEAPTTPLAEQHPAEEVPDVEEVVPEEPPRPVSSDRAP APQGMKNGFISCLMIPKTHEVCFVERSAPPLPPLETRPVPPPVPQGQPLSPPPVPERK LKCLILSVLLRPY AFLA_044320 MSTSRSHLKTSLPSAHAASTQAPSSMRRAPPKSTVSLQQKGQPA NKPDADPRHLAIALHHAHRIQAQKDTESLILDRILELVTFPSSPSADPASPSPEDAQA FKSALIPFQPADYDNLIQERNIEGLCGYGLCPREHRKDDSRGAYRITWGAKGSGPGGR GRDMNIVPREKLEMWCSDECAERALYIRVQLAEEPVWERRADDARGKNLLLLEEGRAT TRGGKGSSNLAGDMSKLSVRDSAQSRELALERGDANPALRAGRVDVQIQEKDNLACEH VTAPEMRPGDDKGGSIEGYVPQEF AFLA_044330 MVKVLLTGGSGFIAAHIIDILLQRGYETVVTVRSEEKGQKILDA HPNTPKEKLSYVIVKDVAEEGAFDEAVKSNPPFDYVLHTASPFHYNVSDPVKDFLDPA IKGTTGILKAIKAYAPTVKRVVVTSSFAAIVNVKEHPKVYSEENWNPVTWEEAMDPSQ TYRASKTFAEKAAWDFVEKEKPNFDIATINPPLVLGPVVPYLNSLDAVNTSNSRISNL VRGNNKDGLLPTGTFLWVDVHDVALAHVRAIEVSEAGGQRFFLVSGSYANKDLADIIR DAYPQLEEKLPPKDSSSDMPANVYGYNNKKSIEVLGIQYRSLKESVVDTVKSLLQVGA AFLA_044340 MATARLSPTANLLRKSRLFALPQALKPPQDPPTSKVVFESDTAT LPHPTRASIVTPRSSLARGDWGLKRSLPAKSTSAKSSRPVVRVNALDTFEHVTDFESA ADHTVTLEKFQELHMPLSLPSKVNYATSIVPRHQSPFESYVDNTDTSKGLEETGAKQF RHSGPWLAGQTEAEFSAYLKKVRSNKPELLQKLRQLFSEKRTAERRKQAQDNGEDLEA LEPVKVTEEEFQTYLKSLRTDPFSLGPVVFELLDLPSPPAVPSDRIGHKYYQSPGTKL SSAEYAVSGPPKTHPSAGLSYTRSHALIYNHPKFGPQAYQRPVEARILRPKGRFKGRT SKAIAGVGGIAVEDLNAMTFVEQGSPPGLAYFDVSIPGGAKYWVTPIRASVDSEGRIG LASYRASATAKAPYNIEDYKKPSLTTISDVARGDQRVVPRLDRHKPRFRPSGEPQHTT EDIAKNLMKTLSSS AFLA_044350 MAQAGPITDVTQRLFVELKSKNEETRARAAYELYDNVLAISRDW PPEKFLEFYNAVSQRIAQLVVTGSDAHERIGGLLALDRLIDFDGVDAAQKTTRFASYL RSALRSNDNGVLEYAAKALGRLAKPGGALTAELVESEIQSALEWLQSERQESRRVAAV LVIRELAKGSPTLLYGFVPQIFELIWVALRDPKVVIRETASEAVRECFEIIAARDSQV RKSWFARIYEEALQGLKSNNVDWIHGSLLVLKELLLKGAMFMNEHYRNACEIVLRLKD HRDQKIRAQVVLTIPILACYAPVDFTETYLHRFMVYLQAQLKRDKERNEAFIAIGKIA NAVGVAIAQYLDGIIVYIREGLAMKARNRAGVNEAPMFECISMLSLAVGPALNNYMES LLDPIFACGLSESLTQALFDMAHFIPQIKPTIQEKLLDMLSLILKGAPFRPLGCPESR LPPIPSFAKDFAPQELHSDAEIALALHTLGSFDFSGHILNEFVRDVAINYVENDNSEI RKASALTCCQLFVHDPIINQTSSHSIQVVSEVIDKLLTVGVGDPDSEIRRTVLWSLDR KFDRHLARPENIRCLFLAVNDEVFSVREAAICIIGRLSSVNPAYVFPPLRKLLVNLLT GLGFASTARQKEESAQLISLFVSNATKLIRSYVDPMVTTLLPKATDTNPGVASTTLKA IGELANVGGGEMKLYLPQLMPVILDSLQDLSSHAKREAALRTLGQLASNSGYVIDPYL EYPHLLAVLINIIKTEQTGSLRKETIKLLGILGALDPYKYQQISETAPDIHHINEVQT VSDVALIMQGLTPSNEEYYPTVVINTLMQNILRENSLAQYHSAVIDAIVTIFKTLGLK CVPFLGQIIPGFIAVIRGSPPSRLESYFNQMAILVNIVRQHIRAFLPEIIEVVRDFWD ASYQVQATILLLVEAIAKSLEGEFKKYMAGLIPMMLDTLEKDNTPRRQPSERILHTFL IFGTSGEEYMHLIVPSIVRLFDRTQNPQSIRKTAIDSLTKLSRQVNVSDFASLMVHSL SRVVAGNDRMLRQAAMDCICALIFQLGQDFSHYIHLLNKVLKRHQITHVNYHILVTKL QKGDSLPQDLNPDENYAALADDTNFAEIGQKKMVVNQQHLKNAWDASQKSTREDWQEW IRRFSVELLKESPSPALRACASLAGIYQPLAKDLFNAAFVSCWTELYDQYQEELVRSI EKALTSPNIPPEILQVLLNLAEFMEHDDKALPIDIRTLGKYAAKCHAFAKALHYKELE FEQDQNSGAVEALITINNQLQQSDAAIGILRKAQAYRDVELKETWFEKLQRWEEALAA YKRREKIDPDSFGVTMGKMRCLHALGEWKILSDLAQEKWNQASLEHRRAIAPLAAAAA WGRGQWELMDSYLGVMKEQSPDRSFFGAILAIHRNQFEEATMYIEKARNGLDTELSAL LGESYNRAYNVVVRVQMLAELEEIITYKQNIGDPEKQDSMRQTWNKRLLGCQQNVEVW QRMLKVRALVTSPRENLDMWIKFANLCRKSNRMGLAERSLASLETVVSDNNGTRAVAP PEVTYARLKFSWATGRQREALQMLKEFTTSLTEDFTRFNALMISQSEHNGINGVNGIQ DTNHGDIMGLRERIGDVAKFRRLLAKSYLRQGEWQTSLQKGDWKPEHVREVLNAYSAA TKYNRDSYKAWHSWALANFEVVTTIASQASKDGATLAMVPGHIVTEHVIPAIRGFLRS ISLSSTSSLQDTLRLLTLWFTHGGDQEVNAVVTEGFTAVNIDTWLAVTPQLIARINQP NIRVRSAVHRLLAEVGKVHPQALVYPLTVAMKSNVARRSQSASSIMDSMRQHSAKLVE QADLVSHELIRVAVLWHELWHEGLEEASRLYFGDHNVEGMFATLAPLHEMLDKGAETL REVSFAQAFGRDLAEAKHYCMLYRETEEIGDLNQAWDLYYTVFRKISRQLPQLSTLDL KYVSPKLKDCVDLDLAVPGTYQSGRPIIRIMSFDPILHVLQTKKRPRRMTLKGSDGNS YMYALKGHEDIRQDERVMQLFGLVNTLLDNDSESFKRHLSVQRFPAIPLSQSSGILGW VSNSDTLHALIKEYRESRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAMDNTTGK DLYRVLWLKSKSSEAWLERRTNYTRSLGVMSMVGYILGLGDRHPSNLLLDRITGRVVH IDFGDCFEVAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYRITCEAVMRVLRENKDS LMAVLEAFIHDPLINWRLGIRESPDRMPFSSERRQSIIGNINSEQGVQPSNFSRHRRP SILEGGILDAQEGIPNEAREAQNARALQVLARVKEKLTGRDFKPSEELNVSDQVDKLL AQATSVENICQHWIGWCSFW AFLA_044360 MGHAQTVFSALFLAVGGFLFGYDSGIITSTISLATFKDYFGNPS GTVTGGVVSSFQGGAIAGTMVNMVFADKIGRKYSILVGAIISCLGCAIQAGAVAMSML IVGRFIAGVAVGMLTATIPMYASELSEPQWRASLSGLLQWMLSWGYLVAQWLGYGCSF NTTEFSWRFPLAFQCIPGIILVVGIWFLNESPRWLMEKDRQEEARQVLMSLRKGKDPE LIELEFQEIRDVILADRAMGEITWRSIISKPSWRRRLLLGCGVQAFGPLSGTNVINYY GPTIYNILGIDNHTSLMIIGISGALSVVYCTIGLYLLDKVGRIRPLLVSAAGLAAALL VNAVQAQYMDQSNTNQLRSMVAMNFVFSFFYTPLGIISWVYPAEIFPVEVRALGNAIT TFTNWTVNLVFAQFSPNALDSVGFKYFYLFFVLNLIAFVCYWFFYPETKGRTLEQMDE LFGDQIVPHALQDPEGAAAAMEKERALTDHVEFGENKSQV AFLA_044370 MEFTRCGIHGFHEVIGIDTDEIRFFWALHADKEYARQTAYRVVV STDRDNLQSQGVCWDSGRVESDAQRNIKCTPNQPFQSTTFHYWKVTVWDENDIPCESP VNEFYTSYPRSSGLLPPYSMNQTYMPHSSLIFRTWFEDEPNRWKAVWVGDGGDKPIYL RKSIQLARQPSRVVVFASGLGHFNLTVNGKAASDHVLDPGWTNYHRSVQYVGYDLTSQ WQQGENVLGAHVGNGFYAGDQGDRFFWPTYEDNTFVRYGNELCFFAEVHLHYADGSHE TIVSDPTWKVRKSATTLANIYASENLDRRLYPTGWDSPGFDDADWSPAKPLTGPRGKL SYQSQPPVVLHNTFHPIKRQVTRPGVIVYDLGQNSSIMVQLEVSGPSASEVIVRYAET LGDNGEVFMPDPLFKEFEHNVYSKIILTGEGRETWTPDFCFTSARYVQVEGVSDSDSD NLPTIHSLSAQHVSSAARQLGHLKTDKEDVNALINACYWTFASNIFSYHTDCPQIEKF GWLEVTSLLAPATQYIRDMEAVYTKILDDIIDAQEPNGLVPTMAPEIRYMCGPLHDTI TWGCAVCFLPELIKRYYGSTHVYSKIYQPCVRYMEYMKTKERKGGLIEHGLGDWGYDI AFGNHQANIETAVYYRCLCNVAMMAKELGFTEDVALYEAWAARIYDVYNSHLLVSDKT EYPYAFYTSLDNPGVHDRTMVNQALALQFGLVPAEYRSDVIQAFVAAVEESGPRIRAG EIGLKYVWSTFAEAEVDRPDLVLAMARQEEHPSYMRFIRRGETTLSEFWQDACRSKCH DMLGTIYEWFYAAVLGVQPIGDAYRTWTLRPPFRSEFDFVEGEVDCPYGLIRVCFDRK QTEGTSAQLEVTVPTSTVCTLQLPSNGSLAQIQRNGGQELKKVTGSEVTLMPGVYKCV IWP AFLA_044380 MEPSSERDPDGHAQVHPVVREALRISLSAKEYKILHDHAVRRAP VKVQSKLPSPSRYEAIVRSKNKYNEAALRASIRVFLVSGALFKFVDWALARIRGDLSK KKAQTSFLRSPNFRLSASLSLVLLFHRLLHRFFTRLRANLRTDEAQPFRNRNPRVSKA LTSRYAPAVGASLALFGLGICPQNQLRLTAAIWMATRSLEFLFNAIDEKGWLENRPAW FGSWLLMPLSCAQLFHAFIFDREATPKWLGNVIFRLSPGYIPDRPESLPAEFSWPGKE DVVDSLATIANLRWPYVYTRYLHLVHDSFFSNLSISAFVSPILHPGDLNTLPSAVKSI SPITGPAHPSISGLSCALLHSSSPSCSTAFLHNILLSVPRLARFVTTVTLALSVLKFK KLMANPITSINNLSKKIITLTAVLSASVGSAWGSICLWNSLFPRSVLPTKRFFLSGAL AGMPFAFLANSRSVFTYFFRAAVDSAWKTGVKRGLWKGWKGGDLFILVLSWALMNSIL ESRPNAVQGRGVRKALAWMNGEGFVDPVEAAAKRKLKKTKKAETEH AFLA_044390 MAATKLKIGCAGLGRMGKRHALNFLQRTPRAELVAASSPDDAEL EWAKVHLEPYGVKLYKNYDDMLRHEGLEAVVVASATAVHAEQAIKAIDAEKHVLCEKP LSTSVEISQSVLDAAAKKPHLKVMCGFSRRFDASYRDAFNKMSAGSIGSPSVMRSQTC DKLDPSGFFVAYAQFSGGIFVDCSIHDIDLALWFFGQDSKVKSVSAVGITAVEPDLRK HNDRDNAVGLVEFHNGKIAYFYASRMMAAGQEDTTEIIGTQGKLAVNTQPALNLVSIY DSTGIRREIPQHYYDRFEYAFVTEANEFTACCLENTPVPLRLDGAVQAVRIGAALQES LITGEKIFFDEEGNRVDKSRL AFLA_044400 MAPVAVAPETPVFNTKRDGQALEETSDAIDTVNVLKQNIKQDDK DIYAESEFDKNKDKTKFRQYEDACDRVKNFYKEQHTKQTVAYNLKARHAFHSKTRAEM TIWEAMEKLNTLIDESDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHDLGKLLY FFDAQGQWDVVGDTFPVGLAFDDRIIYGTESFKENPDYGHDVYGTKFGIYSPGCGLDK VMLSWGHDEYLYHVVKDQSTLPDEALAIIRYHSFYPWHNAGAYQELMNDHDKEMLKAV KAFNPYDLYSKSDDVPTVDELKPYYLELIDEFFPNKVIKW AFLA_044410 MAVADTRFKLNTGAEIPALGLGTWQSQPGEVEKAVSHAISVGYR HIDGAFCYQNEEEVGKGIRDALASGKVKREDLFVTTKLWCTYHSRVEEALEKSLKNLG LDYIDLYLMHWPLAMNPNGNHPLFPKHEDGSRDIDHSHSHVQTWKNMEKLLATGKVKA IGVSNYSVRYLEQLLPEATVVPAVNQIENHPSLPQQEIVDFCKKKGIHITAYSPLGST GSPLFTAEPIVEVAKKKGVTPATVLLSWHIARGSSVLAKSVTPSRIEDNRKLVQLDES DMATIAKYTDDLAARKAFQRFVYPPFGVDFGFPDKS AFLA_044420 MSFDSVFEIMRVGLSSEDLRSFCRARSSGPAFKTVEPQVDHSSE RQKAQQDRKKEKRRLKKKQLKEEKKRKTEQAKKGKTIDSPLDSGEVNIGNTQHPFSNN ATISSKPVVDEAPPSYSGLAAGSLETSNADTSSSHTVRPSSQKGEEHEEQNEPSSIYD ETGPVICHLHGRNICQFNFSCCVHKPTIDCNCPPKWSCCCAHHSGDCCNCVFASGSSY LDEHASEAPSDGFRQTSEQETKEKNVVDVGVGSSEVECILYFGVCTTRPVVTLDQTGD YDAAEELQRWAPRLVSSVLQFVTRHMGMDFTLYTKAQSKTLPNRIPESLHGVPGPVIT SPRSYTPRQGENPNRDVEVPSAMLIYLPYKQLGQMLEAMEAQNILSSDLAQAVVAERE TRRLQALRALAKQRVKDELSATDEVRELGYRETVTIGEVQGPGITLNREWIGLAVVEE TVATPRVKRVVKKHKKHKRKR AFLA_044430 MPLPIPSASNCSEFRIVPPTNTTMNRFRKAKKEKTKEDAEAIEN THNLLTSKLSKRSKKEEPEEKPELDLSNALPSNDDFRTSLLMPKLSARFSMLREQDDP ASIIGKASDDSVLFPKRASRLNLFGHNPNLLTDIDEVSTDGSRPSFNLGRDSFASAGD GYGTDDDRSQKESIMSRARRTEGNNLFGGRQKVYKIPAKASSNVSVAADAGQMGGRAV YDHDLSLSAFQRLRLKEKEERAAAEEVHQDTSAAESEDALSSISSAKRTTFSSTASGP TANGRTSTSASSIDEQSFAVSPSQESPAAFSKPSVPAMAPERGSVKSRRLYGQGLAQS VQNQQNSTLHRLESLSRQRAGTPEMPRLNRSYSRSATSLRDRIQRLPLAEPAIATRRP TSPAPSSRAPTQQLGETNAKEQRPHDAPHGVLPPLSPPASEREEGASLMASLQPEDHG KATALGLFNKPRTPYDESQFSQRQLQMHEGRSTPPLSRPPLPGRAISQESTGRPRGLS NTSYRPRAGSATSHYSEAQHPGNRSAAPSVYESPRRHMNGTFFANMSPSDSEDEEAEA LLELANGVIADDFVHPALRSETPQKPSTPTGGEAQEEQSPLPEVRFSDLGDLKPIAEN GTPEKTVNDGNAVPEKPDSPTLGPSGLGLSGLVRTHLRHDSDKSSIFPPPSPGLPSRL MDDEKHKETPMKESNTDDSVSQEHEIPRRNIDPSELLNGSSWQGELVARHRRQASTDT QREREEFENELAERRRKVQEKLKGMAENESRSASPVSGCQTPDYIQVKPGNAFSLLKS KSGKHNLFSRQDQRNPKMLGLGNASTPTLASDDQWREEEERPSFSFGKHSNSSSPHVS SERSIRSRMFGRSSQEDSRESSRSRGASPHSSFRSMRDRSTSDASGRSKSRTRRERDD LGTLEESDPYEQSAFPDFDQRGLTSVASSARPSVEVNDTYMYDRCSSAASGRYRSGSR SGTPSFVERPLHPPALNPQMIGAPPRPSPIAPYSANATPPLYDMSSDPSSSSASTSTT SLPQRAPGHTGGLQKRPIDKYQISEPTFVSTTSNVPTVGLPPGASLSNGMTTPPVPPM NPRRRRQTTTQTILGAIRGDKYESQYAQSQYAPSIAPSTAGSAAEEYSTFSDEGERRP RTRNRLRKTSSEGGDLNAKARHQLMTGPPPAMPAYPPPQVPMEGGMF AFLA_044440 MTPAEVSWVSVGRWYNSGNGYLSDVELSFLAVYSGCCCNMGRLW SQAGGRHHSIWKFAVFKGFHLKALPWL AFLA_044450 MQVLLLGGHGKVALHLTPLLLNRGWNVTSVIRNPEHENEILARG KGTKGKLNILLSSLDDIKSDEDAKKIIDTVSPDYVVWSAGAGGKGGPERTFAIDERAA KHFISASFASPRVTKFLLVSWLGSRRVRPSWMSDEGWEAIQNVFYNVLPAYAKAKLEA DEYLTAFAARRKQSQASPFQAICLRPGTLTDEPATGKVQFGKTEGRGNVTREDVAIVA DRLLARSDTNGWYDLINGDEPIDDAVERVVREKVDVVEGEDVDAMVKRFFP AFLA_044460 MPVSSQYPPVDIPNVDLWTFLFERKDRTFPDDNIIYQDADTQRF YTYKTLKDAALAFGQGLKAIYDWRKGDVLALFTPNSIDTPVVMWGAHWAGGVVSPANP AYTTEELAFQLKNSGAKAVITQVPQLSVVREAAKQANIPEDRIILIGDKRDPEARLKH FTSIRNISGATRYRKTKINPDKDLSFLVYSSGTTGVPKGVMLSHRNIVANSLQLAAGE AGHLTWNGGADGKGDRVLAFLPFFHIYGLTCLVHQTLYQGYRLVVMERFDIEKWCAHV QNYRITFSYVVPPVVLLLSKHPIVDKYDLSSLRMMNSGAAPLTRELVEAVYARIKCGI KQGYGLSETSPTTHTQPWEEWRTSIGSVGKLLPNMEAKYMTMPEDESEPCEVSVGEVG ELYMKGPNIFQGYHNNPAATADCLTDGWFRTGDVGYQDKNGNFYITDRVKELIKYKGF QVAPAELEGILVDHEAIDDVAVIGIESEAHGTEVPLAFVVRSAKSKASGASAEQEAAN IIKWLDGKVAYHKRLRGGVRFVDAIPKSVSGKILRRVLKAQAKEAAAAPKAKL AFLA_044470 MHPLALHLSPTSTANIVITSLTPAPSDTSPFAKIAPDAEVIVAP KVRPRNTRGTRGDSRSVNGSSRRSVGGRSSGSTARTKSKSDSTSRGCLYFRGIDRQWT EQYFDGESEDDTNKGLRVWVEPEILASNELRGASWACITIVQPSGLKPPLDPQQQLNQ AEQKSNEAGAPSTKLVAKVLPWVDAPHSEHLAMSTLLCSALGAEGMVGGIVRVEAAPP PLQKSAVKSIKVYPFMADTSKKKDGLKFGADTAAAKDALAERIKVIYGSPGSEKGLLS GPLTDGMVLPKADNQTTVSAFDGAIIRFDPPLKAASEPTFGWLQGSEQKLSLEVQSEI PRPSDQGSSPMPTEDTIPSTVPEMVGIDKIIRQSLDNLTKSSSILLTGGLGSGKTKLS HLLAHRLRKDHLFNVKYFSCRKLVTDETRISNIKETLNRLFMSASWCSRLGGQSVVIL DDLDKLCPVETELQVGGDNGRSRQNSEVICSMVREYCSMNSSVVLLATAQSKESLNNV IIGGHVAREIIHIRAPDKEGRRKVLEQLTSQDKGASITMNGHAREASASTQGSWLDPS NPDSRPSSAGADGFVLSRDIDFLELAGKTDGYMPGDLVLLVSRARNEALIRSVQELSG TSKAITLGADDFENAIKGFTPASLRNVTLTSSTTTFAGIGGLHETRQMLLETLQYPTK YAPIFAQCPLRLRSGLLLYGFPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGASE KSVRDLFERAQAARPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGV YVLAATSRPDLIDPALLRPGRLDKSLLCDMPNHTDRADIIKAVSSKLVMSDEVVARLD EVAARTEGFSGADLQAVVYNAHLEAVHDALGDHNADKPAAKSNTKQSTSTSTKSFIQF LYSTSEQANGTVSMPPPAAVAAKLDAIKSARRRQRQIAQGTTNAATPAANETTQEEPR QEIIIKWEHMERSLNTTRSSLSAAERRRLQAIYREFVVGRNGEMPNGESSQEIGGRTS LM AFLA_044480 MALLQYHAPVDYAAQLDAFKDFLTHFKTFESASASAATEAIEDL HIDGDRTSDEYDFMDDAEDENGAQREGSGRRRREPKLKYMQMLQDVADRERTNILIEL DDLATFEKSLPEDTDLKLVESVQKNTKRYVDVLSQAADAVMPKETKEITFKDDVLDVI MSQREKRNEAMTMAMEADMDAAAAPSIFPPELTRRYTLNFKPITPSGSSSERESKALA VRNVRAEYLGGLITVRGITTRVSDVKPAVQINAYTCDRCGSEVFQPITTKSYLPMTEC MSDECKQNNSKGQLFLSTRASKFVPFQEVKIQEMADQVPVGHIPRTMTVHCHGSLTRQ LNPGDVVDIAGIFLPTPYTGFRAIRAGLLTDTYMEAQHITQHKKSYNELAMDSRTLRK IEQHQKSGNMYEYLARSIAPEIYGHLDVKKALLLLLIGGVTKEMGDGMHIRGDINICL MGDPGVAKSQLLKYIAKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLA DNGICCIDEFDKMDDSDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRY NPRVSPVENINLPAALLSRFDVMFLILDTPSRDSDEELAHHVTYVHMHNKHPENEDAG VMFTPSEVRQYIAKARTYRPVVPASVSDYMVGAYVRMRKQQKVDESEKKQFAHVTPRT LLGVVRLSQALARLRFSEEVVPEDVDEALRLVEVSKASLSNDGQGTADQTPSSKIYHL IRSMWESGAAAVGEDGELNMRRIRERVLAKGFTEDQLTMTIDEYDQLGVSISNKTPFL VRQLLTRCSLRSGKSMATAHVLCLLVVMRKWICKDGYSTIVLIEKESLMFTCHELIGA NHGLSFFIYCSELMILPEARFGTGVMVHNVSQWSPGPIYTSL AFLA_044490 MHLLNLAPILNRHLGTQIRARSVRVIAERITHSRLRSKDQELVI GHVQPELLGDIIVVLRRLVARATGAMEPGARVDARSRDVAVVLARQRVAGAANGLGGI GEDEGGGRQQEGSENGEGLHICGYVCVIKVVLRRV AFLA_044500 MEVHHITTSPKILFSKVRKIVPPMLEKFHKGQHGRVAVIGGSLD YTGAPYFSSMASARLGCDMSHVICERSAATVIKSYSPNLMVHPILPSSESVKDPNSID APNLASPIVAMLSRLHALVVGPGLGRDGVTLKVVTEVLKEARSRSIPFVLDADGLLLV TEQPDLVKGYKDCILTPNVNEFSRLAKALNIEVPSIAQIESDGGDKTSRETEACEKLS QALGGVTIIQKGPHDVISNGVTSLVNDIVGGLKRSGGQGDTLTGSLGTLLAWRAAYHN GLWDSGEKDNQREAQSKQDIQAELESSDKRMSPATTLLLAAWAGSGITRECSRRAFEA KGRSMQASDLTDEVHESFLELIGEPEQSKMRL AFLA_044510 MSVGAPNPAMLFLDSVLSSIETGKPSPLPPVTTTQSAPVSSSTA KSEARRPSTTPRDVTERTSNAAGTKRKAEELLQRPQKPLTQTSIKQPLSRPMAAAAAP KPRPTSTPAARPAIKSTISTSSASQKAAPVSSKPPPKGSFAEIMAKAKELQQKAPTQA GMFKHQAVPKEKLSKMERKKRAMEAQAKGKDARSAKKPGATSGPATGSKVGDVKPARK REPEELSYKGTARPTPSAVPEYRGTAGLPARSNPTDRKAQARSSKRSRMDEYLGTDEE DEGEYANDYDDYYSDASSDMEGGFNDVEEEEAAALAAARKEDEEEWRAELAAKQAKLE RQRKLSTLASRRR AFLA_044520 MKHLAAYLLLALAGNSTPSVEDIKSVLSSVGIDADEERLQKVIS ELEGKDLQQLITEGSEKLATVPSGGAGAAAPAAGGAAAGGDAPAAEEKEEEKEESDED MGFGLFD AFLA_044530 MADTEYNAEEAAAIKAKRTFRKFSYRGIDLDQLLDLSSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIIVPEMIGSVI GIYSGKEFNQIEVKPEMVGHYLGEFSISYKPVKHGRPGIGATHSSRFIPLK AFLA_044540 MYQECQRKDWKFAHAHECAIFKNLNPRILPSNARALLRMIVRTA HKKYTNGELELFSQLETHISEIRDQSPEQWERIALSSKAVKAYSGTDMKEETISAFGA KLELNSFNFTNILSDRIGLYLHPYAALINHSCNYNAAVTFDSDNLYIKATRPIQKGDQ IFISYIDATNPVKLRRSELRERYYFDCHCAKCAKDLTEPEHSFLGPETQDDLSALEGA EIEAYELLNECSSAVETDPKKTAKRLRSSIKFLRKVGAWPVTEQPLVSLRDELIASLL ADQDIGSGFVQAAVRYLRVDPVVYGDERHPVRQLHAYALARVAVSLDYGEDGDSAGLL GLVDVRMEPVLLAWSVLSRLVELEDEACTVPSFKTMVRWLFDDVNERFKGDKKKPEDR GDDIRREWEKIELLVDRALEKGY AFLA_044550 MVLTTRCGQATASLLRQRCLAESRRSTLALRPFSSQTTAHSAAS SLRLQQKTPSPWRPQQLRSFSSAISRLASESTNAPSAESYLASGIVKPGRNLVDVKKV LVIGSGGLSIGQAGEFDYSGSQALKALKEAGVESVLINPNIATIQTDHKLADEVYYLP VTPEYVTYVIERERPDAIFLSFGGQTALNLGVQMNRMGIFERYGVRVLGTSIKTLETS EDRDLFAKALNEINIPIAESIAVNTVDEALKAAEEVGYPIIVRSAYALGGLGSGFANN PEELRNLASRSLTLAPQILVEKSLRGWKEVEYEVVRDADNNCITVCNMENFDPLGIHT GDSIVVAPSQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNAR LSRSSALASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRW DLSKFQHVNRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDKFENLDEV LQNPTDRRWLAVGQAMLHENYSVDKVHELTKIDKWFLYKLQNIVDCHNELKEIGSLFG IQKETMLKAKKLGFSDKQISLLVGASEDDVRARRKSFGITPWVKKIDTLAAEFPADTN YLYTTYNATSHDVTFDDHGIIILGSGVYRIGSSVEFDWCAVNATLSLRNMGKKTVMIN YNPETYSTDFDTADKLYFEELSYERVMDIYELETASGVVVSVGGQLPQNIALRLQETG GANVLGTDPVDIDKAEDRHKFSSILDSIGVDQPAWKELTSVADAERFAESVGYPVLVR PSYVLSGAAMNVIYSQDELKEKLLNASAVSPDHPVVITKFIEGAQEIDVDAVASNGKL LLHAVSEHVEPAGVHSGDATLVLPPAYLDESVMGRVKEIAEKVAKAWNITGPFNMQII KADQEGAQPELKVIECNLRASRSFPFVSKVLGTNFIDTATKALVGRDVPEPVDLMTEK RDYVATKVPQFSWTRLAGADPFLGVEMSSTGEIACFGKDLVEAYWASLQSTMNFRVPE PGEGILLGGDIKNPALAKIVEYLQPLGYKFFAASASVKDHIESTAKESVSVQVIEFPK KDKRALREVFEKYNIRGTFNLAKTRGKTLLDEDYVMRRNAVDFGVPLFMETKTALLFA QAMSEKLPRAEGMPSEVRSWSEFAGGKLL AFLA_044560 MAHHNDDSAIPAHIPAFAKPLAPYIKSRQEALRIRQVLTSYLRS QITFADDNPDYPNAHARSHLSLCVPQDAVVDVKHIPPELTGLRKEYLLALKANVNARK EYRLVSERYTSGKTQGQPSGGVNAHRVDPNSELRAYLELLRDRRQHAKLQVFQRYLEE LKNRDIIKPEDFDRIGNRSQQSALQLDLEEDQNGCASEIGIEDLMHNLERAVIRARYQ LEREKRLLEELKAQHDTEDGSENDGIASGAKVTALQKTRDVLVQWVEEKLGSVGNNED GPVQELPPEEIEKSANLLEDQKAQIAEQYAAYVDARKRLLDAASRACQPIGTSSVQPA SRPTEKRKTTPEETPTLEPVDVLSFADEQLLPLSKCQRALALQKFYLTGTLAKEKSTT LRILNRLRDESRLLPEYPMPARQPRFKHATAAINARYPTTPVEPAKPDEVVALAEAWA FASEAAGTSERENVEHNLEDGIEAAQDAEQALQEVYGILNQDLEEVLRNKVEQDEAES SRSHARPRAKGPWAKLDGQIGVTE AFLA_044570 MAPELASVPISTPRSRPRAATAMQPATTPPAISSRRPSQVMSPP ALPLAPVAYTGSSGPGGNDHGSVRSASDSFCRIDNILYIYHPQRAVRYPAGFLLIFLS AFHCITAPPFLVKPELLVHPSRPGLKNRKRCWDHSLARCSFNVFPSGSESRAVLYLSA TVRRNAATISCISTAATK AFLA_044580 MLGRQTKTVSLKKEISKQAGNYLRSGFHTHSTNSRMLHRSRAHL SRPKMFCHMAKQYNSPPSTLYTRNNKSKTPKMSAPNSGRQSPPPEKQTGAQQQDPVAS GHTQHGIHGDSKGASEDTKLHGLESNPKHPLEDIEAKKFEKGTGN AFLA_044590 MDVTALRDRIQSTLDPNADNRRQAEIDLKYAETQPGFINALLDI LQGEQNNAVQLSAGVYLKNRITRGWSSVEENPQRTPIPEGEKPGFRERLIPALVSTPP NVRAQLVPLLQKILQHDFPEHWPSFLDITLQLLGTNDASSVYAGLQCLLAICRVYRFK AGEKREEFDKIVEHTFPQLLNIGLKLVDEESLEAAEMLRIVVKSYKHAIYFELSPFLQ THQATVDWCTLFLRIIAKDPPANSMLESKEERELNHWWKCKKWSYANLNRLFIRYGNP TTMTKSSTPDYTQYAKNFIATFAPEILKGYLQEIDKWVSKGQWLSNPALAYTLVYMEE CVKPKAMWDHLKPHMDNLIAHFIFPILCQSDEDIELFQTDPSEYLHRKLNYYEEVSAP DVAATNFLVALTKNRKKQTFSILTFVNGVVSKYEAAPDDQKLPREKEGALRMIGSLAS VILGKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFQDPNNLMIIY RNILESMTDPELPVRVEAALALQPLIRHDIIRTSMQQNIPQIMQQLLKLANEVDVDAL ANVMEDFVEVFSAELTPFAVALSEQLRDTYMRIVGELLERNAAKGDDEYGDFLDDKSI TALGVLQTIGTLILTLESTPDVLLHLETILMPVISITLENKLYDLYNEIFEIIDSCTF ASKSISPTMWQAFELIHKTFKAGAELYLEDMLPALDNYVAYGSQMMVQNPAYLAAVVS MVEDIFRDEKVGGVDRICGCKLAETVMLNLRGGIDQYIPLFIELPMRVLDADEAKTKS YRIHLIEMVINAIYYNPVLSLQVLEAKGWTNKFFSAWFSNIDNFRRVHDKKLSIAAIS SLLTLNAGDVPASVQQGWPRLLQGVTRLFQTLPAALKNREDATKESDFTSTTRMTRVM RITTGMEKLSGPIKMRPRVALRAMFKMRAPHTLIS AFLA_044600 MAQAEALAATLAELNLNAQGLSGSAFDDRLAEEESGQYQNRGPR PRARQNAEDLIKELEADFLTPSSQFSPEWLNRLQRRWDVSTDYKDLFEVAPTQTRTIV RFDREGLEGRVTGYHEVTVPATSANAKNSTSLLRRPAGRADFVRGAAGFFPFAPGGLD GVEAIAEMESEAQTADSSRPGGKPSGLDRIINFGAEGGLLEVAPGFSRGLKFEVAKSK EAAENDQEVEHVLQQEEADLPVEKDDTASDVGGVKIDDDELSGDEEDIDSLLPVEFPA LEPRAPLLSGVQKKGGREWAHVVDVNKDIPNFSELVPDMAREWPFELDTFQKEAVYHL ESGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRTTFDDV GILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWE EVIIMLPEHVTLILLSATVPNTYEFASWVGRTKKKDIYVISTAKRPVPLEHYLWAGKD KFKIVDSNKRFLEGGWKEADNIISGRDKIKAQKAAEAQAQSQAQRGGQQGRGRGQAPG RGGPRGNSQRGGAQRGGGAQRGRGQPATRGTGNIARTGRGGGRTTAAQDKTVWVQLVQ HLRKENLLPGCIFVFSKKRCEENADSLSNQDFCNASEKSLTHMFIEKSLTRLKPEDRT LPQILRLRDLLSRGIAVHHGGLLPIMKEIVEILFAKSLVKVLFATETFAMGLNLPTRT VVFSGFRKHDGKGFRDLLPGEYTQMAGRAGRRGLDTVGYVIITSTGKDEAPPAGALKR MILGEPTKLRSQFRLTYNMILNLLRVEALRIEEMIKRSFSENATQALLPEHEKQVQLS EASLAKIKREPCDICDIDLVACHNAAMEYGKLTSELHVGLLASPVGKRLFMPKRLVVY RKDGYRTAGIIVREGVGGGPTPTVQILEIGKLGGRRHPSDILPFLPKFRHLFQTLPTR GADMTLKVCKIPLSDLECLTNTMVKLTGPTWYLNIKKEAIKFADKELHKYCGSWTSTA WDEIDWTRIKELQVRDILEKRQAQATIAESCKCLQCPDFLKHFEMQHDEWQVKENISQ LKQLMSDQNLQLLPDYEQRIQVLKELGFVDEQSRVQLKGKVACEIHSADELVLTELVL ENVLAEYEPEEIVALLSAFVFQEKTENVPTLTPRLEKGKEAIVKISEKVNDFQIQYQV IQSSEDSNDFASQPRFGLAEVVYEWAKGMSFNRITDLTDVMEGTIVRTITRLDETCRE VKNAAKLVGDPSLYTKMQQAQELIKRDVIFAASLYM AFLA_044610 MIALEDKVVNHPQLHNYISTADPDRIYVVVDRVIYSIHISSQKR ETLAVIPFGPRCLAAGYGWIAVGGEHNGECAFIKISDRQVRVREDPSTSQPSDIDSAL PIDLGAPTRTSQSWLSGDEPDSAQDADQMQLPDVQLHKFGGDIVNSVTIHRLPGDGKG LADEDIVILSNNDKSVTVYSLTRSKVLKVLNHPACMNYAVVSPDSTILAAVGDETRAY FYDVTRDFNTTVLTESGEKLTGWNWDPLRSIEMDIGTRIDDGCCFTIAFSQFSRLCAI GSQSGVITVFDVKTLRDITHEPNEKSSIICQFNSSRLCCNGGAVRCMAFAPEPWDLLV WLEDKGRAGIADVRQGFLRRQIIHLDKDDPEIEEVRTDPILDDSVGLELEIDGRFSPG SGADAGQRTTLGSIDTPPNELGGEASENPPLRDALLHDLTDRERLIVEFLNTARWTSR LEEGLTDRRTRANAHPHPAPRLRFQGSTDVSNRTSRPTSPLRHGDSLQDTSRDGTSAQ PGTSDRRHNARRQASVILSQDNPEARNRTPEAGSSNIETQPSITLSWTASPSEIQSIV SDTRQRAADSSSDHSSSGNETGVGSRNYGTLGRPSATFDYSATPVDSIPRPWDHQRSR SGHRHTERQDNPAGTRHEPLRISNTELRTNVATERLRRQRQVVNETHNRNHPRYRQQI LGIDNTRSPRWIRSILNELPDRSLGVGQRYQEPGTAAGIGWGADGRTLYVATVDGIFE YQLNIADRKTFPVVHYR AFLA_044620 MLPSRLTRALPRTAFTRAAGLRVPSTSFRRWNSTEGGEEKVKGQ VIGIDLGTTNSAVAVMEGKTPRIIENAEGARTTPSVVGFAQDGERLVGIAAKRQAVVN PENTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHDNGDAWVEARGQKYSPSQIGGFI LNKMKETAENYLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAAL AYGLEKEADRVVAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDINLVRHI VQEFKKESGLDLSGDRMAIQRIREAAEKAKIELSSSLQTEINLPFITADASGAKHINL KMTRSNLESLVDPLISRTVEPVRKALKDANLQASEIQDVILVGGMTRMPKVTESVKSI FGREPAKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLINR NTTIPTKKSQTFSTAADYQTAVEIKVFQGERELVKDNKLLGNFQLVGIPPAHRGVPQI EVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDNEIQSMVEDAEKYGAQDKERK AAIEAANRADSVLNDTEKALKEFEDRLDKAEAEQIREKIAALREFVVKNQSGEGTATA EELKQKTDELQNASLTLFDKMHKANAEQQQSQSGEANQGENKA AFLA_044630 MLSIMTVAAPPVAPVHENGINGSGPGHHHVNMSLPRFHPIAMNP SQPVHPEQMMPNHSHFRPFPPPHPMQEAGPPGPAPHPPSHIDQIDARLRQLEHEEAAR MAARSHLLAIRKREDEEFRRMTESAEAEEEELRRQRKRLKRESMGLGYNASMDSPPLR PTPPRRLSETNAATTLAFFKQQSPPEPRTIPPPPVQAPPSHPPPQHMPHDPTAGTIRR KQKYTIKNVEAWGERHGRPAAHDPSGRALWKRPSDGSLVYLTCPVSGCGKADFVTLHG FMCHLTKKHKDRSLGSQSRALEVCGIVYDPNAPLPPVSTVQRASTEESRGDSAPTDPE GYPQEMDYSSASDDEESRKNPVKTEAADRTLPVPTVPFPHPEEPSKARKLNNSTKQSI SSIIDRDPEDEPRERLVSIPPRPVEALSRASPEQKPSIPNEAEVSRPDERNQEPNESK ETTESK AFLA_044640 MSLQNAHLQPRISKKAPFSVEVPGVEAKPGETVPRRLPAAKDGL ILKPVEDVATTYDVFRRSARVFGNAKAVASRRLIKTHEENKKVKKVIDGVEKEVDKKW TYFEMSGYSYKSFVEYEQMALQLGAGLKKLGLETGDKIHLYGATSENWLAMSHGAASQ SLTIVTAYDTLGEEGLKHSLVQTSSVAMFCDPSLIPSVANVLKDVKSIKHIIWNSHFA PKQADLERLKTEYEDINVISFEDLRTLGEQNPVEPVPPSPEDLCCIMYTSGSTGPPKG VPLTHANVIAATAGINTIVGPYIGPSDALLTYLPQSHILEFMFENLCLIWGGTMGYGN PRTLSDASMRNCKGDIREFKPTILVGVPAVWESVKKGVLNNLNKNNLIVRGMFWGAMA AKNFLMTTGFPGHSVGSSLLDAVVFKKLKDATGGRLRIMMNGGGPISKDTQKFLSMAI APMISGYGLTETSAMGALNDPMAWNPDALGEIPASIEVKLVDFADAGYFTKSNPPQGE IFIRGGSVSKGYWDNEEETKAAYTEDGWFMTGDIGEFDKNGHLKIIDRKKNLVKTQNG EYIALEKLESVYRSSPLVGNICVYAAQDQDKPIAIIVPVEAALKKLAHENGIEGDTLE TLVHNEKLKGIVLKQLQTAGRAGGLKGIEIINGVVLSDEEWSPQNGYMTAAQKLQRKK IVGHFQKEIDQAYGKK AFLA_044650 MRHIDNAHRSDCHRYISSLVGGEKQGWEYLIRATNRSFGDLRRG EIWALEVQMEDEIQRAETEGTG AFLA_044660 MPPHKIFLIPEILEQILLRTPPQTLLTTAQRTSQTWHNLITTSP RLQETLFFKPQLQPPNPRTKTTNRTLNPLLPHKIWPHLFRKRLASQTTTTTNYGYTLP PADPVEEELYLRPNASWRRMLVQQPPTSSISVFVMHRSWISCDEDISPVQVFTADVEF LTLGHLHWSAFVGCLLPLERVACFWDFGDYYQVKDVEWRREMELAVERFGDVCDLVVF SGGNWDLWGVLMGVTGC AFLA_044670 MSLWSSYRSLSPKTRALFGVGVMAWASIGLWVLPEVEGAMGMAP TKQEQEELDRKMAIRISRVDKDGH AFLA_044680 MSISAIVNQQFTEVRELVQHTPKRDKQSERKPGKVILQPSQPPP LVLSHSSGSGGSQHTFGLASQSVGMSLDYNGSYNSPPQPSQPPTQHTFERIQFQKATA NNGKRRAQQQYYNLVVDLYAEVAESQWIKIARKLSHPMVVRGRSPGHYKDGRRDSSTS MGPDGGSGGSGDGSGGAVLHPSIGAVARSHLALMSYDSSQRGGPHYGRADYSQIATSG HSPLSGSPHISSSSSSGFDIGVLGDSMDPMDPIKSTSSIDSYHGSSDGILDGRKPESQ FRHQVRPYEYDPVSKPSEEPSTTFHEPYDPMVSMISSGQSEPPHYIKHPPRMASHMYH HPSTGSSYDPIYSARSNDSSHHSRFQGSQSLCA AFLA_044690 MDGPITTDSDYTGGQRPFSGPISFPMDDEDHSRRGSAVGHPLAQ PVSIPATNGLRYNTQQSALQDVPIFPLLWVC AFLA_044700 MGLGSRVAMVVDYNFKSTPKVHVDHRHRQSLREYSQEFYYTRSS VTTVSRWGGRWRQQASRGHRQDVSGSRERDELTSLVSGATIDVLGTNCQDQSNSRLRT KESVKIAMTVESSSSRITVRQWVSSQAWRLQGSPEIMPEWSGLARFR AFLA_044710 MSKLANRADWADDEEFDDPSALPAQQVTTNKDGTKTVVSYRFND EGKKVKVTRRIKTTVVREHVNPQVAERRSWAKFGLEKGHAPGPSFDTTSVGENIAFRP SINWKAQAAEAEKNGGEKGSMKDQLKDKKVKCRICSGEHFTARCPFKDTMAPVDEPTA GGAGEDDEAAAGAVGTGSGSYVPPHLRKGAAGGGERMGGKFEKDDLATLRVTNVSELA EEGELRDLFERFGRVTRVFLARDRETQRAKGFAFISFADRSDAARACEKMDGFGYRHL ILRVEFAKRTT AFLA_044720 MFGRKDQDLEKGPQVAKTALDTSSDGAVPGETFVYGDSLYAKLQ RLAGKINIEQRGIERVPPDEQTDTSYFNIGSMWLAANMVVSSFAIGVLGKSLFALGFV DAILVNLFFNLLGIMTVGFFSCFGPPFGLRQMVLSRFWFGYWGTKFIACLNVLACIGW SAANAIVGAQLLHAVNTDVPGFAGVLIIAFCTFIITFAGYKVVHMYEYWSWVPTFIVF MIVFGMFAHSGDFVNIPMGVGKSELGSCLSFGSTVYGFATGWTSYAADYTVYQPRDRS RRKIFFSAWAGLIVPLIFTQFLGIAIMTATSLNDGDNKYQAGYTASGNGGLLAAVLDP LGGFGKFCLVILALSIVANNCPNIYSVSLTLQVLSRYTQRVPRFIWVFLGSCASVAIA IPGYSHFETILENFMNIIAYWLAIYSGISLTDHFVFKRGFGGYRVEIYDKPNKLPPGI AAAVAFCFGIAGMVTGMSQSWWIGPIAKHAGALPSGGDVGFELAFAFASVSYIPLRMA ELKVFGR AFLA_044730 MASPQKIRTTLTDLLKIQHPILLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPEMLREQIAELKSYLNDKNAGFGVDLLLPQVGGNARKTNYDYTKGKLNE LVDIIIESGARLFVSAVGVPPKHVVEKLHGAGILCMNMIGHPKHVQKALDVGVDIICA QGGEGGGHTGDVPTTVLIPTVAKLCQGKKSPLTGQPVQVVAAGGLFNGNSVAAALMLG ASAVWIGTRFILSDEAGAPVAHQEAVRTAGFEDNIRTIIFTVGFWAFLDVYFLANQFI GSSPSCSQECLHHQLGREPPGGDQAIDLQGYHPCRARHGELAG AFLA_044740 MAILNLTPDSFSDGGKHSPTDLTTLTNTVRTFIASGATIIDIGG ESTRPGSAPVGEAEELARVIPAIRHIRTSIPEAANIAISIDTYRARVAEEACAAGADI INDVSAGLLDPNMLPTMARTGKAVILMHMRGTPSTMTQLTDYPNGVIEDVSAELLERI SAAEAAGIRRWRMILDPGLGFAKNQPHDLTILRDLQKFRTGVQGLEYFPWLMGPSRKR FIGRLTGVEKASERNWGTAATVTASVAGGADIVRVHDVKEMWQVAKVADAIYRVDE AFLA_044750 MSATKAESQKIFEKLKLKPANKICFDCGSKNPTWSSVPFGIYLC LDCSAHHRNLGVHISFVRSTNLDQWQWEQLRLMKVGGNESATKYFQSHGGSAALASKD TKVKYTCNAAVKYKEELKRRAAQDAEQFPEEVVITDVPAGTPSNGSSTPAGDAEDDFF SSWDKPSIKRPSNPPSRTGTPPVVSRTASPFLNAGANGSRSKSPLSASEKESAPAPAA IRASTTVRKTTTASTAKKGSLLGAKKAPKLGAKKIGAAEAIDFEEAERKAKEEAERIE KLGYDPEAEKAEADAKAKSTTTGATPIAAPTPISPSASRKSHERNSSDVERLGMGIGR LAFGQTAGSKPAAPKKLGFGSVAPARSAADEEELNQTKSRFGNQKGISSDEFFGRDRF DPSAQAEAKERLRQFDGATAISSNSYFGRPEDDFPAADDGYGDLETAAKDFVRRFGMT AGDDLENLTQLVGDSAVKLQGAIRNYMNS AFLA_044760 MSAYLLPRPSLHRASSALLLRPGFPRTVELTRCYATHSDLGGGS GPSSTSKRRNVTVLSDDGRYEWGELTGREKVARATQQSFNFVIILAGAALTGGVFYLL YSEVFSPNSRTWQYEKAVERILDDSRCTDILGDRREIKAYGESTSNKWARNRPIAATI EKDRLGREHLRMNFHVEGPRNQGVVHVHMIKPLDKNEWEYQLLALDVKGHSRVILEQA REKPGVGQALKIFGIQWR AFLA_044770 MVKITGFTTRDVRFPTSLDKTGSDAMNAAGDYSAAYCIIYTDSP HAGHGMTFTIGRGNEIVCAAISLLAPLVVGKDLDELTADWGKTWRHLVSDSQLRWIGP EKGVIHLALGAVVNALWDLWAKTLGKPVWRIVAEMTPEEFVRCIDFRYITDAITPEEA IALLKEVESGKEERIKEAEQSRAVPAYTTSAGWLGYSEDKLKALLKETVQQGYRHFKL KVGGNIEDDKRRLRIAREAIGYDKGNILMVDANQVWSVPEAISWMHELAEFKPWFIEE PTSPDDILGHAAIKKALENTPHGPIGVATGEMCQNRVIFKQLLQAGALTVLQADACRV GGVNEVLAILLLARKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKKSVLEYVDHLH EHFVHPSSVKDGYYVTPLEPGYSVEMKPESMDEFAFPGEQGKSWWTTDAAKTILEGPR I AFLA_044780 MYNPYQAPGLYGRPPDFGAYPGAPPGMAPPPGMSAPGTTPPPGM QQANAQQPGRPAGFPANFQPPPNMPNINFSAPVIRLGTSGPSKSATPDTNKEREAPGR RAGLGSSNLETQRQNVRDAMMQLQPPTRDEIVRTLFVGGITEGVGGDEGIEKILRSAG NLRRWIRATDADEKPCKFGFAEYEDPESLGTAVEVLKDVEVPLKRQTPSEGGDKEDNE IEKSQLLVVVDEGSLDYLEQYEASRGEVDPAERQSRLDAARSTLSSVLSELFHPSSPT QKEDVSAIDREGDTAMKDAEGQDGASAEVVTIPITVEDELSDIPPEMRETVAKEIAAF RERSNRRDIERLKREEEIESMERARNSGSRISRLASPPPTAPSGPAAGANGIPLGPRD RSMPNAPSGPKGFGVQIPKDYQKGVAFVNGGSVNGAPFYIDREDEDSDASDEELERRR QERKDAEAEKQFLDQERRWLNRERSRTAALEREKKRDKEEEAKLQEVREEADKHFGEW NDDAEASRKAHDYYADRGAWLRSRAAFRAREVSMDEADRAAEERERARSVQQREQARG MADDFLARQAEELETRTQAPREPQRFKLSLGAAAQKAQAATSRRTVAEVEGLLEDEEE PEATARRPLIPIKFDSAAEAAGLSEEERAQAARQLAAEIPTEKEGLWNWEVKWEFVDE NVVSEQLKPFVEKKIVEYLGVQEQMLVDVVEEHVRKHGPPQELVEQLEEVSISSFKHR LCQYAVMSNFGSLGSRRGGRSFGAEAVANDYLLLRKREERLVWLGHLWKLGKNQIIPV QSSLGRSALLFHDTNPLLHESTSTFKLISAFWRQKLRCIRYTECLINTIFRLICR AFLA_044790 MAHNPNPGNFANRPHDEVEDIARKGGQSSHQGGFASMDEDKQRD IASKGGHASSGSFRPGDPRAREAGHKGGLASGGSFEPGDERAREAGHKGGKATGHPEE AFLA_044800 MSSAEERVNAMRGYKATLSNPRVSDEAKQNAQAMLDQLGGDQPS HDLYTESGEQNKDPMRVNAGLKAAAHNPNVSDEARRSAAERVSENPEE AFLA_044810 MPKKNGWLGKKRREKDNGSRGGADDAEGGQTNNGLNPMASTGVL GSGFGGAAVGLTFFFPSKKLSDFTNWIRTCLDYSSFVIYYGLVKLQGDLN AFLA_044820 MPAFSQATDLSAWKELQEHHTAVGRNIVLKEAFEKDPQRFEKFS RTFKNTVDNSDILFDFSKNFLTEETLSLLVKLAKEANVEELRDAMFKGEHINFTEDRA VYHAALRNVSNEPMQVDGKSVVEDVNSVLEHMKEFSEQVRSGEWKGYTDKKIDTIINI GIGGSDLGPVMVTEALKPYGAPGMKLHFVSNIDGTHIAEALKDSNPETTLFLIASKTF TTAETTTNANSAKKWFLETAKDESHIAKHFVALSTNEAEVTKFGIDKKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHQFLAGAQAMDKHFREAPLEQNIPAIGGLLSVWYSD FFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKAITRSGEYVKYTTGPILFGEPATNA QHSFFQLLHQGTKLIPSDFIMAAESHNPVEGGKHQRMLASNFLAQSEALMVGKTPEQV KTEGAPDNLVPHKTFLGNRPTTSILAQKITPSTLGALIAYYEHLTFTEGAVWNINSFD QWGVELGKVLAKKIQQELETSGAGAGHDASTSGLLAAFKQKANLA AFLA_044830 MPFVKSGLVQLFNAISYYGLISSFAVQVAAGGNSASASQGGYFH GQPMPITDSLGKLQYIPFPTCKETSLPLALRYGVTETVNCTIDRVSDELYHLLEYYVH SDVPMNCRVPTAPLLPPTSSDSDDKAHEGEAVSTELSALSEEGPPYTPITFALQGTLQ RSHLHIWTDMNVLMHNIVSTPKKNKRKTKKVAPGYAVAGTAYSVPEFELSFLNSKKKV SDEEKEAAAVAEAAREPWTAGHGTKVIREQPLTFTFHVSWVEGGGGIGWPSRGSAASE LTGGTGFFSKLFFFVLAASLGAAMALYWERARRRGWRGDGILGVPSRGKGSVGVVYGN GGKSNGYGGYSASNVSMTGNGGGYGYGGFSAGKKD AFLA_044840 MEMRSLTRCLRSRPTSLLYKQQPSLLATQYMNRSSIRSYASKPS GPTKAQTPTATQAPSDFDEILSKLNINNRESAAEGSLRNRPSEDPLSLSRAVGMSAET ENYRTPVRRVELKLGPTLGRQVHVEPEKGTDLASALRILQATCTANKVRYQANGQKFH IRRGQVRKNLRMERWRKLFKFSFQKTVSRIEKMRAQGW AFLA_044850 MSSITIATLPRMSRDALSALLLSTSTPSKLAIVDVRDSDHVGGH IFSSTWVPSSSLDVRLPELIRTLKDKEKVVFHCALSQQRGPSAALRYARERERVLGVE ESQKQEVYVLEGGFVQWQEKYGKDTRLTEAYVEDIWQEY AFLA_044860 MALNENPSLANQSAPVKKFKCLRCKKDTFKSAIGLAKHQDALGH HNVVCLVCNKEFGTEKGRDDHQRSSHDRRRVPRKKKKAVPNLVTPAQGSSTQQEQQQG NQQVQQQEQWQHEHEQQQQQVQLVVDKGVKRTAIAFSHTSSTTLHDHVGKTEPVSGFL NEVRLYYNGNLFMTLTPAEQELVYADLLTKCHSPVRLHKQGYTMSSLAQGNQVNSKKA TVARNHFLETPVLNLYGARRAVVIDCEMVQVRRWQREVAFLSAVDFLTGEVLINNYVR PTGKVTDWTTRISGITPAAMAEAVARGQALNGWQSARQELYKYIDSQTILIGHALNSD LDVLGIYHSRVVDSVILASEAVFGLSSAFKRLYSLKTLSEVFLKLQIQSDNHPHVCLE DTLATRDVVLSFLRNPEGLGVWAGNAKANYDAEQKQREARRRQKKNEKAKIAAKQQQA TAKQPSTSCGPQGNASLLLLSEESSDIEGCHGYDDSETLRWEDIAEACGWPHPDTGYD PWSD AFLA_044870 MPKYTLLASIGSALLYKGRQFFPRCCIPGYYSRLEYSHSHTKPA TMNLRLLTLALAAVAAASPVDIQERQLSGGNELRDGSCKPITFIFARASTEPGLLGIS TGPAVCNGLKMAKAGQVACQGVGPKYTADLASNALPENTSPAAIQEAQDLFQQAVTKC PDTQIVAGGYSQGTAVMDDSIKRLPDNVKEKIKGVVLFGYTRNAQEHGQIANFPKDKV KVYCAVGDMVCDGTLIVGPAHFTYLGNTGEATQFLLGKLSASSSSSSSSGSSDTSSAS TSAAADSSSSSSSSSSPFGNLGNLFGGL AFLA_044880 MNYGARRALTQLIRNHPNRSLVLKSSQRWSSTYETRQWSTPLAR TLADAIKVTGPIPIAAFMRQVLTSPEGGYYTTRPAGDGEVFGKKGDFVTSPEISQVFG ELVGIWTIAEWMAQGRKSSGVQLMEVGPGKGTLMDDMLRTFRNFKSFTSSIEAIYLVE ASPTLREVQKQRLCGDATMEETEIGHKSTCKYFNVPVIWVEDIRLLPHEEDKSPFIIA HEFFDALPIHAFESVPPSPENQPPQSQDTIMTPTGPTKLHKPLKPANTPQWRELMVTL NPKAIDENLPNEPEFKLTHAKASTPSSLVIPEISPRYRALKSQPGSTIEISPESRIYA SDFARRIGGASQPPRTKARNASTQPAAPAKRVPSGAALIMDYGTMDTIPVNSLRGIQH HRKVPPLSSPGQVDVSADVDFTALAEAALEGSEGVEVHGPVEQGDFLRTMGIAERMQQ LLKHEKDEEKRKTLESGWQRLVEKGGGGMGKIYKFMAIVPENEGKRRPVGFGGGIEL AFLA_044890 MGSGERDCQFPQPEDGRILSMRMKSTGAGSQGQDLFERSTGTKN SFNDLREQENRKRMLNRGADSAVQDGSYGFGGQNQATGRDDASGNRNNRRNFRGNRNA GISRNQDQQSSDQGLYSDQMMVDAPPRNPRNNRGRWQR AFLA_044900 MAAGQQAVSFNEIIKADRQKRKNEELANSILGKNRRTSAPGAGN NKAQNATQGSLASRIGVAKVNDNDELSRHPSTERTDFNALLPSVLRLPPPSLKRPSGR RLHQHESPLRTRNLERSVAPTKIA AFLA_044910 MSPLPSPSLPGPPTSDGQPSGRFYASRTPQVSNAALVVESRGLI DRVSTYSNDSISRTEDEVEDQVLGPAIRHESSPDLPTEPTQSDRQSITEDAQAQDIPE SGTNDNLSSDPVCTVRTSSLDLADATSAMQHDDHHTPSRPSGDTGGLGCSDFSGEQIP WSARPSEEVTVTDRTSVSNNLWTPIENGDLASLLSFTDEYFFVDGKTRGHPDRGDNPT KAERSIISDGSLYNGLGHDRSPSAGTLDVPEVIGPGRPIPIQPPRPYRVERDASDESV DEYLFTYPMYQRRYFP AFLA_044920 MSSKSRWADEDPEAEAINAQRKREKEEKRRAKAEKQRQLEQQAE EAARQREAANNDTEAPPKKRRRLSNDPDTTADVQVESAKPQEDTSNILQFPTQEWGPS RHVDNFERLNHIEEGSYGWVSRAKDITTGEIVALKKLKMDNSPDGFPVTGLREIQTLL EARHPNIVLLREIVIGTKMDDVFLVMDFLEHDLKTLLDDMREPFLPSEIKTLLSQVLS GLDFLHSQWIMHRDLKTSNLLMNNRGEIKIADFGMARYYGDPPPKLTQLVVTLWYRSP ELLLGAEKYGTEIDMWSIGCIFGELLTKEPLLQGKNEVDQVSKIFALTGPPTPQTWPG FRSLPNAKSLRLPQTSAPSGNPPLLPRSKFPFLTNAGLQLLSSLLALNPSSRPTTQEC LSHPYFREDPRPKPKEMFPTFPSKAGMEKRRRRQTPEAPKRGQEAPRLDFASVFGGQS SGDGGETGAGFTLRLG AFLA_044930 MFNQTTFAVPMTCEGCVKDISSTLNKLDGINKVDANLKDQLVFI EGTAPPSSIVSAIQATGRDAILRGSGTSNSSAVCILETHANSVPNKIRGLARMVQVSS NMTLVDLTINGLAPGKYWATVREAGDISQGAASTGGIWEALKATVLGSEAAKEPRGVF GTVDVDEKGRGNVFLDRPLAVWEMIGRSMVVSKSKEGPFRKEDPDTLVGVIARSAGVW DNDKMVCSCSGKNVWQERQEQVSQGMV AFLA_044940 MPSENGQSGAPLQPGDIVRAQSGLDNRAPPESLYAASKLVQRNI TRSRQHSEPPLNRNLFPPTPPPDADKSSVGSPPSSSGMNGRPGSIRAARPPRLDLDRP GAHPPGCRVDTTPEKPRIGTTRTASEPRGPPQLHHRSSQGEFTVYREMGHRRGASDAG FPAPSKKMYGEEVYSGYSRPTVVVNGGRRAMPRQRERYIDEEEEYASEAEEGGADGDF EIVESRRRTRSPNRGSRRANSRRPEVRRFRVKVHAVEDTRYIIIGPTIGFSEFEMKIR DKFGFRGLLKIRMQDEGDMITMVDQEDLDLLFSSARETAIREGSEMGKMEMASSMVSS EPISSLPSITARSLKWKSEKEQDN AFLA_044950 MQGYIPIRTSLTGIPQNAKAILSYGQARKKAILPFHPTMKQPLY TAESGM AFLA_044960 MISPVTKLALLAVISGVCGHAVVEDPPPRKAASDIAGPIENAVQ ETDADYKCNAFLCRGYQFEDNTDNVQVLKAGEVLYFHINLIAGHHPGYAVSSCPLLAL LLKFNGLYSRKNVSIVNTATNEIIGEPLRSWDNWPDHLSGPPRDDSTY AFLA_044970 MRVTAILTLATIAIASPTKVLNSRNELARRQATEGCSIGYCTQN GGTTGGAAGDTVTVTDLASLTEAAESETPLTIIVSGNIEGSAKIRVASDKTIYGETGS SITGVGFYIRQVSNVIMRNLKIGQVLADNGDAIGIDESTNVWVDHCDLSGDLSAGKDD LDGLLDITHAAEWVTVSNTYLHDHWKASLVGHSDSNADEDTGHLHITYANNYWYNINS RAPSIRFGTVHIINNYWDSLLGTGVNCRMDAQVLIQSSAFSNCPDEAIFFADSDYTGY AVVDDVDLGGSTNSVPEGTLTASSLPYDAIEALGSAQIAATIPETAGQKL AFLA_044980 MGWFSDDSDQADAYNQVTHSPHKAELSHELLGAAAAYEAQKAYE KHCSENGTVDSYALNGKPDSHAEAKELMAGFAGAFLDRVIETKGLDYIDKKKAGHEAQ NHLDELVADDNY AFLA_044990 MITYRIMLDLRDPDNFSMYTFNDHSAYGAIEVVQNMMLDFDEAS GKWQQQWAVIEALAWLLSGDFLSPMVMIDDGDLFRETTILLEQMFLTLLAELEKEGQL EAHSDVHNIGLIMGLIAGEANTLRSDGFINIKKSKAKSYHGQDFIPYLLTYASKGNIS LRGPSNIDEIIAEGEEFQNRRTSNSPPPKKTPGNGARLSRHTKETQLPPTVAVLGQPS VVTVSTSPPILVQSGKKQVSPRRIPFLQT AFLA_045000 MRYSYSTYGHDTCSRKSATPRGGPSVSTWTQYELGILAQEVSDT LVGGMSRQVWLWLETALRDPQTDGCFIHLFLELIRQPSTVQCSFHYVNGGSLKQMLQG LKKVLWSTYANQVSAVAK AFLA_045010 MNSLRKLTGLYVFSPRIQSNLSNSNQSQMQFPNQLKNPTNKSTT QQNKHITSLTTYLQKIRPQYLSLTKPPRHKPSSPKSGEEAAAASPLSDSDRDQIDTST SLVLHDLSNSISTLSSAETLRHETATSILRKKYGRSVAGRVLAKWASGSGALGDGESE EGKSEEQVREEEGVRVMQVVRGSVVWFLRRGLEDVVSLQRGLVERRIERVTERERSVL FKGGSGGLSARGTAAGGGTGGVGSGSGFAVDDTVGLKGAGMDESEVRAIEEELSAEQL QLFEAENDAMVRYYEDTLSKVQNAEKSLLEISSLQQTLVSHLSTQEEYISQLVTDAES TQTNIGRGNKELKRASERRSAAQAVFWGTVGLCTSLIVWDLIF AFLA_045020 MSPPSTSASSSSTARNRLASLSAQIMGSASPSVFSTSVVPAAPE DPLFGLAQAFRNDPSDKKVDLVIGAYRDDNAKPWILPVVRKAGDLIRNDPSINNEYLP IKGLPEFTSAAQKVILGSDSPAIREQRVATFQTISGTGAVHLGALFLAKFHPANPKPT VYLSNPTWANHNQIFTNVGLSLATYPYFDAKTKGLNFDGMLNGIREAPAGSVILLHAC AHNPTGVDLTQDQWKQLAVVMRERRHFPFFDTAYQGFASGDLNRDAWAVRYFIEQGFE LCVAQSFAKNFGLYGQRAGAFHFVSAPGATAKNDIANVASQLAILQRSEISNPPAYGA QIASRILNDATLFAEWEEDLRTMSGRIAEMRKGLRERLEAKGTPGTWNHVTDQIGMFS FTGLSEAQVKLLREKWHIYMTKNGRISMAGLNTHNIDYFAEAVDSVVRETS AFLA_045030 MVGTLTFESDCPSAVLKIISLVASSVDSIYKLKELEPSYGSRKS HQEFICPGERKQQAVTSGGVTSDRSIVLWPF AFLA_045040 MANPKPKFRVVIVGGSIAGLTLAHCLLRNNIDFVVLEAHSEIAP QVGASIGIIPNGARILDQLGLFDDILATTEPLRESFYWTGEGNLIVRNDTPQLIQKRH GYPIAFIDRQVVLKVLYDHLAEHQDRVLTGKKVVKVEDLHGKVKVHCEDHSVFDGDFV VGADGVRSIVRQQMWDYMDSKGLEREALRERNAMTSEYNCVFGISTAVPGLDPGSGHR TFGEGFSFLTLIGKEGRVYWFFFTKMDRVYSASEIPRFNQGLIDEHVAPYLQKQISDT VPFAALYERAITRTFLSLEEAEYKHWAIDRWICIGDSAHKVIPCLSCVSSLFGKGSDL LRQMTPNLGQGGNSAIESAASLANTLASIIEASREPRVSVNDLNDYLQPWQKKRQDRV KDVFKSAHGLTRLEALATWKDKCIALYLFPYISSYLADKASKIIVGATKLDCVPLPPR ALQCTMPFTNFHPPRDEAIWKRALWTLPLIGIYAVGKATALPLILNTRPYLYTLFKKG AWTAGNGEVLSLTKPLYHNLFLDNLFRPFITCFLPSITGSDPNSRIQMLSFMTDLGSI YGIWLLESYRNAHSWTHALLSKADPWEPCKSPLAAGTAFQLKGIWMIAPFYSALEYLI TPLSTLLSGSSSNKEIDAVTTESLVLSTLAGYYTTTFSNFFAPSHQSRQWFNAMWQIF PVTIPLLQISFSLAKRWVSPSSPAQDKIDQKQEQRKRMQTIRYAYGAFALISGLTFIY GRFTAPPGVSFWRLFVPGLQDHLAPVTSFSDGIARFLQYDELLSMASAYVWLGLRFRE LKQAGARFSWTRAAGAFVTSLLALGPGATFALGWGWREELLHQVAEEL AFLA_045050 MNPVMVESELPDLFHDLREKCAVSIAKSDLTAPGCYKVEAALMY MGIEYLGSNNSKTGVSILLGIISRLAIMMGYHRSTHLYHPPLRPFEVEMRRRCWLLLS VTDSIVALQSGLPRVIYQGLGDFTRPRNLLYEDLDPAMSILPPSRPETETPSRIMYML ALDDMLSVANEITDITSKGAITPERTICLDQELKTTRDRLPGALRMPLLTKAQEAQSD ITIMQHTLEMIYQRSRCILHRQYLVSPQPTDIYRAFRWACVDAARCVLEYQCELFQDV LRSPGNRQRVWFGASRSVSDCLTAAMVICLDVINESKAAQPFSESTRTELIQLLHKTY LSLKDTPRPSVEIAKAAERVATMLYQMGHAVTEGELRCSQPAAAVASQLPNSQLADHT AAPEEGASFPYTAFEDFLNGDNPLELFDWSSLISGGSYIDYHKIVALLLTSFFASPQL VSTNIITVNLSLETEIEKAQRLGSLNPLEEARPFPTRGFKAIETNQLVEEEELPDHRA DRFYPARPGEIFQKRYQIVAKLGFGTSSTTWLARDLK AFLA_045060 MSLRDMKLVFRPDGFDEDFVRGAITELLRALDFSHSDGEVVHTD LHPGNMLLGVYDNNIMQSLAEREFTSPVSRKAVSPTRTIYLSRLMRPREGPMLLSDFG EARIGPGLHGGDIMPLEYRAPETLLYVGWSYPVDIWGVGLTAWDLLEPKRLFTARDED DDLYDAAHLA AFLA_045070 MTTVYLRSPDGRPVVFEMPDNSTVVDLVNRGFQELKIKPMEFAK AYMGDQSLPVNDLLKEHQSDEGVALEVRLAVPNEILYDSVRSIAALSSDTVYFTPGKE KNMPPQTVLTYLLPLMDHLKPSKEVVQNEYVWHSLPRLDISTATANRSADYLRRRQRT AFLA_045080 MVAWYNFTTFDIIGDLAFGEPFNCLHNSAYHKWVSMIFSNIRYG TYGNLARRFPGSKFLLRLITPTRIANGRNWHIELTKEKAKGRLAKSNDRMDFYGHILK QKDTERAMTFDEMVTNGSTLIVAGSETTATLLSAVTFYLLKNERVLSKLQQEIRASFE SEKDITVTGCNQLEYLNAVLTEGLRIFPPTPTGLPRIVDADGDMIAGKWVPGGTIVSI PHLAAFHSASNFTEPESFIPERFLGDPRFANDSKTVLQPFSFGPRNCIGRKYVFRSIC PLVSWLMTLVWLMLRCVLFWLVCFIILIWNWMRGVRIGVGRRRIFFGISRGCMLGCVL GLGYRM AFLA_045090 MGPIQDPSFFPFSFSSLPLLLLLLSALSTTILAVDSSGWSVVPS NNSRDLSWVGKARFHLESAQIQLPSGVSVTPLTTALVNLDQSELTNFNVTGNLVNVDD TNSISLNTSDIALISCDQSAYPGNLDASETVRNVVTSSHRASAILLYSSEVHHCNYTA GPNANGYINVFTLVNPTLAKLVTKLSHSSTGNGSTSIKPDMSFTMSGTPPTSGDSGGA TDSPNTAMIILYSITGIITALFLAIIITGAVRAHRHPERYGPRYTAGRPRQSRTKGMA RAMLDTIPIVKFGNQQDPKLDAVKGDVEMGSDDETGRQPDATPETTTVQETNPQATAA LPATNNTTTESNTEQEAKPTESTDHPNFSCPICTDDFVKGQDLRVLPCNHQFHPECID PWLVNVSGTCPLCRIDLNPAQPEGENENQEGENNTETQQEGTAEPATEESHHRHRRLT SFMHGTLNARRMREATVEERLAALRSVREENRNSIENEEDRQRRGRLTSRLRDRFRIR TRRHGDDGEQQPPASTAT AFLA_045100 MEGVIRALVKVNQSICLPPGSHGLAFTTDSITSIAKFYSLTFFF LGELMDWYVRRSKCRLLECLHQDVYLNFRYLIWSIRSSAIHIIGGLIDGMDMDDPKYE KKRKIMQSSDLYLWEETRLSQVGLQKWDRRLIAQSAMTRQLIWEIQHDAAERLQLRAE SHLLLLQMLDLTSQRLRSVTQQNSGIACLTTTVAQDIGMQGGDLGTDLPSELTLITSQ ETSRYKWSSGPKHKYTRVELQLASKHLQDFFRNDDQVTDLDSDVDVIAEDSVVECLQQ WATNVHSQVLAIGGSPTTAFPSPVALISACYATFARKAKLPVISHFCSLPTEERSGMT LFEQGLISLAYSLIRQLIDYLPPVLEGHAACNLNTERFSALNGTMTSWKEVLSLIDML LYLAPPVLVCVIDGLDVLEDSSTDGPIRSLVRTLSTHTRHQTAPTADGSQNQDVLLKV LFTVAGRPNTLVETLSENRLILSESNQVSQLASTDGALNPDVGIVMMNA AFLA_045110 MTVPNGTHKTVPSRLFQIETPNLEDFKKICSQTTDKSTYPLAAS IDHNIPIYDARTLDLQNTSLTTTLQDEWYHILSTGPGILVLKGMYDPTQYADTLNTTN QAFTSIITRERASSTKKGDHFAASGKNDRIWNSFSKHALEDPSSFINYYSNPWLRLVS ETWLGPAYRVTAQVNVVKPGGAAQDSHRDYHLGFQDLQTCASFPRNIQLASQHLTLQG AVAHSDMPLQSGPTRFLPFSQTYEPGYLAWRREDFRAFFQEKYVALPLEFGDGLFFNP AVFHAAGANETEPTPDGGFHRKANLLQISSGLGKAMESIDVVPIVERCWGTLLERFKH AGEVDRGLENFVKAVADGYPFPTNLDKRPPAPNGMAPESEQEIIVRGLREGWGTERAV EELRKMRADSWA AFLA_045120 MPRKTKTSQQQQNQDKDPLKQNPHIRTTPTHIFFHSGPLSNWHP STPPFPGHRALTLCLPDLDALGIPHPSPQSAVTRLISSWSFTCGEQWMMAMKGWLFED IPGLDSGVDISDEEFEGVRAVALGISEPLPECIREKAIWDSTVASVLRTRQPRVQKAL GRCAEGFREDVWEFASEVIVIAGCVARAEVDPALREVYLASGGRRFVEGSVRDRVWGV GLRWDSGEIEDEGNWRGRNRLGRCHDEAARVVKGSFV AFLA_045130 MRLLRTHTIELVEFPPDRIPRYAILSHTWGEEEVLFTDMQHHTG QTKSAWSKVQGACAQARADRFGYIWIDTCCIDKSSSAELSEAINSMFTWYENAAICYA YLSDVTMREGHSGAEVNTAEFEQSRWFTRGWTLQELLAPSEVVFFSRDWVHFGERSSL TNWLMDITRIDEAVLRNKVRLLESRPLFQRSIAQRMSWAARRQTTRPEDMAYCLMGIF SVNMPMLYGEGGKKAFLRLQEEIMKQSDDQTIFAWTDKSASRYRLSGLLATTPAHFED SQDIIAYRQLEPTPPYAMTNRGLRIDLPLHDLQPDLRGHDIIALLRCGISQDIKGQTG YKFLAICLTRLSTFDNRYARQDLGLLLRESVSSRMQTIYVPQTTFDEDMKGPLGHVIF NISGYAHSSRYRLPHYRLIRIVSPTVDRDVEKIHLDLTSPPTENENWLVFSSLNPTGT HGTILAFLIWQDPNKNKPQIVVIVGSSPDFGLGFDATRYSDSDSKRFNFDELQILVGE KSNLQPMGQKVKVDDLIVCVEADEYPTDGSETIPSHYWLHISIKEQQEGTTSALKKFL GVLF AFLA_045140 MYRLGKQLISLDLPDTTKKEIDFTDTSFFTTSPNRHLPTPAQVR ALSKDIDTSWQPTSIEFRNLNLIVKFGLYVAIVEALNLWMVKKVFHDKVPVPELFGWR VDDEDYVFIYMELIEGPTLDECWNRLGTVEKRAISDQLSRIGRLCGNSSKTPLISLSY QRVQRLVRLSQSWFTSG AFLA_045150 MAPRTICLISTRSSKTQRAHFAIWVPSAQQPTSGSLINVVGAPM IGFAHEFKRCYDPAGSTEPYEIWPIGEVDSAHIFDWPEGGCNIRTDPKGDLEIAAAQV PAPGVSRDFMAPVDDVCSFIFCGVWYCWVVCC AFLA_045160 MIIFSMLWNLVVVGFIYAMIKEGMGLHADTIPTSNVVMIAKFLV VAEILYVFNLVWTKLSILLMYYRIFRFPYFKTWAYIIGTFVILWVICITFLFIFICVP VEKLWYPQIPGRCINQVGTWIANAVSTIATDIVILLLPIPQVWKLQLRLSEKIAVSIA FSLGFFVVFASAYRFSVLFTYTATDSSYTLAPTVGWTAIEMSAGIVSACLPTLRPALR YMARKLGLHGRLPALFQSTTGQMSKTSIPATGPSRTEDSTATIIQHSERPKRHSFYHL PDETDSAGEQGHSDTSFRPDYAKTYTNVLGPRVSGRLSGDEIPLNGIRVDREFVQTSK R AFLA_045170 MAATQTIQVPHLGGIKAGYALSNDHYDPSKPTCVLINSMCMTVS LYHDQFNNKELTDAMNLLAIEPLGHGATSCPSEHFTYWDSAIMALQVMDHFGIQKAFA LGTSQGGWMVTRMALLAPDRILGLMPLGTSMDYESADSRSKGCWDPAASLTAFYDKWT SPLATPDFVVDDVWCGLVGGIGFGAAATAEKSAFWTQTLKEVYKGDEGRKKVRMALNC LLERDGLLLRLRDIKCPVYWLQGTEDTPFGTVVPAEQIKLFTASPEAKLVMIEGGAHY LNATNPKEVNEALLEMVTKYQ AFLA_045180 MHAYSDTLTDGMIRSEHTQLKGVPVPKSILVTGGAGFIGGWFVR HLLQTYGDRYAVTCFDNLDYCASVNKFKAVSQLSNFHFVRGNVCTPKDVENALRNYHI DSIVHFAARSHVDTSLNGSLSFTQINVIGTQVLLEVAREQGSIRRFIHVSTDEVYGEN DAQNPTAFTEEQSLHPTNPYSASKAATEMIIQAYRKSFHIPLIIVRCNNVFGPRQYPE STYS AFLA_045190 MKHGVTWLLCHCDPSKMSRIINTEELIRNAPFELSKADKVVLTT TEEDFFPHTWEDIQEIIVSAGGDTSQLKRTPTYLPDYIFWTREIQATFGSVTNFLVKT RLHWGKEANHADIRIPYRHYSVPFADQSDYRILRNDWPYAMPSGMVHLVVWLKTPIPV DAEGDPTTESRRLVADFIDRTFWMHMS AFLA_045200 MYRSPWIDCMEENRFTMAPIHLIATLRPAAGKEQLLREVLRQTV DRVADIEIGCLTFLLTETRGDDVVVVFKVIERWLNLEALEQHHGRDWLQQMYQTFKDN ELLDGTERIEHLTLIAGFVAR AFLA_045210 MIGMCMLNTFMTALDAVLPIFLQDLFHYTSSQIAIVFLSNSLPL MILSPLAGYFVDRIGPFRPAILGFVLTAPSLMLLGLIHQNTIFSSVLLRLFLFWFGCG VSLAMPALMTEISMATEAVEKRHPGVFGARGAYSQAYGLSNAAFAAGTLAGPLYAGYI RKWAGWGAMTVSMGVLSLVAVGLVVGFTGRRGGWSGCGREDV AFLA_045220 MLALEAGKHVLCEKNFTVNAGQAKKLSTVAEKKQRFLMEGLWTR FLPVSVEVRQLLQAGAIGTVTRVFADNGLGMDPYSDFLPGDRMVVKELAGGALLDREF KCPPMARPPRCASKAIKAKPKSTAGRGVRPGYESLDEDPG AFLA_045230 MDMRTNTIHTTCTTIIGTELANIEDVLTLNNLSGKGKYTRLCEQ WLEQFMRGNNGRALVVSSCTSALEMAAILADIQAGDEVIVPSYTYVTTVNAFALRGAV PVFVDLDDATMNIDANLIERAITPKTRAIIPIHYGGVACDMDKIMKVAKRHQLFVCED AAMACTSTYKGQILGTIGNIGCISFQEKKNFTAGGQGGALLVNDPVLAERAEILYNHG TNRSRFMRGEVDSYQWLDLGLNVLYQSYRSGAARGSDSAYG AFLA_045240 MSTRPGLAEKRLSAHRFQQIPDYSGDGMANPNDVTIEIPLNPVP SRGQTGARKTSINPTSPDPNLYEPPGESGAEEKAALVTGPGRRKRVDSARARSVDDPE DGTLTRMGRIYQAIFNFSIITRYLIYVSPLALLIAIPIIVGATVRQDTRIGGVPLHWF FTWIEVVWLSLWVCKLVAHFLPYVFQFLVGIVSSGTRKYALILHSLQFPIATVLWAVV SLVTFLPIMTLNPVKKAENDTGTKSWEKALKNILFALLVCSLIFLAEKAIVQLISISY HRKQFDKKIKESKRNVTLLGELYDASRSMFPMYCKEFREEDAAMTDIIASKVKGMPRS GSAPLRLIREVGQNVGRIGDKVTAAFGDVAQELTGKEVFNPNSARSIVTLALERKRSS EALARRIWMSFVIEGREALYFEDIAEVLGAGKEAEAEECFQILDRDGNGDISLDEMIL TVGEIGRGRKALNHSMHDVDQAIHVLDNLLMTIAFGISVLVFVSFVTSGFGTVIAAGA TSLLSLSFVFATTAQEVLGSCIFLFVKHPFDVGDRVEIDSKPYTVERISLLFSVFTSV TDRRTTQVPNVVLNTLWIDNFTRSNAMHETLTIPIKFGTSFSDIELLRQEMELFVRDK ENSRDFQPDVSIDVAGVGDMDKLELAVTICHKSNWAIESVRAARRSKFMCALVAAIRK IPIRAPGAADEEPATEDNNDDKPDGGDNNGPGLNTEKMAVSGLTATDSLHDPTMPYGD SRSTGFDLGRDSGSLQRRGGGASASSHSQGTFVDSSRSTGEGEGHDAESFRSPAASPG KDQLSVPYGTLNREPSTGRRKANSTQSTQSTQSTYPTATGGVPILAAPVPPRHATTAP APPPSAPLPPAPPATEYRPYSAHYYEDSPYDSTQRYELPSMPQPSEQEPYGEYPSPHM NQSPTGEQRTSNERMPGAFVSHTSQYGANHHNNNTR AFLA_045250 MTTAGPNTTGKRVNVLVYTGIGTTVDSVRHCLYTLRRLLAPHYA VIPVTADMLIKEPWTLTCALLVIPGGADLGYCRALNGPGNRRIEQFVRRGGAYLGFCA GGYYGCKRCEFEVGDKTYEVIGDRELAFFPGICRGCAFPGFVYHSEAGARAAELKVSK DALNVGIVPESFRSYYNGGGVFVDAPSYADKGVEVLASYAEELNVDSGSGAAAVVYCK VGEGAAVLTGPHPEFAAVNLDKSAGGPEYGKMVDALAADDRARTDFLKACLTKLGLQV TQNTTTVPSLSSLHISSLDPADTSSILSSLQELITTDGEHQYLKDENDTFRIEKPEAW NMESLQEALPDDSKEDSGKAEEGIVDYNAIVKHLVIHEDVPLSKMTPYFNHHAFYSNL RQYQSQMREGAREFGSSIVYGEVITSTNTILEKNPKLLRNLPNGFTATATTQVAGRGR GSNVWVSPAGALMFSTVVRHPMEKMQSAPVVFIQYLAAMAVVQGIKSYDKGFEEMPVK MKWPNDIYALDPENADKKRYTKICGILVNSHYSAGEYTSVVGVGVNATNASPTTSLNA LAAHFLGNKTAPITLEKLLARILTTFEELHTRFLRTGFDKTFEDMYYNDWLHMHQVVT LEEEGGARARIKGITRDYGLLLAEELGWDDRPTGRIWQLQSDSNSFDFLRGLVRRKV AFLA_045260 MFGFSWFKSSKEEETSQQPTWNPNTLTMEQPTSPAAPNQQQVVT EQPASQEQMNMSLRGGGGGGVCCGICAGLACFECCEICC AFLA_045270 MIPLLILAALAVVFRLVWSIFTSLRHAQNARKWHCGAIPTYPGD ILGINTLKEVLRADKEKLIPVLSAQRVETMTAREGRYVSTFRLRQMGRESIFTSDPKN MQAILATQFKEFELGSLRRNSLHPLLGSGIFSTDGEAWSRSRSLLRPQFTRDQVSDLD LEERHVQKAMAGMLADPATKWTPEIDIQSIFFRLTIDSATEFLFGDSVESQTAALSGS RIIEDKFPSYFDRGQWYAAQRARFEKLYWIVNNKESRETDRFVHAYVDRFVDAALAAV KEGKIDPEKRNSDHYVFLHGLTTATQDPVELRSQLLNILLAGRDTTASLLSWCVLLLA RHPDIFQKLRNTILADFGDYRNPRNITFSSLKSCRYLHYFMNEVLRLYPIVPGNRRVA LKDTTLPRGGGPDGSEPVYVRKGQPVVYSVFVTHRRKDIWGADAEVFNPDRWEDLKVG WEYLPFNGGPRICIGQQFALTEAGYVLVRLLQRFDQIVDARPEREIRFNATLTSAPWE NVIVRLREGA AFLA_045280 MSYIADAMPTKEVFSGDPFAYILSSLSISVPITMACAIYAIWNG DIGTHREFMVLNYAFMLSAPIPRVQWITLGRLWGENKYIVNLYSPIFSGPFLVAASIF YLRQRHVRPSSPLLTSPNARLTAAASGFLGLLFLLTKGPSITGGSHPKAFWLALVPQL TFYMTLFTAFARAAKRRGDMRSYTAWVTYQNGLISAPLWSVFVMYMARDRMGCSEESL GMITVSGGVTQGLFISFMVYVFATSNLVNRALRSVKSR AFLA_045290 MRIGNLIVAASAASLVHAYPTRDIKKRGSGFTWVGVSESGAEFG SSIPGTLGTDYTWPDTSKIQVLRDDGMNVFRIPFLMERLAPNQMTGSLDATYLKDLKS TVQAVTDSGAYAVLDPHNYGRYSGSIISSTSDFKTFWKTVAGEFASNEKVIFDTNNEY HDMEQSLVLSLNQAAIDGIRAAGATTQYIFVEGNSYSGAWKWADTNDNLSQLTDPQDK IVYEMHQYLDSDGSGTSETCASSTIGKERLQTATEWLKTNNKKGFLGEFAGGVNEQCE QAVEGLLSYMSDNSDVWMGAEWWSAGPWWGSYMYSMEPTDGTAYSTYLPILKKYFVDG TGASTSSSATSAAPSTAAASTSTSVSASTSSASSTTISAVESSSTSSVAEAPSTTSGV VTATPTPSHPAPQPTSNSSSASSGAPTSSAPTTLATSPACGYQTTVTVTASRSTAAPS SSAGAVAHYYQCGGINYSGPTTCESGYTCVKQNPYYSQCL AFLA_045300 MCIALISTAHPSYSLIVIDNRDEYLRRPTAPADWWPGSNSNILG GRDLARATQGTWMGITKEGKIAVLTNYREDTSEKATGTQSRGAIVNGWLTVAPEPRES TRDFAQRMVASATARNVGGFSLVCGYVNEPLAVISNRSSNIDQVTWLATENGQTVGLS NTHFDDRSWPKIIDGEKLMIEAIQAHVGAGEDEDGLIDRLLGLLSKNTLPNLSEGATA EDYLPHFRKSIFIPKLGVKEVHARSADGVAVPCAEEKATGAKQGDELDQSYLHGAYGT QKQTVILVSKDGRVRYFERTLYDNEVNAIPLGNGDRSYEFQVNQ AFLA_045310 MFGIIADLLSSIITILFPIFASFKALRSANPSQLAPWLMYWVVL SAILMAESWTVWILGWLPFYSWIRLFFFSYLVLPQTQGARILYQTYVDPFLAQHEREI EEFIGRSHERAKALGLQYFYQGLDWVRENVFHLPAQQAAAPPPATGPAAYAQSLLSRF NVPTAAGGNATTPAQGNDWLSAIGSAVASMTSTGKTPEARAEELSASGSFLPRDMAGM SHDEKAKYLSNQQDMLEVLRNALAKEQQNLHGRDDDLAYGSSLRKNRSDNSFDHIEPE DIRNQSTSSNWTSGVDYAVRAAEELARSRGSH AFLA_045320 MERLQQIVAHLQPSNLSTESILNTSKGFANHVVDQASQVDWSNL PSKTAQYVSENPKSLLWGAVQVGTFLCPGVVTGPLMHVAGFTGAGPAAGSAAAWAQSH MAPVARQGVFAYVQSTAMKGYGRTVVEGVARGMVLAPRAAAGAWRYFRG AFLA_045330 MSSIPPKSGLQPQGQSTSNQAASQSTAPATSGNPSSQPAATKSY ASATKKSATDSTAAPVTVGGSSQHGKSTSVSPVSGKPMQQPQTPGVTIVNGAPAPSSA QGDHSRKPSVTITSAGTSGYIPNGGPSSRPNSLQFGFAANQPASPNMGNPAVLANQAQ AGLGVNPTANPRVTSPQTSPSPIPQPASSGGRPPPSSYQAQGNVPNFGSFGDAGDNTR PSQAPLGPGPQSTHLRRESSQSTHSDMSNHMGSGPGRGGYHHQGGRGRGYSQSNYQGQ MAYSPGPSFRTPNQPRGGMNPQYHPPNQARPMPPFPNSPQANRSPALATAHPATPQMS TVPMGPPQPYGAYPPHMAHQSVRTHSYNRTPRRGGLLPKRKPGPRVIPSPNIPPPHPS ISLPSPNLAPESGQFEHYLTLMKSNQGPYFDPNYGYYQQYPMQQFMAPPSSPQPRPGM PYTPQPPYMQGQYPPQQPPQAMSRSPSQVSNDRPGSSLGHGQPPAGPPASGHAHTASR PSNSPAPGVQNFVIPSSKKSAIVIKDPGSGAVKTFEKAPASPARATPSPVKLATPTAT PPPRSSSGADHARTDSKAAKTDEEKKQELKDAVRQKIEQDEAEARRKKEAAETEVTRQ KEEEEAARKKQEEETARKQKEEEEAAQKKAADEEAARKALEDLSLKDKAEEAKPAAEE SSKPADPTPAPADEDEIDYDAIERELAEIEAKEAAAEAAYYAKKQAEKEEKERKEKEE REAYEANMKKAEAEAEAIEEARMKKREAGEQDTSNKDLFASLKKGGWAATEAGEPAES GTATPASDASMGPPAKPASAGKREKPAALKLETNKAVEPPQPSAAMKSLHSARLIEDL SQVTYPDSIVSPNPALNASAPADRKFHYNKEFLLQFQSVFKEKPSVDWDARVRETVGD SDSSRPQSARTPMMGGRNTSRGGISQGFQMGNFGQAASRHSLPPGSTSEQRFALANAA RTASMNNPFGSFGRGPMPMGSPALSRTNSANPMMPGSPRPGSNRSGTRTGSKREKHQA KKEEEMAKSMPLTAGKEVAALQVSTTGWKPRSVGQAAQPSAAAPGAGYLAPDVVQRKV KAALNKMTPENFDRISGQILEIVSQSKDESDGRTLRQVIQLTFEKATDEAHWASIYAK FCKRMLESMSPEIKDENIRDKNGNVVVGGSLFRKYLLNRCQEEFERGWKVNLPPKPEG QTEEAAMLSDEYYKAAAAKRRGLGLVKFIGELYKLGMLTERIMHECLKKLVDYEGVPD EAEVESLTSLLRTIGASLDASEKGHTFMDVYFQRINNMVQIQGLPSRLKFMLMVSLTR SSCTIDAYLIHPSFYRTSSICVMPSGSPRTPTRALKLSNRSEKRYVYLSKPLIWFS AFLA_045340 MSSTPKSSLDSEERASNASEPSSQNSRISSTADSPRRKSIQFNF GGTEPQSQHRRSTSAVGGRRPQQQEAQDKEQKATGRGHSPPPPQTYERGVSFNTFDNP DAADFSLTLNYKHKGYQCTRRSRTFLCGTDQNDYSDFALEWLIDELVDDGDEIVCLRA VEKDSTIASDAAIEEGKYRQEAEKLFEQVIQKNSQDEKAISLVLELAVGKVQDIIQRM IRIYEPSVLIVGTRGRNLGGVQGLLPGSVSKYCLQQSPIPVIVVRPSTKREKKKKKRL ADPTRRNYNHILELSEQRGSKLFDASSSTDSHVSKLPDEEAAVAAALGLPRSYANSRS SLSMSERSSVSHDENDSPSPNVDSLGTSHSPAAGTPESTESESTTDDEPGPQIKDAPE GSSSETASTEEAKAVDATSTDESELINSNQSTPRPGSSKLNIPVIVTEDMTEKGTDGQ AFLA_045350 MSITQTYYLAHAARKKLTREASRADHDLRLLVGHANLLDTLMLE LADAEQEQERWFNQTVHGVTKGSPSEPRHIQWAESVVEDPEENWDAEDVSDSDLSDDS DLEEDDYEPAYTPVRRRAPSPVAIVREKEIEEDYDSDSDSDFEYDDAEDLEELALTRS PSRQTPPELSSDSDEESEEESMPPSPPQPTLDTFSEKQAEATELPLSGNGFEVGYYVS NHSQNTIIEAY AFLA_045360 MPRDTWKKDLKKPHRPCIGLLLYYTKERVESLGQVRWDRDLTYD VLAPINLEIGNINGKDYIKGVQRDTACTQLDSEVGVWQKIPQHGTLVWWFGNLGDKVA LYNDPVS AFLA_045370 MSPSSRDRLLPSIQALLHSCTRATILASEVQFEEDLSTSQDQTL AVLISLDLEIKRLLRQILQPIKLSIPLFTSALLGSTWALDLFERRLQLYRLSSYGRHL DAVSDDRSLQRLFESQNNDVQQELEAQIRYEGQQWDGSLDTPGYLDVQQEYPPSTYAT PPSSIIDGDIHDDLPAIPGPPLQAPNHLSKEQHYQAAAEHGREKERAKIYETLKTVWL PEREAPEKRWSHLVRAIKLDSVDGVNLLLDLGMDVNQRCENNLPLCLAAKHGRDRIVE TLLERGAEIEKRSDYGSTALLSAAGAGHVSTIALLLNRKANTEARSTSPFHMGYTPLM RAVKSGHMRAIQVLVEGRACVATQSDAGESLLHVALQDGRKEIIEEVFRLKPPIGIAD RNGNTELHVAATQGLVDASRRLVGQMRSLVRTANHKQEIPLHCAVIAGRRELVKLLLS EGASVEWPDKNGKTPLHLAVEAEYQEIVRLLLNANASPCTVDRDGKTPIHYAVDLSNK DIVQLLAENMPEDRNEETPLHYAVKSLNVEIVRVLLLHKAKANCKDRAGKVPLEYVME LPLSEEETAIHLIQEFLRSHEKGQSFTRKYGFPALSQAAREGRVPVLQTFCQHDPGLA NEIPPADSGFEPPLHEAIKMGHSKSTEMLCRLPDIDKNILDHEGNTPLHQAIRNRRAS PGLLAMLIRYGADKDRPHATTGLPPLHFAVQLQSLNEVKELLTAKADPEKRIEGEQCS CCKDDERHADMDSHCVLQAIPVQNRTHDYPLIKQALSQAILRSDRPRSVSAHGNTKRT KRSLYRIGPHIYIAA AFLA_045380 MSELPSIRWGIITTGLISSWFVEDLVTPQETPKVKHIVQAIGSS ALEKGQQFAAKYCPQSTPTIYASYEEVYNDPNVDVVYIGTPHSFHKRNCLDAIRAGKP ILCEKAFTLNAAEAREVFAAAAEKNVYVHEAMWLRHRPLVHELRRLLYEEKVIGDVFR TIVDFALDVDIPSLPPTSRYRDPALGAGSLLDLGVYALTWAMLTLDPKSPGASELPQV LATQTHLHGVEVTTGVLLRYLSSGRQGIVSSTTMMPGDPVHIARIQGTKGYIDVEGPA ASMPLSFTVYEKNAAEKGGKKFDFPRIGRGYIYEADNTALDVLAGRKQNELMPWSETL RVMEIMDEIRRQGGMRYPVDV AFLA_045390 MADTEKPDASDNKPHHHLNTDVEHSYGENQSAKEANDPNVVDFD GPDDPENPHELVDCEQNGGNCHRVDDDNALVNCLLSPSGALLRCSCLPLSFCCHCTPP LSIAIYACASLPSLSSSVASMASQSDVVQVNKNVMHRDKDVWGEDADEFKSERWFGLR PYWDFVPHSGGPRHYPAQLLVTTEANYVVARFCQRSKAVENRDVNGYVPIMRAGPVDS NGVKIAVTPV AFLA_045400 MDSSQIARSEEYYELGTHSRQITTVSPDAQLWFNRGLQWSYAFN HEEAVRCFEKATVYAPSCAMAWWGIAYALGPNYNKAWSRFDRLDLEQTIPKAKAALSR AIQLARKADPVERALCDALSTRFPSLEIPSDLTPLDHAYANAMRSVYESNRDDLDVVA LFAEALVCTRARDLWDLDTGKPTSNCTTEARAVLESAMAKDSGKNHPAFCHMYIHLME MSPFPELALSAADTLRHLVPDGSHLMHMATHIDIACGDYCRAMHSNHDAMLADNRYFS NNESSSVFYIMYRAHNVYAKLYAAIMLGYQAEALSAAKHLWEILTPEVLSVSSPPMAD WAESYLGALAHVLVRFGRWDDILQLELPSDRELFCSTIAMLLYARGIALGVLDRIDEA ETALAQFEVARAAVPATRLNSLPSKEVDVLCIASAMLKGELEYRKGNYDLAFSNLRKA VQLEDSLPYTDTPPWMQPVRHALGALLLEQNNIAEAEVVYREDLGFSDTLPRRRARLN NVWGLHGLYECFVRSGKTSEALLIRTQRDAALLKADVPITASCYCRLSTRSDEDSCCR ISG AFLA_045410 MYLVYTSRSHPSATVLGAAIQSILMAGYHHPLPPQDSSTALHEK ILLYHAFILDQDLAMYHSKPPSLTSDLISCLPEEDPEDGRNTLRFDDGSTINWLREQV ILANIQNKVYDKLRSPRASAQSPEQLYVAVMELDEELQSWRQNIPDMARPQTPLTGLD DMRLMPLTVLHFCYFQLLISIHSVVFSKAVPLWNHYEESDLVISSVALCVSAARASIS LLNYHDQGHPFTIYLVYHIAWNVDILLISILENKTAPQAREDLDLLGTVIRFFEKHDP NCESAVPYHITRLYYQVALRAVNNATAASQNAPQESPAGPLATAKNNEDSSSFPYSNH GDSMDTGTATPVSGLNGSPNPLLYSWGMQLSFLPELWQDPHLAMLDDGLAEHSPDV AFLA_045420 MLTLESETYDPTEPFWKVVKINQALHLTKAKTLSAAEQLVETER DSAIDKLGRELKNHVLDSAHYEALQDYVMPVTVETTTDELPNITQEDVAELRQQENWL DNDIFQPDNHEQACESERAR AFLA_045430 MRFSITAVAIMAGLSASGSAFVMDTYSDTNCGDSVQSGVNTWDN TCATWPKGFKSFKITTWGGNRQLAYFFAPDNCGSLPGAIRHGYVDSTTKDFKLGQCYH FDGASANAIASYWN AFLA_045440 MPTAHTVTVHPSQYTPGARAFKVSTSDAPLKDLNAESRHVLIIG GGVSGLLVAWMLLDKGIRVTILAKEWARTWDFGEPRITSQIAGALWEMPPGGCGLTEI ESLGAGWATVDHYREWAMQSYNFYMKYAEVSNEHEKGGHSFGLSVAKLHQFFYEDVIT SCNTRLPRSEHYEKYAAVNTRIGDVEVYPDRDAIAQRFNKSFINLSYGGKEFQSGYTH KAPIINTDKALAYLMALIQRKGATLETREVKDLRQTGQRLLIDYKADAIVNATGLGAR DLIRDDDVYPVRGAIRRVENTRHSKFRHLNDAYLVPAQIGPGGLPSKTVFIVPRNDDI LYVGSIIQPHNDNMNLTPESPEVQQMWDRAGEFMPSLNHAGFVNHFPFTQGLRPFTKK NVKVRADEDCGFPLVHNYGHGGSGWTLGVGTAQCAVHIVETLIYDQDKAGLLHVVGLQ TDWSGSKKEKFSNAIRGTLGASEKAELLEKIRASSFPQPYKNRLTNVVKSWSAELIKA SAKVGNQTLTKVGDRLIDDKTGLLEVVGLSDWSDEEKDKFRNTINGTLGPSDKNELLA KIQVTTSLRSDKVRLTEVVKLWSAELVKASAKATNGAIYPIGDTVYKARF AFLA_045450 MPPYPEPQADSSPLRLHHHYFYIIIWALVASIILYIPGGLSFVD ALLLASGAATQTGLNPIDLKDLHISQQITLWLVPMVTNVVFLHTLLVLIRIYWFRKRF KSAIREAKAVCHSQRQRMNQALDYEARRPIGLNRTITDTTDTTTTAFDSSDRDDEEQP LLGSTDDEISPGASPKTQVGDQSPVGYQTFKPAAIGPSSPRITFYESDRDYEARQKRR SSFSRRRSFSEAVNEAFPRTDSPNTPVLPSLMWQHSIASYSDWDEDQKEELGGIEYRA LKTLMVILVGYFLAFHLLGIILFIPWIMMDSKYGGMVKDMGLNRPWWAVFTAGSAFHD LGYTLSPDSMASFRNAAFPLLVMTFLVVIGNTGFPCMLRLIIWLISKFTTYGSPLDDE VHYLLEHPRRCFTMLFPGSETWRLAGVLLLLNALDLFVFYTLQEVSSTFNPFSPGLRL VDGLFQIASTRTAGFSITSLGTLHPAVQVSFVVMMYISAFPIAIAIRKTNVYEEKSLG IYDDEDKPNPHGLAAHIQRQLGFDLWYVMLGFFLISVTEGKRIQQTHGRDFAFSLFPL LFEIVSAYGTVGLSLGYPKTETSLSAQFNPMSKLIIIAMQVRGRHRGLPHALDHAILL PCDFIDRIIDCTPLLIATFQGHEHVARLLLDNGADIECKDEEDYTPLIWAAIQGHKGL VSLLLERGADMNCEDEDSNTPLIHAAKNGDKVVVKLLLEKGPPDLERKNWHRMTALAC ADANEHQDVVSLLLEYGAKREQLPPPDLSVILSYYSASYTNEDDENV AFLA_045460 MRALPKQLSQLLQAFQSTVLIHTAKYPFHQGSLQSPWRSHWLVS SSYLRIEKHGPFENTLTVTFAQEHGQTSDDTLTKTTQKARRVKPT AFLA_045470 MVGQSHNKAVSKSIPARYTGIVGTRVNIAKILLCTSQVVDTDIV RKYGLRTQNDVTPSRGIVVIADEGGQCLETEAWIPVAALSRAHDIKGIIRFGDRFQLG PVVMNSEDEPFNDFASQISRSLFDRILRSTETKVSMNIQQRMRPELWEFPNRYTYEGK RRNATSTHGIAIPPTFLNGLKEWILMHYPEQDMNRVNCHLLGISINGTMARDERTMSR YNVANVTTVMTLIERILPVDGYTFTLLVPYLGQKSLYIEALQRLSQRTGVAFERLPKV LTIDAAQGHEAGVVLVDWTVTNSERRSDLGFLQEKPSG AFLA_045480 MIKQGLDAPGRDPFLLHYAGHGVERDGKPIFTSKNGRNLSAMFL LALVEGDSDVLLPDSEIDIVFIFDSCFSHVATRNMVNTERIVEVLAATSPNSPFANAA QGRASFTGKLWNEIMYRKVQGHKSVELAVLMVTLITKSPQVILSYELLVGVHSLWLEL PGGSVSTAVPPLVVEPQYFAVFSMLPNLYPHLHLPH AFLA_045490 MSTPKSDTCSPHQALARGMGFKNHHERLWWATFGPLLEKLLALC NYPVSLQYQHLSFIYHHLLPYLGPYPTVENGFAWKTAYSPDGTPAEVSINFDGPKKTV RMDHVPISQWSGTPKDPFCQNVALELTKSLAGTLPDFTWDWFNHFVQTMFIPEPATDV VLAREPPNFRRMAMQSVNGCDLLTTGVRVKPVFNALWKSIETGIPHDKLLFDSIRNNT ELFGAYLPALQVIEDYCQSDRAKEFQTRGCFLSFDATSIKDARLKVYLHGPQTAYMKV EDAFTLGGRLSNPNIQTGVKELRKLWYAVLNLPSDFPESEDLPATDDLYQGWLVNYEL RPNNPVPEPKVYIPVAINNKDQDSIVQGLQEFFDRHESMDVRDYRDIFETLFLDAKNP TGIHHFITFSYKAHPYVTCYYKPHLEPVPVKELEESDVKGLSK AFLA_045500 MYRLLERTLDRFTGLVEYQPTYLFAAPTWVYLVGAILIQQLATR WYRYYKSWVNVPVVGGHGIIGSWIAAFRWTARARSLVNEGYQKYGDFAFQVSTPTRWE VFICNDEMVREYRNFTDERFSANAVTAELFEAKYTVPGVAEGVHKVPVPIVAKALTWQ RTRAATKTDPYFEEFVKELQHAFDAETKFENEDWNDLCCFATGTRIVAHLTAKSLVGY PLSRDTELIDLFAEYGNAVPTSGFFIAMFPQILKPFAAKFCSAPKISARLDRIVMDEL RKREANPRSEPQDITDWITFWSRTYPGTYTDQDIARSVVSAVFGAIHTTTQVLVHCLM DLAIRPEYIHPLREEVETILNRDDQQWTKEGLESMEKLDSFVKECQRFNPLDAVRSDQ ALSFLLGSLARRATKDFTFSNGLHITEGTFVFTPNSPVLFDEKHYPDAQQFDGYRFYR LGRVTGRPLEYKFIAANPKYLQFGDGRHICAYSRELRHQTERRW AFLA_045510 MDGFGSSQAPAAYREVEWIADVFVIGMGIGWVINYVGMVYGSLK GRTYGMAIMPLCCNIAWEIVYGLIYPSKTLYEQGVFLSGLTINLGVIYTAIKFGPKEW THAPLVMHNLPLIFMLGILGFLTGHLALAAEIGPALAYNWGAAFCQLLLSVGGLCQLI SRGSTRGASYTLWLSRFLGSFSVVISAWLRYKYWPQAFSWLGKPLILWCLFAWLVVDG SYGVCFYYVKRYERRIGHDSDRKTV AFLA_045520 MDSVLRYVFLLLAMTSFYMMYISLFNNGFFNLLSHQLATRALPG ESDIALLSEYTGLKAFDGILESIVIFFWPISQGHHVGLSLTGLSFSGGMVGIWMIVVV HIYRTRSFMRGMAMYCTAGSWSRNCDTMLLCPNL AFLA_045530 MAWLSAFVASKIQSLTQSSNLWYLCPYGVAFPLLNQPAQRLGAL EAGLFAFLQWDYCVAAAATMVWSTDRYIQECHRAELEIDKFRLILRLLGWILIDGPSA TAVRLIWESEGPSYLQNTNRGVKSKTT AFLA_045540 MDKLTATLAKINYPSEVENGSMLLVVTLVILFLWFIIPSPVKRS NVSVPTVTLFNPYLPEFLSRVWFNSTAATVIYKGYRQHKDRAFRLLKPDGDIIVLSNK YVEELRQLPLTTLNALEAVFEDHVGKYTTILNDSHLHTEVIQKRLTPAISRLIPRIID ELDHGFAVEMPECEDKWALIRPYEVFLRLVARAGARVFVGPEICRTEKWLTASIDFTK NIFMTITLLRPIPSFLHPIIGPMLPSSRSLDTQLRYVQDELLGPEVVKRRQRQASADP DYEKPDDFLQWMIDLAQNDKEGDPGNIAHRLLGLTSMAVVHTSAMSITHGLYDLITMP QWLEPLRQEIQEVMPDWKSSSYSSLVSLRRLDSFLKESQRFNPPGELSFHRVVKKDLV LSDGLRLPKGTHICMASGPIGMDTKYVSDPTTFDAFRYVDGDKAQSQFVHTSATSMHF GLGRYACPGRFFATFVLKAILSRFLVEYEFRFGPDQVGRPKNMLLGDKIVPNTSVDVY VRKRTGSRSTA AFLA_045550 MVEISFPPMVLSLLGSNVPSPPDALRSVPTNPQVTGQHSPRKAS NLIEPRSRQAAKAEVVVKRPQHWQATLVRVAVQGGISSVIGPPLMPRGAKYPNPNLDA GGSE AFLA_045560 MCNHDVVARLKEVIILARFRNSPLLFFLVFPVYRGAPGNMGTTN EPAEPIPTGILATAKQAWGDLFKWKQRVVVTNEYGETRTEWQEPDPIVNPISLFAQLG ARDWLFFLVGLTAWTADAFDFHALSIQQVKLAKYYNRSKTEISTAITLTLLLRSVGAA FFGLAGDKFGRKWPMVLNMIVLGVLQIATIYSHTFQQFLAVRSLFGLFMGGVYGNAIA MALEHCPVNARGLMSGILQQGYSLGYVFAACANLGVGGATDSWKTVFWAAAGISIGVG IIRIFFPESKQFLEAKKAGKKSMSAGAFWKETKQMLGQEWKMCVYAIILMTWFNYYSH TSQDSYTTFMLTQKGMENAGASRASILMKTGACVGGTIIGYLSQFFGRRRAIIVSALI SGILIPAWILPEGERALSATGFFMQFFVQGAWGVIPIHLNELSPPAFRSSFPGITYQV GNMISSPSAQIVNAVAEKTFITGSTGKPAPAYGPTMGVATAIIATGIMVTTAFGPEKR GRRFETAVAGVEQSEPQKVLDEEKGDMTEQKATEEKVEKVEKI AFLA_045570 MILLSYLLTYLLCALTCSARAIHNGRSLIPRAGSLEQVTDFGDN PSNVKMYIYVPTNLASNPGIIVAIHYCTGTAQAYYQGSPYAQLAETHGFIVIYPESPY EGTCWDVSSQATLTHNGGGNSNSIANMVTWTTKQYNADSSKVFVTGTSSGAMMTNVMA ATYPDLFAAGIAYAGVPAGCFLSTADQPDAWNSTCAQGQSITTPEHWASIAEAMYPDY SGSRPKMQIYHGNVDTTLYPQNYEETCKQWAGVFGYNYDAPESTESNTPEANWSRTTW GPNLQGILAGGVGHNIQIHGDEDMKWFGFTN AFLA_045580 MRRCHMYEAVRVHLDGLTVSVTDNLYAALLHLRDHQLSRMLGVD AVCINQQDNQEKGYQIRLMPTIYCKASYVIVWLGKAADHSDRALDTIRLAAKNTSSGC ESTTPWGKETNTTAVLMLLQRPWFRRVWVLQEISAAQSILVMCGYFKINGITFVLGLT GLNLSYKGDAYSGLENIIRSITYLMRGAISRPGYVTQPHGMLSLGELIDMYHTWGATK KHDKIYALLGMSFDDSIKAALLPNYQLPWNILFERVITSILPGIHSLETWPDREIAVI QGRGYILGRIDSVDSDSSRYDRQWAHGNRIMGFLFKKVGSNLPVSERVIRMVAGNIAW GSNIMGQLIKLLDHRDNNVLISEDVVKVAAGNTQFDGDVMRQLLQLSFERGHHLPISE DVVKIAAGNTVCGIDILLLLADLVHEKDDNLPISEDVVKVSAGNTGCGGLIMVLLLRL SDRMSDNPSHILEHTLKIAASNTGCGSNIIAQILPLVDH AFLA_045590 MTGGPSYRHIRSNGNAITKVHREAQDELQIVDRLLEPQTIVHFL ECCNGAPLPNGKQSTLPRLTSEEIHSLSTSPGNDPNSEPILQRVLKRIGSTEDSDRLC LVGKNIHSAKSRAWEGIIPLSEQRWREKGLDHFANFQVACQYLSSVLAVFEYLNKPRV AQNLRDTFNLIYGHWEEYDAVLNEQHRQLDQEPVSVAKRWTEFIKAHYEMMTERAHRW VISHVNTLRAPLLEGLLSHRPMNEDVVDRVQWKMTDALHVLMEISAVADYTIMIPMHG YKGYTSPPIETGIPPGLRSPDYEKRHKEYHQRLKLVSRMTMFQNIGTASRGVREGMGS PESLHRTALQQIESQNKVRREARGEPIEPVPREPWISNSLARLESSEDPVGTFGLAIY RLTYQQNETEWAEFLQKFETHAADWGRGQTGSNAIKPSLKLHWLDGKALGIPEGDLDA AKKHFNDHAISSFEDRDHVNDSTFLVIDDDCVASYMGDSYSAATEFIPSGDHSGFALA VDAHYDPKEGIERADESPGYYGQMRILGNLIWGELYAMLSSQSALLEDLWPLAINHPN GVYTGPTVPLQVKCWKVQNRMRSTLIHKVVEYAEAKLNGTASTQQPPPVPPSGIARTE ADATLRAYLLREFARDLRRQGNVQHAVLAEEAINLQPGEEPDWERIRQRLDAEPEAEN ESESNMRDRRDPGDQCAPQ AFLA_045600 MAQEHHLDALVVGAGFGGIYTLYSLVKEGLNVKAIDTAGDVGGT WYWNRYPGALSDTWSHLYRFLFDQEFLQTYPWKRWYLTQPEIMQYLRDVVERYHLRKH MQFNTKMQRAEWNDETKIWEVQCETGDVFHVRYLFTALGLLVKASYPDIPGMDTFKGE MNHTSAWNPDVELENKRVGVIGVGSSGVQVVTAIADKVKSLHVFVRRPQYTVPSGNRD VTPKERALVNKNYPALIADARTSVFAMGTPEPKRTFMSLSPEDREELLEQQWNIGNGF QFMFGGFSDIATNEVANEEVCRFLRKKIASIVKDPQKRDVLTPKELYGRRPLCDAGFY EKFNKENVFAVDIKKSPITEVTPSGICTADGTTHELDVIIFATGFDAVDGTYAMVDIR GRDGKNLYDMWKPSGPSTYVGMSVHGFPNLLLVNGPHMAFANIPTSGETNTEFIMDLV RRAEKISKQTGRQCEIEALEEAERAWTARSRSSIAGTMFEKVPSWILGNNVTGKAEGV AFYFGGLGTFRAYLAEIKANGFEGFKSPLGRSSRETRL AFLA_045610 MIASALFYLGLVASIALSSPINKTESRPTATIDAGVVAGTTTSV SSSTVTVNQFLGIPFGAPPVRFSPPQPPAPWSSVYDASKYKPDCIQQFNYPEAARNRS IAVFNTPPPRGGEESEDCLHLNVFAPESAAEGSKAVLFWIYGGSFSIGASSLPIYDGT SLAANQDVVVVTSNYRTNVFGFPGSPDLPTSEWNLGTNNSISLLDQRLALNWVRRNIA VFGGDPKKITIVGESAGSMSVDALIKNPPDPVPFHAAIMESGVAAMMAVSAGSDWKAL VNATKCQNTNELECVRAIPAKRLKDIIERNMLTFSPIPDGITWLKNSHQSRLNSTHDS SEIARVPLLIGSNADEGQTFVAGQNATVARALLSAILGNNSTLLQAVLKEYPLGTPGI KTERDRVARILTELAFQCPSQRVASDSASAGIDTWLYYFNATFPNANPLFGSGAFHSA EVDLVFGTYKQDGATKAQKDLSQLMQKTWADFAKKPSAGPGWETVPQVGVFGDGPKTE GDRVTQGLFQTVDAEEIDWRCQLYEALYEALNAGKK AFLA_045620 MEDEFEADEAVFKREWFLNGIGRDASFDRLLVQIMAEIYSDKLA TVNFRRLENGRQIVHSMANGDAVRISEETIPEEPEEEPAFEDVVPEVEPSHGYDGSTE DEGTGEYSGRRQQPESEPVSEDWPLTPSPPPSASSPHPTDETIAVDDQPSFPKPEPNS HTEPDEQPKDDGWGAWAPLRKRGKNKKKNKKLKIVEPEAESRAEPAPAERWPEVRHAT SDESYGFGPPTPLSPVYEEEAPLPLRPASASLLEGPSY AFLA_045630 MMLFEQRKKKVLELAEQTNDALKTIQEVGQKLNVTSDTVKKVIE TIKIAANSFGDKAVYASAFTGTVGAVGIAANMIATYQGVGELRAIAGHLKSMSETQRA ELALAGGAAFAENIYVMLKSKIEKSDPELDWFFVYHPDTDWTYHFEEKLRTKGLLGRN FIGIVHDLDALVAFMSSVRDVYGTRHPRRRPPFFHLVIPAYEPIVIPTPLLIPEKLHP FRIEGDIHRGTYLVWMNLPGVDEKAVQNIGVFQPPRSIWDNIMVQVGLVQTPPPRFLG ASAQKIEQPQVPQLPEPTPVAAITAPEPEKGEITSLKASRGSMRRAQTYQDSEAGSTN SSRSHSHRRRRRHRSNSVSYIFT AFLA_045640 MASTAFPSLAKKVQLSDGTTYGYVAVPPSTPDDVSFLLLHGYPS SSYDWRHQIAGLQEAGYGVIAPDLLVYGDTDKPRNLKAYRNKTMSTHIVEILDREGID KVVLVGHDWGVGLASRLATYHRSRFYGLVTIAVAYIEPGTIWDIDAICETTKSIIGYE TFGYWKWHNTDEAAQDCNNHVRNPTNTFTRIPTNDT AFLA_045650 MFNLGTAIVTALSLLMAGVANGAPAEAASMADINPVTLYQDMEY NGNSQELREAGVCYPFPENTPW AFLA_045660 MSVLRAVTAFFIWLGLVQAKLVRETLEFTWGVGSPDGVPRQMIL TNGKYPGPDLVFDEDDDVEIHVINHMPFNTTVHWHGQSMESAPWSDGVPGLSQAPIQP NSSFVYKFKASPAGTFWYHSHFKNVMQDGQVGALYIRHKPDTPRPYSMIAQDATEVAQ MQHAEANSNLVLITDWTHFTAKEYFQAEIDSGLNLFCVDSILVNGKGSVYCPGAEYMQ SLIGPQIALVLEGTNLTDRGCLVPSLHNVQGSWPNQKPDAVPSSMHNNCTPSDGGVPI IEVDAKDGWASLNFIGAQAQKGTTFSVDNHPMWIYEVDGQFVEPRQYEMVGMYNGARY SALVKLNQTPGDYAIRITDNGGDQVISGYAILSYRAANTTENGTRPQAQIGPTTKGYI DYAGQNTSASVRHLNYTTNLPAFNVPLPPAFADLTLKTNMTRVNSSYQWSIGNGVLYE PEVTADTPLMFEKQPLDVIPSNFTLQTLNNTWVDIIIQIISDPEMDPIHPPHPIHKHG NRAYIIGDGMGVFNWSTVYEGMLERPDLFYLNKPALRDTFVTNTLTAALDGGVWIAIR YHVSGPFPSLLHCHITTHQEGGMALALLDGIDVWSELPTAAEVVRLQNADGPVG AFLA_045670 MASKTAFLVLDLQKGVTGQILDDSTPERESYIDRLASVVKAARE KSIQIIHVKTAFRRDFPDLHPRNPSAQRVIPTGKYTEGDESVELHPAVAPHENDIVTT KRRVSAFVGSDLDVVLRSSRIENLVVVGLITSGAVLSTVRQAADLDYGLTVLEDLCLD RDQEVHDVLMKKVIAKQADVVGSEEWLARL AFLA_045680 MTTNPPPGAEVLLPPAQPPAPQPLVGRTITLHPIQESYTQGLWN IVGGTTDPKKTAVWTYLPEGPYPEDTYTDFKTSIQKKTTSKDPFFYTIFDNRTQKPQG WITLMSIVPEHLRVEIGHVLFAPELQRTTGATEAVYLLLRYAFEELGYRRVEWKCNAL NEGSKRAARRLGFVFEGVFREHMVVKGRNRDTAWFSLLKGEWERGVKGGLEGWLHPGN FDESGKQRRGLEDIREGVLGSL AFLA_045690 MKVTRLAVLNTLATLTVAWLPTTDKTITSSNGTDLFKASHGKIR GVNLGSQFVFEPWIATKAWSELGCEGQESEFDCVMKLGQDAANKAFAKHWDSWITKED IKEIRSYGLNTIRIPVGYWMNEDLIYHDSEYFPHGGFAYLEKLCGWASDAGLYIIIDL HGAPGAQVAKNAFTGQFADTPGFYVDFQYQRALEFLEWMTIKVHTLHNFRNVGMLEVV NEPVQNPQVTTTLRSNYYPNAFHSIRKVEGALSIDRKDYLHIQMMDGAWGAGDPHEHL TDDYYAAYDNHRYLKWDPRVEVSKDSYIKTSCNDNVATNWPAIIGEWSLGVPDNVQET ADWKPYSNLDFYQKWFAAQVQNYEQHQGWIFWTWKTQLDEYRWSYRGTYLSGFWQTSS AFLA_045700 MAFQNSHFSLAYDRPSITMVSQPEIPYDRKSMPGHRSYFESLCR IISVVLEMLRGLMLSHHSHLRYHEIREYKQRIERILADTTPHLRYRERCVTLAEHIER TELRLHSSYYISVMCRVSLDPDAPLDDQRRAVVREDCITNLMNTIEAFIELHSLHSHC SRSWVSLQRTIASAFLLVANNNDHIHPRTWELIEKLEAVIAEHVTGDGNVNHNTRTDS ARHLASSLRALREVSAAFYSRKKKKKKQASALAPEAISPKTVLTSPASVAATASSPYA ARYSVSSSEDGHIDNILNQVSDVMLFPTLNMGTS AFLA_045710 MPTYPIRRRPRECKTCLPCRASKVRCDRNVPCGNCVKRNFTCSY GHPTFSAAASTVSTPQFPSGSAYISSSRDDAPISSYSAEPTSDDALSETVTISQFEWD EVNSKMRAMESILASLNSLFQTHAARKSIDPRSEPSVTEDGKSPAPQGIYNENTMKTG SIHIGAKSALIDILDRSKGSDGTAAALPREDLLAELAMENEAASYPFVDLWSSDPYTF NIAGVCGVLPDDEQCRRWVERALSPWLDSFL AFLA_045720 MMRVISQSVVPRDITDEFTNAASRLRTGQLVKDEYFTLFEAVGA LEIMDSKMDSGYLGPGQTDVQALEDDYDTTRELAPEQVIGIMDELLCHEMAWHMGHPL SQTLFTSLYLDKLLWPIPKTLEEAHFCRPGSARNKEEPGMVHVVLRAYCLALIKCCDF VHARVINEYFYEEEDFVTQLYNRSLLSQFDQEYFRNLLDRAISWVDEQGDSVDGKLKE AIKCRLVFRRDFLLSLDQDLDIMQSRSASHFSSCLSQLDPITESVSLGRPVPEAFSWK IQRKLASTVPPRPMVKISFEDALAHLKRLCQDAIDLLEVLDYSGPHNLKVAVWTLLSR KPQPSVYIRSLVQSMIMSNTMVLGAVPVKKFLYDELAEIVLPSSTLLQANTDETEMPT DPRFQIASHMDAFVKRFAQPFVDIFRSACLNRCRIRRTVCHTIVDWDNLQMEAEDLDE QLRTLNNEPPLMLQNGDATYSYPLSSWAYHQKLNQFRLIIQLGFELSIYSPEELPGMY WYLSHICSTHLGHIDRIRTFTVAAAKRNLTALAGKKRDAVERHATLQNTLRLLERLTT QIVAVDAFAISLHALYVLLARHEVLPTAAAAQAYSSERLRYELRMKPFIPITLPELVP FDEYRREAILEGDSDEAVLERATKAISEARKAWEATLANGAFIRDPQGQTNQTLAIEE DWKKDVKNTMRACIGASIAIETVKKALAARRASTNAVNLQVSIPEMGSKARWHDWWVV PQVSPTPSGSQT AFLA_045730 MAYWKAAGLTYNRYLAVAARAVRRSLKETPRLAAERRGQMDLRF AKWENGKQGEVRSLGEANQEAAVAHAEK AFLA_045740 MSSSKRALNRPKKRTLVRWDDNLNELLLLTVQSVCNNQSIKIPW SEVASTMKNNVTEGAIVQHLAKLRTRRVDAGKEVPPPLRRGGVGSSNKSSGNVPTRTA SGAKRNLRAPLSAGSEEDEGLEMNFHDDTSSDEDYIDKGRRRSRPKKQLHKPQPREVI PIKSEDEDMDGSNDGFGELLVPGAEFLQYPNEQEPHSEPTSSPVSDNATSKLVTLRYR QPVGNMFSGFPSTYAQSVAAAPSAYDNTPYQAPYQQLLEPNLNMENQYMLGYNPIAGM SAVVPEDAVTNLTSLGENQDFHNTPYAGYQHPAYYHSTDDSVGGVLYGDNYQFLHGNY IEPNEDLIMETQDNLVTKIE AFLA_045750 MQRALSSRTSVLSAASKRAPFYRSSGFNLQQQRFAHKELKFGVE ARAQLLKGVDTLAKAVTSTLGPKGRNVLIDTPYGSPKITKDGVTVAKAVQLQDKFENL GARLLQDVASKTNELAGDGTTTATCLARAIFSETVKNVAAGCNPMDLRRGIQAAVEAA VDYLQQNKRDITTGEEIAQVATISANGDTHVGKLISTAMERVGKEGVITVKEGKTLED ELEVTEGMRFDRGYTSPYFITDTKSQKVEFEKPLILLSEKKISAVQDIIPALEASTTL RRPLVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLGVLTNGTVF TDELDIKLEKLTPDMLGSTGSITITKEDTIILNGEGTKDSIAQRCEQIRGVMADPTTS EYEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRVVDALNATRAAVEEGILPGGG TALLKAAANGLDNVKPANFDQQLGVSIIKNAITRPARQIVENAGLEGSVIVGKLTEEH AKDFNRGFDSSKGEYVDMISKGIVDPLKVVRTALVDASGVASLLGTTEVAIVDAPEEK APAAPGGMGGMGGMGGMGGGMF AFLA_045760 MAISSDTNSEGSIQLENEVDVVAVPAIGADPQRAWIGDDFQRPW LISELTRYIPNVRVLLLDHGPLDRQDDLDSLAHHLLKQIHAERQHTSGRRPILFICHG TGGLVAKAALAIAAHSTSNLASILTSCYGIAFMATPHQGSSYLSAPEYAKCIRRLMQL KYHVPHSIREVLKPRHPRLLQLSNQFRSISADIKIWTFLETVDSTLTVADSGTVSTVE MHVPITSIRSGVLDLEHEKVIPLATDHVGVASFKGQELTTRISFIKELQPIVAMAVQL SKLPDAPLRVSREVMVQVNGFFEDTARGVSDETPLKLWSTKTPLREYLKEGPAICLTD RLKQTGRISSGSIDDSSISDFDSRPSSAAMADTSFAMRDGVVAEASAIQSETVSSRPS IRRTRSFVAAASPRIHVTEAAADSYFNVPQEEATSDIQSDTVSSEDPGHRDSVADDRK ETTAGSSSPSNEGQPNVLASLSSKYRNFLPLPPPTRERLQEVRAELPRRAPRFDRPEP GSEKLLWIHVPYTHTGWVPPVLSKACNDQQRPEFFKQFINDKNWYSQIIRARHLEPHA RFVRPTCIHSRLSDSLPISPPGEPRDPQLALYLPYLHWDTYWNLLQRRKVIEKRLQQG RSKPVPEYISESHVETRLIWKFLGAEPPIHIRRTLDQYGYPNLRSTIARDDDQMLWKR TRKVVDLSHELGSSIPLQDNPDSSKTFVDGKVLMVDQLWLWIVDEKTVVTFFPKQEAT TAEDKLYEQANLHNSIYNELNGDLARRFETAGDLAALIVLHAVTILLDRTLDHDLQIL RIFEESISILTESTTKSFKRFRTRGFIARPADYNRTLEGKTMTASERDERDRRVANQN REDLSTLLELRDIIDELGTILKLLEQQTATVKIMAQYFEDKGYGKVFIESALSRLEDY RTQVTDMRENAHLAQKAVENLLDLKQKQANVDESRITRWQAEVAQNQSQSVMVFTIFT VIFLPLSFFTSLFGVNVREWSGEETNPDWAYMLAISGPTSAAIILIALSMAFSERLRD SVVKAHIIGVGIITDFFLLPVKGVFKLGAVASPAKPAPGQSESTGRLDRYLGNRRYNK QFEDDIWKRHEDRVISPLPAVHVSDMEGMMGFDLSEKGGRWQQSVNGARLDTAKAILL PVLL AFLA_045770 MSDYRSDITRGDRYRSDLLTTTGLEGNTAESWPWIEGKAMTATS GTGNAPAPDASGNNEHVSIIQKMVSATCGSVLTNLLVTPLDVVRVRLQSQSAIKNTSP FNHHTAQTLKNMPPNLGVTACCREVFWIGQNTQVCMVGPGAGAIGSPSPVIADCAVEE VQRKTFTSTLDGLRKIARNEGVWTLWRGLSPTMMMSIPANIIYFAGYDWLRTDDRSPL KRLLPDAYVAFISGSVARVAAASAISPIEMFRTRLQATPGTGAGHFRATLEGLHHMTK TQGYGSLWRGLTLTMWRDVPFSGLYWWGYEEVKKHLIEARHKAHDRLFPTGPPSVRHQ HEDDMHTPTFLESFIAGAASGSVAAFVTTPFDVGKTRQQVFRHMGDEKSSVPRGSLHP EQLSLPRFLMHIFREEGTAGLFRGWVARCLKVAPACAIMISTYEVGKKMARGVNERRH PVEEHSDSV AFLA_045780 MEDNAELESFRRQWREEVTRRTKQAKPSTPRPISTTGPSSIVRP SQFPPTRHEASLRKEDDEEGGTPFGSSEIIQGVSNLSIANDEDVFHSHGTRKEPKSAL EHFERAVERESEGKLGDSLHHYRKAYKLDSAVDKTYRDKHFARAWKKPAQAPTANIPS KDQQNQGENEILPTPELIASFAHLPIARPEPLFEGDPAPPCPIADVPSEVIVEILKHV ALMDPAAFSRVSLVCKRFAWHFAHEQHIWKRLCQGPEFGFKSMHYAFDCDLHGHPEHT LSPSSPYTPFPSGTSVQVPKPLTSWSDVFRMFPRIRFTGIYISTVNYTRAGATSFYQN ITWNSPIHIVTYYRYLRFYPDGTVIALLTTVEPQELVPHISIENVLEARASHKHHRRQ HLDAGKTVAGATEPIPAVAMGALKDGRRGRWRLADPFPTSETGARAETGLPTVHGGKD LSADAFDPRDVIIETESVSKSSINVLHLSLRSAAAHKSSNPPKNTKLIWKGYWSYNRL TDDWAEYGLRNDRVFVFRRVRGWGMK AFLA_045790 MAPKSKKNADSINSRLALVMKSGKVTLGYKSTIKTLRSGKAKLV IIAANTPPLRKSELEYYAMLAKAPVHHFSGNNIELGTACGKLFRTSTMAVLDAGDSDI LTSQ AFLA_045800 MSTTPRKPGTPGGSTKSSPAENHTVNGTPSRSHTRSPSTTTNGI SRSPSLRGSGPVSARAAARKPGRSNLSTSSVPKVNRDPSEEEARAQNAALIEELREQL QKAETASEQYQKQLGVLQMRLDEAVSEQGKLEDQAHERDSRIEALNGEIRDHVRQIRD LEQAHELERNAMLQEKEQQASREEEMQATIQRLKDSLAQRERINSDADKNVSRSSSFR NRSSPDVDGQFAPSSQIERSPSRSNSTLLLQKDKLIESLRLELAESQIKLVEMENKGG GRQRELEKELLEARMANARLMEDNESYQLLLSEKTLNGDFTKGDFMREAHPEAEETKE SGSGLGSLADELESVDARADNDNNDNNRKLEAEIKALKDQNKALTLYIERIISRLLQH DGFETILDKNENDPPKRASTDKELPPTPSDKEDAQSFLQRARSVVAGPTQRPQRSRPS SMMPPPPTSAVSVPATPHENPETAPSIPMNRVQARGHRRTRSEQTDVAAAAVVGQIYR GRNSGSPMSPTLMGPGSRTSVLSGASYMSGMSGSNRAPSLSSQHDRSQMSSSNSVTSE PPGDTASTGATSSSPRSSNGMTNYTGAVMTQSKLRPLRLVSETKAADDEEARKKANRG SWIPWFNRPNTGDSA AFLA_045810 MPGSMSLFSVNAVLLMSADDGSRIFAKYYSPPHPPAGAAPNSTD YPGANPYPTVKEQKAFEQGLLEKTNKQTSDVILYDNRIVVFKMESDVMLYVVGSADEN EVLLYNVVLSLRDALGILFKGATDKRTIVENYDLVALAIDEIIDDGIILETDPVLISS RVSRAPQADAPNLKSIDLSEQGLLNAWELGKRRLAEGLRQM AFLA_045820 MKQRFSSLDVKVISQELASEIVNLRVSNIYDLSSVCRIFLFKLA KPDHRKQLIVDSGFRCHVTQYSRATASMPSPFVTRMRKFLRSRRITSVKQIGTDRIID ISFSDGMYHMFLEFFAGGNIIITDREHNILALYRQVSVSEGEEARVGIQYTVTNKQNY HGIPEITLDRIRETLEKAKALFAREDGAPKKSKKKNADVLRKALSQGFPEYPPLLLDH AFVTKEVDPTTPLDKVLQDESLLQEVNGVLQEAQNENTRLSTQESHPGYIVAKDDNRS VSQSANENEKPSETGNLLYEDFHPFKPRQFEGKPGISILEFPSLNATVDEYFSSIETQ KLESRLTEREEAAKRKLEAVRQEHEKKIGALKEQQELHIRKASAIEDNVYRVQEAMDA VNGLIAQGMDWVEIARLIEMEQSRGNPVARIIKLPLKLHENTITLLLGEAGDEQDEGD ELFSSDESEESEDEQDNGESQQPPSVLTIDIDLGISPWANAKQYYEQKKQAAVKEQRT AQSSTKALKSHEKKVTEDLKRGMKKEKQTLRQTRQPFWFEKFLFFISSEGYLVLGGRD AMQSELLYRRHLKKGDIFVHADLEGARPMIVKNRSKDPTAPIPPSTLSQAGNLCVATS SAWDSKAVMSAWWVQASQITKTAEVGGLLPMGDFLVKGEKNFLAPSQLVLGFGVTFQI SKDSLKNHKTHFVDEPEAPEATREGGHEQAGESTQRSEQQQETEEAHKPSLDPTEQAE EQSSDSENEQDNADSLPARNPLQRGPSESPHTEVAQEGEKDTGSDGEEVADEPEEQAD VLDEEEAEAASADAPAEDESEHRLSATARQSPRKGKSPDSSEAGQQAPGKNSTAKATN AKQAPPPTRGKKGKAKKAAAKYADQDDEDRELALRLLGANKGKASKAAAAAEAKANRE KEAEAQKKRRQAQHERAAEAERRRQALLEEGGDDYDEETAAAEAADLEWIPALIGTPR PEDEILAAIPVCAPWSALSRYRYKVKLQPGTVKKGKAVKEILGRWVAETTTGKVKKEY AEEAGISIADAEKLRAREGDVIKAWKETEIINSVPVGKVRIMLGAGGGGGDSKGKGKG GGSGNKGGKGGKGGKKK AFLA_045830 MSSKEKTRILSVRRLNKEGLGNKSLSEWWDSERSNKTAEAAAIE EAALLLRTSNIPVAFPTETVYGLGADATRSDAVQGIYKAKQRPSDNPLIVHIDSLEML ERLLNPQESGSTSASDSPRNTIPSVYQSLIARFWPGPLTILLPNPSGSLLAKEVTSKL TTFGARMPSSPLARLLIHVADRPLAAPSANASTKPSPTAAEHVYHDLQGRIELILDGG SCGVGVESTVVDGLCDPPAILRPGGIGIEEIRTCAGWENVQLGYHDGTLDVKEIPRAP GMKYRHYSPKARVVLFEAGSKEQSVTKHIRKDLEDTAIGAHMIGIVRTKHWKRGLGLL SDEEIEKTLKPLPSLIDGLAGFSVPITGNPGRSPAFKEAFDCHLGPDVESIARGLFAA LRAMDEMEVDVIYVEGISDQQGDRAAAVMNRLRKAAGAELRV AFLA_045840 MCKSDLHDFLHGLPKCEHHVHLEGCLAPDLIFELAKRNNVSLPN EPAYESIETLSHRYGHFTSLDDFLRFYFIGMSVLHHESDFADLAWAYFQKAHADGVHH AEVFFDPQVHRDRGIPYETIVSGFVAGCQRAERELGLTTRLILCFVRHLPVDNAARVY QEALDQEHFDNEVVHGLGWSSTEVGPPKDMFRELYSSASAKGIRLTAHAGEEGDPSYI SAALELGAQRIDHGIRLVEDPVLMEKVVRDRIMLTVCPISNLQLRCVESIAHVPIRKF LDAGVMFSINSDDPAYFGGYILDNYCAVQEAFQLTVDEWRVIAENSIKGSWIGEERKT ELLKRINDHVQRHVAAV AFLA_045850 MSSDSAPASGRYKLVFFVPHSHLEACKEAIFATGAGTFPGGKYT KCCFQMPGQGQFLPSDEANPAIGAAGALETVEEMKVEVMCFGRSIMLQAVDALIKAHP YEEVAYEVYKLEEV AFLA_045860 MASKFFPAVPRVGRQFFQRAPKTQCRPFSAGPQRCSDSLSVHRN KPTNNPSIPFTFNEQNQRLIDEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARLLEMPPMRVYEVATFYTMYNREPVGKYFVQLCTTTPCQLGGCGSTKILEAIQEHLG ITPGHTTEDGLFTLLEVECLGACVNAPMVQINDDYYEDLTPESMKTLLTALKESATAT DAGKTVQIPAPGPMSGRNTCENSAGLTNLKNPVWDPETMMRKDGALDQPQQQ AFLA_045870 MATRITLNAILSYLEELTLSTTVEIPESPKKAQNRSKHVLVIGG GVGGLMTAWILLDKGYRVTVVSKEWASLAKPLTSQIARALWEYPPGGCGITEIETPLF GCSTLEQYREWALQSFEFYRLMADRDELIGDGLERAAGAGKFGAKMKTLFQFFQHPIE EESRVHGRDDRHCDKYFEMKTLDKSVDSPFRDQLKVNYHCMADANGGKNVNSRVLGDL VDRKK AFLA_045880 MIDTDVAMAFLMRLVQSKGVVLEAREIIGDLRLHERELLSDYHA DIIVNASGIGARELATDSQIFPVRGAVKKIRRPEGYPADHAFLLPAQMNHDGYGSVSK TVFIVPRNDDTLVIGSITQCNNWQLNLSPDSTEVKAMWERTTEFLPVFEDADHEV AFLA_045890 MARGKRPASSTPSVRTTKRRRTQPTDAGQASSSSTPIIENQTVG MDPELPEVIRSEPHFGKRRLSTQLALNLPPLHKLSDIYRSITAQALELNLGEFLQHIG LKPLRIVTACSGTESPLLALELVQDSECSLILVFFNSGEAGLTDMFSIDLRKHFNRDF KFRHLFSAEIVPYKQRYIDNNFHPRLLFRDVTQLKDRVAQTAYGSLEKVPRNPDMLIA GFSCVDFSSLNNKRKTLDDSGESGGTFWGILGYAKRYRPRIVVLENVRMAPWGKIAEA WGGIDYFACHAEVDTKAYYLPQTRERGYMLCVDRQRMREHGLEETAIADWVKILSQFK RPASSPAGMFLMDPDDRRLEQIENDMTARIASHTVYNWERYQVRHQNYRMNMGLGHRR PFTRSQEDGSSQMPDFTWQPWLRSMPERVWDTLDANFLRKLVEGYDMNHKERCIELSQ GIDREVDTRAYGIVGCITPSGIPYLTIRGGPLCGLESLSLQGLPLDRLILARETQAEL QQLAGNAMSSTVVGAAILSALIVGHKVLDKGSQQPRPKKEVPRHKRFELCHDHELVSG SINVDEATDVTISDIQAQAASSARYCVCERQTAMKRDILRCKLCDHTACSDCVGNPTH DYQRASELVRTQPLDFMSRLRSSLPARLVISGILQEHYDVFKTGISIDCSPSVWKKFL GAVALLMSDEFRFVDIKRSSVWTVTYEGKYGVLSLVVSDFWSCWSLHAKPSEDEPVVC LIREIFSKPIALMTPYPNSLLEGEWEILSPISSKCALMFSGSDHRIESFEAKCGIQVK GFPESKVWTQITVDGSDDDIKALGVDVRGTYDLLPHCGTASGCLHKKPPVGNSPAIYF FLDPSKLDEPMYDSFVFSLEHERTLGYASRSTIAEVSHKWRSSSLGSEPEYANVYYRK SIKLQAVTLASYIPNSPIICSNLKPLTSMAISNRDCRSANITLLSFEAEATAIDSFWK KGPWEVANPVEAPALLGDISWLFQKAVGFSAFQDWISVNDGKSSSKSNSPVCGVCVPA KPRIIWGRNTRGQIKAYEDPHDAALYERQVKLRPPPFLVFRRVDEEDNGHMRVTLNVQ MLLHQAYERLLDTNVSDNASFFWRVVPNSYDSRNLVFPDFSLVSNRNNAQSAQPPNFK LDLRPEQLRSLSWMIHRESLDIEPFIEEEVEEALLPMLMWRAEGKVCMEKTVRGGVLA DDVGYGKTAITLGLIDTQYSQQTEPESIDGFIPTNATLIVVPKIMIKQWQSEITKFLK NTYRVLVIDGLAALGRKMDRDIQQADIVLASWAVFNSQNHYEKLQQFTGMPRVPPKAG RNFDDWFVKAHAVMMEHVQLLVDQGPRTVLESIQARRQEVKDNEANSIYIPSKRLRGN QYSDVHQGQDPGIEAGAQHVDSSSEDELSESSEEEDPEVIRARVDKLLKLQPRTTAPT TSEKEKDDESGSEDSEDEEAPTASRSGKAQGSGKMGQGVKRKRAPVKTSKVWDDRKEF GINNTAQQTWTTVKLPLLHAFSFNRLVIDEFTFANPERLVPLLKLQARSKWVLSGTPP LNDFADVNTIAPFLGIHLGIDDDDIKSQNARLKMIRKQRSEAESFQALRAPRSEEWHR RRHDVAQRFLDEFTRKNVAEIDEIPSSEHIVLIQQSPAETVIYLELCKQLMTYNRFRR RGGRKGIRGDREDRLDEVIGSSKTSEEALLKRCSSLALQGRWHNGVPEELTCSSLIET RLKQLEGLKEELMEKTKQAAWVYCACDIRHEKFHEFIESIKAHDFGDKAVTEKIYPLV KSAILTSQADDWKLFFSDPAQVAEDSFDTEMRDETEVKEDNVDASEETVQKEECNVEG CRGSGKKFNVMDASTLKCDERDRSAKYGGSKLDKMIEIIQAVPTGDRILLFIQFPELI DVASKALELAKIKYIAIIATDRRAAQKVQHFQEAGGFGENKVLILNLGSEMAAGLNLQ CANHVIFLSPMFAQTQYDYDSSMTQAIGRARRYGQTKHVYIYHLLARMTIDTTLFQER HGKVLVERNGEATLAAREEVEEWEIVKGEAMSVVVDNAF AFLA_045900 MDLLNMGNLSDFQTHIQTAYQARQRLQNLQREGTLDVDGATLDI SAIVAVAYYGCIPKITTDPAVLEKIEASVQVLRDHLDKGYHVYGVNTGFGGSADSRTD RVVALQSGLSQLLQAGVLVASDKDTNVDLERQIRLDSHAVPVPWVRAAMLVRCNSNAR GHSAVTLSVIKSILQLLESHITPVVPLRGSISASGDLIPLSYIAGAIEGNPDVYVHVQ KSHRSQIISSRDALLSAGMEPQVLGPKEGLGLVNGTSFSAALSSLVMYEAHQLVVLVQ AISAVALEALMGNAESFHPFISAIRPHDGQMECSRNILSFLQGSRLAQGIQSVKTHTR QGLMQDRYALRCVPQWIGPQLEDLLLAHKQVTVELNSTTDNPLIDPETGDILHGGNFQ AVSVTSAMEKTRSCLQMLGRLLFSQSTELVDPSLNNGLPTNLVADDPSLSFTMKGVDI SMASYMAELAYLANPVSSHVQAAEMRNQSINSMAFVSSRYTMQAVEIVSLMCACSLYI GCQALDLRVLHLTYLDNIKPQLHLLTSDHFSSYLSDKELETLTESLWENISKSWSTTT RQGIPERVQVAVKNAIPTLLDTLKEKRGPGLSDLNRWETQAADLLNKTYQNTADIFFN QQNTEEFLGAGAKILYRTVRQELNVPFHLGFVEHPTVNNETLNGRSKKTIGSWISIIY EAIRDGRLMGPFMESLASKSSSADDSLTKIRSLRMSRL AFLA_045910 MAPPERAGKSVFLGNIPYNLTEEQVKDILSSAGTVTKFRLMMNP ETGKPKGYGFADFADADAAASAVRNLNDYEVMGRKIRVDWPHNNEKDSIPPDYSQTTQ VPGQDGQMGAQQSSAPLPPLPPGVDLPPHLDCPNAISQTLSSLPPNQLLDVLSQMKSL VMADPARATELLRQAPQLAYAIFQALLLMNLVDYSTLGTVVEQAAQSQSAAAAPPAAQ PFQPFSAVPGPVSTPPQPQQQMPGQDELLQQVLSMPQSAIDALPPMERSQIMLLRQQL MQGAMR AFLA_045920 MARNPREQWERLQVILQNRGSRGGFGFGGFPSGGGRGGLGLSGA LILLGVGGWAISNSLFNVDGGHRAIKYSRIGGVQKEIYSEGTHIRIPWIETPVIYDVR AKPRNIASLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGQDFDERVLPSIVNEVLK SVVAQFNASQLITQRENVARMVRDSLARRAARFNIALDDVSLTHLTFSPEFTAAVEAK QVAQQEAQRAAFLVDKARQEKQAFIVRAQGEARSAELIGDAIKKSKSYIELRKIENAR QIAQILQENGGKNKLYLDSQGLGLNVNANVEDSK AFLA_045930 MTDPTTEIDVDLDVQEILLAASQHDIPKLRQLIRSNQTIANPVN VKDPETGYAPLHAAIAACEPDDEEPNGVQTNGEQGDEQKSVEEKGSATVRFLLQEGAI WNDLDNNNETPGCVARRLGLTELYEQLVDAGVRAELLLNRLDGYEELEDDDEEEEEGQ EEQTGTEEVEVEGESAPQLVEATTTTETAMETGPDVTNSRYLDSNLTFQNDRLLDQDQ NGVMMAWETDIMAKSAKKLLPTSGLRVLNVGHGMGIVDGFIQEQSPAEHHIIEAHPEV VAEMKRKGWGEKPGVTIHEGRWQDILPDLVGQGVMFDAIYYDTFAESYGDFREFFSEQ VIGLLEQEGKWSFFNGMGADRQISYDVYQKVAEMDLMDAGFDVEWEEIALPKLDNEWD GVRRAYWQIESYRLPLCKYMD AFLA_045940 MSTSPIHYIPQSRTPQILSQKIHHIIACPHAQVPVGTTKRTNHW CFYLSTSETTCVALDCQPSHTVASSVLVGGSKAYVYLSELSAPAGSDALLEFAVAVDV RAGLRVGDVVDVLVENGRERYEFDADGVGCRFWVTGVLELLLRVGVLVDGRQVEQAKA VVKRLWPEGTDLELDRGVYY AFLA_045950 MSSPASTRRRGRPSRAEASSPRVTDAQTTPRASRRLRGEAAVPS SPPAETPDVRMDEPSSPVRASSTVDQDETTPRGNRTAVGESSPIRYMSSSSPTRARSL QPGRSDIPSSSSGALFVSDRTTTGGQRNVVSRRNDLHSGGFGSTPSRRRRVFVDANGI PAADGEPQSDATFSNIHPGTSEADALGGSSTRVIWGTNISIQDSMSAFKNFLYNFATK YRLWAEGATEDETRRLGDTAEEREYINMLNTMRQLGVTSLNLDAKNLKAYPLTLKLWH QLHAYPQEIIPLMDQTIKDVMVELAIKEMERLRTQNQRNQSHSRNLSSAPAVPSSDAL SETGRMPQTEIPDLVGEVETKAFKVLPFGLDSSVNMRDLDPADMDKLVSIKGLVIRAT PIIPDMKEAFFRCQACNHGVQVDIDRGKIAEPTICPRPACRQRNSMEIIHNRCIFADK QVIKLQETPDSVPDGQTPHSVSLCVYDELVDVCKAGDRVEVTGIFRCNPVRVNPRQRT QKALFKTYIDVLHVQKIDRKKLGIDVTTIEQELSEQAAGDSEQVRKITAEEEEKIRRT ATRPDVYELLSRSLAPSVYEMDDVKKGILLQMFGGTNKSFQKGGNPRYRGDINVLLCG DPSTSKSQLLRYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDTRQMVLESGALVLSDG GICCIDEFDKMNESTRSVLHEVMEQQTVSIAKAGIITTLNARTSILASANPIGSRYNP NLPVPQNIDLPPTLLSRFDLVYLVLDRVDEQEDRRLAKHLVNMYLEDKPENASDEEVL PIEFLTAYITYAKTKVHPVLTPAAGKALSDAYVNMRKLGDDIRSSDRRITATTRQLES MIRLSEAHARMRLSLEVTAADVEEAVRLIRSAIKQAATDSRTGLIDMGLLTEGTSASE RRQREALKRGVLAVIDELSGGGATPRWGDVYRALTEQSSGEVDGGQFTEAVRTLETEG YVNVLGEGARRSIRRVGGRLL AFLA_045960 MECYWSGSTCLGQCNPRDTSRYWGHLIMAIITIVYVCAVFYDEL RNYIRLRQAYLTSPQHRLRASATTVLVTAIPERWLTLDALDSLFDVFPGGVRNIWINR NFDDLNEKVKLRDALALKLEAAETDLVIKCKKAQLKQAKAEAKKSGVKARNTAKEEQQ DTDRKASLMAMDAGISSGDPHQAHTLAQVLHHDGSEDHTAPGKRRRLNPFDPAKEAAG AVGHGVGKLGKSVLEGFKKVEGGIDGKLARSGGFVPDASITHRDGSRSLDYPRSESDS VDVADCSQARTNGTTSGVPDAAGSKSKRPFWKSTGSSNSKLSHRSEPDEFPLTQRESS SIDGERNDAPIEEEKSERDKRRTRTEGEQLEGEEYPVAYNEDFDNEDFGEPLWKKYIR PKDRDTMRLPIFGLSWMPSLPLIGKKVDTIDYCRKEVARLNLEIEVDQQTPEKFPLMN SAFIQFNHQVAAHMACQAVSHHVPKQMAPRIVEISPDDVIWDNMSIRWWERYLRTFGI MAIVCAMVVGWAFPVAFTGLLSQLSYLEEAFTWLKWISKLPEWVISAVQGILPALFLA ILMAVLPLILRFLCRTQGVHTGMAVELTVQNYYFAFLFVQLFLVVAISSSFSTIISNV TNVTSWPQLLAENIPLSSNYFFSYMILQAMSVSAGALVQVVNLVSWFILGPLLDTTAR TKWARTTNLNQMQWGTFFPVYTTLASIDFAITKSLSGKFHTVVTFFVWLYIKVSDIFL HVLSVSSE AFLA_045970 MIKEYYWYYIAYDELKRALRTDFVAEPVPSYAKRDRKPWTEEDE KHFVSLLEGELEKVFNFQRMKSEEIVRRIQSSEKEVNDVVSRLESATASGSRRQSVRS NIHPPSDEDFLVLEQVLSDIIADVHDLAKFTQLNYTGFQKIIKKHDKETGWHLKPVFA ARLKAKPFFKDNYDAFVVKLSKLYDLVRTKGNPVKGDSSAGGTQQNFVRQTTKYWVHP DNITELKLIILKHLPVLVFNPQKEFEEEDTAITSIYYDNPDTWELYQGRLKKTEGAEA IRLRWYGGMKSDQIFVERKTHREDWTGEKSVKARFSLKEKNVNAYLAGELTTDTIFDK MRKEGKKSEEEINNLEQLAQEIQYRVITRQLKPVTRTFYHRTAFQLPGDARVRISLDT ELTMVREDNLDGHRRSGDNWRRMDIGVDFPFSQLPPEDVERFPYAVLEVKLQTQAGQE PPQWIRDLTASHLVEAVPKFSKFIHGTATLFPDRINLLPFWMPQMDVDIRKPAMRRFG IERPLASTSLSANETPEDEYDSDEDELDDRQGLANGDRRGPRNDLFADSDGNTLDIEE RIAAQPLPGDEDYPLYDSDEEDTIDADELEEARRVGGMHYYRQLAKYYAQQTGSAVVS GLIALIPRPRPTNLPPPEQRGIAVMGNKRTVKRFIAPKGKRIHVPVRVEPKVYFAAER TFLSWLEFSILLGTIAATLLNFGNDYITFASSWAFTVLAALALLYSLVLYIWRVDKIR KRRDVKRVYYEKWGPTVVGVGLAVVLLVNFILRARQAGFTERDDYPGNGQGSDSGEL AFLA_045980 MKSVLNMGALRWLSIAATASTALALNPEGLISAPRRSEAIPNPS GDVAVFSQSQYSFKTHKTTSQWNVLDLKSGDIKLLTNDSDVSEIVWLGSDDSTVLYVN GTNADIPGGVELWVSDISDFANGYKAASLPASFSGFKVVTTDSGDVRYVAYAESWANG TAYNEELVAKPLSSARIYDSIYVRHWDYYLTTRFNAVFSGTLKKSEGKGKATYKADGD LKNLVSPVKNAESPYPPFGGASDYDLSPDGKWVAFKSKAHDIPRANYTTAYIFLVPHD GSKTAVPINGPDSPGTPEGVKGDAGSPVFSPDSKKIAYWQMADESYEADHRTLYVYTV GSEETIPSLAADWDRSLDSVKWADDDNLIIGVEDAGRSRLFSIPADAGDDYKPKNFTD GGVVSAYYQLPDSTYLVTSTAIWTSWNVYIASPEKGVIKTLATANKIDPELKGLGPEI VDEFYYEGNWTKIQAFVIYPENFDKSKSYPLLYYIHGGPQSSWLDSWSTRWNPKVFAD QGYVVVAPNPTGSSGFGDALQDAIQNQWGGYPYEDLVKGWEYVNENFDFIDTDNGVAA GASYGGFMINWIQGSDLGRKFKALVSHDGTFVADAKVSTEELWFMQHEFNGTFWDNRE NYRRWDPSAPERILKFSTPMLIIHSDLDYRLPVSEGLSLFNILQERGVPSRFLNFPDE NHWVQNKENSLVWHQQVLGWLNKYSGVEESNEDAVSLDDTVIPVVDYNP AFLA_045990 MSTRRRSAIVARFQKPISFTPVPQRLYLISCRACVQQDIVGRKL LQRQFGTYRPQTNLRTLASLYPSTFFRMADSKGRKQATLGRFFGSNADPKEAPKKQTT LSFSGKKDKAQKTAAKSATPHDSSSTDGQASDGVGSESTTEADIAETKPEPAQEDGYK DSNDLKRKESEEEASDSDVQPAQKRRRRTSRSGEGTPSPKKKTKTPSPKRSKAKKDVK PEETEPPAVVKKASGEETPEEDKSEDEALSASEDEEEKPEVMKKTMEKVQATLKASGT EPYPDWKPGTPVPYAALCTTFSLIEMTTKRLVILAHCSLFLRQVLRLTPQDLLPTVQL MINKLAADYAGVELGIGESLIMKAIGESTGRSLAVIKADQHEIGDLGLVAAKSRSNQP TMFKPKPLTVRGVHEGLLGIAKVQGHGSQDKKISGIKKLLSAADPETAGKGSKGVDIT KNKGGPSEAKYIVRFLEGKLRLGLAEKTVLVALAQAVVTHEAALKGEKAPSPEKLAEG EAILKTVYSELPAYEIIIPAMLKNGLSKLHEACKLQPGIPIKPMLAKPTKSITEVLDR FEGKEFTCEYKYDGERAQIHYVAPDATHNYPEAQHTLQKDGKGLAAIFSRNSEDLSKK YPDVLAKLDSWIKDGVKSFVLDCETVAWDTVNKKVLPFQQLMTRKRKDVKAEDVKVKV CVFAFDLLFLNGEPTVKKSLRERRELLHESFQVTEGEFQFAQFGNTNVLDEIQELLDD SVKASCEGLMVKMLDTDESGYEPSKRSRNWLKVKKDYLSGVGDSLDLVVLGAYYGRGK RTSVYGAFLLAAYNANTQTYESICNIGTGFSEANLEELHKELSPLVIDRPKPFYTHST VPKDQPDVWFEPRLVWEVKTADLTLSPRYQAAADEFVGTTGGGKGVSLRFPRFIKARE DKKPEQATTTRQVAEMYRKQEAVAKENAGKKGVDDDFEY AFLA_046000 MEGPVAQKTIYNEIGTATVVDQTVYVLLEGILDSTSDDLCISAA KALQALYYRITDRVVLASIMPRTVSTLTKVIKPTTQVRRSYKLLSACIKALTHMLKVV LNDRVASVAPEKPAQSQEGGDGLVLDESWLTATATQIKLALANVIQVRRHDRPEVQAS LLELCSMVVEDCRSTLQESLPLVVETMVVLSETGDDESPNNAYTILMHLATAYVEVLD SLKNSLHAWITSFPRTMQSNDETSKQWALKQISTAFQILSQIQSGSDILTTGLASGLC DSVSAAINNSNNALQPLNPETSGSQSLEVLHHGNQSRSFPPVLLEHRSQQQTLKDLQS MILRLNRSESGSDITRLIINRVHQETGNSMVAPLWLALECLKGGAQLTSLDEFISSDF IEPSSLFSTRANMIEELYYISLPVLSESLPDESKDWRISALALEVVALQSQQLHEAFR PELMDALYPVLQLLSSSNPNIQRHAMVCLNILTEVCNYESTSTMIIENVDYLVNSVAL KLNTFDVSPYPPQVLFMMVKLCGARLIPYLDDLVDSIFGILDMYHGYPKLVEMMFKTL AAIVEEGTKSPSFLAIGDGTDSERAGHRKKQYKRLQISTLAEDLANRKAKRAKHLDDF PEADEHISHPERPWTTKPDKPAEPEEDIGTLLNKGIEESDEPLPAPREPEDQEKPVSK SHNLLLHIIKSIPSHLSSPSPYLRRSLLSILIQVSPILSEHENSFLPIINDVWPSVAA RISLPSSLKSSSSSTALMAREDSNNGSKNRPDDEFNFKEEIYVTTTACQAIESMCKST GDFMATRIETEFPRWERLYRRVWETVRQDAEKAIQRRAKSQTTEKNTDILLSLSLGLS QSLSLNIAGGPSGARAFTPHHSLWRALLSLFMTLLTHVRLPLSMGDQICEFLAAWIAR FAGPDYYSFRSSSKQEIPSSLRSEIDTVNNAIEAMETWNVDLTWFIFQQQKAQVRNIT PQRKTHSSPLMSEINEDPLQTWSSPGNKLKFAELVF AFLA_046010 MATVNIRRDVTDPFYRYKMERLQSKIEGKGNGIKTVVVNLNSVA QSLARPPAYVIKYFGFELGAQANAKPTDDRWIINGAHDAAKLQDYLDGFISKFVLCKK CKNPETDVIIKDDKIILDCKACGQRSDVDSRLKLSTFILRNETSGKGGKKNKADKKTR REQRNKKNETANGENGSPGDSNSDNGDAENGDVGMEAGSDDELTRRIKTEAETIEAEE ADEVQWSVDVSEEAVRARAKELPDDLKRSLVIEDADEDGADGPSAYDELGSWVQDTAK EKGGVAKLSDVDIYVKAKEYGIESKHKTLAVLAQTMFDNDIAKQIPGRAGLLKKMITS ERHEKAFLGGIERFVGKDHPELIGQIPAILLRLFEEDIIDEETLKAWGSKASKKYVDI STSKKVRKAAAPFLEWLETAESEEEESDDE AFLA_046020 MPLNLSLYLVTDSTPAILKGRDLCTVVEEALKGGVTIVQYRDKK SDTGEQIQTAKKLHQITQKYGVPLLINDRVDVALAAGVEGVHLGQDDMAIEQAKQLLP KDAIIGITAASIEEAQKAIDAGADYLGIGTMFATPTKTNTKHIIGTAGTQAILDAISD TGRSVGTVSIGGINLSNVQRVLYQSRAPRKELDGVAIVSAIIAADDPKAAAAEFVKRI ATPPPFVRAPAAPQIREVAALQEEVPKIVQKVVQAHPLVHNMINFVVANFVANVALSM GASPIMAPHGDEAVDLAQFDGGLVVNMGTLTSESVPNYVKAIKAYNERGNPVVYDPVG APATHIRRGAVKQLMAGGYFDLIKGNEGEIRQVFGSSGVIQRGVDSGPSRLDGQAKAI LVRDLARREHNLVLLTGAVDYLSDGERVIAVENGHELLGQVTGTGCAVGTVSGCFLTG HPSDRLLAVLSGILMYEIAAENAASKEYVRGPGSFVPAFLDELYAIRQAALKGDHSWF TGRAKIQMIDL AFLA_046030 MVTESLFLVYSHKIQQRNQIKFLKEDLNLRKNLQSPLRLLLHLL NQPTNSPKPTMTCVPQNLKQNTLTTRHYRPSISQPRQSNPPLLTINTAHPIHNNINPM APLQHIQRRLRNTDMRLNSHDNSIEGRFPGSCLG AFLA_046040 MDAQYPFASRDDIWRVFEELKELHATQFEQAERIARLERRRDED AKLKSVWGPLSPFPTSVAGTIPTEPIFHSPADAFKGFDQGQHHGVGGTMGIESEEEPR RGTSRANSVRFDESAIHSYYGQASRSTSELPLRTGSGMGSHPLTERSLSHRSDGRLSS SGHSHHSARTNSMGLETTSRMMGSSVGGSPLIPPPGLFLLGPVPCIIRCWLTTNFSND SLLYAAVCSGSYKSSLGYPMIRKLGLEDLVTQEEDLQFIKLPMYLPEASIHQSSSRPG SPVPQLPALTVRFLVRDIDSNDPSIQIVLGSDILRSHNAELLFSQDKIIMVDDERNKI SIPLVRPEDDSVFKFLRTASDASRTTDTTQSLQASDQPDTNGHSVGVIGPPRSVSRQS RSASASARDSTDEPEESRKTSLDNQELPRSAESTSVSKPAVVAGSPPEGPMKVEPAGV WGSWKRDTRLDSNASTAGKTSRTRPMKVLRPTKSASRTSSAMTVPTSSSNDAVPMSSQ PASSRASPDETRTGKPWVSNPIGGASAFGWLNSSQPTRAVTNPK AFLA_046050 MATEEEALYKPYDQFILFGDSITQMSSDPHMGFGLFAALQDAYS RRLDVINRGFGGYTSGHAIKVFPKFFPTPEKATVRFMTIFFGANDACLPGSPQHVPLD VYKENLTRIIQHPATVAQNPHILLLTPPPVNEYQLQGFDESKGNAHPSRTAAFTKEYA EAVREVGASLGVPVVDVWKAFMSAVGWKEGEPLPGSRDLPNLDQFARFFTDGLHLTAD GYRVLFDAIMETIRAKWPEEEPTAMDMVHPAWPEAPR AFLA_046060 MLGALIFGFVANRAGYRWIFWVLAITNGVQFILYIFFGPETRYI GGSTDDTSSGFKAQYLSFRRIDPTPLTFKEFVHPLTMFKRPSVVVPAVVYAMVFLFGS VMITVEVPQLLQEKFALNTEQLGLQFIGVIIGTVLGEQIGGSISDYWMNRRARRIRKA PEPEFRLWLSYPGIILTIIGVIVFLVCTQQAPEGHWTVKPIVGTGVAAFGNQVVTTVM VTYAVDCHPDDPGSVGVFITFVRQIWGFIGPFWFPDMFANVGVAASSGVASAMIFVCS LLPTIAVHAMGRKWA AFLA_046070 MSSHKRTEDLGEVLSTPSIPSPDSKDLSSLEKEDSECIEKAEVS PTQFDDISSSLSPSHREYLFKRHGTLDLDPMPSASDADPYNWPTWKKLTNLLLVAFHA CMATFTSSITPAYEDISIDLGVSLQRASYLTSLQIAILGGAPLFWKPLSNRYGRRPIF LLSTILSLVCNVGCAKSPTYASLAACRALTAFFISPAAAIGSAVVAETFFKKERARYM GIWTLMVTLGIPVG AFLA_046080 MQLFSLKLEGARTLTGRSFIPAQPQTLRASSPMPLIVCIHGASY DSKYFDIDFQHSIFTLGETLQIPVVAFDRPGYGGSTAYPDPKVKNEDLERRGTTFVQE QGKYLNSTVLPAVWKEFGSGASSMVVLAHSIGGMIAIVAAAEPKEYPLAGLVVSGIGC KSHMHESLNSSRRNGGYSRENSGSDQIDEQRTHIRFNPKVKDRLMLNFPPKANEVLLV DPKITSYTEVLNNPVPLGELHDVRTTWQSYWCSYAERVTVPFLYAVGDQDGFWDSSEE GTMQFTEVFRTKSPKVENVVIPMAPHCMEMSLQGTAWLLRCLGFAIECSMGQGKKDLC AISQSTD AFLA_046090 MPRSVPSNFTSLSQEGGQAPSEDDRQRSLSRTAPPSPSAVRRQH SYLSEAHTGYQSLQPPLEIGETTSLLGKDRGNSRGVRRSYTNLSNGSGPDTSFRHNLL AGSFRRSRHHSRAHSQALRVSRRESIDTDRPESLAASAKDGLSSSFMDDRTWYDQFTS TDWVHDSIADGERLRQLRARKDVRGRLLAWFDGAQGWVLVALIGCITAAIAYFVDITE DFVFDLKEGFCTTRWFSSRQECCVDNPVCSAWWSWSKMLTFSSTDNQWTDFGMYVAWV VILSVISCFLTLLTKTVVPSSVSLTTLDENLGAASSQGTRHTDAAADSPNSDASPRTP FSAIPTRPDMVYYSAAGSGVAEVKVINSGFVLHGYLGFKTLVIKTVALIFSVSSGLSL GKEGPYVHIATCVGNICCRLFAKYNRNDGKRREVLSASAASGVAVAFGAPIGGVLFSL EEVSYYFPPKTLFRTFFCCIAATLSLKFLNPYGTGKIVLFEVRYLNDWEIFELVIFIL LGVLGGALGALFIKASSLWARSFRRIPIIKRWPMLEVVLVAVVTGLVSFWNRYTKLAV SELLFELASPCDHESSSPTSLCPNEDGIVDIIRYLLVAFVIKSLLTIVTFGIKVPAGI YVPSMVVGGLLGRIVGHVAQYFVVKYPSFPLFGSSCPAVSGMESCVTPGVYAMIAAGA TMCGVTRLSVTLAVILFELTGSLAHVLPFSLAILCAKWTADAIEPRSIYDLLTDMNSY PFLDNKIQVVSDAELGDLVRPVRKSRIIDISDSPFVPATELRSKLQTLLMAGELDSGL PILRHDVLSGLIPAPDLEYALDNLEDEENTLCLMTLDTMSVVSDSDDEEAIRVDFNRY IDPAPIALDIHSPVDLVYQCFAKLGLRYLCVLQNGQYAGLVHKKAFVKFMKENE AFLA_046100 MPPTNPLTLIVATTPIPTREKTLLGIGLNGTLPWPRIKADMSFF ARVTTRPPRPGTTNAMIMGRKTYDSVPKSLRPLGKRINVIVTRDVEGVSKRVAEELKE KRAKMAAAAAAATSAGENKEEGPITDAIVSSGLEAALEDVEEKFKGGLGSVFVIGGAE IYATALGLGGDRPVRIVMTNVEKKGVDGEKAVFECDTFFPIDEELLMEKGWRKVSAEE VTEWVGEPVSGEWKDEGEVRIQMVGYERVN AFLA_046110 MLLTQSLFFAFACWQATPALAASLPPSHQELDYTLDLNVTENDL AARDLERRKDLPITMCPILHIGDYPYCPYSNGEAAIDAWLPKIAEQIKELSDYNTCTR ISGEEEEFNWRFESHTEDSRWCDTSASLETIQGAIRKTMRDRAYWICEQECFRMSHAG SWRGSLLVGRKDTWDEKQYCGPSLYDLKFATGDKCISGGKKDL AFLA_046120 MATATVESTSHTSTAVETYQLDVESTALVRAAEDILSLTRTMKE TWLFGKLNTLGEDESDVKRREELERDADAIQRAIEEGGLLKPAK AFLA_046130 MTTAHRPTFDPAQGKEALRGPAYHQRLLPAHTHLKTRQLGQGGE GEAQQRDLRAELLQAEAAHFAKKRGIPVNEPTVESAAPKHQIEGGPSGSDSVGTEDED PEAKRRRILEETRDIDADSDGSEDDSSEEESDDEEDEAAELMRELEKIKKERQEQKEK EERERAAEEEEKREYDIAKGNPLLNAQDFNLKRRWDDDVVFKNQARGTEDKRGKEFVN DLLRSDFHKRFMGKYVR AFLA_046140 MNKEAPLSIAERDFILNALREDVRLDGRQADQFRPLNVSFGEEY GHVKVQLGKTSLIVRISSEVTKPHDDRPFDGIFTIALELTAMGSPAWENGRQGDLETY VSNVLDRVIRHSNALDTESLCVLKGVSCWNVRADVHITNYDGNLIDAACIGVMAGLQH FRRPDAVVKDGQVIVYGVDERVPVALNITHKPLSVTFHTFDEGKRVIVDATRKEEQAS EADVVMGLNNAGDVCYLSKFSGSPVSAMVFVNKSSVALEKVKEINGIIDKALQADLAK RAKGGLIEESRATNDR AFLA_046150 MASGRPPGHHPAAGRDDDLLQLEDTTPMYNPGQGPPVNDDHLLR QYNIDDSDYPQARPSVSYDQFVGGQAPPHSGAHATASAHPPPQSGAYIGDPYMGAASV SRTYSQTSGLDNYRRYSVDEYDDGYYHVDDHDDYMHSDHRVQEANQRNSILGLGGGLM GRAKHMLGMGQEYSDMNLPLTEAGARAARVDSTEHTEGAIPPSQKKSRKSDFKFGFGR KKVDPSTLGPRMIVLNNPPANAVHKFVDNHVSTAKYNIITFVPKFLYEQFSKYANLFF LFTAVLQQIPNVSPTNRYTTIGPLLIVLLVSAIKELVEDYKRRSSDKSLNYSKTQVLK GSAFHETKWIDVAVGDIVRVESEQPFPADLVLLASSEPEGLCYIETANLDGETNLKIK QAIPETAHLVSPADLSRLSGRVRSEQPNSSLYTYEATLTMHAGGGEKELPLAPDQLLL RGATLRNTPWVHGIVVFTGHETKLMRNATATPIKRTAVERMVNVQILMLVSILIALSV ISSVGDLIIRQTAADKLTYLDYGSTNAVKQFFLDIFTYWVLYSNLVPISLFVTIEIVK YAQAFLINSDLDIYYDKTDTPATCRTSSLVEELGQIEYIFSDKTGTLTCNMMEFKQCT IGGIQYGEDIPEDRRATVEDGVEVGVHDFKKLRENLQGGHPTADAIHHFLTLLSTCHT VIPERSEKEPDKIKYQAASPDEGALVEGAATLGYQFTNRRPRSVLFTVGGHEYEYELL AVCEFNSTRKRMSTIFRCPDGKIRIYTKGADTVILERLNPDNPMVEVTLQHLEEYASE GLRTLCLAMREVSEEEFQQWYQIYDKAATTVGGNRADELDKASELIEKDFYLLGATAI EDRLQDGVPDTIHTLQTAGIKVWVLTGDRQETAINIGMSCKLISEDMTLLIVNEETSQ ATRENLTKKLQAVQSQHASGEIEALALVIDGRSLTFALEKDMEKMFLDLAIQCKAVVC CRVSPLQKALVVKLVKRHLKSLLLAIGDGANDVSMIQAAHVGVGISGLEGLQAARSAD VSIAQFRYLRKLLLVHGAWSYHRISRVILYSFYKNIALYMTQFWYSFQNAFSGEVIYE SWTLSFYNVFFTVLPPFAMGICDQFISARLLDRYPQLYQLGQKGMFFKRHSFWSWILN GFYHSLLLYLVSELIFLWDLPQADGKVAGHWVWGSALYTAVLATVLGKAALITNIWTK YTFIAIPGSMVIWLAFLPAYGYAAPAIGFSTEYYGTIPHLFKSPIFYLMAIVLPCVCL LRDYAWKYAKRMYYPQHYHHVQEIQKYNVQDYRPRMEQFQKAIRKVRQVQRMRKQRGY AFSQADDGAQMRVVNAYDTTRGRGRYGEMTSSRALV AFLA_046160 MDDTEQPSDRASIDDEGTSLSKTNEKVKKPRTGYEWKNGASICL CAAASILFLNILLTIIAASRAQQSSRSFEAETIFEGNCKKAKRWSTGFHILINVLGTI LLGTSNYCMQCLSAPSRKDVDHVHAHGKWLDIGTPSVANLRVMSWVQIIIWCLLVLTS LPFHILCIVCLTCGAAVTTFAYLGVGEYLPNTSISTVWSLGFSEARPETMLRYLTTYN LLAMALLSNVPQVILSSLYYLINSILTCMLASAEYTSYSVHRRYLRVSWPEGQQRSTY FLSLPYRFSIPTMTISAILHWLLSESIFYVRVYQYDAAGTIDETKTISTCGMSPIAMI FTLSLTGLALVIVFGLSLKRFPTQMPLAGNCSLAISAACHPPLDDEDAALKPLMWGEV VISGASGKQGEVIMPGEEVREESAEGERPGEEVLRDSPLELEERSLLPVVEGQGGTIY HCCFTSRDVGVPDPRRLYV AFLA_046170 MKEIIPGLVLGNVMSSHKHDMLPENSINAIVSLTDARWAWWRGP TRRAGIPEHRHKWVQCGKLVQHEISYHCSWSDNSAYRLERKPCC AFLA_046180 MSDNLSDISSLHDGHGPSFSSCPAIFVLPTHLGLETLHQVEESL VSRDASLTYDISEARLILGKIGQKKRAALELRSRGVWTEDLDHSASKTTAKRGGNHEP VPRPVEVVTEVDVVDLSTETESEEDGVRSKHSTGNHLKRPRPRSVSTDRSSAGSGSGE GADILRVVRLEWLDSCVESKELVPLDPFVVYEARKVERPATKPNGTEAVASQASDILQ RAKKDTLFKPPPTPSSRFSRRTHEAPSSQHRPPKLYRQTTSENEETAPLPPAPDWVKN HILYACMRSAPLHPPNERFINQLVKIRRIRELTLDEIGVRAYSTSIASIAAYPYEFRR PSEILTLPGCDTKIANLFAEYQQSEDGTIEAAAALDTDPVLRVLHEFYNIWGVGAKTA RDFYYYRQWRDLDDVVEHGWNSLSRVQQIGVKYYDEFLQGIPRHEVEDIAKIIHRHAN LVRPDARYDGRGVECIVVGGYRRGKEASGDVDLVLSHRDESVTKNLVVDIVGSLESEG WITHTLALHMTTSNRDQQTLPYKGDDTGKHFDSLDKALVVWQDPNFDDDADPTPSSDA NAEEQSRQKRKRNPNPHRRVDIIISPWRTVGCAILGWSGDTTFERDLRRYAKKSRGWK FDSSGVRERTTGGQVIDLEREGRTWEERERLVMEGLGVGWRPPVERCTR AFLA_046190 MRESSPPSFSEAMSDSQSVDTKSKWTPKSLIRHAERSHSRIPGV RKIPFPALAIILFIAFINVAVWIAVAVVLAIDLMTRRLLATGQKPVTVGTFFSLGHST IVIVTSIVVAATAAAVSSRFDSFSTVGGIIGTSVSAAFLILLGLMNFYILYKLYKQMQ KVLDLSEGQEDEAWKIEGGGVLFNVLKRMFKLIDRPWKMYPLGVLFGLGFDTSSEIAL LGISSVEAARGTDFWVILIFPILFTAGMCLLDTTDGALMLSLYVQPAANFLPPKEDSS TAETPLIGEDHEIQPSQNHRDPIAFLYYSIVLTCLTVVVAIVIGVIQLLTLVLNVAEP TGKFWDGVQTAGDYYDAIGGGICGLFIIIGGLSVVVYKPWRRWVARRHGKTIVTDEEG NRDNVAAPRTETPILGEERGGASGPSYGAVSKKPASQVAVEPVDGPA AFLA_046200 MPKRGGFGGASAVIGRHIPHELWTLYGLRLTKSNARFVQRSILF AYHIAFTGHPALTPLIQGRKPSENREKRKGVEVWNSANKRGNKL AFLA_046210 MGVIRLPRPTGLYVPTSPTRSLTTDMISSPLSPDFTFDSETLPP SILPALEYISYKLEQKMMHATLLVGRGKPYPTGEPSDLMVIPIDELDQQTWRAVYRAV VKAASKFSLGQSWTDALNRSQYERQANKYLVQQSIMQNEVIFSREGLTLLNVDRIYTF KRRLCILSNQGKNPEASCVTSCVQLLHRTIGDFQGRPFSKAFFHRVYEQLDVRDELLT HIAQTYKKQFGQEGIILPPRARAEHSSRNSPKRTVRARIEANPPRYRAAPAKRGPKTP QSASDVTPITRNEWNILNSNLQQTKPSVTKWTPSPTVLAAA AFLA_046220 MIDPGSSPPREILVEHAGLDWFTGSNFPPFLEAHPALDGRVMES LAPSGVETFVHVYTEVSTSGVYTIPT AFLA_046230 MGFLGEKNDGISPEMNRELSRDITKAEEGIVAEEHHVDLHRDLK ARHITMIGTLLCLRWLDEREELTGLSYRRCYRYRSYYWNGRSSCEPAAILISYSVVGL VVYTVMCGLGEMAAWLPLSSGFTGYAVRFCDPALGFALGWTYWFKYIILTPNQLTAGA MVIQYWIKTDKVNAGVWITIFLVIIVCINYFGVRFFGEFEFWLSSFKVIVILGIILLS FILMLGGGPDHDRKGFRYWKSPGAFNTYIADGDAGRFFAFWSTMVSATFAYLGTELIG VTVGEAQNPRKTIPRAIKLTFYRIVVFYVTSVLLVGTLVPYNSDELVFATKQSSSAAA SPFVVAVVLAGIPVLPHILNACILLFVFSASNSDLYIATRTIYGLAREGKAPKFLART DKRGVPVFALILCSLIACLAYMNVSSDSKTVFGYFVDLVTIFGLLAWISLLVTHIHFI NARKAQNVPEHELAYKSPFGKTGAYIALAFCILIALTKSYDVFTHNPKWGNFNYKKFI TAYLGIPLYLILLFGYKFVTGTKGVKPEEADLWTGRDVIDREEQEYLARKAVMDEQRG TGSWFYRTFVSWLF AFLA_046240 MRYLFLIILVAFAALTTAVPAGSSITPPPPIEPVQLLSPQSSDV RRPWTRVRDWIIETVWGLPKPTSYRLPFNHLSHDQSAPSRVQARYGSDVVLRFRLRND KEAEALEQATEILFLDVWASTSDFVDVRLAEEVIPSLLGLLPDSLRTAYSPLIDNLPE LIYTTYPTRRPIGLEGQPGFRPSVRQSAQLGDLFFQDYQPLSVIVPWMRLMASMFPSH VRMINVGISYEGREIPALRLGAGSNRAQSAPRRTIVMVGGSHAREWISTSTVTYVASN LISNFGKSRAVTRLLEDFDVVLVPTINPDGYVYTWEVDRLWRKSRQRTSLRFCPGIDL DRSWNFEWDGERTRSNPCSENYAGDEPFEGVEAAQFAQWALNETQNNNVDIVGFLDLH SYSQQVLYPFSFSCSSVPPTLETLEELAMGFAKVIRQTTHEIYDVTSACEGTVTATDK ASAKTFFPVSGGGSALDWFYHQLHASFAYQIKLRDRGSYGFLIPSEYIVPTGKEIYNV VLKMGEFLVKETASPANKADINWGADLLVHDDSTRTSSSESVSDPLSEANIDSTSTVK ATPLPFPEDTLDSEWVPFDQNEEENEEEQNWELRRRRR AFLA_046250 MPRLKRHRSPLFPLPPKPTGSNSGNGHAQSSAISRSAAGDATPK SDISEDPNEDHISPLPSPTRSSVGLTSSRPPLLRNDSATSAHSARSTPSNKSRAMPTS RTRSSTLDSLANAQDNGHPSPHLISGRTSTSTSGRKSFGDIFNIPQRFRQNSDSPVGR SGSPGSKGPVTPGSKISLITYPERQEDDTPATYLTRLEESIPRSAIAGVLAQSNDDFY KTALRKYMRGFSFFGDPIDMAIRKLLMEVELPKETQQIDRFLQSFADRYHECNPGIFA STDQAYFIAFSILILHTDVFNKNNKRKMQKPDYVKNTRGEGISEDILECFYENISYTP FIHIEDAVSNGRHFARPRRPLLKATSTDHLVRAAREPVDPYTLIMDGKLDSLRPSLKD VMNLEDTYRCDGTDGPADIDGLHRAFSKSDVLQIVSLRSRPDMYMPSSIDNPADSNPG LVDIKIAKVGLLWRKDPKKKRARSPWQEWGALLTFSQLYLFKDVAWVKSLMAQHESHQ KAGRRRGVVFKPPLTEFKPDGIMSTEDAVALLDLGYRKHKHAFVFVRHSALEEVFLAN TEADMNDWLAKLNYAATFRTAGVRTQGMIATNYEAQRNRMSRRGSTQSSRSHLSMDKE PPSPNPDTDVAEELVTARRQLIRQKIREANERLSYAERQLDDLLRNARHLQVLTPVHS RAREHVIMAAGRMAAKLKWVRQDIWRTKCYKGVLVRDMGEALGEEKPFAEQELHLQIP TTTVTSQPENLDGAGTDKVTSPTEDDPSPHAESGGPHSIYKTPPSQPASPDGRRPSIP ASFASLEVASRVGRQLSIDNTEERAKSCSPHPASSLQREASVLSAASKVDVSSLGSRA SKITAPGSMDETEERLLRETGLLDVSSSPQARKHSSATNDSEVDQKPDDAQAAFQGER TSRIRRSLHRTLRDSPSGHHLHYPRKKKSRDSGFSMVTSDDNQKPQQGEGLSRKSTNF TVHGKKASIVTFGSEWQNMPPEERLKLRKPTPSDEPRASNPELASSAGSVTSESLYPG SPHPLRSGSIATKGSARDEPWGLAEAAEAAGVLYREDKAKSDAAIGLVPELSEPDAAL VPPVLTLDEPSAVPNEGVSPHSTRDDNDSLVEKTTPQGMSPSPPEQAVNA AFLA_046260 MNHHVSTSHHAVNVHNADPETRGMKKRNTLIENTDTCDACRDEL HLSDLTQHFHRAFSTVTSATPFISMAEVAGVFSSNNSGAKKYTYEESLVPRFISRGVG VGLVTTESIPAGSVVFAEDVAWTTEEENLSCQTPREVNAMLGAKVRAMGDEWLRKFLA LPSSLKQDLGVFAGIWDLYQLPIVMNGVRAGIVGLNLAAVNHACVPNCALTIINKYAK DENGNIKTGESPMVGRAVVRALKNIPKDEEITVPYFYGKGQQKARELFSWTEFGFFCS CKACMQPKDVIEIAMDKYWKVERILNHPDTVDLYPAMAFKSAQEVISRLLTCQIRDAR VAMIWAKCAMIAGYHSDIARAMCFLAKAYRMLAVLEGSTGVFYRQILIWYETPMVMPG FGATTRGLSTAIEGRNMLDERDVKEKLFMTAAKSDEYIRIHRYHRLSDAKAKQKGSRY LLLPEKPTKPKGAQKLLEPDASKSEHFPKHRDEPTAPETVHRSGSGTSNLKRNKDKPN DNTSKGKPQVCKSHSQASTQETSSGEVCTDPHWDMLDFIRELMADNLDLFPDYHSPTV PEAAKKKKKKKKKSKKGKDSAQAGGNTQQE AFLA_046270 MAHSKRNTSLPHFTSYERGLLRSQWGTQRGVIGRDSFLPFASCR LCLHPARAPVVACATNGDIFCRECAINDLLAQRQDIKRLEREREEAKKRLAEEDERTL EEARERELREFELVSMGLEVAKNKSSGQAQSDNHKKRKAEEATEALAAFKAREIEVDG KRKKVFELDEKEMARVAREEQERLKQQLKKEKSESSKSALPSFWVPSLTPNTDPNEIA ANKAVKLTPVCPGSTDEHRHSYSLKSLVDVHFTEEKASDGSMARICPSCKKTLTNGLK AMLTKPCGHVICSPCVTKFMTPHDAPDPHATKEEQEQTAALHGLILCYVCEADITPRD STENGKESGKKKKKDKETIKPGLVEISSEGTGFAGRGGNVATKTGVAFQC AFLA_046280 MSKATFAAIAAASAATGAGLTALLYSSSSPRPQQQQQQQQQQQQ KLPPSAPVTSTTPVPTHPTAPSLAAKSLAGPVDPSGIYQYGFPGPVADTLLSAPLAGA YDRRTRNPAWVAEHITPASLAMKNADRKHSTFYEDTTIPAAFRAKLNDYFRSGYDRGH QVPAADAKWSQEAMDATFALTNMCPQVGEGFNRDYWAHFEEFCRGLAKKYPSVRVVTG PLYLPQRDADGKWRVSYEVIGNPPNVAVPTHFYKVIYAEEGPASPTGKVALGAFVLPN ARIPNDKRLTEFEVPVEVVERASGLEFVSKLETNRRKRLCQEIKCDVVVREFNNSAKR N AFLA_046290 MTLKSILGRVALLFLLACTSSAAMSFTRYSKPVPEGLVVCETRE QMNQAARDHPETVLHDEDGGYYLKDMNGIPVAVAADSLCPELDKSFAEADTMIAQNQL EGEDQMGTDDGLDSTAGANACAHRRCFNSVICITYRDCHICSSRHICI AFLA_046300 MAASNDVRGWIMSGVSGVACILGSAVICVDVLLRHFSRGKGFQI VNNNAFLSASLCLSAGVMLFTSLYSMLPTSKEYLTRAGWSPAAAAYSLIGLFLAGVIV IRFLSALLHRYIPSHVVGCAHSHEPDANSADPERGHGHHHAHHSEDHHGWTERTPLLA RASKSSPAAPHAGSEAHSHSAVFPAGTWRVRLGRRLSRMVGVKTQCDKDGPCYGFSQT CGAECSKTLIAPEVSVADDTGVHTIPVSVDIERVDVPEEDLSVSNHTHHKLGDSSSST PRNEISEYLTSSAGDADGTSKTQVPGSQHHHHVPQNAFLSIGLQTSIAIALHKLPEGF ITYATNHASPKLGLTVFLALFIHNISEGFAMALPLYLALQSRGKAIFWSSLLGGVSQP AGAGLAVLWIWGAGRTGSPGDDADSSWAVYGGMFAATAGVMTSVALQLFSEGLGLTHN RGMSIGFAIAGMGIMGLSFALTA AFLA_046310 MPPPTFSYGLNLANKKQPNARPGAPGALGQKRKKTIFDSDSDTE SKDTGSGEVEISTIGGLEETKAASSSSVKVSTTEPPAKRRVPFGGAVTGKLNVKPLSK NSIFADDDDEDETTEKQTGDSGVSFGLNTKKGGGGGSAAGNKDYVNLAAMHSSKKHAQ EAEELDPSIYSYDAVYESLHAKPGKGKAAAENKSEGPRYMGSLLRSAEVRKRDQLRAR DRMLAKEREAEGDEFADKEKFVTSAYKKQQEELRRIEEEEAERERQEEERRKQNGGTG MVDFYRDMLSRGEQQHEAVMKATEEAARRVQAGEAPEETEESKEKTEAQKAEELNARG AHIAVNDEGQVVDKRQLLSAGLNAAPKPKQQPSAATAAAGSRAFAPKSRFQSEQQNAR AGQRARQTEMIASQLEEKAREEEAAEAARQKEIAERSRSRKTEGDVSSAKERYLARKR EREAAAKAKGA AFLA_046320 MSYYFTILSSTDVPLFNIAFGTSKGGGDGIARFRFPDTAQYMNQ FIIHSSLDIVEEAQWMNGNLYLKHIDTYPPASAYISAFLAPSGARFLLLHQPPQLPST SSTGSGSSSILGASFSASALGGGSSSRASSSSIGANPTSPQTEEAVRQFMNEVYENYV KTVMSPFYRQGMEIKSPVFRSRVTAAGRKWL AFLA_046330 MTPDGASSLSLRGSGKYKPRAESLSPPPCSHSQTPVGAPLKDVE DNQLSLKSSGHRDTSPETPSGHRANVSEKKQRRRWPLKMWDLVVDQWFLIVMGILIAI ASQVQVPKSQQQLKQTVVNYLAVSVIFFINGCTLPTQVLIENLSRWKVHIFTQAQCYL LTSSISYGIVSACATDKDFMDPALLIGIIIVGCLPTA AFLA_046340 MADPTDLNLDAPSDLQDIPEMSMQLLPPPEGTFPDKASLLAAVQ THGKAHGYNVVVKSSSTPTEKKPGRTAKVWLRCDRGGHYRPRNGLTEETRKRRRTSRL MDCPFMLVAAGTPGIWTLTVLNPTHNHGPVVEKPRQAPHHKVRKGQVAAAPYDWPHDA TLTPYTTALVLIDMQKDFCSPGGYMEYQGYDISAAHALIPKLQHLLNAFRASGFPVYH TREGHRPDLSTLSSREAYRSRNNASGLGIGSPGPLGRLLIRGEVGHDTVDELYPIHGE PVIDKPGRGAFAHTDFELLLRNKGIKNLVIAGVTTDVCVSTTMREANDRGFDCVILED GTAASELSLHVSTIESVKMEGGIFGAVAKVDDIVHAVENFKNSTVKKLAPQMTV AFLA_046350 MPSADDSTSSRPNGTSSSRDELAYYKKQYEQLEAELADFQSSSR ELEAELEKDIEASEKRERQLKEKVDNLRYEVDEWKTKYKQSKSEANTAQNTLQKEITT LRDANRTLQLKLRDIEVANDDYERQARHTTSSLEDLESKYNMAIERSVLLEEEIKIGE KERENLRIENQHLRTELSELKVESEIVQERLRNAESHGGRRRKPAPLHRTPSTPQTPE IFDRSPGPSTVSSPIFATPPMKTSLIAATATPPSPPISESSTSMRKSINATPGFPRQK ASGSESYSSRSLHSFPRQKASGSESYSSRSLHGSRTQKLSHAHSRATSSAHSNGRSTT SATSRASLSKPSPSLSKPSPSISRASPGFSRPSPSLSKSTNNNNSTRSSGMPKSGSLY QIRGLIGKMQKLEERVQSAKSKLPAPSDSPSRVSSRSGSIVSESPVASTITVRREPRK RLSGSSFSSSIHGDGVSSYVSTSRPSFNSRPSSRTSYSSSFSHSTHPSIAPSTRPESR QSRTKTPLGHYSTNPTTESRRPRSSLSNPAGQNVPINGMSHIDEDEDLSMHMSMRAKI SEVRETRLPSFSTPSGLKKRTPSGIPSIPAPRSFRTSTGLDRREGHMGPPDSKTKTTT DLGETF AFLA_046360 MAHVNGTSTAQYNLPSHFIGGNHLEAAAPSSVKDFVAKHGGHSV ISSVLIANNGIAAVKEIRSVRKWAYETFGNERAIQFTVMATPEDLRANADYIRMADQY VEVPGGTNNNNYANVELIVDVAERMDVHAVWAGWGHASENPRLPESLAASPKKILFIG PPASAMRSLGDKISSTIVAQHAGVPCIPWSGTGVEEVKVDDKGIVTVEDEVYNRGCTF SPEEGLQKAKEIGFPVMIKASEGGGGKGIRKVESEEDFVNLYNAAANEIPGSPIFIMK LAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAKPTTFQAMERAA VSLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVSGVNLPAAQLQIA MGIPLHRIRDIRLLYGVDPNTSSEIDFDFSNEESYKTQRRPQPKGHTTACRITSEDPG EGFKPSSGTMHELNFRSSSNVWGYFSVGTAGGIHSFSDSQFGHIFAYGENRSASRKHM VIALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDQLITNKLTAERPDPIVAV LCGAVTKAHQASEAGVEEYRKGLEKGQVPSKDVLKTVFPVDFIYEGLRYKFTATRASL DSYHLFINGSKCSVGVRALADGGLLVLLDGRSHNVYWKEEAAATRLSVDGKTCLLEQE NDPTQLRTPSPGKLVKFTVENGEHVRAGQAFAEVEVMKMYMPLIAQEDGIVQLIKQPG ATLEAGDILGILALDDPSRVKHAQPFTGQLPDLGPPQVVGNKPPQRFFLLHSILENIL RGFDNQVIMGTTLKELVEVLRDPELPYGEWNAQSSALHSRMPQRLDTQLQNIVDRARA RKTEFPAKQLQKTIARFIEENLNPADAEILRTTLLPLEQVINKYIDGLKTNEFNVFIG LLEQYYDVEKLFSGRNTRDEDAILKLRDEHKDDIISVVQTVLSHSRIGAKNNLILAIL AMYRPNQPGVGNVSKHFKPILQKLTELESRSAAKVTLKAREVLIQCALPSLEERLSQM ELILRSSVVESRYGETGWDHREPDFGVLKEVVDSKYTVFDVLPRFFVHQDAWVTLAAL EVYVRRAYRAYTLKGIQYHSSGEPPLLSWDFTLDKLGQPEFGGVTSTHPSTPSTPTTE SNPFRRLNSISDMSYLVNDGSNEPTRKGVLLPVQYLEDAEEYLPRALEVFPRAGSKAK KPSDNGLLATLEGKRRPAPRIESDNELTGVCNIAIRDVEDLDDTQIVSQINGILADVK DELLARRIRRVTFICGKNGIYPGYYTFRGPTYEEDESIRHSEPALAFQLELGRLSKFK IKPVFTENRNIHVYEAIGKGPESDKALDKRYFVRAVVRPGRLRDDIPTAEYLISEADR LMNDILDALEIIGNNNSDLNHIFINFSPVFNLQPKDVEEALAGFLDRFGRRLWRLRVT GAEIRILCTDPTTGVPYPLRVIITNTYGFIIQVELYIEKKSEKGEWVFHSIGGTNKLG SMHLRPVSTPYPTKEWLQPKRYKAHLMGTQYVYDFPELFRQAFQNSWTKAIAKVPSLA EQRPPVGECIDYTELVLDDTDNLVEISRGPGTNTHGMVGWLVTARTPEYPRGRRFIIV ANDITFQIGSFGPQEDKFFHKCTELARKLGIPRIYLSANSGARIGMADELIPYFSVAW NDPGKPEAGFKYLYLTPEVKEKFDASKKKEVITELINDEGEERHKITTIIGAKDGLGV ECLKGSGLIAGATSKAYEDIFTITLVTCRSVGIGAYLVRLGQRAIQVEGQPIILTGAP AINKLLGREVYTSNLQLGGTQIMYRNGVSHMTANDDFEGVQKIVEWMSFVPDRKGSPN PIRPWSDNWDRDIEYHPPPKQAYDPRWLIAGKEDEEGFLPGLFDAGSFEEALGGWART VVVGRARLGGIPMGVIAVETRSVENVTPADPANPDSMEMISTEAGGVWYPNSAFKTAQ ALRDFNNGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPIFVYIPPF GELRGGSWVVIDPTINPDQMEMYADEEARGGVLEPEGIVNIKYRRDKQLDTMARLDAT YGELRRSLEDSSLNVAQLLIGNKDGGLKGVQQVLSMLPVEEKEAVLKYLSS AFLA_046370 MYRHPDDKRSLGDDASWAYDLYSLGLVLMEIGLWTPISRLWKMK YNNSMFKQRIENVYLQKLGPKCGSAYLHVVQLCLDAPNFHLSTQPFDDFNLRVPQTFH YPVLDLSEPDSIFSFSMNFIYTMSKIVWSCCRIDIFSAPGAEELDDCLPLALVPGSDP GATKAPVRDYNPTPEPFVPYTEPFATLPTAEMKLIREKMGLDERKVRKRTFKKLTNVE IPQEHLNEWNFQMLPRLRKLLQKILKDSSESCSVTLMMTGEAPESARTTICVTCASAK KVRAALKKYFVLDREDWDLIVLRGDIQRSKVPRKKRRRPAKSGPERNDVPASFRPDPN PCYQPRPLCGASIGAFMNEEHLPPVTYGGAILVDGMPYGMTVHHMLESPSDQEDGEDE DPGAPLRSAGNWPRDPGPQEAEFMYSWCDENPSELELEISEDEDGDDNSISLSLEGTY DDFNLSDGFSSDEDAFDIDEDEDSASVGDTAGIDPGDEPPLFVTQPAIDDVREDFFPC PEDRDDEHLASHSLGFVHASSGVRRWTRKGIKHEIDWALIKINDDRIDPRNIVFSQQP MQQTETIYLNDIARLEDLGGLKVHCCGRTSGLQTGQISRAMTIVKLHGRQTFSTSFCV DGNFGVPGDSGAWVFEKSTGRVCGHVLAWSEKSHTAYIAPMEVMLEDIARTLDATYVS LPGYPHESFSYPTPGSSHFEPQNPRYRAPLPLPEQLPVDIGRLRLEDPSMGPVHPSRA REVSTPYRGMPPILTPPRSLERQLA AFLA_046380 MTKLQRSDSPRVSWSHDQSSSGPSSYVPGPGETDSEFASHNTIA SAGSSLPDSHWNTTSQSSTPVGRPWDIASPHARNDAAMDQLHTDFAFDFPELGPGSEE DRESQSDARSSANDLVGAVQEFTPHDPSSANNPANLNQEPAANVPPPTQTDHPSTAPP RNLVTKLFDWFWADITPDDQAQERPQPDEEHVVEDPALEEPFVPLQNNRRLANAGAQD NQGIFADAGIDGNDVDAVEDGDDLEGILELIGMQGPIFGLLQNGVFSALLISFTVSVG IWLPYLWGKIALVLLANPIQLVFGVPMTAVSVFADVTLDTLIGILGYVMYGVSLIVKL LLSPLSALLPLGDWIPETKSITTASLSLIDASSHRLGNVISSFFVFHESDVPMFSVLS HQALKIHEERITSLFWLCFGIGKFVLYDFPLRLLAIELPDSQSLKHGIVDLISLIPQA RGQLYKFGSQILFSSNAKWFNASVTGLASGAIAVDYELAVWDTKDRVIAILMGYLLAS ALGLLYLRITGLVSGADRGQRVEGIVADVLHQAGGVMKVILIIGIEMIVFPLYCGTLL DVALLPLFENATIASRLEFTSSSPLTSLFVHWFIGTCYMFHFALFVSMCRKIMRSGVL YFIRDPDDPTFHPVRDVLERSITTQLRKIAFSALVYGALVIICLGGVVWGLYYAFDDV LPIHWSATMPVLEFPVDLLFYNFLMPLVIRSIKPSDGLHGLYNWWFHKCARFLRLTNF FFNERQPDEEGYHVRRSWWATLSLAKGDTGYPVKSGEQRRDADDNHIDAYFVRDGKFV RAPASDQVRIPKGNSVFLEVSESNERIDGLPETNEGLHSRANPMFAKVYIPPFFRTRI AAFIFSIWVFAAATGVGVTIIPLVVGRKIMSSHFPNRPVNDIYAFSTGICIVGSAAYL ALYCHTSFAAVKDRLRPYLQSPRQACLGLTGAAANALRLIYIALAFSVFLPSLFALAM ELYVLVPVHTYLDGAQTHVIHFVQDWTIGVLYVQMAIKFVLWYSTSRPAAALNGIFRD GWLKPNVKLATRALLLPVMLLTAVAVALPLAGGFLVNSTVFYSTPEVQFKVYRYAYPL TLLLSLLFWIGFLVNRQVEKWRVNIRDDVYLIGERLHNFREKRAKDVGVPSQVITG AFLA_046390 MTTSDSGHASEGIVKDMGNKEVQPKSRNTSIFRWTLGLVVRLCI WYILLTPFFRCPAQLSDLNESSPRVCKPYLVARSYVEPHVLPFYNTYGAPYVEKARPY VGVLNEKVYTPAANVAKLGYDKYGAPALEQAHAYGSEQWEKQVAPLLQSAKDGASELY NAEVAPQVQRVTAVMSPYYQKANFAFKTTCVGYIQPFLSRTRPFIGKTYTSGQNVLTT TVMPYAQTSWSSVVYFVNSWLWPQVTGLYSENVEPQLVKIGQRLASYREGNQLRKVVD EVDNVVPASSETSKIESTPTTSTTVVSSTSRALSPTELATQTREKIESDLRTWQEKFS VAADLGIEDLEERLYGLVGGYVNGGAENHGRSLLTALETAVEHELSAVKLRIGELTEA LPSEHSPAEQTAQSELLKDIRQAAVVIRDRAHAIREWHSSFDQELVHRVSAAVNSTLD VLDSVRDLGLQEIGMRWAWMDGVTYKDWAKYHALKAQFEDWKGKFRKVGMRHPKLEDA RALSDDILSRGMDVAEAAAKELARLKDVGRWKIAAREVSDNFDTRSGSPPPRPMPSEP STPAPQEHYLDADSPNHKASMHRETGNASSAAQGAAESEDQALGLDDAPIAHDHVNSE GVELSNEELEPSEAPAESGGHIETGPLRAQQTDREEDTTKSTWGVAAAEAMSKQGVPE FEEVPENVYSALNSVRKNYAEVERSAGAGSSQSLEQEAHEQPDDSQNLHKPQAPSSSS AHYEAVENLVSELLAGKDPSFVQDVMDKLHAIYRTSHPASEPQKDIVANDRAASIPFS PATSMRVGGDSVKDSYEQPEAFTPVVESATSSAVYTPSEPAFPLESTQEQHAMIATNE GDLILDATETATYRIQNSDDNLEETPDRDGL AFLA_046400 MAQKAAKTLATRNASLLLRTHITTLCLHAAFLLLHWIFNRPRSL TPYITFACPTLAIEFYLDRLGRPRYNPADGSLRSPGEDLGAAGLTEYMWDVLYWTWGC IGAVCVFGDRAWWLWIVVPLYSVWLAYTTFTGMKSGLAGMGGTEPAVTESKRQKKMEK RGGQRVQYR AFLA_046410 MWDAFLGLCETGVNIRVPNIYKMSSELLSVLSSSQEDIDIPPDK VAPAGGPLQTSANVNPSLDPFMSAASRSDSGTTHGSSALWEKLNGSTVSVASNGTSTP AVREGTETPGGQSSESDDFRLANGVGDTSWEPPLAPARKNRTIQSIGADHAMDPPPKM KPTGIRQRTRMRVEQEEQTTTQVEKESVTGSRVGDRKRTVSGQVAHPPAPTEPGAPQR RSVRLFNQIKPTTSKLSGTALGTKDGRELKKVKATGAKGRATAATGVGRVVSGNRKHV GEIHESDGKEHRPVSTVHSGATNVASKAATIEKSKSIEALTWLLELFSKLASGYFALS RYRCTDSIQIFNALSQGQRETPWVLSQIGRAYYEQAMYSDAEKYFVRVRNIAPSRLDD MEIYSTVLWHLKNDIELAYLAHELMEVDRLSPQAWCAIGNSFSHQRDHDQALKCFKRA TQLDSHFAYGFTLQGHEYVANEEYDKALDAYRHGISADSRHYNAWYGLGTVYDKMGKL DFAEQHFRNAASINPTNAVLICCIGLVLEKMNNPKAALIQYGRACSLAPHSVLARFRK ARALMKLQELKLALAELKILKDMAPDEANVHYLLGKLYKMLHDKANAIKHFTTALNLD PKAAQYIKDAMESLDDEEEDDEDMA AFLA_046420 MSFLSYVASGISSFVVVTLSLFTLGQKIPRAAFVARCLASYGSL LLCATYGVIASIVLRLVGYGRVSQWATARSFKWVMRYTTGVHFDIVEGQEHLSTRPAV FIGNHQSELDVLMLGSIFPPYCSVTAKKSLRHVPFLGWFMSLSRTVFIDRANRQTAVK AFDSAAEEMRNHRQSVFIFPEGTRSYSDKPGLLPFKKGAFHLAVKAGVPIVPVVSENY SHVLSPRNWRFNAGSIQVKVLPPISTEGLTAADVDALTQSARESMLKTLLEMSEKNEI EVGNSHANGTSTAVEI AFLA_046430 MQPPQDESSNCMVRWYIDTRDLTATTTSLPLLETLQPPDQESAK RFYHLKDKHMSLASNLLKYLFIHRTCRIPWNQITISRTPAPHHRPYFNAAGFIQTAAT DKPIPNIEFNVSHQASLVALAGTILPPSSNNDSIAPTNVITNPNPTSTPASSIPQVGI DITCVNERRNTPETRQALEEFVGIFSEVFSQRELDTIKSLHGVPSHIGNDEDGLVEYG FRLFYTYWALKEAYIKMTGEALLAPWLRELVFTNVLAPEPAGRHLHTWGEPYTGVKTW LYGKEVEDVRLEVVAFENDYLIATAARGGGIGWRSEGDGADPWQRLEKIDIEKDVRPC ATGVCQCLK AFLA_046440 MSITVGVLALQGAFYEHVQLLKQAAANLATETHASPKWEFIEVR TPQELDRCDALILPGGESTTISLVAARSNLLEPLRDFVKVHRRPTWGTCAGLILLAES ANRTKKGGQELIGGLDVRVNRNHFGRQTESFQAPLDLPFLNSCGKDQPPFPAVFIRAP VVEKILPHEEGIQTSEVGRDETVVAPSKHVKDQAALDAMATQVEVLATLPGRAAKLAS EGRNIDADKEAGDIIAVKQGNVFGTSFHPELTGDARIHSWWLRQVEESVRRRRKLEQR AFLA_046450 MTVSPRNTVERLDRPSAYYLGKNKKRKYSQDDADKVAEDPTDNL KNATTLYVGNLSFYTTEEQIHELFSKCGEVKRLVMGLDRFTKTPCGFCFVEYYTHQDA LDCLKYVGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREEYDPGRGGYGRA YADDQRQREEEEYGKGR AFLA_046460 MCTTDEKEDAWAPMTLWVAMKPGDLYALCPLLPSKWRAPATTVP SLSAAIIPKLAALEEDPADFEDELTACRQQYDWLTEIDTQDPLHVYESDTGPESEILT RPANPSAIPRLQGPFRIDTGDEVDDLDLCDLHVIAAKVDVDALMMGEDELLLEDNGED KLSATVICLSTGSGRVHVCLELDGVEGQWLPRARKNAFSTPLSEPSDLVLVESLDTMR EGQQSLKNWPTFTKDVHSRYGFFVTTENNVTFISLSSWVQRLEAELQSEDTSGSGFRL EVLCEGTVSLRERILQTDEAHQIAKDQPDHLPSSVVYYDYDLGYLLLTYRGSHPYAAI MDTPEVSFPTLTEPNPEAHVPGSPVLPPRRPPYQVPAVFYSDSPLEFFVDKHIPHRQR HTLKEQVRLSPATLDLVAAAHRILSAHTNALERAASDLFRRCERLQGEMQEQLKQLTD VSERIKGVSSEIGEDGERKENSRSGEALDKRLQAAKDKQEQLVQRYEAIRNKVLKSGG RPLSEKEKAWVSEVETLSESFGDSRQEGRDNGQQLSERLETVKEIAADLLAEAKSIAV KAPSPTEPGSPASPGGSQPRVPQRLQRAKIADAMKMVERDLEYSNEEQALSNMVAVRI YGFQKEHLDIPAHLETIIPAELRTAFYRAKYIAGQTFRQLEYLEIRQANRYQAMCPPT SINYYNHQMSVLRLFSWRHDYHWRNPTLAPTEKLDPAILCFHIDQSAYQSYQTIFTKY QETFMSGHFLAWHNIKRAVDATVAKSKLNDVEKRMWNQFWHTNFLGEMQKWEFRAMAL AIPSWEEIVDELYNAILECVKGAEDMLANAAHGIPGKRVL AFLA_046470 MTGLPAGWEVRHSNSKNLPYYFNPMTKESRWEPPSGTDTETLKF YMANYHIGLMVQVMVKGKSAAATFLSNIRTAGGPAAGKSQKLLGPRRRRLKSFVVMKR VSTRARSAWEILRCQNQTAAAPGRRVILASLDVERCRRSSRTLPLLYSPARSAVSWTR LQVSISLNGKLEVLLGNTSE AFLA_046480 MSASPSPSAGGDSKTNEQVHFRFCRECSNLLYPKEDRVNNRLMF TCRTCHVGEPASSYCVYQNKLNSQVGDTAGVTQDVGSDPTLPRSNKLCPSCGEAEAVF FQSQQRSAETGMKLYYVCCACGNVFV AFLA_046490 MPSSKARDSPSVERRDRLTLAKLASYDDVATDALVDRAYFWTNT RKNRTKYIPVRGVHEDDVARILLHEVIVAKDSAQAEKQLLAMSGMKKYLAKLPNDREK EWFRRHLRKYIQMYLPDSPFEVTTTNRYTITEHEAAICARKFIKQGQEIKYLSGTLVP MTREEEQELDLKRKDFSIVMSSRRKTPSFFLGPARFANHDCNANGRLVTRGSEGMQVV ATRDIYIGEEITVSYGDDYFGIDNCECLCLTCERAVRNGWAPHVDSEEGSSKASTPAL NDEAISNDSLLSPRKRKHHLDSDSDISPSSTPRKRSKFTRQSSKLRSAVSLADFAPVG SGSDNPPPQAETSIVPETTGAASITNDTIVPASGSAVEVSQASATDCDSSPSLEADES HHSSTSTTPTSIGDVKIKVEDTVEASLTESASATHITLSITGQPHNDRHPPGTDNDML SELSEITDNPQKPKRSRGSRWKHGVVPSVEEESHRVRVPGDYTKTSKLLAQAYDRWVD CHTCNVWFVQHNSYLTRRECPRCERHSMLYGFRWPKTDKEGSMDDEERVMDHRTVHRF LYPEEEARISRKDRGVSFGVTPTPELSEPRTETEDSEACDDRRNTRASRTRTRSLRMT M AFLA_046500 MALLIHHLLALYLTLTISIARAYESPLVSLDYGVFQGRYDSTYN LSYFRKIPFAAPPTGENRFRAPQPPMRILDDVYDTDQDFDMCPQRTVNGSEDCLYLGL FSRPWDVRSSTAATKRPVLVVFYGGGFIQGGASFTLPPSSYPVLNASTLNDYVVIYSN YRVNAFGFLPGRAVKESPISDLNPGLLDQQFVLKWVQRYIHHFGGDPHNVTIWGQSAG GGSVVAQVLANGRGRQPKLFSKALASSPFWPKTYAYDAPEAEAIYEHLTNLTGCANAT ETLACLKAVDVQTIRDANLIISESQKYTTSTFTWGPVIDGEFLFDTLTEAVASDSLQT ELVFGMYNTHEGENFVPPGFRSLNMTNGLNSSIPSFHQWLVGFLPRLSSEEIRLVESK YYPPVGRSETLDLYNSTYVRAGLIYRDVVLACPAYWIASAARTAGYAGEYTISPAQHA SDTIYWNQVNSIQQTDELIYQGYAGAFASFFQTGDPNAHKLTNSSQPGVPMLQSTGDE FVVTDTGFETAELVLLKERCDFWKSIGERVPV AFLA_046510 MNRLGRMSLAVRPPVCLLCRSGAPTLLPSSVSQVARSMATARLR RKVARMALSPDVAKSSINQKRSGKAKFGPWSGMNQTEAHIRGEPRSRSQAALRRSGEK AADTPRKSDSPLYKALKMQTTLAPVPYGRRTAVKSKIADITSFDHFPLLPVVRHSIFS QALPGLVDVTPTPIQRLAIPKLMEDSPDGKRGTKLEDGDPQYDQYLLAAETGSGKTLA YLLPLVDAVKRLEVEDKENERKEEERKAKEKEERLKNRAFDLEPEEPPLSNAGRPRVI ILVPTSELVAQVGVKVKALAHTVKYRSGMISSNLTPRRIKSTLFNPDGIDILVSTPHL LASIAKTEPYVLSRVSHLVLDEADSLMDRSFLPTTTEIISKVAPSLRKLILCSATIPR SLDNLLRKRYPDIKRLTTPNLHAIPRRVQLGVVDIQKEPYRGNRNLACADVIWSIGKA GDSEPSEPFASYVGPNIKKILVFVNEREEADEVAQFLRSKGIDAQSLSRDSSARKQEE ILAEFTESAPPPSPEEIMLAQKKRRQEDAIPFELPENHNKPENVRRLANTKVLVTTDL ASRGIDTLPVKTVILYHVPHTTIDFIHRLGRLGRMNKRGRGIVLVGKKDRKDVVKEVR EGMFRGQALI AFLA_046520 MGSGTGQRPLSEVSPMAQRRNSPVWNQNTKMMRNSTDAYDVKSS PRLFWQGRESGSPFPKSQENQVPYDPESPFSSSKRPSIENLKRQSRVRNSNMLRDYNQ EYDPTNVYVPQRPLATSRSPQKEAQSTQTSQMSNRQEAGPIGPRPPSPSKEQAPPAKS SLSRASRFGVKDMGFDPETEIWSDAENRHAKSVTFDAAPPQVNEYEMTTPDPSSIASD SREGSYESDEDEFPDISFDRDSLTDREDSFDASLEDLEKTPVVLPEDWRYMSPSSAND DLVKEDEDPFTEDEENHNPDPRPSSRQETSEKHAPVESLDSNGEPRPLPPLPGRTSFP PHPSSPSKLASAFELGSGSQRVLPSPPGPASYSKSDITEFGHSSMSLEDRLRLMKIQE DGQEDAKPEHQDIEPQSKHDDGNQGETETHSNQPKQHDSESTDETPGAAPEVFSPPHI SRDSILRDLRRSESFMDDSFDESSQIPSSPHSYMNYDPDVPIPSLENDDMLDDDDYDD TNSVVIKQEEDHDNDLYDIPDYYETIPSKDSSFKSLKDKLTSDEESRYSSQQMEDDLT EKGSARNSDAGQATPVATDQDDKASSEQTPQETQAEPAPGSKMSTIKEALQRPITPED DGQISEPSTPDSVIRHPVDDDSDTGVPSVESVPDPIATVKAPGTGLKTRPSLTPADLE SMAATRRRISGQHPPPMPGLSKQPSNESEHSAPEDTTPSQPAKEVSQRQSSLVKLDIP FSIQEESLGFGLDKEFDRVIENQKVAFELSLSQLYSRAGPNRHMQESLGNNMEPIANE QIPKQRGYLMRHNTKVIIASSRNEDEPATAPGAAPTESRGTKSAIPTPRKASQQTWTT VPWNGQTRRASIRQASGIRKKPVPGPVPPLPGQQSNVQENPATIEENEPALNGALDEG EERGRLFVKVVGMKYLDLPLPRGERSYFSLTLDNGLHCVTTAWLELGKTAPVGQEFEL IVQNDLEFQLTLQMKVDDEKFRVQEPPPTASPTRQKTSTFSRVFASPRKRKELDMKHQ LASQQQKKTDVNAGVWERLRTLIARDGSFARAYVALSDHEKHAFGRPYTVDVACFNEW AVEDQPSSVKSKKSTSSATSQRRPPYKIGKLELQLLFVPKPKGAKDEDMPKSMNACIR EMREAESVSSRSWEGFLSQQGGDCPFWRRRFFKLQGSKLTAYHETTRQPRATINLSKA VKLIDDRSSLMQKETTTKGGGRRKSAFAEEEEGYMFVEEGFRIRFGNGEVIDFYADSA ADKEGWMKVLADAVGKGSSGSSQVKQWTELVLKRERSVKSGREMTDRRLDGPLPPPPV KKDKDIAPPPTSTAPAPAAAPPRAKHRYTQSQPEVRSADSRRQKARSLIF AFLA_046530 MRSYVSARQYLAGFLCRSFSPVNSQRHFTSYTPLSNLRRSLEPW TITKEELADPKKLIASVRRGIWEGQSGDKRGRLDKENEAKRQKLEKGEEVKAPIYATH FSQEDIENEQRRPKKKVAVLLGYSGTGYKGMQLSATEKTIEGDLFAAFVAAGAISKAN AADPKKSSLVRCARTDKGVHAAGNVVSLKLIVEDEDIVQKINAHLSPQIRVWGILVAS KSFSSYQMCDSRIYEYLMPSYCFLPPHPSTFLGKKIIEIAEKEGDLEAHKARQAEVAN YWEEADAKYIQPVLDTLDEDIREVVKNAIYHEKPEDESFGTEEQSQATEGGADAATKP ELTEAELAHRRKIIDAVKAVKAAYNTAKRSYRIPPARVARLQEALDKYLGTKNFYNYT IQKLYKDPSAKRHIKSFKVDPNPIIINGTEWLSLKVHGQSFMMHQIRKMVAMATLIVR CGCDPKRIVDSYGPTKIPIPKAPGLGLLLERPIFNGYSKKAEELGKKPINFEVYATEM NEFKQREIYDRIFREEEETNAFASFFNHIDHFPQEEFLYVTSGGIPAAKPATQPSAAT EDAQKGRKSQREALAEIEEESEDEGNLPNNGEEGG AFLA_046540 MDLANTLIRTVVRAFYETRHILVVDALFIHSVLHAEDLAFLLGM QQKDLRKLCAKLREDRLISVNTRAEIRDGSTRPVNREYYYIPLHPVIDAIKFKISKLT STIKAQYTPSEERKEYICLRCGAEWTELDVLSLYSEEGFECQNSRKAAVEKQNALPVW HTHSTVSTGAGSLNTVKTETDVDVKSEIKEEEDRKPDLDALDDKVAAYYAEMEREKAL QAQEDSSSAEEDSDDFDEEFEDVGGVSASDTASPAIGGAGAGPTSAPTNTTSTGIKRE FDTDSGTSAPQTASATPSATDEGPAAKRVKVEPEVKKEESDEDDDEEFEDV AFLA_046550 MAAAPLESSSTPLDPISTDSTCSPGDSMEESDPQSTRKRPRLDS GSGIRQPWSSNEVSASRLPERTPDAPATTEQEAPTSTRPASRMTINTKSPVADNMTST PKDTPADQSNAHSPAPSADDAGARPSNVISLLSSPAQSPEIEVAELEDMDQDPSTSSW KPLGEALGDSEVVQLHEQAPLTDNFPKFRSDLDLRDNLEEIGAIIEKGSPPEATPFFL AVKSWLDDVANNLEQLTFEGLLDERDFWEQLPVIPESLLRNSQDLQPDEGRGPWVCLE EFFLNYTRLALHIVRLDILVLGQLIEDADLQVSDLISRAYLPSLGWMLQVNGIPFFRT AERVHGVEVINLVARLNDHILAPPFNVLQWLSEYAACVMTLIPRWSQLSSPLVSVVTI VHNLMDSGNERRKYQADEALINSLTYLRAMKSAYSLMRIIDENYQMHVSKKSSWVTSD VSDPMLRFISWVYFTISLRGQDLGLQIAKDLSIAVPEGISSDECASIIYYGWKFAALK KHILDGRMELRVNGMETMQMDLVNVWRQYIQNDPAGIEHPVVQYLVRFLRENEIVEYI VSIDSHPQLISRSGNIVGFLIVTSTYTDTDTDTIWKAVTESPDPRTVSEILRMLTRTF QMHLPTSPALLYLCSKLLDLPLSRFDSRMVDFCEQLLLHVREKHRERYQLVDEPHVDA VPLRLCVRLIRESAAADDLPVEHKAILQKFSSSQLTLFMDVGLSEMDKMETYERCVQD LAEMNQFTVGSIQALNALVPSYDTQEIRKLAMDFDLTRLLINELAHTVDMNQTDFTES FSRNGFLSRVHLLACVIDKVPDTITTELSDVLWRRVLMSQTLAQQGRRALWDTLCTLT RHSTKSNPFIERCIHGYLSELSPSKDYFLEVLSFAKQAINYEVRFNPPPIAGDNEVIS IPGIDRIWDFILTAPPGSIETDATNFAIEVYLDHNIIHRSPRSAVEATHVALVDRCVD QLKSAASKLKSARGQGANGASDSMAAEIPEEETQAEELRFSRSLLFLRQFLQGLRSRP QYSPPQNSPPGLPGKPVKGELVNIRYQAFDGSTQSKVRSLQIGDLSTASEFVEKLVQV TGFTKLNTIYSGHRIDLLENPTLTVRDLKLNSGLLIVRRDPDSRDVALTGRRQSLTSV DSEVLKHFDDLYDLLGLEDHLAREIYDFLVVFPPQERVLQLVRSTDKSAQDAFPMGKP YNFLYSVNALSICLREEALESSPNQTFVSHSVRVLVAALTRSEMSDSLDNCPMKLLFA TSLVECLLYALLVRPPLAGDTVSIPDSTALVRQLLHLMDVGRYSSTGHLSELGIHKLI CNSFAIMIEGSVRDPEFWAVIKQQAKFDQLLFSLLLEEDRQPIRKGISENIAVACSPS KLLKKTGKPEVPEQREITTSENPVRIDILATIWEAFVQNFPRTLGCVQQSQEFFEIAH LVFQSVAEKSPRDLMLSEYLKQWSAILLNHQTEEFVGREPVDHLLLGFCRLLRSCLDF AGSTNTAVDTFDLAESLFDKYLFPDLSESTSLVAITPQTPVMHASSRQELYGILNLLC KYDDNYTKVVERLSDLIPEDYTYSPTWCFDRYKMIRSPEGYAGLKNLSNTCYLNSLLT QLFMNVSFRDFMMRLDLVDPLDSQILLEETKKVFGYMQETWLKSVDPQGLVDSIRTYD NEPVDVTVQMDVDEFYNLLFDRWEAQISNAEDKKKFRSFYGGQLVQQIKSKECPHISE RLEPFSAIQCEIKGKASLEESLQAYVEGEIMQGDNKYSCTSCGRHVDAVKRACLKDVP DNLIFHLKRFDFDMVTMMRSKINDEFQFPEHIDMSPFKVEYLSDPDAEVQEDLFELVG VLVHSGTAESGHYYSYIRERPTADTRGSWVEFNDSDVTRFDPSKIADQCFGGYNDSMN NNSMGQVRFNKVWNAYMLFYQRVSSMESSKSIYKPTTNHCPVRVQLPVPLANHIMMEN EIFIRAFCLMDPYYAMFVRHLLHQLHDVRDIHPLGDLKLDKCIIFIALDTLEQLISRN REPLGLDAVVSELLKAINELPKAAYRVLQWVAERPAGIRNLVLKCPHAAVRNSSIRVF ISSLSKLQELCNNVEQEEGYKDKWHKRYLDGFENVVAALHGLWTILHTASRAWDDYFE FLLLLASFGSLEAGMVLSYGFLSRCLEIVWLDRDDSKRLRRHYIAYCKLLEKGRRFSH RKLTDLLSVLLQNIDFSAPPTLGEERQTLPDNRYSLTVMESDLLRPVGRNNELLVLKK LLQQYSSPQACRSIVGILVDAESEAGLTDPICKALEDGLRVAPAELCAPFLEATLIFC RRSTDEERIVSLIDYVAKGVESINDSGGKEHLAFFTSIMACRNERLDLNEAWFLSQLI DKIPDWAPTLLMFPDRAVRNMTMEFLRRILFTGEASDIGDDWQSRHTQAAKELVHASI SRLRRTYLSSPGSNVEAKVVETIKAVVEHCLVTYFDDSEQDEGFVRQAQGMS AFLA_046560 MDSEEARLANREKMRQGELYYAFTPDLIAARSRCTKACRRFNHA EDVPRRRLVELWRDLVQDKTPLPPLKDDPEEDEALFENEPWIEAPIRMDYGFNVKAGE GVFINANCHIIDTCLVTIGARTMFGPNVHLYSGTHPLDPALRNGTKGPESGKEIHIGE DCWLAGNVTVLPGVTIGKGATIGAGSVVTKDVPAFHLALGNPARVVRKIETAMEGESS S AFLA_046570 MMAQNTTPSSSSIPLDPILPNSSSSFSINRTSSATMPTSTSKPP PLDPSNYPNGKKSLAGISLRAFLIGTTLGLSISLTIVLRFLETPLWRIPFFLASLSLF HFLEYYVTAAYNTRYASISAFLLSSNGWAYNVAHISAALECLLAHRFWPTAAYLNWGI LAPGLKIQVVLGLVFMIVGQVVRTLAMVQAGSNFNHTVQVERKEDHSLVTHGIYAMLR HPSYFGFFWWGLGTQLVLGNVVCFIGYTLVLWRFFSSRIFREEKFLIAFFGEDYVEYK KRSWVGIPGIH AFLA_046580 MASARSLGALLRSLQSASELQDAFALLPTATGLLSVLANPLNIS LLASQLLVAPSIWDHAVDLHACRKILSVFNTAAMAIIRNEESDDPRIPYTKPVKIERE AWVKAVVSGADEKSPRWRHMLLIGGVLLGFEAQDRQGLPLSVRKKLESALVTAAQLAI EELDPHNKIDGLCITMVLNYTFPLLSDLERSNLNYDRLLPVMIDATFSSPEGLEGGYF LGTIDKDIVEAPGKRFQWSAQSDTFIRVSAISSSPLISALGPLSRLIAHAIENVHDPG LIARSVDHIADFVRTLMIQWRQNKLSEVDKAEEVDFLDAESLKTTIPGLWKLLRNSLY STVIVLRAVLGRIINDHILAANKSAPFLSMQALHILRNLYFITSRVGQNTSSQHMFVT LTAVDILAQYPDLSENFLRSIKPNEIGQIPAHPLERCLDLYFLNTSELFTPVLSPKFS EDVLVCAAVPYLPAGGDNNLLEIFEAAHSVVLAVFAIPGNAQVAAKHLPFYIDNLFAV FPDNLSIRQFRLAFRTVLQVTAPPSPIANTERHLPSILLEVLHDRALHASNKILPQPA DHGAGSETSKTPPLSEQAALTLSLIDGLSFLRVEDLEEWLPLTAQLINTIRESEMRTA CVERFWEALSSGEMDVERACYCVAWWSTKGGRELVLFGNEPGAAESDPHGPYMSGAVG GVAPERESKL AFLA_046590 MTDNRVPINYQTPAFPSLYDPFPRPNAAVYYLYYTRDIWRFTLY WTLIFYLSSHLSVAAWALAMQGRSWRICIAIPLVYGIIAGLEALLAGSIIGLVLGSVY EAGNFRMSTWIPMIWGGINMLVLILSSFPMQGGL AFLA_046600 MRTRRPRYKGGHRMETERPSASYIVDMLPPLARIHSPVDTIPVR HLHQSIGKSKKPITVVRWTPEGRRLLTGGHTGEFMLWNGTAFNFETVMDAHYDQLQAG VTSLAWSHSHDWLISGGQKGDIKYWRPNFNNVETIDDAHHDAVRDLAWSPSDTKFLSA SDDTTLKIFDFTSRTADTVLTGHNWDVKSCDWHPTKGLLVSGSKDHQVKFWDPRTARC LTTLHSHKNTVTATRFSRVNQNLLATSSRDQTARVFDLRMMRDICILRGHEKPVSSLT WHPIHSSLISTGSEDGSLYHYLLDEPNVPSRQIPTIAPYDSPDPANTPAQVIYPAHRV QYAHSATIWSLDWHPLGHILASGSKDNFTRFWSRARPGETSYMKDRFHIGEEAAEAQG TWSRGFGRRQMREEEEQELQDEAESLVDQRKPTGSVLPGIQIAPPGGTPHNDGLGSQL LPGIGAPQPPPAPSAGIPSSMPQMDPNRLAALLSTQGAPQPNSIPPNTGFPGFPMLPA LSGTPPANVDLAELQKQLMSQGIPLPQNFAPQHFSPLPGTGGLPGLQGSNTPDNPYGR AFLA_046610 MKMDVTEKASASSSDVNTRGAVSSSSSEVMNGSSHTRTSPPSGE ASGVPKGKRRSKYRHVAAYHSKSQHSSLSRESNVPTSFLGFRNLMVIVLVAMNLRLII ENSMKKDKSAEDGEREQREFRTIWRFALSFHILNTLLNLAVTSYVVYYYIHHPGIGTL CEVHAIIVALKNWSYAFTNRDLREAMLNPSAESALPEIYSSCPYPRNITLGNLVYFWL APTLVYQPVYPRSPEIRWSFVGKRMFEFTSLSIFIWLLSAQYAAPVLRNSIDKIAVMD ITSIFERVMKLSTISLIIWLAGFFALFQSFLNALAEVLRFGDREFYLDWWNSSSLGMY WRSWNRPVYLFMKRHVYSPLIGRGYSPLTASTVVFLVSALLHELLVGIPTHNMIGVAL VGMLFQLPLIAITAPLEKMKDPSGKVIGNSIFWVSFCVVGQPLGALLYFFAWQAKYGS VSKMTQG AFLA_046620 MEINFAEFLTSKNPALFMLLDPTAPRENNQKINQHAVIGVALGA IPVLSFIHGLVVSGFRKEAKVPYPHTYATVEQCKSNAKAEQFNCAQRAHANFLENAPQ TILYTLVAGLKYPQLATALGAVWFVARSLFLYGYVYSGKPQGKGRFLGGFFWLVQGAL WGLSVFGVGKDLISF AFLA_046630 MDPLSIGTGVIAIATLAAQTCSALSDLRSLCQSLPGRLHAVNNE VADLNFVLFQVSLTIEDRACLPENNLSALSHLLNRADVKLHEIKDIVVQLTDACRASR SPIFKAHAWRKEQGRLQMLQEDIRTIKANLNIMLGASNSQDMTKIRLDVEAISAVTLQ SSQEQTLLKENFLDGISAVNERIARVEEMLQVQASQVQASQFKQVGSLYNVPPARRRR HQPTKKETYPNTTRSEGMGVRVTPFVVTCRPGCPCACHLQRKSTSPALLNRVLGRLFV GYSGLPFLSPKCSFQACEKSRASQVSLEYWFPLGLLSSSIVRLQVGYQPNIGTLLQLD TLRRVPDTAQCVSYALNGDIEGLKYLFENGLASPRDVSATRGYSVLRWALYGKQYEAC KFLIQAGADADYRPIAASDNSPRNKACHFLLEGGLPDAAVEALRAITKNGYLEDFLDE AGFTKTHKIILGLSFSSLEDEIFQNPDDVNATDAMGRTPLAWAAARGDHRAIVTMLGH SADPNIMDVQLSGPLSNAASQGHTVAVRLLLEAGAQTDFPYPDGEKKGSPLNCAARNA TDVLLLKSLLDFGADVDASGTDGRTSLIHAARTDNASFAMLLLEYGADINAISADGST PLTTAITYNSHNVLRLILDRWHEYSTCPRLKGPHLLQIAALYADLETVRILAATDHFK TKHDKQYTLGDFGNRLRQRPDLTDDLAMAFDDLLGVINHVPSQEDKQDSILKSGILSC FPLRINANFGAVDHDPNSACSSNDSFCDAFEHIHLSAEDNTSLEKHPLVH AFLA_046640 MGTILCTLSLTEEAIKDGYQSGGPCNKSARFQRSVTLFFNLKAL LTRFVEVYTCLEHNINSFGIFHHENYDILRQRVEELHNQLAPKSKTLSKTKDINCYEL ENILKAVIELRKDSEKLQWYYRVNKEAVQRIYAKLEKLCRSTGHTDEGHKVKWTDLEA DRDVSWLKYTGSLNELMTAIIQIRSDMKIQSGNKSCLDNTSSHLSASSDPIRALDRAV IDDDVPKLSKMLEETYSCNTTTSQCFQEIVYDLAGSSVVYGSKRAAAFLLSEAFSRYH ITLDHRILNQMIIISGRQQAFEEYTASRMCSQCSCKDSCGKSESNLFSLAVEQLALGG KDVLLEKDAFGRHPLHYGAIYGLPGICELLLDLSQKSGQEYPARLIMSLDSQRFTPLH YAVINNHALVIKAFLKALEPMMQTNDESSKYILIDILRDLLAIAIKYQYDDIVRLFAK FPREFHDESSHGETALYVAARSGKEEYVDMLLKHGSFPDLDIPETVHGWTPLFIACVE GHHAVAKLLLDAAAKQDIHDYSGWTAKEHAAVRGHLTLAGMLTSLGTEDSLGGPASTL IQPIRRNTQSFRTDCHYLIINLGVLQSGKQVKAVELRERSPKELISMNAGFLMEISIS ERGSIRELVELPSLTDMTHEPFVFPVTDPDRAWLSFKFLQASSTLAKEYNLLGGGTAL IGSPGDHFGENRESLIRERTVPILEKDTLDVLGKVTFTFVISKPISYRAIPLARPLVV EEGVQLVGHRGLGQNTASHSYLQIGENTIESFLLASKQGATIVESDVQLTRDLVPVIY HDFSLSESGTDIPIHDLNLDQFMHASNIQSPRGDPVSVLGKANAQPISTQVTSTKPRS RSLTKDHERGTREIRDRMKYTVDFVSKGFKPNTRGDFIQDSFTTLEELLEELPESISF NIEIKYPRLHEAIEAGVAPVAIEINTFIDKALERLFSYGNKKRTIILSSFTPEICILL AIKQQTYPVMFITNAGKPPVTDREMRAASIQSAVRFAKRWNLSGLVFASEALVMCPRL VRYVQRSGLICGSYGSQNNIPENAKTQAAAGIDIIMADRVGLIAMSLKGYQKQAKSQA AFLA_046650 MVDYITGLGPYIAVIKTHIDILSDFSEETITGLKALAEKHNFLI FEDRKFIDIGNTVQKQYHGGTLRISEWAHIINCSILPGEGIVEALAQTASAEDFPYGS ERGLLILAEMTSKGSLATGQYTTSSVDYARKYKKFVMGFVSTRHLGEVQSEVSSPSEE EDFVVFTTGVNLSSKGDKLGQQYQTPESAVGRGADFIIAGRGIYAAPDPVEAAKQYQK EGWDAYLKRVGAQ AFLA_046660 MIQSHHPDASAPVAGGRVGGVAQKATRLAFKKFINPRQLWSGYP ALVAHSLPVSAIQMPLYESFRYRIFEYRFGDREKVLERPREYGKKEAHSTIGEAAATA AISAAVSGGIASVLTAPMDMVRTRIMLDAADTTAPQKKRMINTVREIVRTDGPRGLFR GCAINTFMAAVGSGLYFGLYESTKWWLGSDSMDNCAVLE AFLA_046670 MADEKTSPPTTVDVEKDVKGHVDPVLKEHAQDADEALKAFQELH GEAIELDAATNKRLLRIIDWHMMPIMCFVYGMNYLDKTTLSYASVMGLKSDLNLKGDE YQWLGSLFYFGYLAWEYPTNRLLQRLPLGKYSAACIIIWGTILCCFAAVSNYSGAIAI RFFLGVFEASVTPGFALLTSQWYTKSEQSSRVNIWFSFNGWGQILGGFVAYGIAVGTE RHGSAIAPWKIVFLCTGLLTVALGVIFLWIVPDSQLNARWLKQEDRVLAVARVRENQQ GIGNKHFKLYQVKEALLDPMTWAFFFFALIANIPNGGITNFFSQLITSFGYTPQQSLL YGTPGGAVEVVALVLNGYAGQYTGQRLLCSTGGLVTAIVGMVLIVALPLSNNVGRLIG YYMTQASPTPFVALLSMVSSNVAGYTKKTTVAALFLIGYCAGNIIGPQVFRPKDAPRY VPAEITIIVCWGVCLFLLAFIWWWYSKQNAKKIQITESSGYVRLENQEWLDLTDRENH EFLYSL AFLA_046680 MTGFGTLAVRSGLPRDSTTGALVKPISLSTTFSQDQVASPRGTY IYSRSANPNRKSFEKTIADLEAQTTHWHSHPAWLP AFLA_046690 MSSITCHRQSHGQGGYPYSLPHGHHHNNSNNSRPLHIVQTITPT VLGPGTGIPPSCLAGSQLPPSLDISSNLNTLADTKATLELTEITVDTSTSPVSHDIAD DMGLNMEVSSTTNPNVTPELSPACDKPRISKRKRTSSPPSPSSTSPGDHRRSSSRSTR HSAQTARQSSLHSHRRAATITAPLTPSVPSKDLEAKREDLLALHRESCRLFQDSERTI PTQYERASLPPTIHDSPPHTVRTSSETGSPPVSPIFPTRFSTVSEDLTGPDNHKGPML ITNTIHDESYIPPIQTSVTVIDWTSPSTRRREYKKIDRASSGVRGFWRRVAPKWCQFG HDRTPFFEEKGGKGNYEGSVRRFRMDLPDEPAPERKMNAIRALKLKQMLVTTKMSSRR KSE AFLA_046700 MVRQLKYHEKKLLRKVDFYNYKSDGGHREHEVRQRYYLQNDLDY KKYNALCGSLRQLAHKLSQLDPDSDPIRKKVESEVLDKLWRMGILKQSREQGAGLSRV EREVTVSAFCRRRLAVIMARSGMVENVKTAVTFIEQGHVRVGSEVVTDPAYLVTRNME DFVTWVDNSKIKRNIMQYRDKLDDFDML AFLA_046710 MERVRLDRVAKYATTIRQSLQHSNPQSTRVIEIKPPIFGSYHVL YPVRFHDEALWLLKVPANGTREKFRTSDARAIRSEALTMRLLRRETTIPVPDVYAFSD TCDNELNCATHSMLTRPRGCYGSAGQILL AFLA_046720 MYTLDDLQLCRGDEDAREKFVEIAEAYDVLSTSTTRKIYDQYGH EGVEQHRQGGNAGRQAHDPFDLFSRFFGGGGHFGHAPGHRRGPDMEFKIGLPLRDFYN GREVTIMLEKQQICDACEGTGSADREVVTCDRCAGHGRVIQKHMLAPGMFQQVQMTCD KCGGQGKMIKKPCPVCHGHRVVRKEVETTFTVEPGMGKGSRIVFENEADESPDYIAGD LVLILDERQPEASDYQWQTDGTFFRRKGKDLFWREALSLREAWMGEWTRNITHLDGHT VQLGRKRGEVVQPLSVETVKGEGMPFYSDGHLHESHDQDEEPGNLYVEYSVILPDQME SGMEKEFFALWEKWRKKNGVNLDKESGRPEPSVKDEL AFLA_046730 MSQETEPLLPRYEEDTTLQRRLHQKLHSFQMLRALSEGYMPSTE QVIINLRTLLASDILNPRTQDIGSVGRQLIRDCRIWIQVFIELLRDKNNDDKLQEFLW HLSRSRASLDRGQVAQHASHVKARQDTKAAYDSLRTVGSLLLTNADFRLFVDDLTTVG RQIFSDTAYFLSDTSKQVGEQIKPSQEEIDAVQGAGADEGQAPSSEEIRQEVAQVAEA AGNGVARTGQEAIKSAKEHLGGQEKDTLIYRLKQAVLKLRERSDYSDSVATLSTLVQS YAKTYANAASDIITTAEEEVEVNEDLKQAMQQLWVLVQSLGDAEQWKTLEQRFQQVLQ HANKDPEFERLMGELGSSVQQMLTDPSFFDSASEKLSELEEKAKKVDTESNLRQDVDA FLEQAKRTLRTVPEDAAVTKLVDATNKLYKDAWDGYYERKSELPSDILEVFFPVLLRT IQHIPIPRLEVMAPELDLLLENFILEPGHTVNYSSFLPYRLHITTRNDIDVLKKHSKR TTADLKTTFTATVMGLNVSASEFGYWLRTHSGLFRFHDEGIASFYLDKRGIDISLDIE VGRERLEQIFTLRGVRVVIHKLDYKVHRSKWKYLLWLTKPFLKHMLRRVLEKKIAERI VAAAHALNRELVFARERLRAARIANPQDLATFVRAVLARLQPVSDVETRIGFDAPGKG VFKGVYAPGSLTKVWHEEALRAQEAIEEGDESYGLRRTWRNDIFDVPTRS AFLA_046740 MTTGMLWISPQRGSMLARIHKNRLLPIVSSPRAIISSASFSSSS LSFSVAGTRPNSSVTQFAPMARLPSQQGRQVHATSTSYVNHAAPVEANYDTANPPYIR KYLRTYGLTPPRAESYEVQKTRCLAQLALKQTAIDKFLYLSTLRKNNVHLFYRLVTDH LRELTPLIYTPVVGEACQRWSEIYQQPEGMYLSWEDRGNLAAVIANWPQPNVEITCIT DGSRILGLGDLGINGMGIPIGKLALYTACAGIRPEATLPLTLDLGTSNKTLREDPLYM GSRRDKITPEEEREFMDELMSALTERWPGIVIQFEDFKNPFPALERYRDIYTCFNDDI QGTGAVILGGVINAVKRSGLPCKDHRAVFFGAGSAGVGVAKQIVAFFMREGMTEDEAR SCFYLVDTKGLVTNDRGDKLAEHKVYFAREDNEGQQYKTLEEVVDYVKPTILMGLSTI GGVFTPEILRKMADWNTAPIIFPLSNPSSKSECDFETAITHTDGRALFASGSPFQPFS FTNSAGETRTYYPGQGNNMYVFPGIGLGTILSKAVKITDSMIYASGAALSQALTAEEI ERGLLYPDLTRIRQVSVVVTRKVIRAAQEDKVDRETALRSMNDDALDAWIKARMYDAH SEVLALEREVGALLHNLNPASLLNGLSSEENAKL AFLA_046750 MAEMMAGWEHIPTPSLLEVYNQWGQGGWGALLTGNVQVDIDHLG SHFDPALHGEYTGKEGNEALVETWAKYAQACQQHGTPAIVQISHPGRQSLRGAGNRGL FAPTIAPSAIPVQFGDGWLDWFVSRIAFPAPREMTQADIENVTRKFVDTARLMADSGF SGVELHGAHGYLIDQFLNPKTNLRTDVYGGTLEKRAKFVLDIITEIRKAVPSKFCVGI KLNSADHSSSTFEETMAQIGLLVEAGIDFLEVSGGSYEDPSMMGGTQPQKSARTAARE AFFIEFATEARKRYPDLVFMLTGGFRSRTGAESAIKENACDLIGIGRPAAIDAKFPQL LLDESVPDEKAQLLLNKVPAPFITRFLPTKIIGAGLETTYYGGQIQRIAKGLRTMVPS V AFLA_046760 MPQQKKQHTVAVIGSGNWGSTIAKILAENTASHPELFTPKVQMW VFEEQIQIPSDSPHHSKYGDKPQNLTEVINAVHENVKYLPGIRLPENVVANPNLEDVV KDATILVFNLPHQFIEKTLDQIKGKHLPYARAISCVKGVDVTDGMVTLFSELIMEKLA IYCGSLSGANIAPEVAAEKFSETTIGYDTPPMDVKAEDGSPEDNKIKIDEQRQVKTRP THTKLTRVPQELVTVDAELWRTLFGRPYFHVNVVDDVAGVALSGALKNIVALAAGFVA GKGWGENGKAAVIRVGVVEMVKFGRTWFPQSVNERTFTEESAGIADLVASCSAGRNFR SAKHAVEKGVNVDEIEKTEMNGQKLQGTSTAKSVHEFLEKHGKVQDFPLFDAVYGESR L AFLA_046770 MTALQQASAMCEARSIENIKAMTLLVVYHLRSASSQGVWYMIGL AMRTAIDLGLHRKANEVSLDLFTAQLRRRLFWTVYYLERVVSMSLGRPFSIADRNIDL PLPLDVDDDVRDPTVLSAAPPTDRPTTMTFALFLIKVRQIDSKVQHKIYRADRPLHTL RSKMDRLFLELEEWKQSALLRFNGPDLDYPMLHYNRALRLLIQPFLPSLPLTDPYYHI CLRAAGDICQTHKRLHQSLEYGHSFLAVQTVFMAGITLLYALWTHTSEVWSVQMSNDI RACSTVLFVMGERAAWVKKYRDAFELLVNAAMEKLEGSDAAKKVGMAELMTAQHGSAN PRNTASMSKEYPTTGPNMPDTLESLSGNLWNGEDSVLFDPL AFLA_046780 MRKLAHFNSIYISPKCPNASRYTRALEERVEYLETQLKSGRRGN NASGGVSNPVAAFLSPPNQAAVQGSSPTGIDHNAIGDLVGFLALNSLEAPAYVGSSSG VSLAANLGEMVQTTVWNQVLASPRGLESAQSTGNGLSANHANLGLSYQPVSTKNSGDR SRPPRMEELLAKGAEPPNDEMGSRIMNAYLTRLHTRYPFLDRRELWRLHEARWQLAKK KREELSKADKFGIFQLYIVYAIGATFLQLSERYSYIPPEVSCTL AFLA_046790 MNRQQAAEKALHDQTNILPIGQLLIVFAGLAVSLLITFVDQNGI SVTLPTIAKDLHAENTISWAGTSSLIANTMFTVLYGRLSDIFGRKIVYLFALALLCIA DLLCGLSQNAAMFYVFRGLAGVAGGGVTSLTMIIVSDIVTLEQRGKYQGILGASLGLG NVIGPFLAAAFIMKATWRAFFWLLAPLSVCSIVVGYFLIPNNAQKTSFRKNLGRIDHY GIFASSIGIIFLLIPISGGGSYFQWDSAMVISMLVIGGCSLIVFIIIEWKVATLPMLP MVMFRNKVICTLFLQSFLLGAVYQSNLYYLPLYYQNARGWSPIISAALTTPMVACQSL ASICSGQYISRRKRYGEVIWTGFGLWTLGAGLMLLFNRHTSIGAIVVIVLVQGIGIGF TFQPTLVAFQAHCTKAHRAVIISNRNFFRCLGGACGLAVSAAILQAVLKSNLPEGIRI SLTRHTHYHPDQALRDADWEQIITAYAKASRGVFILQVPLIGICFLLCFFIKDRGLER PKDPGEEEEEEKKKQKDDDSRGAQEHATQENSLHSDLENQSASANNANRGG AFLA_046800 MRNNVKAQEVVDAVKRYHGYEISIRQAQRALIRLQQQQTQNQEE RADTLDSSGDDQQDSHLPPSEEPAEGSTYSSLSGPRWIPDNMQHGLMDAAEGIQHGGM QRNHPALQAPQIHNEALQSHAQLEASHQVQPATAVHHPPNIQSPEQATLGNSLNQPIT PHQTRYAVSAPHPPSAPQTGQPKPQRRLQTEGHPAAAQLVLTNFKIEFTCTTCGALNQ SFFPNQGNITGGSYLPQPPIPGQSAAEGTGRPTQSIQGSSGASRVAEAHGYGGDTAAG HRGVHSPWTPGTLDVPIAPAHT AFLA_046810 MASYGLLDQSEEDALHKSRLLNVEEKPFKRISKRLLNPDSLVVS NATLPPTPPPDGTDDDATAAETEKQKRLEQWRHFREDVTLDFAAFESSIARIQFLLTS NEKERERYAAEKLRILSTMQAVRDNTAELRVQLEEAQRLLALRKSYDDLADKITSNRL LKPREDQQANLQKLQAEITELEKESKDYAKTWAERREQFGRIVEEGMQLRRLIRDEKE EVERREGMQEGEDGDDGDVPSKGKSSGANTPRHESDSATPSQQGHDETGRSPAGLHAE RLTAAGAASPLRQVTMAEDDKKQLSEQDATMVDEGEVTGDENAENPDDLEEGEEVPDR MDIT AFLA_046820 MAPRSQLEITTSSVLRLVKEEASYHQEYQQQTERIKKLESQQGG DDENKEYLLRQERLALEETKKVLPVLKKKLEDTIATLQSLLTEEGKKGPQSNVEHINA AKDAISKARTAEREIA AFLA_046830 MPFQRCARISVTPFDLALNLQAMTMEKLQFSLPAVFTIGADNEM EALKDYARLLAENSDDKSNVQKIVKGIIEGETRVIVSSMSMEEVFKERQVFKNKVIEN VQKELQQFGLRIYNANVKELQDTPGSEYFSILSKKAHEGALNQAKIDVAEARMKGEIG EAEKKGKMKQEISKIDADTAVLETKRKAEKAKADSELMNRQTELDASVQISKITTKRQ TEMKDAELQKQVESKRAETELERLRASEVTKSKVARESAQENADAAYYTEQKAADARL YKHKMDADAAYYRQSKEADAALYKQKREAEGILEMSKAYGALIDVLGGPQAFLQFRMM ENGTYEKLAKANGDAIRGLSPKISSWNTEQGEGSGDAMGPVRNIMQGLPPLLTTIHDQ TGISPPSWLGQMPVNGEVNKRK AFLA_046840 MFVDFTPSFNSISSPPILAKADTPPQCLRLKMTEITSSKPDIIN PFEEILTDCQHDPRKVQDRYENHRTNRNAQFKAKLLGPDFSGWQIDEILRKLHAQATD TQRDNGPSFVDPRNNFTLYARPPPQIRELVAEIQADVQDAAPAIWVTPPDFLHMTVME MASCRTEADIEAFLTHLQESGTVPDLVDYTFHHRTRLVKPILSYDATAMALSFVPAAG EETAVGNQTYCNEGDRYTYHHLRRDLFDRLTATGLLMKPRYIVPSAHITIARFTTHDG FMVEGSGPDAVPVVDQERVAALVERIEKINEKLRQKYWPQENGAMTAKGEWIVGQGKG LELCKGRSFYGGGENVITGKGFP AFLA_046850 MVNVPKTRRTYCKGKECKKHTQHKVTQYKAGKASLYAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKQKKQLSLKRCKHFELGGDKKTKGA ALVF AFLA_046860 MAIWYTLGVAFFAAIGTFLFGFDTGIATTTIAHQSWIDYMKNPS DGLTGAVVAVYIAGEAVGALTQTAIADKVGRLRFMEMMCVIVTIGTAIQTASVNIGMF LAGRVLAGYAVGGLVATVPIYLSEISDPRYRGLIGGISGCGISLGTMASNWVGYACSF APYGPVQWRLPLGIQIPWGIIMFFGLITFMPNSPRHLIRNGKVEQARDEFKRIRRDLR PDEMHREFEIMAVQIEYEMEREITSYKEIFRLFRHRVLINRSPDNDKSYRCERYSAIL YKSLGIDSHTILALAAVYGTIAFLVNCLTTKYLTDQWGRRKMLLSGLAGIIVIEIYAA VMQREFQNTDNQVGKGFAVLGIYLFVVAYYGMLNSTTWLYGAEVLPISLRSKVMGLAA ASHFIVNVASSLTYIFTEAGPSAFANIGENYYYVFVACSAFFLVVAYFYFPETKQKTL EEIAASFGDKVVAPGENGKDGDDGDDAGKPTSERVEVA AFLA_046870 MTSSSRSTGKRLPISCQACRTRKIRCSRDGRPCQTCVRRGLGAE DCVYLGQPRLSSENTVTADATLQKELLARIRNLEDTLHKQAASSYMSDSLSPQMSPSV AGSFSEPDSSIGISQPDIRNSFLGNFGTLQTFSSGYVRYLPLASQWGSVTGSAVHDVD ADIPEEGDDLQIPFARNNISREELLAVLPPGRYCDALKDVYFRVFSPMFHILHDLTFE AEYQRFCHDPSSVSTAWIALLFIILGIAVTALDDDDPLLSDLGREKTVSRNIKVLSTR YRSAALRCLAADGVFSRHSINSLQCLVLVNYARIHRGLPTWTLLGFTHHVAISMGCHV DPERFGLGPIEREERRRAWTGLMILYINQNNTFGGPDQRIHSQDVKFPLEINDVDLLT GGKSEPAMQPTQTTYLLLEYQLSKISSMICETLFTFAPRFSISQIETEILAIHETCDK RYQLDASGETLPSHHLANLNTLYGHLHQLFLLSLRPNLCRYLQGEVTPETCSARAKCA ASAKATLAIYQSLHDLPQYSTYKWYNSGLGSFHAFHAVVVLCAILMHPDSQFEFTETH DLLWRSLDAFAALSNRSNFCSKAVPVIRQLLDFVNARNQQQQNLVPMDNTVPTSAAPS FIQPYPTPPVEYIPEPLFAQLRPQNWVSPGSVQWDGWNFLAQEQRLRQSGLG AFLA_046880 MSYIYRERERERDWDEPRSPVTIKRYVISPEEERERERDVLYRR EDPFTGDRQLVIRRSTDREEPVMLQRYERDVDYDSRSYDYRSERDYYEREYKEPELLH RYYPTPVVILERQPVLMVEARGPVYVNPRESDYEVVHRSEVDREPGYYYHRRVREYDN DRRFHRELSPSDSVSQTTRRRDDRDDRDYSSDDSMVYIRKETREYDDHPHHRRHLAEG ALVGIGAAELLRNRRKKEGEDVSSGVGRLGRDIGAGALGAVAVNAASRARDYYRSKSR HRSHSFDDDRSSRSHHRHHHHSHSHSRRSRSRSHSHSHSRARTLAELGLGAAAIAGAV ALARNKSKDERRSRSRHRSRSRHHRSSSVRSGKTTDGEKRSESQRRKHMAGAGLAGAA VAGLVEKARSRSRSRKRESRSHSRLRKALPVVAAGLGTAAATGLYEKHKEKQEEGEAS RRRERSRSRSRAPSEIYPDPTRDSAGLIEYGQDPVHGRIPTADYYGRPTSQQAYYSDA SDPAVSGTGFGSSRHRGRSISRSRSRSRGGRYSGSPSGSDSSDRRRRRRRQDKHRSRS RDLAEAALAATGVGYAAHKYTQRRERKKAEQERERPRFDEDARQDSYGEPYSPEPYQH TALPPQSSEHQYYPNTNYFPPPPGSAPRPAGSTAPYNPADYPPPPVAVPPSQQYGYPP PGPESFVSRPRRADENVSAAWNSSPPISQAHMDDGGFRDDCQCTRGNSNSKTLGLNIQ SPTRTPRAKKRRPCAASQSPQSKSVAFDLSSEDGQQMDPGYETDDSDSTIGSSSGVRR HGHRRHHSSSESYSSRTESETQRMASEKKARSNTSGKEIESDSDSTIDLPDRFDSQGR LLPQHEDNMFAGGLDSLLRGINRVFV AFLA_046890 MAAESERLPYRNGTSGGSRRAKKDEATTSTSRRSTSEGDMIEVP RSTFNSQIQASLASQMPKLTPAFPGLSAPASSTNSAISSRESSPVRSARRPHNSASTS RVSSRSHKASAGRSPSRSSLNQRQGSDTLPSTTIVQRPLSQTSKPLIINPPSNVERST DATSPEKLNMPLWATSRRVEQEPTLPNMSSKRSLLVDDSKSDRSAPRSVNRSINGPGS ALETVQEMASDQSTPSTETILNQSALEQSRLQKIDEDSTPKASRQNAESGSDSGGNKS LELREENRRRGSGAKGTDNLIPKRSSTSLGGARGKPTDGSVRNMIVETETVSSIPQVS LGVVTGDRSNSGRVDPGTLRMKPSTETIRPKKEKKRTRKPTALPSGAASSKADIFEAK VASAVDEADVSDSDETFVYESNPPDPYPVRQNRYHSRTPSATSMASQVDQLGARGRPG MRDGNHSVTGKRSMKFTNNTYAGSVDGDAGEESARSHSRVDSGGTHTPRHHHTGRYGR NNAYHSLFDSDSPFPQSQAYPKSPRHFIGGFRQPRHPVTRGGQNYRTINNTRKAGEIY GYDFDAEGADDERTPLVGSPRTTRSRHGNRRPGSASLRQMEYMQQRQRGFFSRYGTCV VICILLLVLIGGATSFIVGITKSLVNVQILAIQNVLASEQEIMLDLSVRATNPNLFPV AIDDMDMNIFAKSRFVGTDKLWRDQGSDWSDFPRVGDSRRRARLASLARCAGSSGCLL NETGLSKRMGYKNGGVDKGTDPIPTDPAGDPQTMLLGRVFRFDSPLTFEASPWNYVSS TSKGQIRLARPGNKTEEGGTERWERVLQHPFELIVRGVVKYQLPLSSRFLSASVSSSV QVVPDKGDNGTGDGNDKPDPGDDDTVTISKTRSLRRSLPELRQIATKRSMVDLVREAL GITG AFLA_046900 MADAPSAAYQTSPNHGLSSSQDGRSVNSRPDTRPSTTYDPLASE TTQDPQIDSKSTTGKRAKRKKKHRKRRNRRQSFISAEDPHAGAPTTPGPEVEHMAMMA DQTKSRGALPFYKLGRDLSSTSLESEALLDHSPELPRNQPMMRPRRDSRLAQSFRPGS LSTTIRTGDLGSRNQPSGTRTLPVDDDSDGPDPVDDRTPLMRPSSAHRANISRYGTDS KSNPFSFRQRRSSVQTNSSRCSPRRIPSPGFPEQDRDYDINNPPSMPTSPKLRADMGY DDAVVTGADFDFSLAKSIDNRMESMPLSHDMVIDVESGTNRIRSSPPSVSPRHRPPQE GLLRRRTLPAEEDVCFPTEEVSELADEDAARASRETERRRRRRREWPDLSVLEEWSRE EKEERTGDFRAKKISEPVLIEGRLRPQYQLWRREEEEAPYRFTYFNEEFQSTIHAQTI SELVQPGSSFRELFIPDPPELEVSSEDETDSDHDPEEEPFDNKHHNNHGFDGNRTSPF INSHHDNIDGSKQQPRMSIISEAVSEARTSANASPSRRPYQQTKPKKYGPRPTFWLDV LCPTDAEMRVIAKAFGVHALTAEDIMMQEAREKVELFRNYYFVNYRTFDQDPNSENYL QPVNMYVVVFREGVLSFHFSQTPHPANVRRRIRQLMDYLILSSDWISYAIIDDITDVF GPLIQSIEDEVDEIDGMIMKMHSPEPVMGQSSKLEDDGVEASTTLAPGEVLRRVGVCR KKVMGMYRLLSNKADVVKGFAKRCNEQWEVAPKSEIGLYLGDIQDHIMTMTSSLTYYE TLLSRAHSNYLAQINILMNERQEQTADVLGKLTVMGTIVLPLNIICGMWGMNVKVPGQ DVDSLTWFWSSRFPLIFTQLTAP AFLA_046910 MPYQVGDGDREGASGRISSTDAELAGLGLDVFEQRPRSRSASPP APGRTMDLSPKSQSRPNSPRLARGHEDVQGQQSRRSSGVRPTESPTAVPLHFRRPPTT SPRAHRSSSASSIAAPSPGSPTGRSRRPASGEFINSREMRPLWLVEHHGSKIEVQPEE PLPSLPSSKTSSVNASAEDLASLQDEKIWEKFDLSPSIHGDQRPIDVDMPSNSQHRVL DSEEVTPTAASFGQMNTNQLLRKDKPKYEFHSPSELLQDPSTYPELPPSPTMGALPSA EGSAVGVKDDGGLERNLDSLPPLPLSRPATPKMEQIRASDSAEGHLTRELTAPDLEAP RISGDVAPSADGDTTIMNVLNVDLPELQPSDEKTPTKATQSNIESGDALMSDEPTSRD LSNEVVPVPPAVQIPTTEAMEKGRENPGYAATSPTEVVNSTIADPLLPAGEERALSAE PLQAVTTGETKAGISDTADEITQPQEAQRGMKSEPQKSATGDNESLGSAEAKPESNPN LTSATIISVEGEETETVDEAAVTESTKPTRESTDKAADEVAQAPTEEGVVGATSPSSS KKKKKDKKKKPKSVNIHEPESQDTPATALAALSTPEDVEEIDEAITQGESSIEQISLP EGTDKPSEAEVESAIDLSKPHEAANVQAPVDMPISEPEPVVDIIKTEDALATEDELAV TALKSGEEADTQIKEGRLPSDWEPTAQAVNPQNVVDLAASTNPSKQEPPLEIPQPENA HLASDTSATEQKPNPEVLVTDVARSMQAPEATTIPVQGLPKAEDAPIITDVLSPEQAM PRAEEEAHQESLQSDKMLDSNAKPAATDSEAAADIDVLTNNLETDEDRRKVSKSFDAN ESVKELGDVEIVTSSQTEPEVTLQASEELSRSAIDDYPPVKEALLPSEEITSSSEHPV QGTMEGAESLELSGQDAVLPETPFEQPELQESTEHNTSVREEAPGVVFEPSSAVLEQS TEAASVRGENSSAAGLQEGLSSEMSAVDPAPVESESGPLQLESEQTTRTDEAKAPMEP SSGQISPEQQQMQGNPAPVEPISSPEPAAEIADAGTKTQDADVTDAQTENSLSRRNCN NMEKSKRDSDTEPPVEKVGGDVPPDVPSNDGATPRAEDEPAIPPKEEPEARQPEEAPD NAHEGAINVSPETMSGAVAERPIESQDAGSAETAQKAGSEEAPEGQQPTKKGKKKKKN RKSISSDPQAVADAETQPPLSAEGLAAETPLAETSGVVYPTDDKHIPQDATATNEVAE TTEAVDAIPAAENESNVPVENPAETNHSTPHIAEHVPDDPVPDAEPEAGGSTPAGKKN KKKKKKKQSLPSLPDAHVAASEPTSNTEVASPNIDIPVAIEKPPATEGQELVQEEATG SEQPLDVTEAVEDTASPETAPAMSAAEKKKTKKEQKKHRKSASLDETLASDRALNPSP ENASLERDPTSVGKSAPSKEPEEHGASAEEPTVVDELASEQPRVETVTDTEPAVALNE GLDEQSQEPAQQEPEETPTDIAGVSAIEAGNLELGQTQHTDHTPLDFEGTPENEKHSG ADAEERHMVERMGVEQETTGEKKVEVESGDATLDAAPTMNADTALEQPKEEAPSEEAA PEQTIAADIPLTDIVPQDTMEQPQEGMLNETAVAEQIKDADIPLVDIVPQDAPEQPHE EAPSAEAAPEQTKDTDMPLIDVVPQDTLQQPQEGTLDETAVPEQTEDAEISLTDVIPQ NAVDGEAEPPAPKKSKKDKKKKKKQQSISLADDQPAAAREEIVEEPSDARSDILEIPE QPQLSFPDNVAEESQHAFSEKPTQQPESNEPEPTESPEQVDLTRPLEPEPMADTQESV SKKKAKKDKKKRKSVSFANNEQEAPTKSSEATETTEASHHVREASQPPEQTNEQMAEA SSLVQESQENADNTTADEVQPRETTSDLHKGVPTVALGEDGPESNKEVVPHDEQVTQP YNDSVTEAQASTLGPIDPDPMGQTPSVARELVNETIVPEGGYANDDASVTEPLEEQVQ QGTGIQTAPETSEKHGVESAPEASVLETPPTSVQESTVVVQEAEQESGSSKSKKDKKK KKKRKTQETIENETSVVPEPSIEEAPVQAEEDNGTAAPGVIEEVIEPDNAAKPSEISS QDVPSMAPEGIVEHARAETEQVVDGTVHEVNESEGTEQQAREQPEIAKNDGAPAMSAK ERKKAKKKERKRQSKNLDGSGAASTAAESASIVPEEKTQGPLINASTTSTGDVAHEAT ATQVSAGLKPSDPSVDTATLPAEDDGKENQSHGTESHGENDKNLFWTDHMVSSQVDQQ QATPVDSPTKPVPENTEAEKVVVSGESVTMSEQIEVGTEDHASTTEQEATSEADRVSL EHLPAEDVSAETDESGKVFTPNWDELKTQRDTTAETTGQGSRKDTMPAQTDEKLEEKD QEAVPEASLVAVEVRGTSVNDPLATDEKTVSLSPAEAGVPGDLRETNTGSAIDVEKPE AVAPWKDLHQAQQPDDSQPEDNSSQPNLVSTTSTDHVTREAKDSELEVSNAGPATEND TNEDKTASPRETRLEGVDLVSDSQTVEESRCSGAEVTNKSKSAEASSEIQAEPQDLLP VSSGEENREDKETPSMSDTAREKPDGRETTAELPEANKRATLDPVESLGPRSQPLAEK ELQASIEPTPEQLNHEELKGVTKKGEEENMTQTLSRKASKKQKKKAKKQAKATSIEMA DPSPAESKRGINAGSNLEAVVGSTAITGAAESSLAPGEKPMQDQVQGPQSVSGVSKPV FEAVSATEERSPPMPREVPAQTQATHELQEGEDKDAETQGKLSSQTSEGGDPEAAEER IGGHSTQQETPAPGTVSKEVGGRSKQEAGLQIPERADQPASGGNTESTEVALLVHEAK PESSEPPMTEVSTAEKSPFEALSRENTQKIEEDLSVEIREPEEIGSTSGKANVKPSQD QYQSETLATERSAKDDEWPMIDWEKEKVDALEQTPQSSPEAVAAPFEPEAAREHSLDD SISGKQAMGDTGSVSQKQSKIASIFPNLERGSFRRPITTKSSESVKDGAEDETNDQGA SRGDAMQVSEAPIAATFGKDNDHIANPFMASERTTTATLEDLPGGTTVHDIQMPVASR LAQGNHKNPEDVLYTEAESAGTGSLAREIPLYEPTPIHEQPPTFISSSSNAMCIDRQA SPGSLRQLGRSPGAISPPRTPLQPIAEHEPIDRTRTPIGVMHQEQGTPCLEMKPEHVL PRPETLIRKFTDNALARQAWPTLDKDGDQDFHIRKRGSARSIDHESPTGAIQTPERGV PILRPSSMGSIKSVHSAHSQRSLRRMDRSASGDLRTASQAQTGARQSSRSPQPPPVEP PPSDFNIEHIASSSSYDPVTDKGKRPLRDMADVYEGWGETPNSPRSPSRPPSIRHRRS MQHLQELETRLDQLVSENRLLVAAREAAEDKLRNASVARRKSDHALNERAADLRDREA EVEQLKNSVEWLQKEVSRLTEENEGLTVANSNITVAHAAEIQTIRASSNRELDDLRLQ NQQLSSEMQDRVRKEIDAALSQKNMELRRLREDLESARDKVKELQQQIAASMQDSVLV FRDEDYFDAACQKLCGHVQQWVLRFSKHSDLRRCRKLDDIQDEKIADRFENAILDGSD ADVYLSDRVRRRDVFMSVVMTMVWEFIFTRYLFGMDREQRQKLKSIEKQLGEVGPRGA VHRWRATTLSLLSKRPAFSRQRQNDTEAVALEIFETLSRLLPPPSNVEPQLLESLRKV LRVAVNLSIEMRTQLAEYIMLPPLQPEYDTNGDLARQVYFNASLMNERSGETTSNEEL ESQQAVVRVVLFPLVVKKGNDAGEGEDEVVVCPAQVLVARSPKDKKVTRMLSGDRMSL DGTRSIHSIAPSSTMDMSNVI AFLA_046920 MPSRCVARRGARFKTVPANTNGFCVILKHTNPFCVTPKINELVQ RTPLALLECHAPGLKWCSVVESCMMRMRRVGSVVYLIVRVLGSLGLYHLRNSQPLPAF VPYYLALAAGWDAVMPASSALEYWG AFLA_046930 MTTSTVSIIKLICIVRAFTGSFATLRSGEIASASGSTQRNRLPH WAHSACCGFQTLGRLTGHPSLDHGRHPVRACGSLLKKLWILFCDGYYS AFLA_046940 MYRGKVNPLKSNDNIISALWNIDLNWKVPSTIKDPKLWLGVLGI GKKEGQN AFLA_046950 MFGWCSSLDPTCFNCERSSCVGYGFPSVSSEPDRERRAPSGPTP LDFPAYKLPDATDDDPEASLRQVHDILASIRRPQDITLDKFKALNLKVEAGLSASCIV RKDGPDFFPPLPWEDTSPNSSPLTEDGSPILMENGNPYPPKERFNMLKNELLLENDDA FREVARLTPREGRQRVRVTHARKFWTGLERMAQYWDTSLDNYFERPATPKQAAEDESG DKMQTDNVSCESQQKSETHMDIDNPPKPAAPENNVTTQEDGEPESVAMYTGRRIGAGH EMPEDIREETIRAFTEMAAWPFGCQVALPMLPPRLSLRTLLFPVRQTFAAARSPKDRQ LARSGVMEGPVFAAQCRSETCFRAPGDVPGTGFGEICDLFREVGGMLLAAQERARQGM QEVRPGEGKWWTTTPRWGGAPNDAVGDSGNFTNGEEKSVSDNGSSRKRSKYEHPFLAS RRPGSSRKLSNSEKWKIVQPGPGLWDKRMRYIQIGKDLDSPFDDIYMLSSINHHISIL HLRVHRRYIDIITSGESCFPSDSDATGQPWHALQLRRTKWYDLFDAEERLEVFKGTGY VSL AFLA_046960 MGFIAAVFLICLLLFRRRRRRSGGVQCFGGNQKLLRADRQSADS LTGLQHGYVSGGSMRSQYKYALEAPMSAYYHDESDRASACYSDPFSDSAELHGGLRNG MPEIEDTTQSLFMNQKYDQQPVIPRANLPLRTVSDSLLPTVHAGRRRSELPLGSEHGS IYSSDRSLGSTLILPGRSSLGSSLQRFSYRVSVAELEPCGANEPVSKISPRSTRSDPF DLEVPARAVHPISSATQLRP AFLA_046970 MRLIDGGSLSGGLPPPLSGHVVIIGESPTNIQGQSVTFQVDDEK EVLSNSQENNTTIGLLFQKVSTQPAYLQTPHFWILTRRRVQRTSSANDRRYADIKMVN LRTQKRLAASVVGCGKRKIWLDPNEMNEISNANSRQTIRKLVSDGLIIRKPVTMHSRV RARELNAARRIGRNRGLGKRKGTKEARMPSQVLWMRRMRVLRRLLVRYRAAGKIDKHL YHELYHLSKGNTFKHKRALVEHIQKAKAERHRERVLKEEMDAKRAKNKALRERRQERL EAKRNALVGEAQE AFLA_046980 MTSETAPSPNYDKELRIASLAVHRASIFTKIVQRDLEIVTIRKP DGSPVTIVDFAAQAILVSVLRHHFPNDVFVGEESASMLRDDPVLAQRVRKLVSTMTWV DDDADGQALAVMPQSIEEVLGAIDIGGDGDGAGSQRTWFLHPIDGTATFIRGQQYAVS VALVEDGEQKVGVVGCPNLAFKSTSVHEEVVDGDGYGMMLFAVRGQGAYKRQMTLSSL GPSQKTSLSPWQRMGERITFTESSISGVIHREKHKFIRDILFANPVVDLYSMQVKYAA LAIGACNAMIRIPKDKDHQFPAWDHAGVVLIFEESGGKVTDLYGQPFNYALGRRLADN QGLVAAKPMLHTDLLRYSCYVYERNQSKRCMKST AFLA_046990 MLPCCEPLPLQTEKLELPSISQVHTRGPVDIPWYNHHAAERPLL SGDKLPALSLPTASQPPISGQSYRTSYEEASASHNASARTSLSGTAPVINEARSPPQS ADLAAGGQGRLSLDSSAPQEFSIPQNTVGDSYYTNPTAIGSMNHTQPYMDVHSSHLSS AQPYASQAATAGGIAHYPQYHQQPPVLQPASTTYGPASSYQYAYPGGVTSSQPGPQPP TTSVSSQVPAQLLPLPVTSHTVAPAGYGNNTGTPMQGYVYDATGQVAPPGAKPRVTAT LWEDEGSLCYQVEARGVCVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKVRHVVK IGPMHLKGVWIPFERALEFANKEKITDLLYPLFVHNIGGLLYHPTNQTRTNMVVQESQ QRRLEGPQATRASQGPQPPALHHHHSLQTPVPSHMSQPHAMTSQSAARPGLDRAHTFP TPPASASSLMGITNQGSSYEWGNQGMNSGVPNTQPLSIDTTLSNARSMPTTPATTPPG SNMQGMQAYQSQSGYDNSKSYYSAAPPSHPQYAPQQPLTQPMAPYGQTMPANTYIKND MAPPTARTSGGPSDVEQADVKADRYAQTNGHVSNGAGEPVPEHEPEYVQHDSAGYNTN RGSYTYTTNPSVGSLAGDHSQLASDMSGSPSQQNGSGRMTPRTSGAPPQWASGYNTPP RSAAVSSLYNSVSETRGASANGTTDNYSVASNPAPGYSTGMNGPLGSGKRMREDDDVD QIVRPDSRGAEYESKRRKTLTEATVGGPVGGVPLGLQPMKAGGVMARRR AFLA_047000 MSREIRRGTGIQIYVLHVHTEYLSSDNPSRYQFISTNKAKSYGP QLSGCPQGTYLTYYPWGLYGDTLLLSQVYSNV AFLA_047010 MPSIMDSDSLQISENKAEIFHDEDLVSIALDDSIEETHPGKAVW LIVCAVSMGGFLFGYDTGVISSVLVNLGSDLGKPLSSNEQELITSITSGGALIGSVAA GMTADKYGRKLAIYVGCIIFFIGSIIQAAAYSLPQMTVGRLVVGFGVGEAAMIVPLYI GEMAPARFRGRLIVFDNICVTFGQLVSYALGAAFTDVASGWRYMVGLGAVPALLLVAM MPFCPETPRQLVLHGRLEEARRVISKIFPRATDRQVDAKARLIRYSIEEATASISNKS LAWQMRQLFTVGQNVRALITACAVMAVSQLGGFNSLMYYASTLFSMVGFDKPTVVSIV VGATNFIFGFPNFIFIDRFGRRRMLLVTILGMASILFKICLSLVVASVAFHWIPVNHD LTAVETREMGWPNILLLVSLIVYIAFYSAGVAPISWVGTEFLPLEVRALGTMMNSVTC WGCNIIISSTFLSMMKGMTPSGTFGFYAGICLLGFIFAIFCYAEVHNMPLESVREIYN HGFGVKYAREVQKELHEARDAEGSTA AFLA_047020 MWSALSIAPYALVLGLFSLLYFVVFPWVEYIRDPKGLRKYPNMN PFSGMSAVPFMLLASRGFRSKELQELHRTKPVIRTGPNMLSYGDVRAIKDIYGHNTKC IKDPSYIVTAGTHYHLADVVDKPDHARKRKVLSSAYALKNLETWEHKVSDKVEKVVAH FDKVCTAPPSAAVAAGKMAPDPKDLTVDFRAWTNFFTLDAIADIGLSEKLGFLDSGSD VCIAERKDGSTYEVNLREALYPTARKQSLILWNYEWYPVLNKMVNIIPFFNRMQNSSD NWDNIVWRRSMNRLRRYEAGEKLEDFFQAMMEDKNGRANNLEWGEIVAEMNIMMNAGS VTTAIAIANVMYQLLRNPQSLKKLQEEIDAVLDADEIVAPYDKVKHLPYLRACLDESL RIFPPTSHGLPRETPPEGMEILGEWVPGNTSVSMSAYVAHRDESVFPKADQYIPERWL GEEGKALQPYLIAFSAGARSCIGRNISYLEQTKILATLVHRYDFALPYPGWELKRLET MNLILGDMPVKVWRRNVQEA AFLA_047030 MPVGKADDAEGATQEDFMTWKESLFAVLKGLGFQEHEVQYMPTL SVQEDESLEPIDLHNGEPDGGSLKAQCSPIRPLAISASRELFNSSDRHCLHIDLDLTS QPEFTYKTGDHLAIWPGNPDSEVERLLQALGLSSRRDVPITIKSLDSATKVKIPSPTT VATVFRYYLEICGPVNRDNVLGLAQFAPTPDAKAYLQQLGQDKASYAAFINKNHVNLG RLLQQASTETWNIPLSYLVETLPLMQPRYYSISSSSVISPRKASITVVVSTTPVPENG DELIHGVTSNYLLAVSENLRSAPHPEGLTYHLNGPSDSLQGGKVLSHLRKSKFKLPTL AKCPLIMVAAGTGLAPFRAFIAERRQLQQIGREVGEMLLIFGCRRHDEDFIYRDELEE MTSVLGEKLRIVTAYSREDKKQYVQDKISEVGDDVYRLIDEGANFYICGKAEMAREVE KAVAGVMSQKGQDEANEWRTRMKRRNKWQEDVW AFLA_047040 MSNTNQPPPKKTTPTPQNHLTFDSWNSCATGHQRADSSTGTAWR RTREEKLARQFNSASGDCTDSLGGVTSLLKEEKGEWVWAHTKNRGESRDPGQRDIRSM MRVGKRSRDVSDAGKDQDSSERKLKVSKVAGLGVDASMGSLDELLLPPPPPSSLSAQV KDVPQVFKGVTVYINSTYHPGVSDHYLKRLLTMHGAAVSLSLSRKVSHVIVAKPNTGP GMGSGGGLAASKLQKEISRGGWKGIKIVFVEWALESIEAGKRLSEARFAMEIMPKGQR SVLSFTGI AFLA_047050 MDFSTLEARSDSGSGRPASYKAIGISLAVASGLFIGVSFVLKKT GLLRANVKYNEEAGEGYGYLKNFYWWAGMTLMIIGELCNFVAYAFVDAILVTPLGALS VVVTTILSAIFLKERLSFVGKVGCFTCILGSVIIAMNAPEQSSVSNIQEMQKYVIAPG FLSYAGVIIVGSIVTAVWAGPRYGKKSMFVYISICSSIGGLSVVATQGLGAAILAQIN GESQFKHWFLYVLFAFVVATLLTEIIYLNKALNIFNAALVTPTYYVFFTSATIITSAI LFQGFKGTGMQIATVILGFLQICAGVVLLQLSKSAKDVPDSAVFKGDLDQIREVATQE EPETEPKADSIRGTAAIIRRISTPRRTMEAEEARRYLRERQEDKLHPPAENEIIEWDG LRRRKTVLGEGPTMTRSGTRTPSVKKPLPPLGMSRFPDESERPNTRQSSRSFLDEIRM RSPSHSWQPIRDHDPSQPAALAPMSSIHSSRGDTSYHGPARSDTSHSITWADEPPEPP AHGSKRQFSFHAFHRPKSDVPKSPRGILRKGHERKPTEEERLGLVRGDSRDDMDEKLD RTYSNDSMEDELPSGAHTFTAAPPYQEHHFHSVETSPQRPSRLIRNPLPPLPDEPPLE PYTQVELGLPSYRRMSDASAGSLSSGPSRGWEQGGWRRP AFLA_047060 MDTTILRRKDTTKGPPLRILSLDLRADWSDGGGVRGYSMLIILQ ELMYRVYVECEGKAPRRDEIPKPCDHFDLIVGTGTGGLIALMLGRLRLDLETCKEVYV RMTRRVFETDKTFAGIPFRSTLFKASRLEDAIRECFNCTIWQAGRATSATGLAFKPIQ IGQHVFIDEGAGTYNPAPQVLDEATVNEWPGREVGVFISVGTGKRPPGTNNRQHEWWE DFFGDALGTFAEARRRLIAKIEGCEDIHKDMLREHLAKRNVVKDNYYRLNVEVGVGEF GMNEWNRLADISTNTRRYLTRPEVKKQILDAGVKFSRIERMHRRAAAHAAAGNDVTNF QDDSSITQSPRLSVVPPPIPDAVELPAELPGDFTLLSPAGPPPPPMNDDVLPVHPIPQ DTVLPTPARGSVSDLSDISRPSSQQHGSPRRSTDHVHDGMPPPVPPKTPIPYPSELGG IPMPTPLVTTTGPLGHGSNGKIRPPYPVDEPPPVVNKQRKPSYHVR AFLA_047070 MDRGPGLAVVIFLSTLCNVRLLPAFGNSGKANRLPPWKSAREVA VPEAVLTSTGFEYDRRFMLLKVNQGDGGVETLQNMHIPHFPEMSLFLTDIVFPTEGKK NGKIIVTYRPPGVKDNGNPQITTLEVPLEPDVQGLEELTVTMHQSSTRGYHMGSKYND WFSQCFGYKVVLVYLGPHWRRVLGSFPPGKSQAHREQATPLVSKRSVTVLALLSLLLN IGVPLGQREISSFTLLVLVTITVAVLVTIGANRYGSGCGERKEERITFADTAPYLMIS ETSVDNVSARLAGDKAMDLRKTRPNIVISGAKTAFEEDFWAELIVGEKIRLLLTANCI RCQSLNVDYMTGKMGTGDSGNILKKLMKDRRVDKGARFSPVFGRYLFLDRDCENASIR VGEEVTVSRRVEERTTYAMPGLVFRGG AFLA_047080 MLSRCGRQALRLIPRTGSSSRAIAITTQLRPAAPLCVSSSISQS RSVSSSSRDGQQHLLSAHLEEEDPTIYNILQKEKKRQKHFINLIPSENFTSQAVLDAL GSVMQNKYSEGYPGARYYGGNEHIDESERLCQQRALETFRLNPEEWGVNVQPLSGSPA NLYAISALLNTHDRLMGLDLPHGGHLSHGYQTPTKKISFISKYFETLPYRLDESTGLI DYDALEKQALLYRPKLIIAGTSAYSRLIDYPRMRQIADAAGAYLLSDMAHISGLVAAD VLPSPFTHSDVVTTTTHKSLRGPRGAMIFYRKGVRRTDKKGNPEMYDLENPINASVFP GHQGGPHNHTITALAVALKQAQSTEFKTYQETVLANAKALADRLGSPLSNGGLGYNIV SGGTDNHLVLVDLKNRGVDGARVERVLELCGVASNKNTVPGDRSALKPGGLRLGTPAM TTRGFQPEDFRRVADIVDRAVIITQKLDKAAKESAAAKGVKNPNTVKAFLEYVGEGEE ISEIVLLRQEVEDWVGTFSLPWKDE AFLA_047090 MRFSVAAVFAAVAAGVVAEEVRTVIVTETATYCPKSTDAIGVSP TESISIPAGYTTTRPLITSTVTECNKCSSTAPPAGTPTGVNPVGSSTPSSPVIPVVPS VPGVPSSSKATPSSSSIIKRPSSSSIVISSTPLSSTPLAHPTKPASTNAPPAPSASGP SDVSPTGSATTPAVPLFTSGGSRAAVGAGAGLATVFGLAAVLL AFLA_047100 MSRHPQQLVHGSSLRDPESFWSHHAEQLYWHRKPSHVISRHTKS LPSGTSHDHWSWFPDGEISTTYNCVDRHVENGNGDNVAIIWDSPVTGTKEKYTYRQLL EEVEVLAGVLREEGVRKGDVVIIYMPMIPAALIAALAISRLGAIHAAVFGGFAAKSLA QRIEAARPRAIMTASCGIEGSKGPVAYRPLVEGAIEASSFKPEKVIVWQRDQLRWNRP DKLGGQRNWQRLVKSARMRGIKAGPVPVASTDGLYIIYTSGTTGLPKGVVREAGGHAV GLHLSIRYLFGIQGPGDVMFCASDIGWVVGHSYILYAPLLVGATTVLFEGKPVGTPDA GTFWRVIEEHRANVLFTAPTAMRAIRKDDPDNKFFEEVARRGGLKHFRALFLAGERSE PSIVQVYQDLLSRHAAPGAIVVDNWWSSESGSPISGLALRSTAGMTLVMPLAIRPGSA GLPMPGFDVRIVDDEGREVPRGTMGNIVLNMPLAPTAFTRLFNDDERFYKGYLKRFSG RWVDTGDAGMIDQDGYIHVMARTDDIINVAAHRFSTGAIEQAILSHPEVGEASVVGIP DTLKGHLPFAFIQPRTASAALPATPTPELFNAINQRVREQIGAIASLGGMIQGRGMIP KTRSGKTLRRVLRELLEHGVRGDYGAPVSIPPTVEDADVVEIARSKVREYFEEKQRSR AKL AFLA_047110 MSGKMTLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDQQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRASFSRIQKFYN QIKMVKESASSGSPTGASYLASPINNPSGPPLPVPVMLVGNKSDKAVERAVSAQEGQA LAKDLGCEFVEASAKNCINVEKAFYDVVRMLRQQRQQQQGGRSQDRRPTGLGPMRDRD AGPEYPKSFRTDRGGRHRGSIKCTIL AFLA_047120 MMYGWVMMAPKTSSQSFGTTSGLFDPSHIQVQESPFGLVETVSG RHEVGVW AFLA_047130 MTEQEHEVYRHADADGHFRRKASVFRSSVSSDPAAEFPAEKDRY VLYLGYGCPWAHRTNIVRSLKGLEEIIQLVVLDPELGPDGWFFSGRWGSAEKDPLYGF TQLRQLYFKANPAYEGRYTIPVLWDKKKGTIVNNESSEIIRMFYTEFDHLLPDELREI NRPGGGFYPQPLRKDIDEMNDWVYHQINNGVYKTGFATTQEAYKENIYPLFEALDRIE NHLAQPGHQPYLFGENITEADIRLYTTIARFDVAYYLIFKCNLKMIRHDYPRIHDWYR RLYFDESKRTRGGAFKKTTYFDIYKFGYLKAIGKRTGSSQLIIPAGPSPDILPLEDQ AFLA_047140 MPIDILPKVLFFDVFGTVVEWCPSVTRELKDAAERALHDPRKPI PPDERARVSQMTFTDWLSIAEDWRQSYGQFTAQFDPSQGFVSVDQHHFTALSKLLQER KIGNLFTDSERWDLSLCWHRLAPWPDSVRGLELLSRRFRTCTLSNGNVSLLEDLRRFG SLPFTDIASAEDFGAYKPSPQVYRGAAARFDVDPSHCALVAAHLSDLKAAKAQGFQTI YVARSKEETEDIAQAKQEGYVDLWIELNTGGFVEVARQLGIQVAIKL AFLA_047150 MATETVSQISPAEVFPTPSTTPRRGSSMHSRGNSSPGPSSSPPS PSNQSFFLDGRRDFQDVTYEDTLSPLDPRRFTPTLHASLVSEILSLRRDVESKTKAID VLERTLDESRIEAEDLTERLSQSTKETRSLKHQLQLVEGGTSSALTELARERDEALEN ISDVRKKLDQAQKKARSREDEVDKTLKLWDRDKELWDGERRSLERKIHVVEGRLKVVL AEVAAVQAAGNFHQIQSHDGAALAKDEAMGKDSDTASAHSSSQGRRRTSVTSVSTDGS DLHDLRYSVASVVNIPGAKHDGINLAQELAFDEEDDFELPDDDFTPYSPEALPEERPT SVHSQLSHTMGMGVKARKILGLSLHSVDSSGFKSEPSSPWKPPPTATTTASYCYQDAG IQYSPPLSPKLQLETGTVACEKHAEGRDVSVLYQTRDSSTWMAPVNMVSTSSQTVGDL PTPPWTPELGEPSPSKTTGQAAMVSTSIQTERLTAPEMHEKRANLPQNCRSSSDIGVP MIAIHPPCSEPSSPRGSVVLPPQTKSASCQTDSKPIVDIRTVGIQTEEIRIDQRPIKL PASLLPSAIPDLPLRTNLQDPPIQPYHAPSPRTRKEVPPPPPIPAKAPARSKPHEHVQ AYPGNNDNGPLSVESKTDLRRPLRSSSLFAGFDQPSDEEASPRVRDTFTDDELLNRPF ASYTLRRGKLVSTQGYPSLDETTEIDEHILDAEAHLYDATADLEMKNPSRASRMSPRT GAAPLWTRQQDIRRAAMISNGAAAHQRIRSPSEPSLDGSASGGSSIAPPFPVPIRLSS RKFPVKGNDDQQSPTPSNPRKFSDQGRPSITRQPTLRRVRSAAAMSQTEPDRPVTRSS PTMSTSSCAADSPQYPSLPFNDITTPRRIRRASQGRSNNSGHPTRAFSHQRDDSTATS VQPTSVVDAIAQTMVGEWMFKYVRRRRSFGGVGEAKDNWEGRNAEEVSANIANSGSRH KRWVWLAPYERAIMWSSRQPTSGPALLGKSGRKLTIQSVLDVKDDNPLPKGFDTQNQF NRSILILTPERALKFTATTIERHYVWLTALSFLSHSAMGLHDLAALPPIPREEFASSA PTATLRRNPIRDSIRIAKGRPRPRPRGKRAFKHPEPVPELPAEVDMADAADPPTIPRF SNHSRKRSNTTPRIPMIRSFSNQGTVPLMPPTQGALEAYAPPPTNSGRTSEASVRTGN YFDAIGTVRMEAFIDQTESNQNHATYPRHVRKPSSPWSSESQRIYDLDHPRYDDLGSF RHDDPFGGF AFLA_047160 MSQTFTPADVASHNNADKGLYIIIDNNVYDVTKFVDEHPGGAKI LKRVAGKDASKQFWKYHNEGVLKKYTPKLKIGEVKEAAKL AFLA_047170 MDNRTFVSDSLLRLTNASDPTVVDFVLATASSAKSADSLQEKLV PFLDGSTEDINAFCWELYKRVGAGAKSGPSTGAQQERSDTASKKKYRLIQMEDDNPDS ASSLGPTNIETERERRKRKVKDRNRTKEEPEGHNRWEKEENRKRARSLEESRDRHRSK KLRRRDKGDFDDRWGDEEIPDDEVYEEDEQIDNFEESPSKRTRLEDGSASPRSTDSAD LDPDTKKEIERRRDIEERDEFAKRLAKKDDSKNKKIVEDRTRNSEVARRRALADDASA RAAAMPELRMRSRQEYLKKRETERLALLRRQVAEEAAELRDNPNLTRREKEEFARNRE VLRIAEERLRIDDYRDGYMMPDDYITEKGKIDRRKKEDALYKRYVDRDEYGQERFITE HEEWEMEQTAKAKAQINRAEFVDEGDYEYVFDDSQKINFVMDAKMEGTRKAMSQEQRI FQEKLDAAEKKAASIEDTRKSLPIYQFREEIIQAVHDHQVLIIVGETGSGKTTQIPQY LHEAGFTKNGMKVGCTQPRRVAAMSVASRVAEEMGVKLGNEVGYAIRFEDNTSDKTVL KYMTDGMLLRELLTEPDLGQYSALMIDEAHERTVPTDIACGLLKDIAKARPDLKLLIS SATMDAQKFQQYFDDAPIFNIPGRRYPVDIHYTSQPEANYLAAAITTVFQIHVTQGPG DILVFLTGQEEIEAAEQSLQETARKLGSKIPEMIICPIYANLPSELQTKIFEPTPPKA RKVVLATNIAETSLTIDGIVYVIDPGFVKENVFNPRTGMESLVVTPCSRASANQRAGR AGRVGPGKCFRLYTKWAYYNELEESTTPEIQRTNLSSVILMLKSLGIDQLLDFDFMDP PPAETIIRALEQLYALGALNDRGELTKVGRQMAEFPTDPMLAKAILAADKYGCVEEVL SIVSMLGEASALFFRPKDKKIHADSARNRFTIKDGGDHLTLLNIWNQWVDSDFSYVWA KENFLQQRSLTRARDVRDQLAKLCDRVEVTVSTCGSNNLQPIQKAITAGFFPNAARLQ RGGDSYRTVKNGQTVYLHPSSTLFEVNPRWVIYFELVLTSKEYMRSNMPLQAEWLMDV APHYYKKKDLETLGIERKMKGQGAAGEKSRD AFLA_047180 MVATFSPHRDAGGTLHLPSHTGIHHVDASSAIRQLRRSLSRSPS KSSNFSLLATRNHSPSKTSPYVSSPLSPSRRSSQSNFVLFPSSSHQSPFALPYPSSGK ITRPTMRRVRTSPRSPVKRALNLSVDQGNAKPVQPILTTPGVENSPITPDINVPSDDN ASGSGCSPNSSSGAEAPAPRPTISRIEKRRSGTFGSYATVSPLKRSDGIMNLDRASRG SPSAKRRSVHAANLSSEFNIFDSEAGPSTVEESTCEVPPEGETPSVPTGITPFSPFAT IPKRSSSLRRSTLQQRQSDRSLFFKARAVAEPSDAPNTPASPCPQLSLDGGLFQSDRD NLFSPRPVPGSPLFSSAGNNAGQTRSAVHPLSRTITQSSSSSSLVDDSPTHEPVHKAD HPRGVFNFSKSLPAGATRPALVRQLTREDSTSSIDSFATPENYKLVKPLPAAFMSTGL ISKKNRNAEDPQNMLGFNKNMPDTPCKRPINLFPSGQKAPLERSLGFSTSSRQSDAVP PSPSNPPSTRPKPGPFARGMGIFGNTFNKQGPSRRGSFVSVDGDEVQLQSPSRPRDSQ PLSEYDLPPTPTKQTFFPSRTYPPATSQIASLERFSEARGTNSSPLHERFLRGSPRTP QDNLFPPDPSGLSISNEQQAIRPDFNSVNLPATPTGPRDSLLQSGKRLSLPLNGYAPD VDPSLTSRFERVELVGTGEFSQVYRVSEPHNMSLSSIFSRSPKSPNILPEKVWAVKKA KQPYSGLKDRERRMREVDVLKALTNSDHVISFMNSWEDNGHLYIQTEFCEEGSLDVFL AQVGLKARLDDFRIWKILLELSMGLKHIHDMGFIHLDLKPANILITFEGVLKIADFGM ATSWPAEEGIEGEGDREYIGPEILMGRYDKPADIFSLGLIMFEIAGNVELPDNGLSWQ KLRNGDMSDVPSLTWSAETSIFRDASGNPISEEPSFEELCTSDFGDDTFGEDSFLGSR RPGERKAVQLARTGELHDPPSFMVDAGHEQALDKIVRWMISPEPFDRPTADQVLEVYG VQFVARRRRAGATIYEGNWGPADEMLAEDAEMIDV AFLA_047190 MEPVERKLEIGSRSYSKMPLTQQRSSGEPPRLKATPKDELHDLL CVGFGPASLAIAIALHDALDPCLNKTPNSNWQPKVCFLERQKQFAWHSGMLVPGSKMQ ISFIKDLATMRDPRSSFTFLNYLHQKDRLIHFTNLSTFLPARMEFEDYMRWCAQRFAH VVSYGEEVIEVIPGKTNPSSTLVDFFTVKSRNVETGEISARMARKVVVALGGTAKLPK ELPQDPRIMHSSKYCTTLPAMLKDSREAYNIAVLGSGQSAAEIFHDLQKRYPNSKTTL IMRDTAMRPSDDSPFVNEVFNPERVDKFFSLSSAERQRSLTADKATNYSVVRLELIEQ IFNDMYLQRVQNPDETQWQHRILPGRKITRVEHYGPHRRMRLHVRAVKDEKDSLVGNG KETLEVDALMVATGYNRNAHEQLLKNVQHLRPAGQENWTPNREYRVELDPSKVNAQAG IWLQGCNEQTHGLSDSLLSILASRSGEMVNSIFGGEFAGTTVPDTTHIRAML AFLA_047200 MSSGNSSTGTHTNGNFATLGSSPPSAVGGKGRAIPPKVTHEDAS VELKTMNPERGAARGSIPLGEDIMQIARIGEVPAMQRLFDEKKFSANHKDEEGITPLH WAAINNQYAMCKFLLDSGADVNAKGGESVATPAMWAAQRCHYYIVHLLLQRGADPLLT DVQGYNILHLATIDGNAFLLVLLLHQEIPVDVVDQQGHTGLMWAAYKGYPALVDLFLR WGAHANAVDEGGLTPLHWALVKGSLPCVLKLIEYGADKFAKTRDGKTPAVVAGEMNTT RVWYRALDEYGYDLDGNAKVSSSGLASWVRNKSLMSKFFFLWPFAIVFAAVWILSNMV VYAAIPMMLVTVFGLQWVAQKAASQGPSEYRILQKTVSLTCLKGYCAVPYLSGVFAGS LFWVGFRYVFYVLPVTYSTSPILNGLFAIFFSLTTYFYIYSMVEDPGFVPKLGSRNQQ RAVITELFEQWKFDEENFCVSCMVRRPLRSKHCKRCARCVAKHDHHCPWIDNCVGANN LRHFVLYITCLEVGIVLFVQLTFNYINSLPAPAQPQCNIINETLCDFVLRDTFTLVLD LWVCIQLVWITMLVAVQMIQISRNQTTYENMRGHSVDRSYPSSRAFASAVAAGTTSLN AAGLTSSGQGPNPALAQGAPRHRKHGCLQQWSSLLGIDTFFATARDGLRDGPRAVRPK NPFSRGVVTNCRDFWCDPAPYFGKREPGAAMLGGEVINYNRMYETPSRMHSGGGYQSL SVEDPEQGV AFLA_047210 MMGRSSEDEAPHDLQSDVVSRWTQSDLGPAREDKEGALKSDGIE QMPPDSALGLLCVYIELLAKQTIDDPDDCRVDAFSLTKNRAQGDSVSSGEVTPVNGTE IHCCPAGYSDAGRDHVQLGILSKRFLSKRVPSITLKDYLLRLHRYCPMSTAVYLATSM YFTRMVTVDRTISLNHKNMHRLVLAGLRVAMKALEDLSYPHSRIAKVGGVTERELSKL EISFCFLADFELRVDVSMLTNQARALEKNVLHHGEIAS AFLA_047220 MRSRPSRFRFPFLDRTAESDQDPETESEVEWESSSDEVSTDTDR GSVCRPRGRHRSRQMFVVGKPENERRTQRRVLRSPPPKKKLIRSPTKGHFGSDIEADG TDVSPHYPRLRRTSGSSREPKDTTLISEHEHPRREASILEIHNHHRASPCEERVRSPD RRKVRFARDVEYVKNTNRARETRDRERERHHVRSYRHGPSFSSLNRDYGTADSEAAER IRKEAWRQHSREGLLGDMKWQSGWRRYTRRSDE AFLA_047230 MGSIEELSTTRREGQGNEGLRIAQPAGSHDGSLLPTHNEKASLR RNRLFRIDTAGESGRSGIHPIHFLRVCLKSTCTLSMLVNVLWPFVPAAIVIHFARPDL HIWIFALNYIAMVPSANLLGFAGGELAKKLPKVLGVLLETTLSSVVEIVLFMVLIHND INGNLIPVIQAAILGSVLANLLLCLGLCFFFGGMGREHQSFHEAVSEVGTGLLLVAGF GLLIPSAFFSALSANSSKTTITQEALSQSTLVISRATAVILLVAFLMYLVYNLHSHHS IFDEVLELDEHKDEDREEELKRAKLTLVECFVAISVSIACVCMSAVFLVQEIEHIVHE RGVSDNFMGLILVPLVEKAAEHLTAIDEAWDNQINFALFHCLGPSIQTALLNAPLAVL VGWGLDKEMGLNFEIFMIVLVVLSILVVGNFLRDGKSNWLEGGLCVLIYVIIAVTTWY YPQIEAEGVSIHHEA AFLA_047240 MSCITTPAIPPVALESITQHIGNTPLVRLNRLPRSLGIEATVYA KLEYFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTGIGLALVGAVKGYKT IITLPEKMSAEKVAVLKALNATIIRTPNEAAYDSPESHIGVAKRLEKELPNAHILDQY GNENNPLAHELGTAEEVWTQTKGQIKAIVAGAGTGGTITGLSRGLKKHNPAIKVIAAD PHGSILALPPSLNEDHVNEPYKVEGIGYDFIPQVLDQQAVDQWYKTGDKDSFQYARRL IAEEGLLVGGSSGSAISALAQAAKDYNFGKDDVVVVILPDSIRSYLTKFADDDWLAAN GLLTSPPVETADLPSTLQPHEQKDAFAGSRVRSLRLKPITTVQSNTPCETAIEMMRDR GFDQLPVLAPSGKKLVGLVTLGNVLSRLTHGRATGKSPVSDVMFNFSKISEVVTDPRD MGLTSATLGKADNSESRIKDRKFVEITMDTPLGVLNRFFEWNSAAVVTERDEQGVMRP VAVATKVDLLTWMLHQKDEST AFLA_047250 MSGFFTYRQFSLYDTPSLANQVAVVTEEWQRRIGGSLGKGDTRV QFIQCDLADIVAAKSAANELKHKTDRLDIMICNAGTSGASLPFVDVPTPAKAIGVSTN YERSPQGIEQVFASNCVGHQVLATNLLPLMKRTINQGKASNGRIAVASSSMHVFCREL NLDLLTSPTRLKPAYIDGVWRYARAKVGNILFARELSLRLMQEEDPASSKIYVNAFFP GNIVTDQWSVWDEYIGEALGSLFRLLFSIIGQSLEDGAANAIYLAASPKVISNSTRGQ YFIPIAKPYKTTAIASDMKLARDLWDWTEAKAAEALGPEEQAKTRTVDG AFLA_047260 MGSHADWRSIGGIATSLLDGNPIAIAITAFIAFGLPVLLHLIFY QTVASPPSSNFLLLGPSGAGKTAFLSLLEAKSSPLAKKQTQLTHTSQTSILTTVSLPA SVPTASNRYRSVNDPSLKDTSKNPVKYRVRDTPGHGKIRGPHGISQLSSMSDSKDSKS KLRGVIFTVDTAALSDVEVLRDTASYLYDVLLILQKRALNKGKSSLKVASEIPILVAA NKQDLFTALPPGSVREKLEAEIDKIRKFKSKSLMDASVDASMGDGDDDILGSSDAQDT FSFKLLEDEVGVRVDVVGGAVKGDEGSDLGSGVRKWEEWIGQCL AFLA_047270 MRLICSLPVVLPLFSTALADVEFIAPARGTIMKAGDVVTAHWKD SGESPRISELVQYDLYLCAGGDTLGSQENLAILIKDGVFARGNSVSFKIDPAVGGNEP NAYFLKMVASGPDAHVINFSDRFTLTDMAGAFSSNLEDGIGLLSEGHGQQELRRRQAA GAYTIPYQLQTGPTRYAPMAKKPGSTIPADKSPTPQFPTSAYEIATAYLSAPTIQTTV SASLTYSVSSIENTASPAPHPHDKKMKRFLERWKD AFLA_047280 MHIFQRISTHPFPTGTSSIVDESTHEPILSILTLIVEAAAKLRR DGHNVVLVSSGAVGVGLRRMDVEERPKNLPRIQALAAVGQCRLMSLWDGLFSHLRLPV AQILLTRNDIADRTQYVNAQNTFSQLFDMGVIPIVNENDTIAVSEIKFGDNDTLSAIT AAMVKADYLFLMTDVDCLYTANPRHNPNARPIEVVSDISSLEADVSSAGSSLGTGGMS TKIVAAKLGTSAGVTTIITKSSKPGNVHEIVKYLQQVKQEEHTHTTTTMNGTVTEAPP LHTRFLPSDHPVQSRTFWLLHGLKPHGTIFIDHGAYSALQKKASLLPAGVVGVDGHFA QQEAVRLVVVQRLSPDSLNGDFLHHGQEPKEVGRALVNYGSLEIERIKGHRSTQIQTL LGYADSEYVALRENISFFQQDDPSWR AFLA_047290 MLASSKMPESQPSSTSEPRVSAHNNGCLPGDDIWTQWRNIFAIL TGKMSDEGIEQFRVARDIRNEAADCKRCEDQRDYLLQWSPVIRYLSDNIRQLGGDLSS HNIYCRRCTNRKAGGFDPDFGILLCANEMKDQGHLEDTMAHEMVHAYDHLRFKVDWAD NLRHAACTEIRASSLSGECRWAREFFRRGQWRFTQQHQECVKRRAILSVRARPTCKDE AHAERVVNEVWDSCFRDTRPFDEIYR AFLA_047300 MATKTLESRFEHLSVKDENVSNNSCSTYAKNKGSLSTAVSVSGL GTTAQLNNSANRSNLLKLALQNTNDNKVNSINVSSSPTKGTLSHRNVDENGDQRHQTS LYDQPAPKKLHLGMFEIGKPLGKGKFGRVYLAKERSSGFVCALKVLHKSELQQGGVQK QVRREIEIQSNLRHPNVLRLYGHFQDSKRIFLILEFAGRGELYKHLRKEHRFPEWKAA QYIAQMAAALKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGT LDYLPPEMLKPGSQDNYYSEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRIARADMT VPSFVSPEAKDLIKRLLVLDPEKRISLDEIQKHPWILKHCLKDDRATKRSSGSSKEGK A AFLA_047310 MSSENSRVPSFAMGNPAAVFLAAEQPNTISVQGFKITTEKRPIL KAEPIEDMTKRLGIAPPEMIFGDNFVSIQHEKSRWGINFNAFDALDRVDKTGASMLKV AYSKEWQRSREKTHEGIKEVVKPFDWSYTTDYTGTVQAGGRSFEPTTKSIPLELLKRP DPILFFDEVILYEDELADNGITMLSCKIRVMPARLLLLSRFFLRLDNVLFRLRDTRVY IDFENKEVIREFQSKELDYETVRQVFLSRQWNIFFSKKKADG AFLA_047320 MPPGKIYTARLRDLCYPWCSRLRVAAIRSSISSQRTICTTSTAP YQDSAPCSVISVPATLTNCRYAQRHPRTVAASPGPCFQIRRASSQFSRVVMPRPGTTA ETYVAYGMTQKLFEACSSQADYSIPQATQKGAQVPKTEAGEDLGVGEGWWYEDLGLIP TFSTWSQITFLHMYLLTVRLRVLPSYESFQTYSRHLIDHFSHNAEHRMDVLHGFTSRT IRNKFLKDLFIQWRGVLAAYDEGIVKGDAVLGAAIWRNLWKASHTGPDGKELDWTKIA RVVAYMRRVTSELSQVSEADLISQLCQQTGDKPSIFGYSELDKRLVQGKR AFLA_047330 MIRIPLFQPWKPRLPRGSVVHSCYFIPFSEVTTRIPWIAQASRR SMATVIHSPRDPNTLSNYNNWVSTHITATFDILFEQKKLVGNVVHKLKSITDARSTEI ILDTNHVDIGDVKVDGQASHWELLPPLEPYGAALKINLDQGVGLNEMVEVEISVKTTE KCTALQWLTPAQTSNRKHPYMFSQCQAIHARSIFPCQDTPDVKSTIDFNITSPLPVVA SGLPVRGIIEKAQPGSKTLYQFHQKLPIPSYLFALASGDISEAAIGPRSVVATSPDKL SECQWELKADTENFIHAIEKIVYPYAWGEYNVLILPPSFPYGGMENPIFTFATPSIIS KDRENVDVIAHELAHSWSGNLVTNASWEHFWLNEGWTTYLERRVSLFILAAVHGEAYR HFSAIIGWKSLADSVEHFGHDHPFTKLVTDLKGKDPDDAFSSIPYEKGFNFLFHLENL LAKDKFDRFIPHYFTKFKGKSLDSYEFKATMLEFFQHDLEASNLLKNVDWDAWFYAPG LPPKPQFDTSLVDVVYELSSKWKSLPDSSFQPRTSDIEGLTANQIVVLLEQILLFERP LTPELSRVLGEVYSLAKSENIEVSNLYFQVGLRAGDDTVYKPTAELLGKIGRMKFVRP LYRNLQKVNRPLAIETFEKNKDFYHPICRAMVEKDLFGKREE AFLA_047340 MDIPQVQISGLDNSHGPGDAQKAETRDVDMDSSQHAAATNDSIT QEVPLSSATTELEQTSMEEVAPPKRNPGLQFLEYLTSPIVELTIGNGETKTTLTAHQR LLLESPFLAERVSVFDSSGPRRIELPDEDVEAFGSFLQFQYTGNYDSSLDDASNDKDA AVGEIHDSGEQLLKHARVYTLAEKLGIPALKTLAHSKIHRVTALHTVRLRMHAMCTLI HQLTMYNSETRSIFLGFKKPCFAT AFLA_047350 MATVDDILTGKYPAKSHARRVAQLLQAHHGQGAPGVIYLEAQKT RLIEDNDEPMPFRQRRFFYYLSGCSLPDSYLIYDINADKLTLFIPPIDAEEVIWSGLP LSADEAMKLYDVDCVLAATEVNATLRSIGSAYGGNAVAFAIADQVSSGAEFQGFAETK LSVLKEAIEKARVVKDEYEIALLRKANDISAKAHIAAIRASKTAVNEREIEGAFIATC IAHGAREQSYHPIVACGANGATLHYGKNDDDLTDPATKQRKNNILIDAGGEYRAYCSD ITRVFPLGGSFTKETRQIYEIVLQMQLECIAMLKGDVQWEDVHAHAHRVAIKGLLALG ILSGSEDELFEKRISVAFFPHGLGHYLGMDTHDTGGNPNYGDKDTMFKYLRVRGRLPV GSVITVEPGIYFCRFIIDPYTQSPELGKYINTTVLERYWMVGGVRIEDNIHITKDGHE NLTTAPKAIEEMESLAL AFLA_047360 MPRPPTKRNRLTTTKAASAISKEKAEPSNGCDVSASNSSRSAAP DNRDEGNPSQLVFSTQQANTLRQLKNQTPLARKNEQAIESSPMGERVATGSRPPTRSR GYSSTLSMAGRKIDMSSKIPGTPAFESSILSNFRRRPRQASILQMMQTEDGSSDLDDD DFLGGLSPEDESTPLNLPRGKSLLIRQAVSPSPSQPSLPSSVESRKRKRSLEECHVHQ SPSAVAENTPREESPHTGNDDSLELTQSLGSLEAFNQTMAPPLSSSPLSSPVLPASMS DSSRLLNPTKEDAEVHPDVTNEATTISTAILQDRFLPRRRQRYRERRNVVGLKFPSAS SEDDASTADQDDDEINPSHRRRRTAKSKLKPYSEARRANELRAGIVVTEGNGLKNSAG ITKAPNQVPENHHETRSFTRPHAHTVTGEENQLTDMSSPLSSPLDSDALESASLSESP PSVDFLSEELRLQAKKFAEVDEWEMEFEDIPGSQGSALE AFLA_047370 MSGKTSVVGLASWANPPTSISTMPKVHTTPALHLLQWPLIRGLV AGPYDPHTLLQLEMAREPLRMKAPKELDLTNATIYIRNFFRRVNVWYACVNPYTWSRY YKTAVSLSFREGSESCLVLLVLALGCASHYGSISSVSPDKEAPGLPYFAAAWDLLPIV MMRNSVPAAQCIILASAYLFYLVRPLEAWTLLSNASLKLQLLFGNPSRVPLQWKELSV RIYWNALLYESDLLAELDLPHSGIVHFEELVDLPGGFEEEDDEEYQEAEDAHGEIASE TEPVGHDELWYFLAEIALRRLLNRVSHMVYQKDSPLTLGTLGPIVSELDYQLSQWYES LPQPVQFPLSRTPVSNSVQTALRLRYFACRTIIYRPYMLAVFENEQTSLDPVVKECCR RCIEATIHQLENITSHREGHLPYLWQGALSMVSQTLLIMGATMSPTLSALLPPADQVD RMISEVVAEVERYAHLAPSLKLSAEIIRDAEKRRQICLRSTGRCT AFLA_047380 MRVLELGDHSNFSASSTNAVDPASSHQDHLPLGSSSIAASLFQN PWSRSGADAQAVNQNSQMLTGREQHHGKRRRGHSQKAMLSKALQKANTAVLLDNAANF EGAMEAYNDACQLLQLVMLRSSGGEDEKSKLQEIRDTYMIRVTELQRMDFSFTEPNSK ALPERPLSQESYSEMFQSIEEDENEPSLNESVNSLRRSSDDHQPVLNEANVLASDRVP VRRQSLLPSAIDDDLCCLTLSPSTTKQNSLSQTESFTASRDGHLEMAMHSESGQASTA LSLDDDSAHHLRYNDWALLSTHAKDAYESTSWLDTIDESGASSPASTRSKVSSLYLRH GGSHHLSHGTEAEFDAALDAAVEAAYDEGFEPVTEPNEQYNGGIDNDDDIVANARRNI ELAKQKVREAEREAQVAMARGREVRNLQQPSIIDHSHGVGLDYLDEEAEEEERLLEEM TRGYIMDDFNFDLQSKSALPRQSDSSSFSGRAWESSAVSNTTTTGVMLSPLVEASALP EVSAMTKQVAEPLPTQANGPAVLPKQNPAPTPGPSVRARRMSGQRTTELKIETKPRLG ADSDISSQGQSSEPAALSPPPPLPKDEPSMNFPMRTSKTLAPTPVLRSGVRLNKRNAS IGSFSEDTWANASLDKPTTQEEDNNLEISRLPSLARPIGKVPSAPDNLGKLNSGPKSF RARNVSVPGPDTLIDSPDTPSSAFPPFDIQKGTGSAAGPVLPTPTGATFAPNGLPSGG LYLFDSHIHSPTNLGSPNATATNAPAPLEHCPESFLLRPFWLMRCIYQTIAHPSGGYL TTKLFVPRDVWRVKNVKIKAVEEKVSNCDLLTAALLKLAKVDTYDADAVLEEMQSFET VLDQVQSSLSKKLGGEVGVQGAMALFKASQSSDDAAAVDTLPSKTSGGASKSYLTSWR KLRSKNSGFGGTTSQSSVKETTKDNLIINSLPMSSTPNSQPVKRNTTQLQFNGPNANY MSALARLCDAAQVLDQIAQQVEDPGLKHSSPTLVGLELSTRHAAEFFGFYICRFALND IAMMVDKFIKRGSEWVLI AFLA_047390 MEAPNNAQQYNMGPELQQVQRAWERIRVASPIYAFLLNDIDIYN AEKGVFHSRIQVAPHHLNSKAFETIGPTMTPEQTIQRYLELSPESSLANVLAGQQQHK KLNLIADDILASFLDPKAYALPPLRDFLREILAGVVLESIISSLSRPEFINGWIIHLL NEGESEIMSAIDAGVEGARTNSITTAKGSKEVNMPLSMSLNERKLGSETAHIDKATEE AMAEAKRLSAMIAAQDLQHQNAEQLVYGDSQIPFFSSGEALISQSNHGKESVEYDTRT QPVFENVNAKRTQKMQESASENAFELSPARRPPSLSSLHSASSLSLNQTSDNDIHTTL TLHRASITVDDGLDPGDETLLRSKPISNYLVQIEPASACCTGWMVFRNYTDFESLHET LETISRLSGVQKFKDDHPILPDWKGQTKQALARSLERYLQDALQNESLAESERMKRFL KKGGSLGPASAGTSPKAGFSFPSQASLENVGKGVLGVLANAPKGVSDGSKAVFGGMTG VFGVKSIKKTSSNLISNSHNQNIHTPTQPNEPPPRKSDDAGNLRRHSLEPYTGMYDAR LSSRSQRHSSPLFETASGTTAVKGGKPCQDIPLDSLAENAEGSLQALAAETERVAPSS SLDPRHHDSLKLSHSSDRRREEDTSTQIEERANTAAQNSSDGRGSPITQEETRIAVEL LFAVINELYTLSSAWNIRRTLLNAAKSYILRPGNPSLETIRGLLQGSIIESNTSDEAL GLYITKLRENVFPTEAELKSWPSAPNDAEKERLRETARKAFVQKGLPQALTSVMGAAA SREALEKVFDSLQVPIIARGLVFSVLIQALREYYEKDGQELPGKKVGPSGISLPIDQF ASLVTLLPDIELTLKDIGVSVPRPDYAGGHSISNEDHNEASGDGDDSERGASHPPRKN IEATSEEDESEE AFLA_047400 MSKESKRGTFLGAISPWNVSRSTTPQPDSGCNGTPDILQRSQGQ DHTVTHRHRLSPQRYPKDCPSLRVRWFYAVDSPKWKPALVEQKKDASKPLPPPKKFVP FSVKDSQAIEIAFQNIAAVEGGKDENKPNEGIGEAQELTKVPVNEDYLFDVDVERREL SPAYWIGPVYEVRRGTWFVQDGSTIKPCEENLATQLEEGYLKVKPWRFEEVEEPSTLR DRTENAGHMTHVPANSLYTYRLFGAYMNSLVTYQDSSTALLTNDDFMSRVSTTVYQKL GGVPGTRLVRGFSETKRQKEAPDSRNPNRRSNQGSVSTPEARHPDNQDHRVLEGMKPG VMDSHLESNSTPGINQRSTLERQMSSLAGEPQNPADIEEQARRQEEKEMEDSRQGDGN DRDREIDHLVLVTHGIGQRLGLRLESINFIHDVNVLRKTMKNVYKVSPDLQALNSTFG DKHENCRVQVLPVCWRHLLDFPYRGVRQNRKELDLADADILEDDPYPGLADITLDSVP AVRNLISDLAMDVLLYQSAYCEHISTIVKQECNRILKIFKKRNPSFRGSVSLCGHSLG SAILFDILSTLQDGQLDFDCKELFCLGSPIALFQMLKGRTIAAPDDDYFIVSSPKCEQ LYNIFHPSDPVSYRIEPLISPAMSSLRPQPLPSTLYDGFQKIKSAPGKSASAPASDDA PEHQGNEIRMKRLRTEDAKVRSLNSNGRVDYSIQERIPPREA AFLA_047410 MFDKCKDGLVLAKLINDSVPDTIDERVLNKPGKKIKELNAFHMS ENNNIVINSAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELY RLLEEDETLEQFLRLPPEQILLRWFNYHLRNARWNRQVTNFSTDVKDGENYTVLLSQL APDVCSRGPLQTQDLLQRAEQVLANADKLGCRKFLTPTSLVAGNPKLNLAFVANLFNT IPGLDPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLRNGTILLQA YDKIIPGSVNWRHVNRPPTSGGEMMRFKAVENTNYATELGKNIGFSLVGVQGADITDG QRTLTLGLVWQLMRKDITNTLSSLAQRMGKHEITDLEMIRWANDMSRRGGRTSSIRSF KDQSIGSGIFLLDVLNGMKSSYVDYEIVTPGRSDEEAYSNAKLSISIARKLGATIWLV PEDICQVRSRLVTTFIGSLMATYEKMQ AFLA_047420 MSRPGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTPS SRLGLALHHLLLGGLILAETVGAIVHRHAVVVHRRLAVAAAIILLVGMTGTNGNTTDM IVIMTAATGIMTDVTVTMIAATVTVNARATVLAALMRENVISRMTGNAVMMNVNAATM SVRMAQMVKKEKAEEKAKEKKGKKIKQKREKRKEKREKRKEKREKSKKNSREVERAIT SSCLTLMVWPLWA AFLA_047430 MSLDRQESVRHDESKTSRDNTLAKLTQLPPSSASIKEASSGNSS KFVGKLKGRIAEPVVAAFVAGGVAGAVSRTIVSPLERLKILLQIQSVGREEYRLSIWK ALVKIGKEEGWRGFMRGNGTNCIRIIPYSAVQFGSYNFYKRFAEPTPDAELSPVRRLI CGGAAGITSVTITYPLDIVRTRLSIQSASFAALGQRDGSGKLPGMFGTMVLMYKTEGG ILALYRGIIPTVAGVAPYVGLNFMTYESVRKYLTPDGDKTPSSLRKLLAGAISGAVAQ TCTYPFDVLRRRFQINTMSGMGYQYASVWDAVKVIVAEEGTRGLFKGIVPNLLKVAPS MASSWLSFELTRDFLVQLNDK AFLA_047440 MGIDLDRHHVRSSHRKAPKSENVYLQVLVKLYRFLARRTDSNFN KVVLRRLFMSRINRPPVSLSRIASNVTDAHKGKTIVVIGSVTDDNRLLNVPKLSVAAL RFTATARARIEKAGGETLTLDQLALRAPTGANTLLLRGPKNAREAVKHFGFGPHSHKK PYVRSKGRKFERARGRRRSRGFKV AFLA_047450 MSLTESSAIDIARTASLASRRLATLSEADRNGALTALHDALLRN KNSILEANAKDVDMASRAAASGNLSQSILKRLDLSRPGKYDDMLKGILDVRDLQDPIG HVTLRTLLDDGLVLERVSCPIGVLLIIFEARPEVIANIAALSIKSGNAAILKGGKEST ESFVAISQVISDAISSTQVPKSSVQLVKTRDVISSLLAQDSLIDLVIPRGSNELVRFV KDNTKIPVLGHADGLCSAYLHFDADPEVAVKVIVDSKTDYPAACNALETLLVHQDALE TLFPAIADALISKGVCLPPPGSSSVETAVAHINSHSSKHTDIILTRSKDIADVFMKGV DSAGVFWNASTRFADGMRYGFGTEVGISTNKIHTRGPVGLDGLTIYKYLIRGDGHRAC DYSEGGKRWKHQSLPL AFLA_047460 MAEFLSQLTSVSSGSFDEDYDRRIRDLITYLQQPSKASELSAAS GYLLDNLDPSLHTLSYLSVFLFKIQSLQGSNKSRLPEQIYPGRELWLKAIRILRSFDP FQIRYAGHEWHRLVQLVVQAAQAVSKPLLAVLAVRDAIVRLDPSSEVLTSVHTTFIKL TLVSRSYSLAVPVLERQVCHFPTVTGQAYQNYHQPLLCAEHESSTAFITDASGFSKQL AYRDHLQFFLYGAMIYMALKKWDRALHYLSIVISCPVTNAVSKIMVEGYKKWLLVSLL RNGKVMSQTKKPSLKNLQPPS AFLA_047760 MNPDYGKSNEEPVWGLAKPLPRVVRPGMRRHDGGGTTSAYPTGQ KGESEPVPELEATPDQGDEHGKEGQDVSSPGPGAHGDTMVHQEMSNADAPDRVSRPVE DEVTEDASDPYGDYSGNANRTMCDSGNFHRER AFLA_012370 MMEASNSPDQQSVLSESILVSESLVPARDLKGVGHAEISFDGLL KDPLIIKEDLKEGCGGQLWPAGMVLARYLLLQHRSDFNNKTIVELGAGGGLVGLAVAR GCDIGSSSVYITDQAPMLPLMETNIKLNNVSSRVAATVLNWGESLPDCIPKHPAIVLA ADCVYFEPAFPLLISTLKNLLGPESICYFCFKRRRRADSRFLKLAKKLFHIVEVCDDP MAETYKRENIFLYSIRSK AFLA_012380 MADFAKDPALNAALSAPWAFLCPTSELNDTIVGSAKFFLDSLAL SISDAQSARQRQNRKRKRCEANLDQNTEVLQLKQLFVEGFGSDQIWEQALRILDSAGQ EIQRDCTLSNRHAGHSLSDEGITSFEGSDFAAESSENSELESHLEGTRDLSDVDEEVS MALDLDQGDSIHDALDSRSIDETLGEESESSETGSDGEQPGTYTEDPFGLNDGFFSID DFNKQSELWERQDARGGPDDESESDEEVDWHADPLATGNISASLNKTPPSNETDRGVK DQSMGDDDDDDNDTSDEEGPTFNNVNLQDGLDSDTDDAYPGTAQGADWINTSDIKYSD FFAPPPRKSTTKRSRPLPKTQPVAAINNNDIDRAMADVRRDLFEDDASIENSDISDGE LGEPETQKSTHEKQRARIADEIRRLEAANVAKKEWMLAGEAKAAERPVNSLIEEDLEV ERIGKPVPVVTAEVSEDIEGLVKRRILAREFDEVIRRRPGITDSQVARKSRFELEDSK AQQSLAELYETDHLRATDPNYVDPKNQKLLREHNEISNLWKEISSQLDTLSNWHYKPK TPHAHINVVTDAATIMMEDAQPTAGGAVGSAATLAPQEIYTPGADGKASGEVVLRNGL SVSKEEMTREEKSRLRRQHKKQKKTTTNDKNRQSGKAAERQQIVSDLKKGDVKLVGKQ GEVTDIHGQKLTGTGPRSGADALKL AFLA_012390 MNEGLKETVLAAFDSGARTKLKPFHNQVHQHSILQCPCTFMVSL FHGVTPSKECVK AFLA_012400 MEGASSLIVYLPEEEKDAQETKRRVQGTGHDCHCLAVDVRKKEN CRKVVDTAVQCMGGIDILVNNAGFQNMIGDISGLEEDQWERTFDTNIHPFFYLSKYAL PHMKSGSTIINCGSVNAYIGRPDLLDYTATKGAIVAFTRGLSNQQVGRGIRVNCVCPG PIWTPLIPSTMTSSAMDQFSSVPMGRPGQPSEVATCFVFLASQDSSYISGQSLHPNGG VVVNG AFLA_012410 MLPLSARALPGALHARPRLVSSIPRIQLVAHLSTTPNQSATALE HKGHSGQPLTASGKIRKEVPLPSQEKKEGAMQYVLTTLDQVANWARQSSLWPMTFGLA CCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPR WVVSMGSCANGGGYYHYSYSVVRGCDRIVPVDVYVPGCPPTSEALMYGIFQLQKKMRH TRITRMWYRR AFLA_012420 MSGPKIACIGIIGKADNPLHISLFPPYLNSTVEFSFLLNSCLDI FEIRQKQTSVDQDLGLLHAVDEKLAAYGWLTTTGVKLLVIIDLIGQPVPNSMGKQKGS PRTGSKDPDLKPKSKLTMNY AFLA_012430 MLFTSGSNYTLYIKSTLHHVPCRSLFILKCQRRWYSVSRKATLR TALFFPGHGVQRVGMVSSWINNFPDIAGSFLDEMDSVLGFRLSRVISEGPNSELNKTE NSQPAIMATSILILRILEQKFGFDTKSRVDVTLGHSLGEFSALVTGGYIDFGDALKLV RRRAEIMAQCTRRASTESGEDYGMVALVCEPDHLNGLASNGMRDDLSYGMPPIEKVMV ANVNSKNQIVLSGSIERIRTLLIQLRQFGGHDPRAVRLNSESPFHSPIMMPAAEYMVR ALDKIKLNFPAHMPCVSNVSGLPFRSADELRRLLSQQCVDTVRWWDSIRYLDQERGVK RWVGIGPGKVGRNLVGKEVGKVMTKGGGVWAICDTRELEDIVRSLEQTEFEASCD AFLA_012440 MIITPDTGRIIYWETVSCAASLGLARQKQKTIQGSIPNLLSGEH ITDLVNGEPSGVIVTLSSGRVAHITVRDPQGKPTVTVNFLRNTSGLSRIGFFGGIRNV LGGGFARKDLAAVRAGESHQRGQRDIIIATSGGLFEIWDTHWNNGIILKRQFDITNDL CHHLGTGDSNSSDDSNIEILDFSLMPAEYSVRELQASTSVPSWHLSLLVTQSEMGSQS MSLVQVNLAEEVHILSTHPIDLHGVSTALDSKPRLYLPKPGDTAFVLMGHSVVLLSLS LGKDQSAQHQQPRVFQDSISFRSGKAFEILGSGAEDKRDENSCPACLIMVRDFGIIRI TALPHHNSPPTAECVRITAKQKIEQAIFYGTMLGNPLNLNNKSSLDFSVMDIEQAALE ICRELLQSRSSLSQRQQYP AFLA_012450 MSEQILEASELSLAVLETAFRFRDEHASRYGIRDGYLEDGVLVT GFKGLPEFWTSQNFSYVETGHLLDLELDSCRAWIQQATSVTEAPESLTVKRIAGNSAR QLCVLGQMHYERVRWLSAQEDPKLVDEGIATEQAHVKQRKWRLFKLAGIGRLDDAITL AEKFRDMSALVELIIELQDQTKGEILPSSRNDASDTISCESDHLNKKISLYFEKFGES WANAFFSRQISMRQSGILFAMKKFQPFITQFLRKDAAYSRLGWINDVIGENDYNAAAQ SLEKLAIEGESDLWSHRVELSLAKLAKLATLEETNIPSHSGFHNDIRHLEDLSEIDAV QELIYAYISPALQGAIDQRAEVDLAIDHFGKNIAGDRPSLHELLSETLTKVVTRQIID IHQLVDILTLIDSDKASEYGQSELSGKEFYLALRVIRLGIYAQQDSLNYITMQKLVWR RCMIKDNWVATGRAAESMDNGSEPFIYDTALFRTMGLCLRDRYDEDASYASLYIPSSP QDVFLNRSDSELLSTRYRLEQRTRIIHDLERENEILHENVEKGRLDFWFKNLLTSAEE SASIPIPPTFTSEESNNNNQQVKRSPQPREGSSKARLSWL AFLA_012460 MTRSHKVNDRDHSVLHDGIAVSQENLPRYFAKSGPVDADPRKTK KDGGGKGNWGQPGDEVYDYNCKLTNARRYSNSSTQGLADYKTKFETIEPEPVFEESFH GVQNEERPVDGNKVLNVDGATSLGKTLV AFLA_063980 MHILHRSECSAIPWLQHGTRQNVERYRLKRKQQTRLFRDKKRRL EEVECQEMELLYRSQETRKLYQKLNTSRKGFVPRAEMCRDKDGSILTDGREVIERWKQ HYDEHLNGAENTGTEGQDSEGDGYVSTADSGNQPAPTMGEVKDAIQQLKNNKAAGKDG IGAELIKMGPDRLAACLHRLIVRIWETEQLPEEWKQGVICPIYKKGDKLECENYRAIT ILNAAYKVLSQILFRRLSPIANEFVGSYQAGFIDGRSTTDQIFSVRQILQKCREYQVP THHLFIDFKAAYDSIDRIELWKIMDENSFPGKLTRLIRATMDGVQNCVKISGEHSSSF ESRRGLRQGTKLLFNIALEGVMRRAGLNSRGTIFTRSGQFVCFADDMDIIGRKFETVA DLFTRLKREATRVGLMVNASKTKYMLVGGTERDRARLGSSVTI AFLA_097080 MSAPNQLQGVARQKDISVFVDVLRSSRPEIHGSVRLAAIEEHRI PDNGCLRNPREKTETSKRWESLVNYCMFAMSYAEGSIVVHATVPFY AFLA_097090 MVAAVDRPSLMIIQALVCLQHYWFGIGQPYQGNLCLGNRPQAGN DKENPHMSAPGYCQVTEPPNDQPRPVASMVNDPAEDRPLSVVQPISRLATNVNDNGFE AAASEAEASEAEANAIDPLFSGVNVDGPQDYDWYNLSFVEAGFEQFAGLELSALFQHG WQTFG AFLA_097100 MLTRYYNRYGNNYANLGSTTKSPPGKYRVRYAFGVGEEPGITYC GGKSERPECDGYQGLINAPTPYGAVDARILVRQNDLEMVHTFQNHTLLYTVPGGYQAK PCAPKLTTAMLNASLARDLPMRIMQMTARFTPHNPPRNVSDVSRVDTMLLKAGIQDGY SKPVGANLTHLAQMAEAAVSAHAYLPKNIRDLKHGWLGLAPSAQGDYNLDYKMRSFLA RYGYLALDATEALYPTYHEPETKKFALTLGPKEAYMITFVGKPPLTKQGFWSITVYNE EQYLVANPLERYALGDRSNLTYADGAPVYGTDSKNASFQILLQPADIEPPKNWTSNWL PAPPGGGEISISLRFYGPAQALIGGEWVFPEVKKRAAFEG AFLA_097110 MPRSPTGTGDATLNEATEFSLIYGYPLIAYSELALPIVSEHGTN SIHHQRQLANPGRKSVVRPNVDTLYSHSILDMSHADLVFEIPNITDRYWVCPFYDV AFLA_097120 MEPYQAVAGAFKRATMRQLAASDSDLHRCAKGLQLLREARVGRV KEACNFLVLGLTLISFQRLIGGHTASTICRFTLALVQLWSDILADDATSCMELLGLQF MDTTHCLFRRQVPMLECQVWSLGLVHRSAGICCQLLPILYKVCVVGSSLRMASPHTLS ESFINNLRADIECWEPCLPDQFSSTGKSKPEITTLLSQAYVYRTVALLILHRLQYPLG QEDERAGTLAKAIAADLERCLSITGQCPPHAVLPLVMAGVEATCISERERIHFLISRV RGAAFYPYVQHLRELLAGVWISRDCGEDRNVFNLFDQYPNVDHILL AFLA_097130 MPSFIYTFAINALLAGALVAQIANAYPQPAVADAAVLSEGSDPP GVFYEELESDIVKRSKTLKIGAKPDKSHTCPKTDRYAKPVEYSSGQLQKAFIQAAQYA NDGKQIGAKARAIRAASNEINRFKALSLMLDDYGEGLSITENIVSAAAGNTSKETILI ITLLERRVEEISITEKVVRAASNNLESGEGLMTVLLKGGLERFYVGHINRFNQGHVRR RYNRYPSQ AFLA_097140 MHNPIRNPEYEAFFKAKSEHDWPPPAHMIDALRSLLTDPSLPAS EAAKRAASLYIEQSDPNPDYTSLWPLLYDAVEKFTEQNDRLLDFLVEFQRLPDHNGAF HKLNGLSEYLVEFVFDYVDHPFYDTQRDQKRQGWVNINAFTAKLHNTGIRPEGRGQLR HGSWVLRKTLEKAPWEVFHHKDIEEYLEWLQDGYVEDYEGELDEEYNDKRDHFLEEID IRTLNGWIPGAAQWIILCGKEIYGMEGSLGKEWPTKWTGQEGWSKQRWAFWRERFEWA STVTALDRKTRQLARQMVDEMRRIEGEDTRQ AFLA_097150 MRSCLQCRDAKRKCVPTKDQSCTRCLRLHLGCSHSQSKRAAEPR KLIPNLPAESQDGPSRLEDFLSDDSSVALLVEEYLSKIHGRPHSIFHTGCLWRDIRDR RISKALLLAICAMGAHVSSRPDLRSLYPMLTTESKRLLKVDLERVCLENVQTCILVAN LCVAHGNPSSEFLFFRTAIAMMQLIRPFTHQDSSNAVSQELWIRIWWALFAADNWCSS SLGFPRQMKDWPRPDRSPMDENIFAGMAPEEALQDLNEPCQNPGLWAHMATLHEIFGP IQELNWLAATNKELQPSQMELDTENLAQLLDDWQKALPEEVQLTDPNLVGHSKRGTGG IFMGLHLAFHHYATLLFYQYLDPKSALTIRGRQFAARCKHHALSYSTWLARGRRQSGC EAVYPTVGHMAIVSSSVLLHTLLFGEEEEIAQSHDCLKANFEALLELKEYWPNVNTMI DRLMEFQNNCLLLSHHHQTHRLDRWMVRFLLEYALPLDDKVIASPTASEMEIISAQAQ LFSRKGRLPEFGTG AFLA_097160 MILSTVLSLAAGVAALTLDVASSGGNQSSSLLYGLLYEDIYHSG DGGLYGEMIRNRAFQGSSSNGAASLDRNTDYWNPIGGVSLAIDTSSPVLSSSLPYQLR MDVPAGTTGTVGFYNEGFWGFNVDASKDYITSLYIRGNYSGIVDCFFYSNTTDQVLGS TSINIDQTPSDGWVQSYSSSFKPSQTASDANNTFYFTLDGSKLAGQSVYFNILSLFQQ TFQNRDNGVREDLADALRNMNMKYVRLPGGNNMEGNGSPYYWRWNGTIGSLTDRPGRP GTWGDINTDGFGLLEMMQMAGDLGLEVMLGIWAGFYLNGEAVAEADLQPYVDSVMDEL EFLLGDQSTTYGARRAAMGFPSPFAINWIEIGNEDYLNGGTKSYNSYRFKAFYNAIHA AYPSINLISTINPSPVTTKGSSVDLHVYGNENYFESLFGTFDHASREYPVFINEYAAT NTGSNKGEAGAQTLGMSCAEAIFLLGCERNSDVVVGSAYGALIKNYNEEPETVAVIKH TANEILYTISYYVQKLFAENMGTRTLPVTVTDGGFGPVYWSATANSSSTILKLVNYNG ETGSSNAVVVNVEGSSKSTATLISLTAPNSTSVNNLPSLGGESSVITTTTLSGSGGNF SVSFSNPYEIAILVV AFLA_097170 MAKISALRFEQHSTGLGIQCSSPRISWTFCAIDDSICDWTQSQY ELSVYRETGSPVEVVVDSHASSLVPWPATPLQSRERASVRVRVYGIWMDRATKRQHSG WSAWSSWATVECSLLSRQDWSAVPISSSSIPASHEDEPLRPLLFRKSFVLPATFTSLH RARLYITALGVYRAYINGLRVGDHEMAPGWSSYRHRLAYQVFDVDSLINPSCNNVLSV EVAEGWFAGRLGFSGGKRNIYGSCMAVMAQLEVDGVGGERFQVTSDSSWKCHRSPIIS SEIYNGEVYDARAEVGDWNRHGPLYLDENWEKVSILDFPSARLYAPNAPPARITEYLA PQKIFTTPSGRLILDFGQNLVGKLLIHSMTLPVDATVSFTHAEVMENDGELGTRPLRG ARCVDTIISSGQKLVDWSPKYTFHGFRYVQVDGWDPRTVPFWRTNIRALVIHTDFKRT GRFECSNSLINQLHKNACWSMRGNFLSLPTDCPQRDERLGWTGDIQIFGPSATFLYDS TGMLSEWMEDVACEQSDYHGVPPLVVPNILDHVWPSMPQAVWGDVVIILPWTLYLSSG DRDMLHRQYSSMALWLDQGVRRGKDGLWDPELWQLGDWLDPQAPPDEPGDCRTRGTLV ADAYLVYVTSLMGNISAIIGRDTESAHYRQKAQRLRTLFQNKYITSSGLVVGDSQTAY SLAIVFGLLSTKEQLATARSQLAERVRIAKFRVATGFAGTPIILRALTESDNLSLAYR MLLEERCPSWLYPITMGATTVWERWDSMLPDGAINPGEMTSFNHYALGSVVAWLHETV GGLRPHQAGWKSALVSPKPGGSLTHATVSYESVYGYWSCAWKLVPDGARDERMTLCLD LIVPPNCHAVVQIQAGADGSGNREERVGSGRHQWKIPFTPGPWPPKATAPFITNPGQG L AFLA_097180 MTFPPKVYQFLVGTFAALGSFLYGYDLTIVAEGKLTSSELFRPP LPGGTDEAFSFFMLVVSSGSFLSFFSPSTTQIGLVASLLTAGAVVGAGIAYPCSDYFG RRATILAGGLIFCLGGALQAGAQNYAYILGGRFIAGMSIGVLTMIIPIYQAELVHPDI RGLVTGLQQFMLGIGGVCGSWISYGTYISFSDNRQWRIPLGIQIVPAGLLSALIFLFP ESPRWLIRQGQLENGLQTLARLHSGGNTSDPWILAEFEQIKTQVAAEKEHSEVKFRDW MTEKSIFRRLLLACAMQAGAQMTGVSAIQYYSVTIFVSAHESYI AFLA_097190 MKVAIFSTLLPLVLALPAPQTQSTEGKLPWKKGSVCLALTEDCM GTIGWCNAEAQRLKEFGAREKCLAQRERRPADAPKLPWMKGTGYDCAYALTPEERCYG TALFCREGLYPQGQYRDEQECLSDREDAPKDAKKQQSLPEAELKAKKPFLQPAPDSDT SCMTFDRGSERCVGTRYYCTNDIMKFPYTDEDGSVYNNAAECLDARESEPQSADPDRI VFPDN AFLA_097200 MAKTVVATGTSSGLGFEAIKQLLQQSEPYNFILGVRDTVKTQGN YDRIGFDRSKHTITIFPLDLLSLPSVQSFAQKALSQVGDQKLDYLFLCAGMLDSADGP GPNGSPWCSGYVVNHLAQHYLLHLLRDTLSRSQSRVVVVSSGAIRNVRGQDPATLDVD LKANSKAGIRPVYSASKFVQLLGAFYWRRELPSCTVIAVSPGLIPSTNLATDLGLSMD MPDAKTIPEGAQNLLRAFTATDLPSDPEQLFLTSWGEWWPKDVYSLALDQKLQRKWCL TKEEIEKAEGLA AFLA_097210 MASLRTKLLGGLIHSHPRAPLAPRAIASFSTRTVLHNTDKTSKN DQPNDTNPGYWKYDLSFKTQMSKAVLFTVLAGAGAVESWTWYREIREWWTGVRDDGRE I AFLA_097220 MDRLPTEIILAIIHEIPNTQDRLTLVQICRRWRASFLGIAFCST HLEWPQVRCLVVAALANPVIRFSIRKISIENVAHRATPVPLGSPVQEIIDLISESPVE YDAWRKGLSENQSEVWIALLMAILPNLAAVSAQHSHPQGWITRIVSKAAWRQLPFEPN TLPALQRLEKLDLTWCGLSTVLSHREYLPFLHLPYLRSLRLGPAQELHSTHSPADHPA FLPVPARSPVEDLVLDFFCNGRHGMVDFITSCANLKRFVYQHTNYMVWVSRQDEEDCA GVDASFRPWCFHEALQTQKHSLEVLHLNDLGDASIPRRTHLYKGHVDPISHDRWFGSL ADFRKLWDLHIRASNLLNLHPEETEEMILLGDILPKSLRVLHLADCNDEICAVLLTHL EDLLARREEQFPSLQSLLISPEREEPHGTRIRIKDSFRKQWTALQEMYDRVGVRLSLG AGGKMETNQKQKHWSPGRIVDEALLD AFLA_097230 MIVPYGRWKSPLTPELLSSSSISLHEVVVNESTGAIYSVECHPT ENGRHAIIQHWNGERRDVLPKDFSAHATVQELGGGSLAIRPDGLITFSDEESSGIYLL DPTSSKVTLIREGVKGVRYADFCHHPINAHWVLAIKEDHREATPETQAFMVHNTLVAI NIDTGEETTIAQGDDFFSHPKFDPSGKHVSWIQWSHPDMPWTGTVLHVATWEDGCLKN MRRVAGKAQEESIAQPKWGLDGSLYFASDRTGFWQLYAFNMNDDAPRLLALKGLEEAD FATAEWELGSSTYISLDTTTIVAAVITHATSRVFLIDTTTSCARQLDLPYLDLGSRAN GIYRVSPTSFAIVGSTATSPQELALVSITPTDTVQRTVLASTASFHLDREYVSHATEF RAPQKYGPQCDGDVYMFYFPPQNPNYQSDDQGPPPVLVYVHGGPNGCVTPALNLEIQY WTTRGFAVCALNYTGSTGYGREYRERLSGYWGLVDVGDAVSAVDFLVENGMVDKARVG IYGGSAGGYLTLRALHMYPDVWAAGISSYGISDVRALQADSYKFESHDVDRILLSTTK AEDRDAELTRRSPCHFAAQMKAPLLLLQGTSDMVVPVAQARMMADAMHKCGRVAEVVE FEGEGHGWVGHQTIYESYKQKEEWWKLHLT AFLA_097240 MSANPPSTAEPKAAVDTASDSDHLQDFQGEVQTNDQLPSVETLR KIQDYSVLDRHGKSHPFKSLHSGPGVAQRVLVIFVRHFFCGSCQEFLRTLSASITPKA LEPLATSTSVVIIGCGDPGLIEMYEKETNCQFPIYTDPTRQLYQDLDMMCSLALGSQP AYISKGMARIVGESMMQAVKYIPSGLAHKSGYYKQIGGEFLFELLDSNADMTGEEEKQ VTWCHRMKTTRDHTEIPELMQLLGINQATDPN AFLA_097250 MNIPNTFPHILAFIRKEILRSNHLTWENLDREQIGPDIVLLVHL GSGGNGFRDTAHGGVLAALLDETLGCCIESWAIQLHASEQASSATRPRSYTAKLNISY HAPVESPGIIIVHAWFKKRGGRKWFLGAKILGGNGRTRAEASALWISERVAVMYPLVD ALMYAAAPQARGARKRYFHPAAGAWES AFLA_097260 MGKRVRHFVGVESSEQLAFIDELQALGLSNTINLPELVVVGDQN TGKSSVLQAITEVSFPVKDTMCTRFPIQISFRQTSAAKELPVKATVVPGPLSEEDDEL LARVEDFLIEKKELTSEVMEEIIDKATECIFGDQKSTKQLTLSDATLRIERSGPDEMH WTIVDLPGLIRGKKSGKGVDVLNDAGNGVNEESHVRNNAAVAEELARTYLNNERNIIL VVVDNVDVERHKTFELIEEIPSLQTRCIGVLTKCDRKQEGSDHWMIKLLQNDLATVPH LDHGWFGLRNRLPIERDSSDAERDEMELKEFAKPAWEGLSKDRTGIRSLMSYIDKERR AQIQKEIPHIITEITQHLRECEANLKRMGESRTTARAQRYYVLQFCNEMQKMAEGTLR GQHQDIPSTDAKAMLRYKIRLRLDQFRDDMCRSENIAIKFTDYRADLEWLKSQSSDPR VWEEHVVNGQGLYAAIAQEAKICEGRSLPGSVHPDVEEKLFRKLSVHWEGIAREFVED VKIMVTDCYNILLKIAIPNSKVRLEVSRIVGKTLEEWNKDADTALRELVEDNQARPLI TRNPSLLSFTSMTDEILLGHSSNNKAANGKANGGSNGEDVGPYFIPTSLNQILSARAR LDGYYDIALWRFIDNVAMQVMERHVLGPKCPMRIVSADRFAQLDDTELNTVAGEDEAD TRMRARLERTRSRYQKALERWERLRVL AFLA_097270 MKLLTVAFSLLLLGQVHASPLVLDKRSSCQLGDVWDLNAADAAC SASCAIQHGDKHGGHCDKNNGANLTRVALKSAQTLPLMLAALSSKAMITYTIARME AFLA_097280 MKELFDVAVIGAGMAGILAARDLSQKGHSVVLLEGRDRVGGRTF TTEAFGTELELGGTYVHWTHPTIWHELQRHDISVFPPLDSEKAYWLADGDVHSGTMND YYEALNPLMSHLVHDARSQFPMPFNVSASANDVDQESLEDRIVSLGLSAYERDILEGA MSGLVHSYREQGVAQLLQGVSGTFGDYNGFFETASFWHIEGGTKRLAAAMMSESTATL RLQTPVQSISDNGSFVTVTTRADEDIHARFVITALPINTLDDITIKPELPAPVQSMIG SKNPVMASKIYVRAKGTIEPFNAFAPAGKNPINAARVESRYEGDTLIMCICSDAAAIQ ANDHEAVQEALRKFVPEIEVVDTASHDWGTDEFSQGGWGWYRPGNLTGAAPLMRQRHG RIFFAGSDIASLGAGFIEGAMQTGVIAAREVAIALANGE AFLA_097290 MTRSISSTDRVVFIGAAGEMCRVAIERFATASNASLVLADINTD ALESLLAKLPAGRATTKKLDLFDEASLHETVTGAALVVLGAGPYARTSGPVLAACLKA KVPYLDFDDDVESTTAALSLHEKAQEAGVPCYIGCGASPGMSNMLAVDAVSDLDTVDT IDLCWLVGDERPGIGKAVLEHLMHIAAGPCLTWANGKSSLNESWVETGYAPMVGQSGE TLLHETAHPEPVTLPRLFPTAARIRCLGGLDPAPFNGIARGLGTAVRRQALSMDEAVG FLLNLVNNPPSYNGWTDALGGLTGHFRGGDITIKELWQLVAQGAHALGPWRYALMGMI DQIRSGECTTGEILSFIASSARGQPAPYRGSLLVRAIGSRHGHPAVVIKRTTKCGEGS YLMKNMASITGTACAAFMVMALEPGQKRTGVFAPEGWAKPQDFYRALEQVGTPREEIV ECLTS AFLA_097300 MYSPSIGDRLDSLDTPSMIVDLDLMEANIKKLFDSLLPTGLNIR PHLKTTKSAILAQKLAAAGAKGCCVAKVSEAEAITAAGFDDILITCEIIGEPKVKRLV ELFKKHKKIRIVVDSEVGATAISNALAQAGVAEPISVLIDLDVGLHRTGVANAQAALA LARHIKNLRQLRLIGVQGYEGHLQHLHSWEDRKKQCLESMKILTDTATLLRNEGFNIE VVTTGGTGTAEFCATVPGVTELQPGSFIFMDTDYRNAVGTFFSNSLTLLSTVISKQGD RKVTIDTGLKSLTTDSGLAECKDPRYTHENLGDEHGSLSWEEGTPDLAVGDRVEMIPS HIDPTINLHDFYYGYRNGVVEEIWRVDSRGKVQ AFLA_097310 MILKSALTAVILCLAVEGAAALDPKCAPGGNFDLSYWNLQLPTG KTGHPATKTPSQLKGCDGYQESGVFYTDSKDGALVMKVPGSPSSTACVTTPNSKHCRT ELRELSFDSGDKASWSPSAPKNRLKATVTVPTPDDGSHGTVIGQIHIDDTISTKPVCE LYYSKSGDLVMGVEKTRDGGNSIFTKVGNVSVGERFSYEIRYESDELSVSINGAAPQK LDTYSLDSPRSYFKAGNYNQGDSASEVHFYELNVEH AFLA_097320 MPRYKRVNYFEHKSRYQRISVFNNKQSIIDNLSTSSKMHFAGIV AIALATGATAYDLPENLKQIYEKHKSGKCSKELQGGYDNGHSHDGKSFSYCGDIPNAI YLHSSKNGGQYADMDIDCDGANRHAGKCSNDHSGQGETRWKDEVQKLGIDDLDANIHP YVVFGNENDDGDDPEFDPRKHGMEPLSVMAVVCNKKLFYGIWGDTNGHTATGEASLSM AELCFPEEDPSGDSGHEPNDVLYIGFTGKEAVPGKSADWKADSTESFEESIKELGDKL VAGLKA AFLA_097330 MWTTTCGFRGRKLRLAITVTAVLGFSLFGYDQGLMSGIIAGTEF TKEFKPLYIPEDATAAYSQHVSVLQGAVTACYELGCFFGAIFTMMFGERIGRTPLLVA GGIIMAVGALISTVSFGPHWGLGQFVIGRVISGLGNGMDTATIPVWQSECSKAHNRGF LVCFEGAIIAVGTFVAYWIDFGLSYVDSSVQWRFPVAFQILFAVFVTAGALMLPESPR WFVMRGYDKEACEVLGALSDLPADSEEVLADFNLMKADLAATEDSKAGWKTLFTFGKT QEFQRMMIGCSGQFFQQFTGCNAAIYYSTLLFENNLHLEKRLSLIMGGVFATVYALAT IPSFFMIEKVGRRKLFLIGFAGQGLSFIITMGCLIKDTSENAKGAAVGIFLFIVFFAF TTLPLPWIYPPEINPLRTRTMAASASTCTNWICNFAVVMFTPVFSNASPWGIYLFFAL VNFTAIPFAWFFYCETAGRALEEIDIIFAKAHVEGKWAYQIADTLPKLTPEQMSQMAT ELGLDVSTQPVAYGAEKAELALSSGESQEKQTAN AFLA_097340 MRASSLSLLVAIAPTALGCTFGFTADHYFGSWGGGNSVRCTAVI WESDSPDFKNQKSDAAVDLGCSGGCHNLEYKGKTYEFCYDSASNNEFSGDATIRRVDG GVKVNIVPDGEKEKWRFVGGVSSVEGTIQYRSNIGCPSA AFLA_097350 MDHRTTKSSGAVTGQSTRKDDAIITQVSRGVMASKAFLEEEGRS DEERQCAIKGDAHFHRLGWKRLTVVSIVEGIALGSLGLPYAFATLGMVAGVIMTIGIG FVAMYASYNIGQVKLKYPEIAHYADVGRLLLGNLGSKIFIASFVCLLIFVVGSHCLTG AIAFKTITQSDVCSVVFSITSAAILLILAIPPAFADVAILGYIDFASIILAIGVTMIA TGVQNANGSGGFNVGLAAWSPWPKEGITFAEAIVSTNSIVFAYSFGGCLPSFMEEMHT PEDYVKTLWWLGGIQIVIYTLTGSLIYAFVGQEVQSPALLSAGPVISRVVFGIALPVI FISGSINTTVVCRYIHGKVYRNSVVRYINTPRGWITWLGLVLFVTILAWVIAEAIPVF SELLSIISSLFVSGLSFYLPPVIWEGAWYEKHNLKTAMYNLVVFIVGMIVFGCGTYAS IAELVSSLFFTSAAYWVLT AFLA_097360 MSLAGGQNVVDDAIAARGPGEVLDSVVNGPAGFDAEKQAYEVTA TATSSVDSDEYPEPTPEEQSALRKVADNLPIVSYSLCLVEFAERASYFGAQTVFSNFI EFPLPKGGNGAGAPPRGTQETAGGLGMGLQASSGLVLLFAFLAYILPILGGWWADVHV GRYKAICVGVLICGIAHLIQIFGALPSVLQKGTAHSAPPFIISLLTLALGAGIFKPNI APTILDQHRNKKAYTKVLKSGEKVIVDPELTSSRTMLIFYGVVNVGAFYMLATTYAEK YVGYWLAFLLAGIIYFLLPVVLALAYKRTHKQPPSGNSDLNNAVKIISMALRRNKFRV WRKNFFDAARPSVLAAEGIQVEWTDKLVDDVRRTLVATEVFFYFPIYNINDGGIGSVA SNQGASMTTNGAPNDLLNNFNALTIIVATPVLSYILYPLLLRYNIKFGRISRITFGFV LAMISGVIGAIVQWRVYKTSPCGYYASSCDAGVSPLLIWWQLPNVILGALSELFCNVT AYELAYARAPPSMRGLVMAIFLFTTALSSALGEVLIPVTQDPYLIWIWGAPAVALAVQ TILFWFRFRSLNHDKFMIEEKDYVDTTLTTKSERAGKEL AFLA_097370 MVTYCRDRWGNIYRCRSSWHNWGRWVLLAVIIVVALIAFFFYAC LSARKRRRHGQRPIYGTGWIPGTQPPPGQWQQQQYPSQPPPAPPGYQPSTEHGYGQNY GSNQGYFGQQQYGSELQQPPNAYARDGVYSPPAGPPPGHPK AFLA_097380 MRSNLVNFVSPTGQLQGLYTPLPDQMCAVLHSPAGDLHTPTVEW NLTSSPSRWTQMLSGQTIRSQDDPLPANIAMFPSVDPSQVFSNANPFTPTFDEPPSVT RYFDHDHREYMAPDECDRKESFATSVRELSTDHSVSLASNVPERVSVHPEIPFRYHVI LHAPTAMFDHARDIPVTYLNKAQAYTITVVDSRPPVAPTRPVQYRTRIGIAFEELTHR SNPALCWQLWHDARGGSDAERRGSSPCAVELVALKGGGRETPDPTWHLESASFNQFCV SWTSSGEAHSAECRILVRFHFLSTDFTQSKGVKGVPVRLCAKTERILSPECPPEGETE AEICYCRVKVFRDHGAERKLANDVAHIQKSIERVEKQIARADYHGGALGKKQKRRSVA RGPTPSDPTRSMSRSPSVSTPGDLYKVLYDLQQLFSSALSVSVFSLPGDEQDDPDQLA GITLGNHPPSSPGGTDVRGNTDHDGPTEDGLPGRPSRSPVQRSFSITPGKASAADPAP GELCQFQRALNGRANLFSVACFYVQIQKNHRSSTYYHAMYLAQRTVRDLALQLSKLAR IDLRGIVRVVHVKRMGLSIIVDDDVIQHLPEGQDMIASFVETPAVNRDLRCRPRFEVR LTY AFLA_097390 MGSGSNNSNALIIVTAVFLGTSLVAVGLRCFVRLSIVRAFGHDD ALMVMAMLFNVAFAVCTFLNASSGAGKTVTYLVDRPEDIRRGYLFWWLGQILYVTTVT IGRLSITISLLRLTVERIHIWILYAVMALSTTVGIVLFFVAMFQCQPVSYYWDRLSIE GHCLDMDLLLGIVYMYSAVAATCDFIIGILPVLLVWRLQMDRRTKTAVAGILGIACIA SAAVIARIPYLGSAKSPDFLHATTQISICSNVEAGLGITAGSLATVRPIIRLWSRMTF AIPSNHSLTASCPISGKRRGTADCRDDANSHSTYASYVTEPQWPTQTTYAIP AFLA_097400 MPPFITLEEHYASPKVREASSEAREHYAYFPPAIMSKLESLGEE RIQDLDKGNVSLQVISHGPGNLSPPLCSEVNNDLASAIARNATRLAGFAMLPMSEPAA AVAELERCVTELGFVGALVDNHLDGQFYDDERFWPIFEKAQQLDVPIYIHPTFASDSM MEHYKGNYSDSVALALSAFGWAWHAETGHHILRLFASGLFDRFPRLKIVIGHMGELLP FQLDRVFAISDRWGRERSFREVWRNNIWITTSGMFSLTPLACLLQTTSIDHVLYSVDY PFSPNEKGWQFFEEIEKSGLITGRDLELFAYQNAEALLRVRAVNV AFLA_097410 MNDLHSQYPHLLHLGLSKTEGGTVDAIYARSELPTCNPEAAAVG YEIAHAHPADNSLHVLLSPVDACTVIEAAWGRRFAVPSMVPPGWIMVYAPRNSEEVEV ISQIVRAAIQWTTGTKLD AFLA_097420 MSGPDHPPKTRTRNGCANCRQSRVKCDGKEPSCTRCWQKGWHCT REKLTLKWKSDYHSRGLAFGREGVWGKGTQSKKNSRAISTGEQNWHFNSTVYPWSFVN QDISTLRWLYDEERAPGQSSSMELSMFGLKRDRLGVPEQAPLWYSPPVFPYPQGQKYA IMVEYFIDQVCPRTTSSLKIASPFTSVILPFCLNGSVNGLVALQALAACYWSQSNPAH TNTAVRLKSQVLGELRRRIAADPSYTISPDPEVLVLMMMLSLYDIVDQCDKGWIVHLQ GAKDIIRLRRRNLTNETQCPVTAFAELFFAFQDVMGRTACAKADLFGPSFWDQTDRSV NPWMGCSPELVSILFSILDLSRIRPKMDTDLAQEVDFSMRASALNRRLGSLVQVLADP EDRALQAVADLKRLACTVYLHCALYNAEPSTPIVRSLVRRIIEKLSTLLQENLIINAT WPIFVAAVELDPADGEDWQDPVTGELVCGRALVLRALATMAQSTVTSVTRVRSIIETV WQSRDCDLAAGSSRRQSSQHNDWEWYVVPLSDALSLV AFLA_097430 MAPTIFGIGTGPVNRLSIQIPALIRRALHYGHAVVIGDGQAEWD HVHIADLVTLFELVLVKVLKGEDVPYGAKGLLFAETGRHTWMDVSRGIAAAGSELGLL ATDEVRSVSLPEAAAWASNGSAQVRELGFASNARSKATLSRALGWQPQKTETDWHGAF KEDFEAIAKTVI AFLA_097440 MESMFYPGLTNAAPRQGLASRGKNHIILVGRRYDIKAVLVRLHG VNNSLTIEQLEPWCTRNQHVQNAMAQTRILLTGATGYIGGSVLATLKASTAVEVQSAQ IDVLIRGPERVPEFENLGVGVIVFTSLDETEFIRKLASDYDVIVNTASAFHTESAIAM ITGLHERETKTGQKTHFIHVS AFLA_097450 MDLDPNLTISDVLVLENLLDDIKAQRSEGQDREAVIQSRTSHHE ETVKKLQALNDPHHSDFEPSVVFTWDLRDLRLYPWLDRWILQPYIGLATRIVRHETDV VMLSHILLYLTTSVPSAILLFYRFSWIHGILHWLMQSYYTGTYTLLMHQHIHMGGVLK PKYRWLDMTFPYVTDRLMGHTWNSYYYHHVKHHHVEGNGPEDLSSTIRYQRDDLFDFL CYFGRFLLCVWFELPRYFFRKGNLRCGFKAGTWEILSLASMYWSWKYLGWKPTLFCFV LPFMQLRLGLMVGNWGQHAFVDEVDPNSDFRSSITLIDVASNRFCYNDGYHTSHHLNP RRHWRDHPVAFLQQKDRYTNENALVFRDIDYIMITVRLLRKDYNHLAKCLVPLGDQIG MEQAEIAQMLRTKTRRFTEEEIRRKFPQRNQSHQ AFLA_097460 MSSKPIDNQGPTILAVCWILVLIPALIVALRMYCKVILNRGFGW DDMVISLALVLLLVYTALTTRGVQMGVIGKHVGDIDDPSKTPEALKLIYIGMIICIIS CVLSKTSFAITLLRIVTRPWQKAILWFIIVSMNVIMWLCAICYLLQCKPAAALWRSEL MSTADCWPSSIFQTIALTAGAYSGCMDFILALLPWLVIWKLQMKRREKLGIAIAMSLG IFAAATAFIKTTKLTNVSNVADYTYACSEILIWASAETGLTIFAASIPSLRVLFVRMS SSYNRSDEPSSYAYSSSAKKSRNCRGLSGSRQRDPYYCGDTIILPDRRDDNSAKSILG SSGIKQTQEVLVTYDQGPEENEQVADRIDILRSGSHR AFLA_097470 MLSITELIMDLGLAIVILGVSHLLWNYLSSPLKAFPGPLWASFT NLWRLQDVFKGRCDITHNQLHRKYGTAVRMGPKVLSLSDPSVIPHVFNSKNPWMKSDM YNVNDVIVSGVRLKNLFSHQDEKWHSTYIRPVKGLYSMTKVQDMEPGVDVTIKLFMDK LRERFVEKGQLCDMADYLNFFAWDVMSQITFSQNLGILEAGSDYQGFLGRSNKSLDYF ASISQMPILDLLLDKNPIVRLGPPTFVWANIFSLEQLQKRLSGGTPPSGHTDFLDKFL ETKKKYPDLVNDNTVVTYLLSNTLAGSDTTGSAMCSAVYHILKHPRVHRKLREELYAA KVPLPAKWKDLQGLTYLDAVMRESMRVNPGVGLMIERIVPEGGFTLPDGRFIPAGTIV GMNPWVINKNEAIFGANTEEFIPERWLPSPGESDQAYQARFTKMKSTDFTFGAGPRMC MGRYLSQLESYKLIATLFSTFEMELPSLDHTWHVTNSWFVRHESIPVKMRERTDLAVS V AFLA_097480 MSVSSKTSHYDPFRGESSREIALECVVATFIAIAWCNSIELVVL CFTTFKRYAGCYFWSLIIASVSIIPFALGYALLIFKIFPSYFSVALEVVGWWGMVTGQ SMVLWSRLHLVVHSRKILRWTLIMIMVDAILFHVPASVLEFGAHSNHQDQFNPAFDIF ERIQLVAFSVQEIVLSVIYAWAAVEMLKLLPRGHYKGILIHLLVINFVMISMDAVVVG MQYAGFFRLHVSLKAMFYSVKLKMEYAILGKLVHVAAVPVHPLSSYTPTDRASSVSHI AFLA_097490 MAKTERKTPIEGASIPLGSSPSDDGASSSRHTNHAVLITWSSFV VTIPILALTVAFLVLVFKYRVSHGDAPFESLRTSDAKDEGSALFVNMNSSILLFLASW ASSVATMLSGFMLTLASFPIARRFFQDIQSSRVTRLPTPYQLALTLKFLDGSTLGAIW SWIVYLVSWRKKRAPLTPPLTAASSIALLATLLGLLVALGDTWLHLTTTTVEFTQVVP VTNQTNYGFGLIPECLTSNNSVAAQRGEQILCSVSLAVTGSFLRNGTTSLGVLNDMSD QATVSTYTDPQANKYTFLQAPEGASLSNRDYTAKTYGARTQCELISTKCKLQNNASIV TYNCSSDFAGSFTQSGLKTAFFTNDSMAEDMSQSMYNKGVGNPYYFAAADIMSLAGGD APNSTEFVKSLHGPYAFILGCNTTIYDIEYDRVNNSITRFVPTISNTSASNVWQTSIS HLNNWYPTIEQTAGAAILSGSAQVFVDKIAEAFSKVTIALGADAVDARPALAAQDRES FLVARLPKAPLITLVAVCFLYVLCGLIFAVLAMVAVRHKIPDIQARMSLAGVVADRFG DSSSWNKNTTVDEMFEEYSGKSEKRVAIESPDLQGAPRFTTWTVESTVVH AFLA_097500 MMASNQRTSVLFLANSEHGQTNIILAITHELLVRGDVDVHIGSF PALERRIDKLLADNASAYDGSFRSRIHFHPIRGPSNTDVFIRTGKRGAFHPPGYHGAV LGFQSLCEDIWGWTEEEYVDIYNCCVEIIKTVQPSVIAADFFFLQGRDAAYNTGYTAI LINTTSLTHIVLGLQSQSAALWKYPLPGTGFPYPLPWHLVPLNALAVVKTAKMYHGSG RRREIREWRIRHKIHGRFPFADAWRPDRFHLSPALKELDWPMDVPDNILPCGPILLPT ASVHKQDPELASWLQRAPTILVNLGTLYAPDPKVAENIASGLKLFIDAWKGEKIQILW KLPKHPHDENDVYSRSIEPLRQETETDRVRIHPWFSVEPMAMLQTGQIVCSVHHGGAN SWYEAIQNGVPHVVLPAWQDCYENAARAEWLGIGVYGNKTRAPNINDRELSKALLKVM SDRSYKEKALDLAKLCQKKEGRVAAAEKIVELARNPDLMAMHIPDMKIGDSQCQLSEI RNRSGMTLQSVQLPPPEVKPTAKPFLNDLAEAVLMTALCNTWSILPLLGYSLLLVPRL RFLVLVYLIYIKYIAKAHEKGTLSLRNDSFRTSWIWKTYASYFLLRLYRSASLSPQKK YIFGYHPHGVAIRGAVGAFAADVAGFSQLFPGITNTLLMKDSVFYQPLLREYLLSAGL SGVSRKSCIRHLTRGGHDGRGMGRAITITVGGSREYNVARPGTMEVVIKIRKGFIRVA VETGADIVPVVAFGENEIFDRVDVTSRSVLSIAARVWEWFVGHKVAFSIGRFNIFCPY RKPLNVVVGNPIPVTQQRWDPDEKYIDQLHQQYMRELERLWDSWKDTFGTDKSVKFEV VE AFLA_097510 MVFVALYLPSGQMPEGYSPAMISYPTLVVVLVHEFVTTVYSFIF LVILFVQVQPKGPTIKGVQGPFLDIFCCGIATKLAFISGRSAHHFHALHESYGKIARF APGQATTNTIKALRNIYGSGTGKGSAFLKTGFYKSISRRNIFTASDPIYHSSVRKLFG PSMTPGSMEAHAGVVRECTLRLHDVINSRLESKTILSLNELLYCHSVDTVSEVLLGKP LGCLKRGKPYFWTAQLPRIFYWATIRDQFDGSGVPTVMKWLLRRFLRKGIRLRSEEAR MRLIHEQLKASHTRRDIMVEVMERAETSGLPESEIAENFSAIMLAGFHTTQNALCAVI FFVLTHPESHAKLVRELQGAFHSAEDISRDVVQQLPYLNAVITEALRLYPPVPLGGPR VSPGAYVDGVYIPAGTEICTSLFALHHNPEYFNEPYEFIPERWTEHGSRDKKEAVQPF LVGSRACIAKYFAKQMMQITLAGFFLDYEAEYVGTVKDWQRESRCYAFWELPDLKVKL HQRRQA AFLA_097520 MKSDKDILMKPLPKSPGTGSTTTGHSVSHAEEVLDRQLHTPVSQ IGFFGIYRYATRWDVAILFGSALAAIAGGAALPLFTVLFGRLTSTFQDIATHRITYDH FHHELTENVVYFIYLGAAEFVAIYLATVGFIYTGDHVVQQIRVEYFQAILRQNIAFFD TLGAGEITTRITADTNLIQDGISEKVGLALTGLSTFVTAFIIAYIKNWKLALICSASL LALLLTMGGCSTLMLIFSKKALEYQGRGASMAEDILDSIRTVAAFNAQETLARKYESH LKDAEGPGMKSKVIFAIMVGALLCIMYLNYGLGFWMGSRFLVEGISNIKAGDVLTIMM AIILGSYNLGNVAPNGQALSNAVAAASKLYSTIDRQSPLDALSDQGKTLEFVRGNIVL QNIRHVYPSRPEVTVAHDLSCYIPAGKTTAFVGPSGSGKSTIISLLERFYDPVAGTIM LDGHDIQTLNLRWLRQQMSLVSQEPRLFATTIAENIRYGIIGSRFEKESTYEIRKRVE AAARMANAHDFIMALPNGYDTNIESFSLSGGQKQRIAIARAIIKDPKILLLDEATSAL DTKSEKLVQAALDKASKGRTTIVIAHRLSTIQKAYNIIVLANGQIVEQGPHEHLMDRR GIYCDMVEAQQIKKRYSRYSKRYSQLLTNLSPKHNPMTFFFDKDYPGDDESDIYSILS DDASDIGLHTGEKQRPVSRMSLSHLMQPVKEEAYSFWTLFKFLASFNRPEWPFLLLGL CASILAGGIQPSQAVLFAKAVSTLSLPPFEYPKLRHDANFWCLMFLMIGIVSLVLYSV QGTLFAYSSEKMVYRARSQAFRVMLHQDISFFDQQENTTGALTATLSAGTKELTGISG VTLGTILIVSVNLVASLGVALVIGWKLALVCISAVPALLMCGFVRVWMLERFQRRAKK AYQESASSACEAASAIRTVVSLTMETEALQSYQAQLRRQLKSDILPIVKSSLLYASSQ ALPFFCMALGFWYGGSLLGHGEYSLFQFYVCFSEVIFGAQAAGTVFSHAPDMGKAKHA AREFKRLFSSDTMHASRSKGVPVTSMRGLVEFRDVSFRYPSRLEQPILRHLNLTIKPG QFVALVGASGSGKSTTIALLERFYDPLKGGVYVDGKNIITLEMSSYRSHLALISQEPT LFQGTIRENILLGSNTPHVTDDFLVKACKDANIYDFILSLPQGFNTIVGNKGGMLSGG QKQRIAIARALIRNPKILLLDEATSALDSESEKVVQAALDAAARGRTTIAVAHRLSTI QRADLIYVLDQGEVVESGTHRELLRKKGRYYELVHLQNPDATGTK AFLA_097530 MLTLNIAYTEPVNNSSDPSIPVLTQEQVWNGLKLKARHPQDFVP SLDDSRILEERDDGTYIVREAHVAANLHESPMAGKWVREECQLHAPVRTYFTLPGGSV VENIVSIGHDQNLYLTFTYEWKLADLEPGTSEAKKAKDEHMRIAISSVQGTIRALRQM AEEGTL AFLA_097540 MIEYERGAGFLGCHVEDNKEKNKRHRVMYGCKRLNLELKTWLTE VGEYGTWIVFPGTSEWP AFLA_097550 MAGSTAVTPIRTNTTRYKGECMLHHTLLQKPIDVTNTHDRLQML PALTFGKKPVRQTVDLRQQDTKTATFWPYGNSYRGGHIPSSECKSDEFLRSKRNSEIK RNFERWVRQQAEWRSDAHATSHRSISSYISNSIPGTDERTNQVIPLSTVCLPEPFSQR FPRRFELVDPMVIVQLISNTLGRLAFFNDMTFRTRFNVTRFHSNRAPQISACEYLRRL THRLRLSSPILVMMVIYIRQLCKTHPTFDVSSLTAHRLLLSCALVASKSISDFAWPNQ SFASAGGVSAAEMAILELELLKYLEWDGGVTGTRDVSNSSKAPPSGISAIRPLRSEEK FRTPERQCEAFYAQEKPEMSGARCGDCSDPMPICRTVRSEETSPLVRKSLRQRKLPDR GLVSKVKRKLQFSVSPSKRRFLASKKTVRRKSSTTRTTNWWNI AFLA_097560 MTSKDIESKTALELEDLKDAPHRDPLLELFPILQDKTPEELEKL NRSVVRKLDWYFLPCITMILLMSYLDRINVSNARLAGMQSDLHMSDTVWSAGISLFYV GYIISQVPANVFIAKGKPSILFPCIALVWSAVTICMPALTSGWGFCLCRFLVGVAEGP FVPAVSLLTSSWYTKQESPLRMGIWHAGNIISNVFSGLLAAAILTNMDGIAKLRAWQW FILLEGIVSIIVAVTGFWFIPNFPNNTGRRWFTEEEAAMAEYRQVVSAGGTREDDEGD YWGGVLLAVKDPFTWGFAAIHFSLIIAQSFKDFFPSIVETLNFSEVVTYLVQAPPYVI AYITTLVVSWSSGKTGDHCWHIVGPILVSLVGAVLMISTLDRGARYFSLILLCTGPFV GLNIQISWETTVVPRPRTKRAALIAIANCVSSVSHWFTPYFFLRSQEPRYQTGGGIII AGCGLSVICCLITRWWCVRKNKKLDEAEAISGNVTEWRYAT AFLA_097570 MGSITPHAAYSLNNPFRTRILNGQITPLMSIKFVTGNEIPMMCK MAGVHAMFIDMEHSALDMRTVAQLILACNYAGVSPVVRSPSKSHWHISRILDAGAAAV VVPHIESVQEVRDIVKHAKFAPLGTRGCTNNQAVMNFQHVPTLVQNEILNEQTMLIPM IETPAAVEIADEIFAVEGVDGVLVGSNDLCTDLGIPGKYDSDLYQDAVTKVIQAANRA GKPVGIGGIGGRLDILEKWFKMGATWSLSGQDASMLQAGLKQMSKNYTEISERLQKEP AFLA_097580 MSLLFADARWRDQNLKLLQNLSQSSGILETSVQPNSLPPAEEAL AVFNNYLNGPHVLNPFLLRRDVQRLYHSAFSTSQTGSSSQKPDHLTKHDTFRTFMILA VGSIMLYRSGVHKHHPYGYFLTALQYIDTNILSRGLDSIQDLLLVVRFGIYHHIGTSI WELTTLCMRMCIEQGLHKLPRPAMRRRISLLQEQLQRRVFWECYMISQYSSITLDRPA AIAERDIQVGFPADADDEEIDAAEASGSFSDLDSFCRATTRPTMTGNTEMTVFFYCLR LRKITSKIRTKFQQSNGTPGDGHALTMDSITASGRIYEDLEELLSELEQWRRSAPTFS TPRGLYHTQEWYDLLLMRERLLLVRKAIDLVPKRNNIPPDDLLLICLEYAVSTITKFC PLFEQRKITYTRSYFQMLFTAGLSVMLWVSVASNHDTEMMVKAADAVKQSKKILKQMG REMPDATPYVSVYEALEVHVLGKYHTSSRLTQQTGGYLGQTQPHDHASPGMSGMLHDQ SIGGEQHSVEQNHSQFSSHWPPLLNDLGSHHLLDHEPSTYASLLSRDLFGDNTFWNVE VGLGEYVYGDPMFLFSGIADDNV AFLA_097590 MMGPATGITKEFATPYVNRFLDHVGVMFLHIDRRKLQGEFDSYF DAHRGQPSHTKAPNPDTAFLEFRVYMAVAIGMLLTPEPGSELLATGLHAAATERLTII IEQVNCVKTLHCLWSLALYSMLSSLGGSTWHLVGLAMKKCISFRLHREPYPDSDTSQE ELNSRRSIFWALYVLDSVRSSSSRGRLYHYRNLSHWRDLPKSVRDFVPGDSALSVSIK QLTCRALTQIALLTRGTQPNGGIIRDARAVENDVVDSCQAYINAAYSYSEQGKLAASF IDGFDLFAAGVLATCLPSLSPLAGPPRETAIISKCTALLTTIGERFPSLKVLRKLLLA LSSVAGQGAYHDPALDELPQIISDPMQDLIQDFLRVWRA AFLA_097600 MAPGITELSSSAGPSTAESKPTVYVLDTFPPTAIEYAKTLFNII QPQDEEFKNWRQNARALLVRGSYVTADDIASCPNLIAIGKHGVGIDKINQDACAQRGI KILNTPGANARDVAELVVALALSVARGIRSITTRQMLKPVPKETCNGLTLYQKTIGII GMGNIGRTVAEIFRGGFDANIVAYDAYMPEDVWSHIPHTRAKSVDEVLVQADVLSVHV PLTAETRNMISYEQIRAMKPDAILINAARGGIINEADLTKALSEGHLWGAGLDCHEQE PPSHEKYEALWKNLNVISTPHIGAATSRAQLASATAAVDNLYQYLSRL AFLA_097610 MNFYPVPPVIQAEIYVRIPDSLRCTGQPTEWRGGSAVPASDIFL EGPTYVADGSLYIVDVPYGRILKVDAEKQVTECVRWDGEPNGLVVREDGCIVIADYKQ GILLFDPSTNTISPLLTRRNLERFKGPNDLIISSTNDIYFTDQGQTGMTDQTGCVYRL SPTGKLDCLVSNGISPNGLALSPDERFLYVAMTRSNAVWRLPLHADGSTTKVGLFFQS FGCAGPDGLAVDEEGNVFICHPSLGSVFVVDADGIPKARIVTAPEGGKNLTNCTFGGP DGKTLFITDSIKGNVQCVRWHCRGVPVSKKVKQAKI AFLA_097620 MKVSNDPLPNVEVSHLALVLIHGSITGNIASIVTQAHFKARFGL DTQSEDEYANTKGWLVSIATAGAVFGCLGCSPINDRFGRRWTLRIATVIYIAGVLGQG LCGGNLSGLYASRFIAGLGIGPLSIVPPVYITEISPKAIRGLLTVLFAACQQLGVVLG FFVNYGVTKQYPGVDEQWMLPTLLQIVPAVVWGFGTFFCSESPRWLLYKGHREEAAAT MSKLRHLPRDHSVVLSELAGMDAQILHETEAVSNATVWDLLKETFVPVENRRRFFLIF MATLFSQWSGANAITQYSPTIFGYLGISGDEAKFLATGIYGVVKFVSTVCFALFIVDF IGRRRSLMTGISLQLITLIFVGAYLGVTSHLSADEIEATPSASRASTAAIVAIFLHAV AWSIGWFSIPYLVGSEIFPIRIRSLNMSISMAFHWAFYFGCSRAMPSLLAATHKWGAF VFFSCICLISLVYVFYAMPDTTGRSLEELDSLFQRPWYTVYQVAYPSRDEIQVERLED KVSADGTSKHIEQA AFLA_097630 MSQFQVESWIWYGVTVFVIILRFISRLLLVKSVKALQVEDYLML LVLCFYTGLVVTLNRIEHAQTNLMKPGDEAHLTPESIKDRIYGSKLVLATEQCMLATI WGCKGCLLLLYARLTEGIKQQLAVKILAGYVVGSYILLEILYFAVWCRPFYNYWAVPT PNEQCSTATHHLIMTMVFNISSDILMMCIPLPLLISASLPLRSKITLVGVFSMGTFII LCATLSKVYSFKDPFSPEWLFWYVREASTAVCVANIPNCWSLVRRVFNLSSWTGSSHS KGRTHHYTPYAYGTGTHSRTRRHTSSQKKGLWTSVTMSGVRKTESAEEIIKEDPEQQA HQGIPLEIWHQTSIHVTEELPRPDDSHKGSTTTVPGRG AFLA_097640 MDLIWSGISRRIRLKSWSELQMDDVLMGVIALTFTGVTVSANNV ARVSSDPIVHQENPTPDAYSLMIWGNKMVFTLEQFALVTIWLVKCCLLIIYNRLTLMT KEHLVVKIVAGYVVISFIVIEVLFLGVWCRPISHYWELEAEDFQCSTYFNHLITTTVL NISSDLMMLCIPLPLFIRSHLPLKQKVAVCAVFSLGGIVILMAALNKYYNFTELQNPR FLKWYVAEVATAVYVSNVPLLWPLLRQLFRCLRSTVHSHYPSGAPRPTGPERGLAPLR SHSRSHSRYRTDSVHSIINHSHEGSMPDAHELILTPTRERGYRADVMGESDTIPQQSK DITVHRTVEVTHEYP AFLA_097650 MGDAPALPDYVLDADAVLKDTEAAWRHGRVPNYSKTRQFYDQTK TMKHEARSLPDLVEKLVKNWEIEASYKTSLADWRTIDQKTYTFSLNGGPPQTGEHMLN VGTYNALLTASSYYDPAHNDFETSHKAFKRMMPTFAWEVTEVYSGPPTVVFKWRHWGD MANDYVGYNDRGEKVRVKAHGGRIDIQGIVIAKVNEKLELERIDVWYDPMDMFRQIAR ENAEVVADTASVSGGCPFSGAAKTSSSE AFLA_097660 MFPALGYQEASKSTLSILAFSLFYLLQPIQHEVAAFAFALLFAG AFAAPNPAAKLEARINCAQCIAVYSASSCTAPGAVEKECGSLAIASCRESGFCT AFLA_097670 MATFLFLAALLSGAGVFASPVPTEAPAKQTGYPVIVGENGYTSA PYHGPYTGTPTTTGAVKAPATLAASIEPKPPNPTATYYNSQGVPLNPMPAPYVPAGGL GTNGTEPRYMVESDWDFESIALGVYQEYIELDLFHDGLARFTDEEFQEAGLGPEARSL IEFMANQETGHATLLSNMLGEAAPKQCVYNYPYKTVREWVDFMQRVTRYGESGVWGFI SHLDSREVATLLSLSIATEARQQLIFRQMSGLTPIDVWFENGWPQSWQWTMLAPYISY CPEGTTRLAWQNFPTLQILNNPNINRVSPNDTPNDGSETVGKRITDPSVSDISKDENC LNQDAIGKNCAPAIAHNRSEPLSYSGKQVFLEWEAPGKSVGPNNSYITTTTAGEPKFV AWSSQLNLTYSPLTLTGDNTGYTYQPEGYVYGDDGIINGTMAIMLTDLDLFVTPFNLT MLNPHIVALGLYMAG AFLA_097680 MINMTPRRSTRQTGLAALACTECRKQHLKCDANQPSCSRCTQGG FVCQYLPSRRGGRRKPRHEIVYHHPQQHQPSASKLDDAFGISASHYSPGNGTGNNIQT PHHATGLPLQVSSAPGPMNTPDSSNISLQRSSRPGLVGVPWPAILSPNDASSDRLEPP QRLWDEDDRCARLYYEHFHVAHPILVPSTLYKDRDYPPFLQLVVEFVGSHYLPSGPRQ QLKDKVDAALESNPDRSPCMVQAWLIYSIALYARGERQKAQEAFSQSAEIAFELGMHR GDFASSAHPERSIEAESMRRTWWELYITDIFMAVPLKTITFRCTTVAPEVGLPCDESA YTGCGEIPPPRKMLDFKRRVFAAQEVAFSSFSYRIEAVTILCRVLVLNRLRDYHRDHL QAIENALVSWVNHLPSRKLDIVDSYGNVDEMIFQAHLIIAYATMLLHLPRSDLRPLLT QPDDCFWPSAPCHLSSTFPRLVHSIKATEASRRVSDSISICPNIQKHTPFVIPALALC GMIQLATSINHSEECFDHHCNRVTLILGCLKSTKRTWGSAECAYDCVRSTAADILSDS IEKWNAEPLKSIPTPHDSNDVERANSNVPPAVTVAEGQGLMIPELAPGFIDPTCYNAS FFNCLADFDLS AFLA_097690 MLASAIHLPLPLPHGPSPCDHRFLPPTYDLQDLVHDIKSYLGDS SGIDSSDIDHEHLIALAQKYVSNPNDWLRFFYNDPSKNYTRNAIENINRKANILLLVW NPGKGSPIHDHANAHCIMKVLTGELTETVYHPPNSEGDETSPLQLKHQKRYQTDQVTY ISDDIGLHRVHNPSPNQVAVSLHIYTPPNAADYGYHIFDGATGKASFVSQAHAHSNTE KPACQA AFLA_097700 MHHLTVDLCNTEAPTRDAPGDVPASLPSAKDSKQHPRRGGDENA SVYFIGTATTIIEWEGLRVMTDPNFLHAGDHVHLGPGVTSTRRTNPAVDLHELPRVDV VLLSHYHGDHFDQKVESSLRRDLPIITTPHAKSTLTSKGEDSFTRVYDLEPFQDATID ITGNTSKKQARVRVTGMPGKHVPTGVIEKLNDLVSAIPPTNGWMIELGYSDGSSTTDL TVGYRIYISGDTLLIDDLKEIPRRYGDQKIDLMLAHLGGTTVPSPALGPLAMMVTMDA KQGVQLMQLIRPDVTIPIHYDDYDVFASSLEDFRKQVEEAGLGSGVVYLDRGEEYRFR VRA AFLA_097710 MADTESNACQHAINEHATEDVTQSKPQKTIRSATSNIVEKTRAD PCEHYQDAKYPEGGLRAWLVVLGSWCAMVPSMGLLNTIGVLHAWTADHQLAQYSSSSL GWIFGAFSFFLYFGGAQVGPIFDSRGVLPVVLPGSIGIVLSVFFFSASTEYYQIFLSF SVLGGISSCCLFTPAISAVGHWFDVRRGLATGIACTAGGLGGVFFPLIILYIGPTLGF AWAMRIIGIISFVLCALACLLLKTRLPPNQQAGMAIDLKALLEPKYALTTLAVWLVEF AVFIPYTYIVSYGLYAGLEQSMAYKLSVFLNAGAIPGRAFPGILADRMGRFNVMTTTA VICAICTLALWYKAGTNEGAIIAYAVLFGFWSGAAISLTPVCISQVCRTEDYGKRNGT TFTLVSVGTLIGIPLAGAIQESNGGEYWGLIIFGGVLYLASAVAFAVARGVAGGWGWK VKF AFLA_097720 MYLTYGQDHRALRSQLRGQRQALFTLDNQGVVDGQPARPAGKER DRDLGEVIERLDNLAYAVENIHSYLSSTGTPTSSGRPAAPQQTGGQLDIPVEDTEPYK DYLQIPSSRGSADTVLTWPIFRGRFRENALITTLFQSSHGAESSAVETWVVPDGFQPT DEERIPALVDRFIQNVHTKNPILDLEALIRWGRHAAEFGLRWDAQSCLVLLACALGCV SQAFTLSMKAPGQDERTTHASEEKSSFASGLKEGETFFVMACRRIGLLRYSVLGAQCH FFAGVYLMYTFRPLAAWNHFYQASTFYRLRLRMIDGLNIDDDIPADEAAQRGTPVSRH MEQSLYWSCFKSEVEIRVELPLPQSAIAEYEYPALFPTPPNLSEDYPEGGSHGAGWST SWNSSRPASSYHSNRAQTSFGVRNHIMQLFDEEQSWYYYLTEVALRRIGNRVLNAFYR EGQSMWSDIRPFIPLALEFETQINAWSANLPPGLRHYKDNEGSGQTRNRFSEMQDSIS LELSWAIANRLLEIRLWLYQPFLYFVIHNPVDTGQEARRTRSSSFTGEELATIAILVQ SGMHCALKILEARCLRHRHHGIWFDLRALVTSSLIVIAAIKSGNLDVPGIDSPMELKS HFEGTLQALNYWEDEAPDIKKARCILAGLLTEMG AFLA_097730 MADQIHRWNVAHKLEKRKLLVAINCVAALAIFFFGYDQGMMGGV NNSSDYLELMGIGYGANINGDTNVPVVTDSLLQGGIVSVYYLGTLVGALFGGWIGERI GRLKSIAVGSLWAIVGAALQCSAMNHQWIICARLINGIGTGVLNAIVPVWATETAEHT SRGQFIAIEFTLNIFGVVVAYWLEFGLSFIDEGRSAIRWRFPIAFQIILLFLLLGLVW FFPESPRWLVKVGREEEARYILGRLRGTGPEDGDKAEAEFRDICNVAELERSQKYSTS YFSMITGRGSGNLHIGRRVQLVVWLQIMQEWVGIAGVTVYAPVIFRMAGFDTEKSQWV SGLNNVFYMFSTLVCVFTLDRIGRRWTLYWGSVGQGIAMFLAGGFSYLCKQANAAGDT SKASSYGAAAASMVFIFTSVFGATWLTVPWLYPAEIFPLSVRAKGNAWGVVGWSIGNG WLTLLCPIMFDSIGEKTLYIFGIANVITIPMVWALYPESNQRTLEQIDLLFAADSIWV WDAERNFQTLVENNPELTQATRARALSDTEKAKAIED AFLA_097740 MNLYERNLEEAHENIKMDLLHIPPKERKSRTSRPKVRTGCVTCK ARRKKCDEARPHCRTCVSAGRECGGYEETIDRRTRAWKTTNATVDVPCKIKLEVQKNQ LTVAKDCGAWISRLLVDPSQADLSLSERWYLGLFRSSTASQCSGYFPLEFWRRMVHQF SEVEPAVRHAIIAISALHRSFSTTQSAQNSGKPNDPHLFPLRQCNKAIICLQQRLQSA SCRQDSHVLITLVTCVLFVSFAFLQGDTDAASCHLRHGTRLLQETYLSNTKKKLDYGP ALTDVFYHLELHWASLREPEAASLNHEHSIVQSMALGNPVWCKPVHSLEDACNLLIGL AWLVCENDPENSKMVVAREILDKHQEAILQKLQTWKTELTTSLTRKKVLLSSRDRHTL AALNLWTEIIFIRVSTDSRQNEGESRFDSFTSNFQRVVQLAKSVLSSDFSQSPMPTFS VGMGMIPPLYLCAFRCRDWHIRREAVRLLQRWQLQEGAWTSSGTAFVVSRMIAIESEG LAPGELVPERARISAMRAGALPDGSGIRLWYRRSQGGSSTQSGSNRNPWESEILPF AFLA_097750 MSSLLNTALAAVTTDGKDSFLYYQNGQDILEAHSASGSSWTAKA STVTSNAASGGSALTAYYVEHDADFQNKSTIHVVYLDRSAKVADRVKILSEGTWKDGK VDGISTNPASISRITGGAFNGSDWNPDGSQWAYYNTPNGNQLQITEIRRTPKSPWKTE TVLPENTLALPGTDLASSIVKGTIDLYYQDHQENINHWVSQDSKWHDKKVLIQASEVE NSTPLATVNNGKKHVFYVDRSSPPNIKDYLDGKSVQVAPFYPGTHFTAVSVNGKVTLF YKKLNPVGAIAATIYDGSSWKDGGIVVPA AFLA_097760 MGASEPNGGPALQKGIWVAVALAALIVILRVFAKLKIGQFRVDD ILMILAMLLAIVATVFLTLSVNHGFGKNLETLPLKDTEAVLKNIAIEIPIVTMSTTIA RCSFIIYLLAILGSNKTYKFVLWVIMIWQLSGNIVSAVLPLSICRNVNILWDPTVKTT CGDSNAVIKFAYYSNTVNSATDLFLAVFPIPVFWNLNLKTRVKLGLIVLLSLGLVAMV ASIVKTTKLNQLPSITNLGASGGIELIRWGYVENAVIIITSSIPCIRPLVMSSVKKFS SHSFSRSYELRSPFTGNRRTGENDTAQSRRKLATTNNDAETCSIERILNPYSHNTVIG SHQTSSNRSDRGITKQVEMSVYSSDDPARSV AFLA_097770 MKGSWMLAAATAALTSTAFADDSNCYCLPGDSCWPSTSSWESLN STVGGRLVATVPIGSPCHDPNYDAAACAALKSNWTTPLPQYVLPVILA AFLA_097780 MQTYFANQSCDPFTAESRPCLLGNFVSYAVNVSSSDDVIAAINF AKDNNIRFVIKNTGHDYMGRSTGAGALSVWTHHLNDIEYKDWSDSYYQGPAFKVSAGV MGYQILNAAHAKGLAVVTGECPTVGLAGGYIQGGGHSALSTKFGLGADNTLAFEVVTA DGQLVTASRSQNSDLYWALSGGGAGNYGVVMSVTVKAHPDAKISGAGLQFAATANTTE AFWKAVAKFHTLLPNMTDQGVTVIYQMVSGVFAINPLTAYNKTTDDVKAILAPFTTAL TDLGIAYKVSYTEYDSYYDHYNKYMGPLPYGNLAVATYQYGGRLIPRNVLENNPTGMA SVLRNLTSHGVIAVGVGMNVSQPGNVSNAIFPALRNAAVTMQIGTNWNETAPWSQMVA DQYKITNEYVPQLEAVTPGSGCYQNEGNFRQPNWKETFFGRNYSPLLSVKSKWDPNNF FYVLKGVGSDAWTVSESGRMCRS AFLA_097790 MDDPSTLQDPERLSRHATRRSAATSATKSRWWRIHFFRGMIHDI KRRAPFFISDWTDAWDYRVVPATVYMFFANILPALAFSLDMFEKTHQNYGVNEVLLAS VLGAVVFSLFAAQPLVIVGVTGPITVFNYTVYDIIAPRGTNYLGFMAWIGIWSLIMHW IIAITNMCNGLTYVTRFSCDIFGFYVAFVYLQKGIQVLTRQWGSDGETSAYLSIMVAL LVLMSGWVCGEIGKSSLFHRWVRKFIEDYGTVLTIVFFTGFVHFGHMRDVSVSTLPIS KSFFPTADRGWLVRFWDLSVGDIFLAVPFALLLTILFYFDHNVSSLIAQGSEFPLRKP AGFHWDMFLLGITTGVAGILGLPAPNGLIPQAPFHTASLCVTRQVADENEENKGKVVR VVDHVVEQRFSNLAQGIMTLGTMSGPLLIVIHLIPQGVLAGLFFVMGVQALEGNGITQ KLIFLAQEHGLTASSHPLKRLERRWSIWLFVIIELIGFGATFAITQTIAAIGFPVIIL LLIPVRAFLFPWVFTPVELSALDAPTASPFTMESVGGVHGYDEELPAAYDQPNMTDER GPTGGTDNSSSGRPEESSQALGESDLERGDFLELQDDSTATRRRKNDSSI AFLA_097800 MNDRDSVESFPGVYNFTSISRSISRSEVQEPKEYRSTIVNKSIS ETFSN AFLA_097810 MPSSLNINFSPASHRAAILSSKGTCSIDYRIRAQFLAEGRCVVD TSRPFTLLASQARQPPVCIEDFPGEYKLSALKTLRNPLLRPTGRLHVYSHEPYPLEFS PEKEGAATSVRLRLRYESLKNGDAKRKHPPRLHGIFRSNLKASTFISIEPQRRSPAMC DASAFPFTFETRKSYPSQLRRLCFPRWTPLEMG AFLA_097820 MINKQNNPSLVLKAVQQLEFEDRPVPDLLEPYDVSVRIEYTGIC GSDVHYWQRGRIGQFILEKPMVLGHESAGVVEKVGSKVKSLAVGDHVALEPGVPCRRC TFCKAGNYNLCSEMAFAATPPFDGTLAKYYVLPEDFCYKLPTNVSLQEGAVVEPLSVA VHLVRQAALQPGQSVVVFGAGPVGLLCCAVARAFGASKVIVVDVQPKRLEFARAYAAT AHFLPGVETSPADNAVRLREENELEMGADVVIEASGAEPSVYTGIHILRPGGTYVQGG MGKEEVKFPITAACTKELNIRGSFRYSSGDYKLAIELIAAGKINVKALITQVFKFGDA PRAFEEVKSGKGIKTLIAGPGV AFLA_097830 MQLQHSYYIGVDVGTGSARACVIDHTGEIVGLASEDIALWHYGQ VYYEQSTTDIWRCISLAVRRALAENKIDPSHVRGIGFDATCSLAAFSTDTDEPVSVTG PEFNNDRNVILWLDHRAGSETDKINATGHKVLSYVGGKMSIEMEIPKILWLKNNMPTE TFAKYKFYDLADALTHIATGSETRSLCSLVCKQGYLPNDPECSVPGGWAEDFFETIGL GEFKENAFSTIGGKHGLNGQHLHAGELAGYLSEKAAKELGLPVGIPIGSGVIDAYAGW IGTVGAKVDLPSNPSDKRQELFTRLAAVAGTSTCHLVMSPNPVFVPGVWGPYRDVLLP DCWMAEGGQSATGQLLKHVIETHPAYNQAKDLADVQKTNIFTFLNEHLREMADKNNAP CVAYLARHFFYYGDLWGNRSPMADPKMTGSIVGLTSDTSINSLAILYYSTLEFIALQT RQIIKSMNRSGHHITSIFMSGSQCQNDILVNLIASACDMTVVVPFYVNAAVCHGAAML GAKAATADAKGNTADLQEIMEKMSKPGKCFFPTEDQREKSLLQAKYHVFLDQCQKQRE YRALVDQTLAK AFLA_097840 MVVIRYYGPDPGNHPDSKELSNIFRNLKSGPEAAFVLGCDGVLQ ASTIDHDILDAIGLPPRLIKAFLDRDTFDPQMEDMYRGVDGTKVPQEQCWKPGSSLLP PPFAEEEKACFKKEIEENKEVIQENIRKRESGEVKPCGVVVCSPGERGE AFLA_097850 MRQWKDLIGMPHRDRAPGWRPATLRGIYLGSLAGLMLTMLITIG GIYLGSAARGGLAIFSSTEDIATAQQVAYTFVPMVMGVIIGVMWSFTEYDALRLEPYF LLSNPKGASADVLLLNYVFGHFTTTPFRAARNRHWVAFCVSILSISLQLILPAVLGNL VSAEVVSLHFPQTLKTWPRFINLDTHAYWTFHNIANQALSINLAHSTNDVIGSRRYAM PPVQTYWNSGSEEDMWRLNQSVYWADVSCAYIDGDGLLAELSRIEGDHEALPVSELLL SDQGGTRLANVAGAFDTCTARSDQEEDMDIPQIQYWKLLQWNENPKFCGQFDLVGVAV HANQVVDAQHGSNKSSDQFSPFGCSVDYMTAEAEVTLYANGSVALVDVQSDAATNLSE TGFDTVMFSSSLLRMAVATNHMDDQYGMLSQGDVWSEVDAAISQSFVPLMSRTFDLRQ TVHVKSIRIVQRVALLVQKSSVLVSATILTFGVVLVATLLYLYPRRPNFLSGNPASIA SMCCIATDVIDATSLEKLSQMELEVLSTRQLRCFLRKGSCSWRETTQGQRLAISFPDN ATPRSQKKPRRRADPPPPFLMIPIFILEISAFLAALGGMLIIISKSFQYGYFPPLTDV KADDPRIIWMLLPPASAALIRGLYISVYQNFTILEPWFILQKGNATARSSFLLDYGSQ SPFAVALRCFNRRYLLLGLVSLTCILNTILTILASALFALEYTPMATGNIVQSEYDHQ SYNVGNHTSILSEADLFQSSIYTGISILPWTTTAHSLLPVQSNMTEDQWATSPLVGIG ASLTCQPLSVANSHSEDILSGPTYWQYSPSGHPNTTCRISAQESALSGSKATFNVDFI APTESDQKHPLCRRPGILVVARSNEAGLAEVPPENIAALYCESSATIQTFLATFDLRG YVEIYDTMNGTAITEGPMLANVTASLANYNRAFANPLQANITQYPAKDRHKILQNWFG LLAAHVYKQKNTSDSTTIDIDLLIEAATLVYQTVFATDLTLHRDFHFNRLSTPVSVPD ATIFDTFMSFIPVRPAFIVVFLIIAFDTFVLMYVFITRKRRFDFPRSPRSIGSMLPWI AKSKMLDDFRDTSSWSASERAAHLMKLNKRYALIRTDLGDGKGTYALDEEPSVVGECD VRDIPAKDPVVQSTPVHSDRS AFLA_097860 MLDIIESRGFKNVEVALSVLEKLWSLQIARRTTMESVQVVWLDI VRQNAFTPF AFLA_097870 MHETDLPSEMEKGDQSTLAYRGPKLPNVAEDLVVSNVLDLDCDE RLWVPQAPDVWFQPLCFNVSQGYFVNILRVGKSGILSRHRYAGPVHATILRGRWHYLE HDWWAEEGGYAYEPTEDIYTLEVPDGVKEMVTLFHVTGACIYVDPDGVALSIEDVFSK LQAARKYYEEVGLGANFADQFVR AFLA_097880 MSPNVSFVDPCYAYRMKDQDESDEAFVQRLSRQFEDEILRVGPD RVAGFIAETVSGATLGCLPAVHGYFKAIRGIRDRYDVLLILDEIICGMGKTGTMHAWE QEGIRGPDIQTIGKALGAGFVPLSGVLLHEKIFQALSSGSGGLAHGHTFQAHPLAYAA AIAAQKIIKRDNLIERCAYIGQKLETLLRKEIGPLPLVGNIRGRGLFWAVEFVLNKKA KTLFPGQVKFCSQVVKKSLDMALNILGNLGHTGKYQVDHILVCPLYTVMGSELGDIIP LLKIAIIETSRPFLT AFLA_097890 MKLSTASALVTSQAAYAASAIDYNAAPPNLSTLANGSLYDTWRP RAHILPPNGRIGDPCGHYTDPDTGLFHVGFLYNGSGIAGATTDDMVRFRDLNPNGSQF ITPGGKNDPVAVFDGSVIPKGIDGKPTLLYTSVTSLPIHWSIPYNPGAETQSLAVTSN GGRNFTKLDRPPVIPLPPSDSDVTAFRDPYAFQSPELDAAADSAPGTWYTAISGGVHE DGPGQFLYRQDQKEMSLESWEYLGLWWQEKVNTTWGNGDWAGGWGFNFETGNVFGLNE EGYSVDGEMFMTLGTEGSGTPIVSQVSSIHDMLWAAGNVSNNGNVTFTPTMAGVFDWG ASGYAAAGHILPATSQVSTKSGAPDRFISFVWLTGDLFEQAKGYPTSQQNWVGTLLLP RELHIKTISNVVDNELAREEGSSWRVERGQSGIELKTLGIDIARETREALMSGPKITE PERTSKEAGLVPFQVSPTTKFHVLTAQLSFPRSARNSDLQAGFQVLSSDLESTTIYYQ FSNESIIVDRSNTSAAAKTTNGIVSTNESGRLRLFDLQGDAQEIETLDLTVVVDNSVL EIYANGRFALSTWARSWYKNSTDIKFYHNGAGEVTFSNVTVSEGLFEAWPERV AFLA_097900 MAKGRFSQPYFGLKGGWLTFWVTVACATDMMLFGYDQGVFGGVI VTKDFLDVLHLHNNASLIGTITAIYDVGCLLGAIAAMYVGEKWGRKKTILLGTTIMAI GAVLQTAAYGVPQMIVGRVVAGIGNGINTATAPVWQGETSQIKWRGKLVIIEMILNVA GYSLSNWMTFGFSFVPGPASWRFPLAFQFVFIFVLYATVPWLPESPRWLIGHDKTENA QQIIGDLENKDVHDPHVIAAYTEIITAVQYEREHAISWGQLLRGQTGDQGGTCVIRRL ILGAGAQAMQQLAGINVTSYYLPTVLMESVKLSETLSRLLAACNSVSYLIASTLAIPK IDGWGRRKLMIWCALGQGVCYLMITVLLRFSEKPDYPHQTQVAAAAVAFFFCYYLFFG CSYQGIGWLLPVELNSLSMRTKGAALGTATNWAMNFMVVEVTPVGIQNLGWKFYIIWT VFNFSFIPVVYFFYPETANRALEDIDVFFRENHSIFVNGNPEAISVARPARYIEMEQA LTDQSTSISKAKQMLSQDEGQIEYHETV AFLA_097910 MEYIDGISMSQLTDEQKEVVNVELQQHLDVLHGIKSKSIASPSG IVIPPYRVMRQSSKDAWSRLSSETCDYVFCHNDLSQENVIVDPETLKIKAIIDWEYAE FFAAYFDYPFYKRLGPSMALEGERDDVPELLQLLNSESTN AFLA_097920 MQRCGCSRVASRRTLRNSRRQSKPPRMPPAEQPPDREDSPRHEV EVPDANAPGADFLPADEHSARSAIDCGEAESAMGLARKICELGSQHIDERTTFAIPGY RASTSAPVAQSAVAGQRLPISSILGQPLPIGDLMYELLEEYFDAIHWFSLVVYEPKFR RRLRSIEDGSAYPSQKPFLLLLAVMLGMAAWYRSQRNRDTEDTRDHWRQWSADLIKIV EANLVELMDQPSVTAVQTCILLGSHHVYHGRPNLSFALLGATIKMSQALGMHREPVQG EFGDIEERKRVWWTIYTWDRFASITYGRPLGINDKDCNLSMPADILENPSFTTPGSSD NNMICYSAYQRELNRLYLIASPALEAVFGSRTFRTSQQLAGNTYASLVKHATQELQRW RVSLPAHLALDLERDYHPDGEPGSRAHALQSLSLQLTYDNVLIVLHRPLLARQVDHLT SATRPPHGSSDGGSPASHPAASSGHSTPGLSFETPFPTAQAASSDLWMNAAVRTARVT EMPVLAQLATDSHLVAFLAINLFNAAIVLVVMALSEPLSDTAQVVKRTITRILRLQDL IGTRSALSKQSTTVLKNVVTMLLRRESEVIFGPITGYPPRLGHHVTQDPTSLGRMSVE DTLRLPLDGTMGLSDPVLGNHIRPDPGRAVRLNESLTTVHHVLPPGNDRSVNILSSEH HQTHQPPNQNTESQFPVQVPMDYGWDGAGAVPMGVEDPYLGNGESGMYWLWDMTWDGM GS AFLA_097930 MATDTHPTINKASVLRPGGSFYYEDREKPSLESDRDVIVRVVAT GLCGSDVHYWQHGRIGRYVVENPIVLGHESSGIVVSRGAKASGIEVGDRVAIEPGIAC NTCNPCRSGRYNLCKDMRFAATPPYDGTLSTYYRVPVECCYKLPEHISLRDGALVEPL GVAVHGCRLAGDLQDKSVIVFGAGPVGLLCCAVASAFGASTVVAVDIVAARLESARKY GATHTYQMSAEKSPALNADALAATAGLMDGADVVLDASGAEPCINCGIHALAHGGTFV QVGLGRPNLSLPVGQICDKEAVFKGSFRYGPGDFKLAVGLLNSRRVRVDGLVTHEFSF SQVEEAFKHVAGKGGIKSVIYGPDVDVEAAKQASV AFLA_097940 MASILPRAGFRAFTALPRAVALPRVSLASKLPRAQPLAQPIARR FVSNIPQEQPRLRLGSTAPNFKAQTTHGEIDFHEFIGDSWAILFSHPADFTPVCTTEL GAFARLKGEFDQRGVKMIGLSADKLDSHGEWVKDINEVGNTNVQFPIIADPDRKVAFL YDMIDQRDLDNIAEKGIPFTIRAVFIIDPAKKIRLTMLYPASTGRNSAEVLRVIDALQ TADKKGIATPIDWTVGEDVIVPPSVSTEDAKKKFGEVRELKPYLRYTKA AFLA_097950 MGIPKIRLHPTDLDREEVAEQAKGWLLFVRLDMDLPNLELLEST RRANKFLYPGYGSRDLWVRIIEQSAPGYLGLEAKGGRWNSSWMIS AFLA_097960 MEPSSSFGKFFYFSYFAVGSYLAKSLLDQVGSLPLHLLYSTVEV QSHDDAYNYLLFWLTKQRFNESKNRLIASTSLSSGLDYFSEDSDDGEFDDMLDAEASD TEWKASLSNTRQLLWSPSEGIHYFRYGGRCLALTRQIEERGTMLYTRTDKLRISCLGW NASILKQLLLEARVEYSQKEKGKTVIYRGAKRSYDNDFYWARSTARPARPLSTVILDH EEKTAFIQDVQQYLHPSTMRWYSDRGIPYRRGYLFYGPPGTGKSSLAFAAAGFLGLNV YILDLNATQLTEDALAQLFQELPRRCLVLLEDIDTNEVTSRRGDESKKKRKGNNKISL SALLNTIDGVAAQEGRVLVMTTNHQENLDPALIRPGRVDYQIEFKLANRNLMMQMFQN LFRDVLPSIDSHLEDSETDALLLTSTAEKVPLLPAADHALSRPESPEVDMEQLAATFA EKIPELTFSPAEIQGYLLCHKSSPLDAIAHVESWGEKTLEEKKKKDAETESKEEDKKD KKDRKGKKGKKDKEEKESKDESGEEKEEGSSSSESESDEESSDEENKQPNGIE AFLA_097970 MRLLHCALPLASLCAPGVLAQFLGPSYPAPRDLTSDSSRVAASW KKLDSTLKASLSRRNASDNSELSQLRDVTFSVGMFSTHDPVAQQHLQIHHTSAEVANS SVGINKVDGDSIYRMASVSKLITVFAGLLELDSRDWDRPLTDIFPEMADFVREKSSDF QPVYDTQWDKITLSAIASQMGGIPRGGNVELLASYVVSRALNITDPAETDPTFLGLPP LNESDPSLWPACWGGNRPVCAEVPYTEAEADNSPVFLPWGSPQYANNGYILLGMAIAN LTGKSIDQVYQEIVFEPLGMTSSLSDPPAENDPNRARSVIAGPIEGFAIDAGVTKASG GLLSTTNDLAKLGVAILNSTLLPADQTRKWMKPGTHTADLRYSVGKPWEIYRYVHPAS GIVTDIYTKMGDSGDYGGLLAFFPDFDAGFSVLDASSLTTRSASAAYLMDLVIDAVLP ALMDQAALEAQRNYVGTYRSSNAGLNSSLTLALSPPTRPSPGLVVTSWISNGTDIMPY LASILGGKDTRLVPTISAAGTTGKVAFRPYTPTTETAVGSPQRLVSRLYDVNDLYLLD STLYGGQSLSLLVFDVDQDGRATAVTPPAFRATLDRQ AFLA_097980 MEKSLLCFEAAMTSRLWRQRHDTRIGCTTYIYTTDENPEKGYIH PRTVKGHEAAAYLTYIVNHYDRLSPFTIFLRGKDEHWHNEAAGPKSSNVLLNLRFEAV RAYGYVNLRCLAVPGCPDSILQSFNTPT AFLA_097990 MSISQPFVLSRGSCTDSRWGSASGCRDVCSSVTSVRNQGCSLPL LRFDGKEALYCANSIVPNGTDLACAGNAPPFSLPDASVITNKAILANVSCPIPSVSPT ATHVPLDTPTGTSSTGCTPIQDEDHDSHKVVAVGAGVGQYGMMPMRKPEVANPNLPPQ ELELAQLQDYEYAPSLVCVYLLDVVQMKPS AFLA_098000 MEASLADLASSLHLWCNHWPRLVHTSITMHPSSGQCWYTPFPWS LNLIFLSPLEFCEIPFFALIFVSLNYQLDKAIMHSKPSQCNHLIIVCCHAIYLGGPTH GLSEDEWLLEPFQNGETPTFTAHVKAGLQALAHDPAALLIFSGGATKKDRTSLTEGES YLALAQENNYFSYTVPPAQIIPETHATDSYQNVLFSLLRFKLYTGVYPSRVTVVTHEF KRRRVMEYHFPAIGLVPIVRGSGDEGRAAVIGINPPEEVTPEASLIEGEEKRGIGLWM RDRYGVLRELKEKRVKRGWVEGMEEGLFVNVGLEEVVEKLVRWDGGISGNEWFSRMED LPWYSSGDIL AFLA_098010 MSATKDKSKFEYSINSILFQRGVYPPEDFTTVKKYGLNMLITSD DQVKAYIKKIMSQLNKWMMGGKISKLVVVITDKETGEHVERWQFDVQIFGKHSKSTKS RASGDKENAGPENADTQASVEKTEKEIQEEIQAIFRQITASVTFLPVLDGDCTFNVLV YADADSEVPVEWGDSDAKEIKNAEKVQLRSFSTNNHRVETLVSYRLAD AFLA_098020 MRGCLQLARWLSAGPKCPAASLPKAPSGLYNTIRSFTSSAQLAS RARAAASKPASDLETRIAQIPIDRYRNFCIVAHVDHGKSTLSDRLLELTGTIQPGSNK QVLDKLDVERERGITVKAQTCTMIYNHNGEDYLLHLVDTPGHVDFRAEVSRSYASCGG ALLLVDASQGIQAQTVANFYLAFAQGLELIPVINKVDLPSAEPEKALQQMKTSFELDT ENAVMVSAKTGLNVEQLLPTVVEKIPAPVGDTQKPLQKAVEPLPGFEEPKAMVFVAAY PVDADHFEHLEDSINQLMLNDRSITVQKESSEALGAGFRLGFLGTLHCSVFEDRLRQE HGASIIITPPSVPVKVMWKDGREEIVTSPARFPEEDDLRSKVAEIHEPYVLATLTFPD EYLGKVIELCEANRGVQQTLEYFTSTQVILKYELPLAQLVDDFFGKLKGSTKGYATLD YEESAWQPSNIVKLQLLVNKAPVDAVARVVHYSQIERLGRKWVTKFKQHVDRQLFEVV IQAAVGRKVIARETVKPYRKDVLAKLHASDVSRRRKLLEKQKEGRKRLRAVGNVVIEQ KAFQNFLAK AFLA_098030 MQPPEGVQVDLGKAQVIDRAPKVIKELKFGVLSNDDIVSQGVVE VSDRKFFDLDRDRSVVAHGPLDSRMGVSSKTASCQTCGGALQVCNGHFGHVKLVLPSF HVGYFKRVITILQEICKECSHILLPEGERRAFLREMRRPGLDNLRRLQIAKRINERCR KTRNCDRCGATNGVVKKAGTSALKITHDKFRAFNASTSMKKIPPPSKIVFDRSFEEAR SHNPEVEKHHKKAQDDMNALRVLNLFKRISDTDCELLGLDPKEARPEMFLWQYIPAPP VCIRPSVGQDASSTEDDLTAKLGDIVQSNINLKNALLKGAPVQTIMECWDYMQLQIAV YINSDVPGLNKADLGKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRVD EVAVPELVAKNMTYPEVVTRYNKEKLQQRVRNGTKKWPGANYLVKKGSTFKTFLKYGS LNMIADQLQEGDVVERHIEDGDIVLFNRQPSLHKLSILSHFAKVRPHRTFRLNECVCN PYNADFDGDEMNLHVPQTEEARAEAMELMGVKNNLATPKNGEPIISAIQDFISAAYIL SSKDNFFDRRSFTQICLYMLGPETRFDLPPPAVLKPQMLWTGKQVFNILMRPNKDDPV MVNLDAACREYKPPKDGRPKDLDPKDGWLVIRNSEVMCGVMDKATIGSGKKDNVFYVM LRDFGPPAAAEGMNRLSRLSARWFTNMGFSIGITDVYPSEKLLQSKHDLVETAYAQCD EVIAQYKAGTLETFPGCDELQTMENKLSGILSKVRQQAGDECIAQLSKYNSPLIMATS GSKGSSINVSQMVALVGQQIIGGQRVQDGFQDRTLPHFPKNARQPPSKGFVRNSFFSG LEPYEFIFHAMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSSRYDDTVRNSSGHIVQ FQYGDDKLDPVDMEGKAKPVHFDRTFIHSEATTYDNDERSLQPAEIMEVCEEMLSKER AKLVRKDLLDVELGYMDRSNHGVDQFESARDFLESIQQYVSTKADKLISRGGDIDPSD ERSQQGLNHTGKLTEKTLRTFISACLMKYKKAQVEPGHAVGAVGAQSIGEPGTQMTLK TFHFAGVAGMSITQGVPRIKEIINASKEISTPVVSCELVTKDNVIAARIVKGRIEKTY LRDITHYVRETWTGKEAYITVKINWKTIQDLALELKIQDILAAIKNHRRFKSDDLKFR CQRSHIHIYMDTEIAATSADPFLRLKHLKRLLPDIQVLGHPQAYRAIIRTDDTSTTNT LLVEGYGLRACMNTIGVDGLRTSTNNVMEMREVLGIEAARTTIVREISEVMKDMDIDP RHMQLLADVMTYKGEVLGITRFGLAKMRDSVLQLASFEKTADHLFDAGGAGRTDLVEG VSECIIMGKTVSLGTGAMEVVRRMNFFEGQIGARKTTFEDTWNNVCEAPLKSKKRART AFLA_098040 MYRNEKACGRAIAKSGLDRSQIFFTTKIPPGSMGYERTKRAVES SLREAGVEYFDLILIHAPYGGKEDRLGSWKALVEAQKAGKTKSIGVSNYGIHHLDELE EYIQQGGGGRIDVGQYEIHPWCAREDIVEWLQKRNIVVEAYSPLAHGTRMGEAVLREL GKKYNKSPAQIMIRWCLQRGLVPLPKSATPSRIRENAEVFDFKLDEEDVKRLFTGEYE PTDWDPTLDFD AFLA_098050 MTSGGHTVIRQASTEKSNVELINSLRSNFQTAQQLSSAETTTTP RYRAWTRETEDGLYIPAIDFAQRGLAEEKAQYDITVKLFYLPGIPASRRCAHTREAID LVLKELHVDSIDLLIVSFPGILFDAEDDSEEEVESDTGSEEPDDFDSMIRTWRVLEDL QEKGMISQLGVAEFGSERLARFLPHTKVKPSVDQINLKDCCVVPKSLILYAKSENIQL LTHNDCMDILPIGTTRELLGPGEKGAGILASTPDADDGIQGDVEPQWVVKYTAVVKDR GVVENKGYFALADMGTCVQARED AFLA_098060 MSQDPYTPPPLPKPFTNTTPPPTLLTQGAEAHLYKTIHLYTNTP AALKIRPSKPYRHPILDRRLTRQRILQEARCLVKLVREGVNVPAVLALDWEGQGGENG NGGAWLLMEWIEGLVVRVVFERWEAFIKASGGSLGEKELRREEEKVRGLMRGIGGVVG GLHKAGVIHGDLTTSNLILRTGDVDIKDGESPAMVGDVVLIDFGLAGQSSSEEDRAVD LYVLERAFGSTHPQAEKFFEEVLEGYKDSYKGAAVTLKRLQDVRMRGRKRSMIG AFLA_098070 MLHTTILVTQKVPVACQPIVSIQTEANRDYALKEEARSFGGKKV DIVNPADFVAGEARQSVEPGLLGVKLDHLVDCDLHKLQVAIQGEDVTRR AFLA_098080 MAILETAVPTTWLAAIETDPAFKSSEINNFVAGIYPAWYNSLPE SVKQYATATILAEASSEYESDLLPTDSVVPPSTTLSDPRPSITSSPFGSTALALASGT TSTEMGTTGSSSSSAPSTTTSSTASTSTSRAGAPAATGMAMSLLGTAGILVLALAL AFLA_098090 MSLKGFQKSIVRAPQQFKAKFNIGEHTKDIVYSDAERRFQELET ETKKLHDESKKYFDAINGMLNHQIEFSKAMTELYKPISGRASDPSTYTIEGNPEGIRA CEEYETIVRELQESLAPELEMIESRIISPADQLLEVIKVIRKVAVKRDHKKLDYDRHR ASLKKLQDKKDKSLKDEKALYKAENDVEQATEEYNHYNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHEKMQGMNIGYFDLTLDVEEAYEKKRGDVKERAEELTIVHFK TKGIRQQNSKFGKDKLEKENKFSPRQKDPDVVENPPPPYSAATSGSFVAAAKSKAKPA PPPPKPKPARFAAPVETVTALYDYEAQAHGDLSFSAGDVIEIVQRTDNQNEWWTGRVD GREGQFPGKFLILHCWLYTATNHRPSKLRPIQLIRFSFRAICRFLSRSCECRFDVAGV CGVALSPSLQFSLFLVACFAPLVLHIIYCVA AFLA_098100 MMSNVTQSALRQATRAYARRLPSTQHGSFASALPRRALATPYRR FYVSETKAGNAQVSVDTAIKQEQKEFMKQTGVQPQKVELPSSGVSGDASMSPSAGILK QATVMDQGTRPIYLDMQATTPTDPRVLDAMLPFLTGIYGNPHSRTHAYGWESEKAVEQ SREHIAKLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKNHIITTQTEHKCVLD SCRHLQDEGYEVTYLPVQNNGLIRMEDLEAAIRPETALVSIMAVNNEIGVIQPLEQIG KLCRSKKIFFHTDAAQAVGKIPLDVNKLNIDLMSISSHKIYGPKGIGACYVRRRPRVR LDPLITGGGQERGLRSGTLAPHLVVGFGEACRIAAQDMEYDTKHIDRLSKRLTDGLLS MEHTHLNGDPEHHYPGCVNVSFAYIEGESLLMALKDIALSSGSACTSASLEPSYVLRA LGSSDESAHSSIRFGIGRFTSDSEIDYVLKAVQDRVHFLRELSPLWELVQEGIDLNTI EWSQH AFLA_098110 MLPPVPALADYGISPDHGFLPPEPPLDVLPDPFYARWEWMVSNL QSLLLSRRIRDVVDQMPILSTSYLQSEGEWRRAYVVLGFILHGYVWGGSKPSEFLRTA HTHSGQRIPPQITIPLLEVCKHLELPPVATYAGVCLWNYRSIFPEEAADDVSNLSCLN TFTGSLDEQWFYLISVAIEARGAPSLSLALQAIAAARAGNSLVVTESLQKLAEVIDEV GALLERMYENCDPYVFYHRIRPYLAGSKNMADAGLPHGLLYDDGSTKPEYRQYGGGSN AQSSLIQFWDIALGVEHRPTGETRSETDKDDKEGVTGAPRHGFIHEMRSYMPGPHRRF LEHVSTVANIREYVEARHSDKALCIAYDACLSMLRTLRDKHIQMVSRYIIIPSRDAKG RAPRSSSPRRHAATTNLANTRNSDGKNKKLRGTGGTALIPFLKQARDETGEPAIDAWA RRLLSNAPTEASFAALSKVGEHPDGHLQVVGLSGTWAADDSEGGICHW AFLA_098120 MGLLDNIQDQGHGDAYEQVKNAPHKAQLSHELIAAAASYEALKA FDNHVAANGKPSTEEHAKELLAAASGAFIDYTVETKGLDSIDAERAKRKAREDAQDAL VGSGEY AFLA_098130 MEQLRAKDRIAVPGDLVLLRNCVSSSKQVLYCQECPRRYLSIVQ NGTMLGVLCMCIAACYARALETIETEMKQASDTNERKQLCISASSPEALHDTAPLGNV LSSFSVDIAASEWANIMRRTVKVEIFGTESNKENCFMSFIDTLQERQRGWHQVPPAPD CPSTYQTLCDMTGPEPVCLVIVNEAKKLVNSFKF AFLA_098140 MPSESKSNPISQLHSISKPPDGGFMAWWHVFLCHMVFFNTWGLA NSYGVFQQHYTETLGHSASDIGWIGGIQMFLMLFGGVFSGRASDAGYFRHCFVAGVII QVFGLCMASLSTRYYEILLSQAVCVGIGSGLVFTPGLSVMGSYFQKYRSVAVGLSAAG AATGGMVYPATTNALLNHTHVGFPWTMRILALIMLVTHIPSVVGYRPYLPPRSTGPVV EWSAFQEWPFVFFTASMFLNFWGLYMAFYYLGIFAREQTHLKESINLLVVLNGVGVIG RGSPCFIGERFTGIVNITILCSTISAICVYCWVAIDDVPGLYAWAVVYGIFAGATQAL LPALATRQTKDITKVGTRTGMVLTIVSFSCLTAPAIQGALIQACGGDYLGAQAFSASS IVLGMMFLWLYRWCQVGLKIDIKQSSPSSTPGLLRKRRRAKVACESCRTRKRKCDGQE PCGTCVQLEYDCHYCPTLKAHKRTSETPRDGQPSTNAVEPPVAGHNPVPDISNTTEPP YLLNLENHARYVLT AFLA_098150 MEASTLSSRADAQCQTYSNIVWEVLKDLWHPQDKPKGIVNLGVA ENTLMHAELQDFVRRNTSIHQHAFTYGDGNFGSKRLRRAVAQFLNERLRPVLPLEAEH IVVTNGVSHAIEHCSWALCNKGDGILLGRPYYKSFIADISLRPEVKVITVNFGGLDPL GTDCVKRYEEAVLSARQRGVVVRGLILCNPHNPLGRCYPEETIKELMKLCQRHRIHFI SDEIYALSTWENKEETWDSPPVPFISTLAVPTDGVIDPERVHVLWGMSKDFGANGLRV GYLISQHNRNLHTALQSVALYSYVSSISDHLAAAILENVDWVDRYIESNRQKLSESFS FAVRFLRQQGISYTPGAYAAFFLWVDLGRAYCERHPEASTSRDLNTKISRRLIEEKVF IANGTIFGSEKEGLFRVVFSHPIGYLEEGLKRMMKAINKHACVQ AFLA_098160 MASSIANKVFIVMGSASGMGLATATTLLAKGAFPGLCDINQDGL SKFIQSLNEPQRNKVITQVVDITNRAAVASFLHSTKKKFGRIDGIARFAGTASHRLGH QEIYEIDETEYNFVMDINVRGIFNILGEALKPGGEGTAPDVPLGRLGMASEVADVVAL LLSDEARYVTGAT AFLA_098170 MARLKAFDSLHRSSQPNITQAPDTSWEPIGPGKAHLLQELEALY WNGVESELENIIRTLKVWQQPEYAGISIRDENDNNIHGFDTFLDQVCQEARAFAIRYG ETKLQDMANQKPAQAILSRNLSYKEALKRVILDTRAEYFSKKIKEEVREQLNTIPRLS GVELRAWMLLQNAGFLDIDKLIEHRPPLDKLSFWKRPAIPQPLRAVTENTRQGDHRDP VTSTTSAATESKADEDIPLFFRQFTEKYPFGNVHMALRVGPLVIENGVSHLAVGGDSE RLLWQQQRQIGQPKRYKALMKQIVGAPFTGVLSQKPESDQEKEIIELLVAASKQPFDN PGLPIMEQQKILDAALGSIMAKLRNLLSSRVMVYLTSIARRLLDPENILTWSATSNNC QNFCNSLIDTDLFEPLTNGPHMQSIETPSPLYLMSFVCPPEGYLNNKIVSKFDVPIGL TEEYLLRFHYGRHDEADLIDTLQEYWYDWGAFDSTLYNYQDLFPWDCTEAYRRYPTKC GDCNLSKHVWAFPFDSWSIIGLHLYRDKHMYQPKQNADAKTTNPWLRDRLTILTASSI LAHAATAMAKSPTFCKATAWLHSKEKGLRRIDSSLARVKLGGIHRAQPFSHYFEAGTY SHYFLAEWALKKREYKIIDYETLRDGRAKRQDIRIGKGRFTDTGSKPLDFNQSFDWFR GLDHSAPDYDYCDNQGQIETDAQFEAQANASIAAALIADSQLGCIQNAEHVEAQAAAA AVNCGSGCGTSTAPSHVCGSSSSSGGGGGGSSSCGGGSSSCGGGSSCGGGGGGGGGGC D AFLA_098180 MALVSLNLASLHRASGAEIISAKFSNTAYHYERARDKSEEPLIP DPQLFQSSSHSTSTDIPTISECAIHLELLEVFHALRDRVQQSTDLDNTFGIKPNIRTV YRKRYSSQLRKYESYTVTVKDTQFETRRKDKWHYFLELAVGRFTRWIRTVNMVSLSGA NSQNENEPSRLGLLPPVDVLMVWHAFLLNPDDFDFYCVKHRLERMRKAPLPWQQIHEA INSRDWSYTLPEAHKNWLEKKAEFKPDLFETLVELGKRESHAKHVLSQYGSGSKTSSF SLLKYIDTPANYAFVEMVQATRASALRNKALKANVERQLKFVEKMHDHLWIRSPAVDG TLRRAIDRYEKFLQLFRDYPKTTLVPTLDVDLVWHTHLCNPEQYRTSLVERAGRMVNH DDKLGKSFLDIRFDKTQELFQIAFGQQYHVCLCWDCEAVSSAMEAFVANYDMDIIDDV DCDADNIVKKVEEDVRYYRAVEIARRKGVALPIR AFLA_098190 MVSLRGLLKISLRHPRPTASALRASAVAPASGSPFINNSQGASA AVAELSDALGTVFDQIDLDGDLNSQINGLLERLDQEASQYGNSQLKDEQYSDWECSPE KAELISMAWHCAREAYEASSGLPNNPARNEKWKLEPGDCIVPSTDGTIKAVSFSRVSS VEKGTDNKDLPVLVVAIRGSASAVDHMVNANYEPRNADNFIVSLAQ AFLA_098200 MEFGAKLNTDVLHSPEKFIQPGKPEDITKCKDHRQISVSAKENI SLLCVNSNRNLTHYGFYARILSIIDDSNIPVELLLTSDNCVTIAIDSNAVSEEAVRRA QDEMLAYSRPVLSGDMVMLSVKIASKLYCSEVLASTFSILSACCIPVHMISLAADEPV VYCVISKSDVSRAQKTLYSNLHQHYAWWN AFLA_098210 MRLDFAGLASFSVTTVLLLLLMQELSAPPESLQIPLTILITAFC TTVLLLIVVEAYWARTPLIPLHLMKTSLGGFCLNQIMMMSSRSGLMSNLAPYLIRVKG ATSSLAASAFVLGAVGVSIGALIAGKVIKRWKRYRTMTAIAVSGAVLTYLLIFIRWRN GCHLWEVVYLLPNGIAIGILFTTQFIGMSLGAPNESLTTCITTYYLAQQLGNIIGPAM NVAVVQRVFMRKLEKNLNGWEEKKV AFLA_098220 MASQTPAWILTGQKGISSLKFVENHPLPTLGGHDVRIKIHAASL NYRDLAIALFALASGATAIATTSNDAKASKLKSLGAHDLIVANAIEIAWNPSSDQALK AQAFDYLNQLRTDPSGWQVCLALFTKTPQHSEIIRHVALEVVNSAAQAGLIDPQALGY VRDGLMNYLRQVYGQENANPDPPNIQNKIAQTITFLFSALYGSGWESFFDDLLSLTYK GASSTSPDNMLGIVFYLRVVNSIHDEIGDVLVSRSRTEQDRANSLKDLIRMRDMQKIA SSWQQILSEWRDGNDLIVEMCLKAVGSWVGWIDISLVVNQTMLDLLFQQLARAQKAEL RAGEEKVRDAAVDVFTEIIGKKMKPEDKIDMIIFLNLDTIVSQLSNSPPLCENRFTFK YDTDLAETVAKLVNSTVVDIVRALEQENISAECREKANGLLQAFLPHILRYFSDEYDE VCSTVIPCGSDLLQYLRKVSKTDPSLTAQHSPILLPI AFLA_098230 MVESGRYQGSGIFSFFLYHTNLIPGVLESFLQLVHHPTKKVKTR SWYLFQRLVKQLRSHIGNVAQTVVQALGDLLVIQAEIPTEGADGDEMSSEDHEGSADA VFNSQLYLFEAVGIICSTPTVAADKQVLYAQSVLNPVFMDMEKNLAPAKSNDERALLQ IHHDIMALGTLAKGFSDWMPGTSSPTSLPAPEVSEAFLQVSEATLVALESLKTSFNIR TAARFAFSRLIGVLGSRILPQLPRWIDGLLTQTSTRDEMALFLRLLDQVIFGFKGEIY AILDTLLTPFLQRVFTGIADPTTGTDDEIQLAELKREYLNFLLAVLNNDLGAVIISER Y AFLA_098240 MQGPLYIGFDLSTQQLKALVVNSDLKVVYVSKFDFDADSRGFPI KKGVITNEAEHEVYAPVALWLQALDGVLEGLKKQGLDFARVKGISGAGQQHGSVYWGQ DAERLLKELDSGKSLEDQLSGAFSHPYSPNWQDSSTQKECDEFDAFLGGADKLANATG SKAHHRFTGPQILRFQRKYPEVYKKTSRISLVSSFLASLFLGHIAPLDISDACGMNLW NIKQGAYDEKLLQLCAGPSGVEDLKRKLGAVPEDGGINLGQIDRYYIERYGFSSDCTI IPATGDNPATILALPLRPSDAMVSLGTSTTFLMSTPNYMPDPATHFFNHPTTAGLYMF MLCYKNGGLAREHIRDAINDKLGMAGDKDPWANFDKITLETAPMGQKKDSDPMKMGLF FPRPEIVPNLRAGQWRFDYNPADGSLHETNGGWNKPADEARAIVESQFLSLRLRSRGL TASPGQGMPAQPRRVYLVGGGSKNKAIAKVAGEILGGSDGVYKLEIGDNACALGAAYK AVWALERKDGQTFEDLIGQRWREEDFIEKIADGYQKGVFEKYGAALEGFEKMELQVLK QEGETR AFLA_098250 MPLTHPLRWRAHPSPTPGSSSNLRCSIGRFRVGRPGFVLSFRSQ LRVLRTSATSFPSRHKNSARSLIPFNSVNASRLPPPSLTTTTTINTPLHLMATQTRSH GGHGGHHHHHHGGNVYLTSTNKNDAGVRITRIGLVANLAMAIGKFIGGYVFHSQALIA DAYHALTDLVSDILTLGTVAWSLKPPSERFPNGYGKIESIGALGVSGLLLCGGVFMGL NSGQVLLDQFYPQAAEAISHLGHGHSHSHGIDIHGPSIHAAWLAAGSIVVKEWLYHAT MKVANERKSSVLASNAIHHRIDSLTSIVALFTIGGTYLFKDASWLDPVGGLLISLMVI KAGWGNTCSSLLELADTAVDDEIKESVQKAASKALAKLQDNNAIKIRDVQGMKSGQNY LMDIELAVPGAWPINRSREIEEIVRTAIGAGVRGVKRVKVRFIPLEHEELNFSEEFIP AEVASQANPEPEDGDADCEAHGHDHGHDVHEHDARKRR AFLA_098260 MASAIFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSDAEEESS AVPPCFSHEGINYLYIRHSNLYILALTKKNTNATEILLFLHKIVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSASGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECLVESHSGSRMEYMLKAKAQFKRRSTANNVEILVPVPEDADSPRFRTNIGTVHY APEKSAIIWKIKQFGGGKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGTGGGKAK RPINVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRMPDIQ AFLA_098270 MASMLPTRAARTIACSACRTIVCPSTIGSASLLRRGLSTSTEQT PVDYADKPRWSYTPPSAKAPFSLRLNSKRRDYPVNTDPQVLDEFYIRMLGNDGDKLLS DETKWLAVTHKSFDQGRRGFNDRLAFLGRRIVELQASLAMVQSPGSAASTAAPDEFDR VPFTHPALEGLENLTRHKNYLIGKAQLAELAQKYELQKVLRWSPRKPNNLASSGIELV LAHTMYAIVGAISLEKGGLVANKVTRERILEPLGFKA AFLA_098280 MEDKEARRCARSQSVFVPFPRNLVNPSLSGQFPTGGFFKAECTP PSCLKRPINGKWPRGSDIFDTLTVVFANGCRPRRTLRRLLLQGPGGRLMYKMLDGVQN TDGLRPLPRVGSW AFLA_098290 MISQKVAQQSLRRLAVQQPYAMRWSLMNSATPAAVAMGRFMQTR QAATTSNTSDPTKILAQQRLNRPVSPHLSIYRPQITWIGSSFHRITGFALSGSLYLYA TAYLASPLLGWHLESASVAAAFAALPIVAKVLLKGFMALPFTYHCFNGVRHLVWDLGR GITNQQVIKSGWTVVGLSVLSALALAFL AFLA_098300 MTHIGLCIDNPLVARTLGPFQDCTSNQAIAYGELSKPKHKELIT SSVAEARNLLSRFPGLPLEELAVEIAMVKLALRIAPHINGHVHIQTNPYYSYSVEKTT VNALRIIQLFQYLQPGFEQSRICIKIPSTWEGMVACRALEQAGVRTLATTLFTLAQAV LAAEVGCTYVAPYVNQLKVHFEPGFTDPNKLLPLCVAIQKYYKSVNAKTRVLPASLTS TDEIFAMAGVDHITIAPNLLQQLSQPDSVPQIQSLFDSDAPAVLPPSSLSFVNDESAY RLAFTRDLHGASEEKLTQAVNIFCDMQDKLVQIMKSVV AFLA_098310 MDVRKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFMGNLTLNLWDCGGQDAFMETYLASQRGNIFSDVAVLIYVFDIESREVERD LDTYHAIIGALKEYSPNAYVFCLVHKLDLIQAEHRQRIYEERSALIRSRSDHFSIDTF GSSIWDQSLYKAWAGIVHRLIPNLTVIERFLHAFAKRIDAEEVILFERSTFLTVTSVA SEIGDLNPIYDRHERLSNIMKAFKHCAARNTHTTPASAGFVVMHTKTPQFNVFLGRFT DNTYIFLVVPPGEAAYNCAVLNTMLAREGFSKAAAMGHGDGFPLPAPESPDGELANNS HANGYL AFLA_098320 MGLFKRKDSKNSIQTERDEQESFVSANSARTSNASLKSPGFKGS GSGLPASIPEISIAKPPDPALDPAAYLRSIHAVRERSRFVFGKAKRNKLNHFDVDMSK FEATASYIVSIIKRDYAPDYDSIPPHGRWQHFDVGGRPRINQLLQSWPSTIDAQERTR RLIDLFVVSVLLDAGAGTRWSYKSKESGKFFSRSEGLAVATLEMFKSGLFSSDPTEPC QVDGAGLKKITVELLAKGMQHSENNPLAGIEGRAGLLIRLSEALNNQDFFGVDARPGN MLDYLLSHPSTQASSVPIIPITTLWSVLMDGLTPIWPPSRTQIDGLSIGDAWPCSDLP PCPPAQPWETIVPFHKLTQWLCYSIMVPMSKLMKIHFAGSELLTGLPEYRNGGLLIDM GLLTLKDADAQRGIAAYKENAQIKGQPNVEVVPLFSTDDDVVVEWRAVTVGFLDELLV AVNSQLGLVGEDQLSLAQMLEAGSWKGGREIAEVSRPNTKEPPIMIRSDGTVF AFLA_098330 MDDLSGLNWNSTSSNDPRKPLPMSSSLLFPNVRRNDTSGRSTPL SASSGASNPPSKSATPDKDSFANLVSFNSSNPNKNLSLIEQQKRLQEERAKKEAENRS RFETQYGAQNAQFWDNLEKGGNKPAAIGMTSTQQKAQSADEDDLLAAFDASAPVDAST HFPVPSPSPSPRVDARSPQPTPNGSSAAPQSSGMSFMDDDDDPFGLNQLKPKPNPPPP PPQPAETDDDDFLGLLGKPVSEVRRPEPPAKPPTPERADPTPSPKPSNGTDRAIAELV DMGFPADKASQALRMTSSGTDVQAAVSLLLTQAHEESRQKSGSRPTAQERYPSHESRS RDRAGRSDRDMPSWMQQERTHSSRRREDNRSPSTADKDPSQIAAAFGNNLLKTANSLW KTGSKKVQQVVNDFNTDQDPNQPRWLRDPSAREEPSPREHRRFPDQSQKQPADFTDEA LLLESGVDPRASRKPTRSNDGPPPRTLSDSYRSQPSPVGDRNMQQPAFMRQQQPSQTK DPRARLTKSAVEEQSAQAYVSPARRKRPTAQSPAPAQNVDLFDSPAPQPAERPRLSPS PAQPTRPAVTSKPLPARPKAPPRVIPQVSQDALASTHHHRTKAAEAYKRGDYAAAHEA FSAALSLLPDKYPLAIIIRSNRAMTALKVGEPKVAIGDADTILDVIGPSKGEAESIEI GNGEPSKPMKDFFGKALMRKAEALEQLERWADAAQAWKQAVENGHGGSTSIQGRNRCE KAAGISKPVSKPSAPARRPPAPAPKKSALDDLQGGSSSSANSAAVSRLREANKAAERA DEEKFALTESVDARLTAWKNGKQDNLRALLGSLDTVLWPEAGWKKINMSELIMPNKVK VQYMKGIAKVHPDKLSTNATTEQRMIAGAVFGTLNEAWDKFKAENNL AFLA_098340 MPSPFLTPGSRSQLDNLALLQLRRDQTIPTILQLHDEKNGGYKE GKVTNTRFGSFPHITLCDQPWGSQIIASKVDTGSRGRTQKMKRKADELDSSTQAEDKR SPQTPVAASSGFLHLLYPTPELWTASLPHRTQVVYTPDYSYILHRLRARPGCTVIEAG AGSGSFTHASVRAVFNGYPSDDQPTKKRRLGKVCSFEFHAQRAEKVRVEVNQHGLDGL VEVTHRDVYEDGFLLGDPKTGKSPKANAIFLDLPAPWLALKHLVRNPPEGTESPLDPT SPVYICTFSPCLEQVQRTISTLRQLGWLGISMVEVNNRRIEVKRERVGLDLGNIRGTV VFPKSVDEAVERTRALEERAQLFRATQNQSDGDSTPAPKAENEAKGGQQESEVPVYKQ GRVMTRSELDLKNHTSYLVFAILPREWTEEDEKRCREKWPSNRVQEPQGPQKSKKQLK RESREKRDLQRKEQSQPETESQKE AFLA_098350 MLDSGWLSGWPPGTPPVVWIICPSFGAPFLMPLTSHRLPRLVSN FLTNRSKQRLSLFYPVALLSRSRSMATARQQPQWQQPPLHPEAEKSLPPLKVWNSLTR SKNPFIPIDKEGKKVSWYACGPTVYDDAHLGHARNYVSTDIIRRIMRDYFKFDVNFVM NITDVDDKIILRGRQQHLFTEFTSEHPTIDGDVLDVAKKAYTAYIKKNLPLLSPDLPV SQYKDEVEKTYATVLNGGPLPGNEKAGDDEAKAKMHIKTVASAAKVIAEAEQSGERPK GFAEKFYTEAQDLLLPYLDSLKGTSINADDHGIFTKLTKRYEERFLKDMRDLNVLDPN EITRVTEYGAEIADFVEKIVKNNFGYVTDDGSVYFDIEAFEAAGHPYARLEPWNRSDS KLLAEGEGSLTKKATDKRSASDFALWKASKPGEPSWASSWGRGRPGWHIECSAMASAR LGKQLDIHSGGVDLAFPHHDNELAQSEAYWADSHNHSHDEQWVNYFFHMGHLSIQGSK MSKSLKNFTTIREALERKEWTPRSLRIVFLLGGWKDGVEITDDLVSAGSSWEEKVNNF FIKVKDPAALQGSGTDDTLATALADAQKAVYEHLCDSFNTPGAMYAISELITKYNSAD KSAVNPKDVEAVARWVTSMVNIFGLNGKAAADSQEIGWSGIDVPEEAKPFLHPLSSMR DSLRQAARAKEGVNAKTIAEIVDREALPEQEVTDSTKPYADVLSNFRNKLSSLEESNN ISKEVLALCDRVRDIDLFEVGVYLEDRDNLPALVRPVTRELIQAREEKARRAQQKQLE KEKKEKEALERLQKGKLSHLEMFRTNEYSAWDDEGLPTRDAAGEEIAKSRAKKLRKDW ERQKKLHEQWLASQMGAK AFLA_098360 MGFNTALTRKLGITVPVVQGGMQWVGYAELAAAVSNAGGLGILT ALTQPTPEDLRKEIRKCRSMTKKPFGVNLTLLPALVPPDYAAYAQVIIDEGVKIVETA GNNPGPVITQLKKANTTILHKCTTIRHAKSAVKLGVDFLSIDGFECAGHVGEHDITNF ILLNRARQDLGVPFIASGGFADGYGLAAALSLGAEGINMGTRFMCTIEAPIHHNVKEA IVKAEETDTALVLRRWKNTTRLFANKVSKEALKVEKESKSGEFSDVAPFVSGKRGREV FLNGDVDFGVWTAGQVIGLIHDIPTCAQLLQRIEKEALESMQRNQSLYTATPQSKL AFLA_098370 MSILSKIFRSCLQGRRRQRHKQKHDRDTPPAVPPKDPVPYSCPP GMKPDLVKKPSIRIVEKDEDDEGRPGSRCGGGYGKGVEAPVKVSEETIVAVEGDTGFE AVERNFKDEEGEQNEEKECDQDKQDDTESHCIPERPQRKNTVKGPEVRSRMIEDIPEE TDTETESKTNEPDQETDTTIPAKDEKGMPAWRVSRRKSLVEIINLLQATAASAPKLSS IRLPSIPPKSPLRTRGSVASLSSSASSATMTEDEPANLKKERRMAAVMFRNGRFGNRK SADESMITSDTATGKEKPLFC AFLA_098380 MQFSVAAVLALATAVAALPPASGTGAGQQVGHSKNDFPLPKELT TKQAADKCGDQAQLTCCNKTVKTGDFTQVEEGLLAGLLSNLLGAGQGSQGLGLLDECT NIPVIPIIPIASPQEQCKQPISCCQNTKSSAVSRINAQYTQLSLTITGWRPRRYWSSL HRSRLSPVSDCIREIGSSRSTGWKMAMKCFHSVLFCVLYWLLVSWNPRSEKTVLFAF AFLA_098390 MTGMSPSFSSDVIYSGLVPAFGKSWWDHETVHVTMAFAGFGSLL LVNGHARARVPEALDEFIQPAPTSAFHSSYTLSKTIHRQQQRQQNQ AFLA_098400 MRIFQAFAKLVWLFRVVVLDLNGTGTVMNENLIHVSLSGLNIGV YSAILMNPHVFEGILILH AFLA_098410 MATYSVYLMAESGLPRDHHAIFVETYENGPSTGHLYHVKGNIQE GMIFEHRAEQPPEEIPGFCGKEKLGVVAVAEYGRVLGICEGVPVPKKQFQGAKRLYPR EPLRRCQEWVAEAVDALKGEGVLIGSD AFLA_098420 MSEMPHKHATDNPSASSSEGRNDPVTQEENSPEGDRTQTPDRPT EDTREGQQQKGKEREGNEEVNKENASEREDGQEEEGEASAPPLPDEEVPPPLPNEAPP GDDDGWEPVWDANVGAYYFYNRYTGVSQWDNPRVPEAAAPAPAPAGPPGVEASAPSPE KPAPVVGGYNPAIHGDYDPTAPYAQQYEQPPEDISAVVPGTDHYAAVGAFNRFTGRWQ NESQNPENHNDQNKSYRQMNAFFDVDAAANSHDGRSLRAERSAKKLTKKELKMFKEKR REKKEEKRRAWLRD AFLA_098430 MSTKTPITLTVDAIDDLIYDARAGDLEALKSDLAALSAQHSCPQ AWIVASAIDSEPEEEGGSGSCLLHFPAANGNEEILNFLLGVLTQGETQLDQAQVAAVI NHRNHSGNTALHWAALNTHLECVKALVGAGADISVKNDAGLDAVFLAERADWSTEEQG EEQDEVEVEIEAEAEAQKGQGDAGKMSKGRQVAEWLLGSEKGGELETGAGENAPAAAE VSTQ AFLA_098440 MCFLFSIGCSKPMLTVTQTNAVSNRWRYFLQRPRKSHSATASDA PHVTAIRPVKGLEPNLYDCLASTFRQDYPADKLTVYFCVASRSDPGYPTLQKLVSDFP HAGARIFIEEEDPLLQPNGECVYDLGPNPKIRNMSRAYREAKGDIVWIIDCNVWVGKG VCGRMVDRLCGLGATSGKKYKFVHHLPLVVDVTSGASSTEEQNALVESYTNGDADSNS DVSSAPTISKHEQGTLATGGGRLEELFLSSSHAKMYTAINTVLIAPCIVGKSNMFRRS HLDYLTTPSPTDPHKRNPGIDYFSDNICEDHLIGDLLWKNRVREEKEKGERFGKHGMV FGDLAIQPVGNMSVGDYIARRVRWLRVRKFTVLLATLVEPGTESLLCSCYGAWGVTTS LAQFLQEKGFCCATYMTTWTAFFAFFFLSLAVWILTDWTLYIKLHSAKSVELDEDTPS FARPPSGSLTRRTFFQWFSAWLGREFLALPIWIWAFYGGVTVTWRNRRFRVGLDMKVR EIGSEKTPQPNSKQAPVGQGN AFLA_098450 MSKVDVDLGDLLAKVLPTGVKVTIRHISSAPTPCTALFTPPPGE ESESTFCENHFLTVSVNADEHDGPEIIVFGIEVLVYSTAHLTTVFVSKADSTGFLHLL KNAPKVSLIRRISNGFLSFLVQTHQRPGVRLVVSLFARAQNQYLFPGSIENSGKHVLD DRGLIKWWCRVVDPILREYEPESGSHEKGLLDRAMESAKSSATAFLIVPGCDKFETRG FFPGTAKSDDKERPRWLNSYPLHQLCDNTNAPPRCLVPRFPDDPKTRFLLDLDDELPQ KLEAAGSKEGAGQWRSVKSLDQFWEMMSFRQECSAGRLVGFLWLVINPPGLVNSVQMT SSRPVFKEKAEGSLTTTVPVYDKVDSKPTGTAVSVSTDSQSSDTAKDSTAEATSGGPV QDPSTQTGSLSSETHPKVQPNTDQNAFYWPEAGRGHAVMSEEDYKTAINFLLEQDFYN EKVSIASTKAWSEKVASIVDQLWVGQQVTGRNTSGESADKHAPTTNIINTGLVRKRKK DEPSQATTATSAQKEGCEGNGTVSTAVTAEASTTGTNESPGVNVLQANLIRKKKKA AFLA_098460 MAVITGEIFPFTLVFPRAVSQRKPMYGFITVGHDESSLTEERTF ESLYVNPMLDVLKRQNPQTRFVTSPTKNGVFDTTSSQTLYFFVDVKTSGPETFEAVIS ALEPLRKEGYLTSLKDNKTVTSGPITVIGTGNTPLDMVGPVANRDYFFDAHLDELEEN PEITSLISPIASTSFQEAVGSVTYSEDGAVLSDEQLATLRSQISTAKERGIGARYWET PYYPIRIRNAVWRTLLQEGVALLNADDLDAVAAYF AFLA_098470 MGASESKLVFKQGIFRLSEERDIPADDPYWARFWELPESTEDVF SLFTPADIRRTRDNALSNFETLLLSVTSRLSILKNHPSFPDPELAPDRDALNCIRILT RILPFVYEAEHLEDWEDKFFWGRRKKKTREAQVAAEILFDEAQAEEPRTSPGPNDYEE VKPLAEELIDTLVDLLFYTDFTIPKLPTTKSKVSYSIWQSGVGCNTSMGSNKELENNR CEIIRLLLTLTGKAMYMPSGLLPVQGVKAITYIATCPDKQAVLTLLCSLLNTTIKYNP ASWRVPYDHVVWKDPKQILVIYCLQFLLVLLLYPIPEDGRGAAPKNYYRHYFGRLHRP QDFQFLVDGMTRILNQPMQATNSYLPGSQKTVKWAPEMMMLFWEALQCNKRFRSFIID SNRSHDFVILCIFYAIVYKSDPSQQGVVRMCIFITQTMSVEPNFGKSLNKKFEAQETL PQSIRIPGFRGSYADYLIMSVHTLITGSKGKLTAVYPALLAIINNIAAYVENLSPAAC SKLLQLFSSMSAPSFLLANETNHALLSSVLESINALLEHQFTRNSFLVYAILKHRRRF EAVQEFTLESGQQEIERQSERRKSEGGSYDFVSSPVLSATEDDPHTASGARSPLGRIP EEHSPFAIGGDDSDDEREEQKTPAQSSPSVQSSRRPSISSAVDESVPLQLRGMSEKAR GKMPAGQPSFSRQNSITSQSSMSAAFPTAPNGFTPTVAWSWLPDLPLHTILTIISAIM PHIPESALQSTSSPEARTLINNLPSFAEEPMIQSIISEPPPTRVHSFEWSALSMGWYE SLLWGFIFSSEMVVGSASGATPGTVGVWNGTGVKLFKVQEAAAQGPTLLAPKGAVDAV GSNLVQRIGNLSLRRASTQDSQNSSRAPSVREV AFLA_098480 MASLSAPSTPRAASSPVATSDESRTPGKWRHPQLNEIVRRQNAG TFGDSNIKRVLWNGAALLATWIFGNTFKSYSLRLEESSQYSTYPDLSLLVLQLIFVLN ILVALYPLIRPKDNLSDIPLTPTQRALLGLDPSATAPPTPGSTYITPPKYRLSGSRAA SPASRSASPLSTSASASGRRVSSGALFSPSTSPLLHKAVSNGGRENGRRPSFGSPSPL GRSSPFRESSFRESSFGSSMGPATPSPVGGKRVNLGLSNKWLYERSRRLSASNGSL AFLA_098490 MASETSLVAKLDTLVADVLADWNIYTTLIAGTIITFLIYSFATS KEPDIHPFLLARQSTAFPVRQPGESAAYRSLETPHGFPLRSGLNVKDPGAPKWTAGRK GDLRDVWKTAVRGAAEDGKLSGKQGKIYTVLGRRAIEQSLEQVTQEINVIGSRLQSSK AKTVAVCLTDSVELLASIFAGAFYGFKVILIPHNLDPKDLSTLLQKSQADVLIAEAGA LDLSLVAKSNEQLSQVIWVAKLGSRHMDWNDVPGDVKGTLEVAVWHEVVEEKKDLAGL DVPSWEPNSPSPSLTTVWPSATGPGEFIEYQPENLISAIAGLTYSLPRNERFNSSDLV LSTDSLSRSYPLCQVMAALYSNASVALNSVAGESVDFALATVGVSPTVVIASSRTMSD YHNKFMEPHSGIISSLARWFDVRKLDAGVMPSHGMFSQVANIGPMAELSLDKLRLLCI SHRADADPNVRLTSGQLTDLKIFTGARVVYALTGPGVAGAVSQTNVFDYRRYQGQNHF GAPLSSVEIVLTGVSEDKENEGQITVSGPAVVLEKTTLTAQGRIRDDNTLELC AFLA_098500 MAQNAIGRLFRGQSSSTLRQSIGTLTQTRCYSSKNAIPTFSPTS SAELDQALNRFREELFIPFGLGTQQRRLMFRQKYADRLEEEPVSVSVGEDEEPFLLRP MDPQSRPTKKEIVDVVTLMQTTKDWQNLIPFLSGLRMSHRVIKTDRWEWLVRRAGQAD ALGIILEAAKQSERTGLRLNNVNIVQRIFFELHRKAQRGEFKDPEVSKAFTLAKQFVS LMEAPEHIEHNLQLDPKRKPFVIGTLLELSAARAINELGGNDEGDLVRSYAQRLLGSW SFGNFSRDTKNWHEVDHVLQEIVPIYNGMKLALKVNGISNDKSVAPGLKTRVNELGTL IANQKKAAPEKAVQRPTSGLEQSHLLHQA AFLA_098510 MATFSPILRQLGCLRSIAKPQSLVSSQIARRSLTTVYTPKQEPV PLPSKLPKSFLSQIPPRQQPTNGRKKLKVYPAPPSSRTVCKDPVAAVTESQLTALDPT GERKALFDYRRNPRSVKVGDILRVTFKNGDPFSGVCLSIRLRGIDTTFLLRNELTRVG VEMWVKVFSPNVESVEIVQRTEKRKRRARLYYMRQPRHDMRSVENIVSNYLRQKSALS GQRGQGGRGRGQKRRR AFLA_098520 MFDNMPPYNYTPLPPGQNTIRMLRLLPHKDRTAPIKCELIEHPL KERKGQAYEALSYVWGSTENPSSIYVNSYALEVTSNLHAALSRLRYQRFSRLLWVDAI CIDQKSNVEKEQQIQLMANIYGKAENVIVWLGEEENDSTLTLARLQVAAEGESLLVDF NDTALIALLERPWFRRVWGGFLRRTEISLDLTDSIRCNHSIKPGTVTWTVRVSAQPVK PGDVVCLLQGASKPSIVRPFDDYYDIIIIGVTPLYIANGETFQPDESLTSDNPDPLDE MGRGLRCDSLRELLLVWNWDRSSDHLNQGEAVTTPSINDLVPGVLKTESDQAKRVYDV AAMLLRSIEGTPESNWRK AFLA_098530 MSGNPNLEPSPGSKIDPSEAPTFIHSESTHFEAGLKGPKPKDGD TAMALFNDQELQEPIDPVEARKLLWKIDFMILPYLAVCYAFFYIDKTTLSYAAIFGIN EDLNLHGTQYSWLSSIFYFGFLAWAFPTNFLMQRLPIGMRSNSEVIYNENTLERIYSC VGHLTAQRGVFLMIQAACHNFATLAVLRALGGAAEACADPAFMLITSMWYTRREQPVR IGLWYTANGLGIALGGLLGYGIGHIRGALPSWKYEFIVIGALCSAWGIVMFIFLPDSP VSAPGLTQRERRITVERLRDNQTGVENKHLKPYQILEAFLDYKMYFFFILGVVCNVPN GGISNFGTIIIKGFGFSTLVTTLMQVPYGALIALSILACVYLNDRFENRRCVFILIFL IPNIAGAFGLRFVPTDQQVGRLICYYLTGPYNAAFVLVLSMQVANTAGHTKKVVTNAV LFLGYCTGNIAGPFFYKESQKPTYSLGIWSMIVSHLIEAVLISILGLLLRWENKKRDK IQSQMEGGLEGRDLDATAFLDLTDRENLKYVFPCYVSLIVCGIYANGFILQLPVYLLA AFLA_098540 MEYPFTSLTQEERRDEIRVNYMGAIINIMAIAIFIGIVGVTYQL TGLIAMERELGMSQLIDCMMPNESRWQSQAARFIAAHLALDFVYGIGWIIMGAILKYG IYSRTSAGITIVYNILAGLALSSFSIFGASFFKRAQLSGISVVIGCLLLGVIAQMVPA RTNGAVAILGLIFPPMNCVYFYVFMARWERQDQPTDLVHAAPDNPWSLPGVVLWILLI IQILVYPVLAAIVERILYSTASKGRKATSSNASTTALSLQGFTKSYTPGWFYRNIATR FGSTRQPVLAVNSLSMDVKKGQIMVLLGANGSGKSTTLDAIAGLTKISAGEINIDYGA EGGRFGLCPQKNVLWDTLTVKEHVSIFNRLKAIKSVDTEHQLLGLLHDCDLDKKINSY TRTLSGGQKRKVQLAMMFTGASSVCCVDEVSSGLDPISRRKIWDILLAERGSRTILLT THFLDEADLLADHIAILSKGVLKAQGSSVELKHRLGSGYRIHVLNMPGTALGHMFNDI PQETHFEETVYTVQTSAEAASLISRLEQEGVTEYRVSGPTIEDVFLKVAGELDSQKAQ DTVVGNAQAETQPPEKQGKEDVHQGLQLLTGQRISMALQAWYLFRKRATILRRNPLPY LAALLIPVIAAGLVTLFLKDVTLAGCSVGSTTRTPKAQSLQLLDDFQIVLGPSSRVSN ATLESFVSSLSGSGEAITGTPKSYFHVVDSLSEFEDYIKYNFHNVTPGGFYLGDASSS PTLAWKGDNSDFPLAAVIQNIFHRLLIDMPINLQFQYFGIPLQPNAGKILQLIIYFGL AMSVYPALFALYPTVERLRNVRALHFSNGVRGASLWLAYVGFDFSIVVISSVLAIIIF RAVSDVWYNPGYLFVVFFLYGLCSTLLAYLVSLFSRSQLAAFAFATGSQCVLFLIYLI AYMCIQTYTPTDKTESYIDIAHFTIGLISPSGNLLRALFVSLNVFSILCRGGEIASYP GEVTLYGGPILYLVVQSFALFGLLLWFDGGSVLSLLRAKSKPNDEEEKSTLDKDLNPE NPQSPSSGPDNGLRVVHLTKTFHKFTAVEDITFSVNKGEVFALLGPNGAGKTTTISLI RGDTPPSRNGGDIFVDNISVLLHRASARSHLGVCPQFDAMDQMTVLEHLTFYARIRGV PDVPHNVNEVMRAVGLTPFKHRMALTLSGGNKRKLSLGIALMGNPTVLLLDEPSSGMD AASKRVMWKTLAAVASGRSIVLTTHSMEEADALAHRAGIMAKRMLALGTTNSLRSDYG NRYHVHLVHSLAPHTSEEDMHRIRTWVSRNFLGAVIEEKTYHGQLRFTVPATGSDSIE YDGYDDITPRSETDLDIIKGGKDIGNNSIVKLFSHLEESKEELGVQFYSVSQTTLDQV FLTIVGRHNIAEEGSGQISESKE AFLA_098550 MTSKVKVAAVQAEPVWNDLQGGVSKVISLIKDAAKNGAKVVGFP EVFIPGYPWSIWTNSAIENVSFMNEYFENSMERESEEMERIKIAVREAGVFIVLGYSE RYRGSLYIAQSFIDPTGTIVHHRRKIKPTHVERSYWGDGQADSLKTVAPSAFGNIGGL NCWEHTQPLLRYYEYAQNVDIHVASWPCLWNVPSWTYHASDEASSRFSQVMAMEGACF VLVCTQIQTPEGKVRSKLPDFDWMKLPGGGFTVIFGPDGAPLTEPLDPGEEAIVYADI DLKDRIKAKQNLDIVGHYSRPDLLSLRVTTEAAATIHFNNT AFLA_098560 MGQTIYVVTSGRDSAEIYKNTNTMSFEIFVRKFTRSCGASDELL DRLYGVQTASAMPVTFAGSEPNNESKSLGERTHDFHGMQLLPGAHLPEVTAIFKDFFE EKLRMRYFSQGKPYITSTGQGWVSLKLLKFVSDYFVDAGQRVYFGKLLGEINPNLIST FLELEDRSWQILYEIPAPFARKAHQARDGIIDAIQKWFDTAPGDRPDGSWWMSTMEAE MKSLAFSSREIVGGKVLRKGNREKTR AFLA_098570 MEGYFVYNHKIMPSRLDLLGQMWKEYAKADSRYMTMEPFVLCME SITAFAWGPLCYLISWMIVANSPYRHPTQMIVSMGQFYGDVLYYTTSIAEEVYHARSY SRPETYYWWGYFVFLNAFWIFIPVFCIYQSYSVMAAVFRQHAVHPGKKSL AFLA_098580 MTEESLSQALDVIATIRDKAAMNVRINSYPPMSILQTDHRDVNM NAANFGFATPVTYRHLLDCISEGVIIIYPPRTTGPDSDEGCEFAISYEKSLAQELIDD PEWNKFFEYRGVDAEDAVHSKLC AFLA_098590 MHHHHYANDVLGWAGFTHQLAENCYAIVNQTPFPTWDLACLDRS RLLKPEVPEEAKVDGPASPERHPDHTVAVSLLFHLPRGKAIELKQLATPTDGSWISTY DAFSAFIWRTLTRLRAPVFKADMSSNIF AFLA_098600 MLAAMAKQEVFYLQPLGWENDPDEERYKVSTLNYLTARSYNNYA LFFKLDNVDKPKVVDVLKAGLERTLSQTRHLSGTIEKDSTDGYSFVKRKDSTVRFFVQ WLDSSEENFPSFEDIEKAHFSATTLGDLNLWSVPPMTYGEKPEAHLDCSPVVAAY AFLA_098610 MVKMPGYFVHQWDVRLRDFMPTNYYVFIFGVCYSFVLPFLKIAI LVEWCRLLAPQGLRSRTVFWWGCMATIGIQVIAGVAIVLTLNLQCIPHKAIYDLTVPG KCINLYKIQLTSASIHLTCDVIMLLLPQPVIWTLKMTWRKRLGVSFVFSLGVLACASA ALRLDTIVMYRNATDPLYSLAPVVLCSMAEMTCGFFIFCLPCIPKIITETGAIRKIKR VLGMKTTTTKPSGYSENYGTGMSAYGSSSYKMSNNIRREKQKGTESMEYLHESILEAG GIIRTTHITVTEESRTASEDESKNAACPYISRTHHVE AFLA_098620 MVGVYAAIITDEGVFKHWAIFIDEPHEADKIMLQARGSDGRFRY EPEYGDARCLSGLDELVFLFDVKSTGTSTLKAVARDIPVRSDIHGWNCQDWVLDFLDK LEEEDIIDGADRPYETGIKSNY AFLA_098630 MSSEHHNTAAPGLLSTTDNDSEAHSCSHSRSETQSLFNSYGAVK PNQVTLIAIGGAINTGLMIASGNALAKYGPASVLISYTIVGILVYHVLCALAEVASPG PSTVADHAAKFCDPSLGFTIEWIYWLKLLVVTPNQLTAAALVVSYWLDADIVNPGIWI TVFMLIILGVNYWGSRFMSQYEFILSSFKITVVLALMVFSLVLALGGGPDHDRTGFRY WETPRAFAGDHTATGILRAICRTMPSATFAYLGSELIGINILRTRNTRKTALHATKLT FYRILVINIVTVTFIGMLVPFDAKELEFARPTASSAAAFVVAVQIAHIAVMPHILNAC FLLFILSAANHSLYMATRTLYGLSLSRNAFAFLSHLDRRGTPIYTLFVCSAVASLAYL NFQEDSKCLFNHFVNLITMFSILTWISILVVHLTFARFPKAKAEAKPLTFRAPFGVAG SWAALAFCVFITAMRGFDTVDSDGDHNKVDYKAIITSYVGIPLYLLLFIGHKLYIKNR KSQLDIEPIEMVS AFLA_098640 MSKTKVLLVGAAGETGGSIANGLLENPIFELYALVRPRSVQKPA IVSLQDRGMQIRRCDLKGPEESLTEALEGIDVVISCVGPAEQQDQIPLAKAAKRAGVK RFVPCGFITVAPPGGIMWLRDEKETVYNHVKQLRLPYTIIDVGWWYQLSYPRLESGRA DYAMTSANNEIVGDGNTPMGLTDLRDIGRYVARIIDDERTLNKMVYAYNTVKTQNEIY DLLEEISEEKIQRNHIPEESVYTRVLAARQSSETYPFDPVKFIPRYLAEYQLSWGIRG DNTPENARYLGYLIAKDLYPDFRPVDFREYLETVVRGTAKGVYTDRMVSKVHQRAFPR TESTDSLYTRIFPRTESSDSLYMR AFLA_098650 MSEEEDEFCTAPNSPVNNPVQGSTLEDAFDLVNDGEGCSVDPGP AQVFKSPKVNATRGPSAFVQRLTAPDKVRRYDAVPPTQDDPNVSFTTTEPSSIFDSRD DRFDTSFISTITDATEPMCDSDYEDSVVNHMLSQELKMALERSQLMDETIVHEPPESV EQRLIDDLLHYGPFAQTYSFPGSIPLRYRYELERIGRAWNISSDRMLAGNSISFKTRD GFWEWIKGHNQRNGKPLPEKPTTKAWDSAIGSFKTDKHSEVVVLTGDLEWCSEHEPGI FKMNLNPLKTERTCRFHRRFGSDRFLSLTMPAPSRPPRHFPLPSDPSLLRESIALWLT QNVHRCLGRIWKPFFVEEVKSKRKVKAEPKFRVDFFAIDGVDFDKNTHIPPIPLARQN SESHTPMSLDSLLNWHMSRDDNIKQANCKLFQRISLGLSKTFATVALKPSQILPLEEP EGTPVMNDGCALMSRSLASRICDSLGITGATPSAFQGRIAGAKGLWMVDKHNSTISTE SDVWIQISDSQLKIKPHPAGWQEPVDEEKLTFEVVKWSKPLHTVDLNTQLLAILEHGG HIKEYVAGLTRAGIRAVYEDFATVVQSDSPVLCRSLIQKMKPSAESSSAAMLHKVRRM EEWMADDVEAVVRLTEAGFAPRTFRPLRKRLRKCLIGLLDQYVEQLHIEVPLSTYAFC IADPYGVLKEDEVHFGFSSNWRDPEGQFEDNLLDGMDVLVARLPAHVPSDIQRRRAVW KPELRHFKDVIVFPTVGTTPLAHMLSGGDYDGDTTWVCWDQNIVQKFRNSDLSTMEYP AEHFGLEEHNVPMKDIDSWDEFLQSTFTFNLTMSNLGRCTVEHEKISYDESIDSPNAK ELACLLSHLVDGRKGGARLSEQAWRAYRKRVSPRARDVPAYKNPSRRPKLTNIIDYLR FEVAQKEKDNVLRLLEGSLAKAGTTYEHDRDLTKPWDEAREQAEEDRREGGQLHVILK NVAQEIDDLFDRWTRSVTGENSFSAVSLEIADQAKAIPPPEGDHPMILVWRYSNDAWL QLLASYLYKKRPESSFVLYAFGETLCELKASSVPSRSVVNEILACYRVNQKVVARLTA RDMVEDEDSDDGNEYEGADVIAMLQATQLSGGYYDWDDDLSVE AFLA_098660 MRFLQLLAVSLAAGMLPVRASSCRPFPSSVIEFSYGFKQPDPPI IKAKFEASFIQHKWNQNLTHITNGFIYNSPSQNFVRVDEAFDGSLASSFFNYANTTKE NLVENTLTTSSHKSNKTTVWKGFVNSGFPLFPEDILVWHGAVFGGLVRRRFNEDLVAA GAIPVTAYVNNCNVMVGYDYFAPELRTRVVTEYFNIQA AFLA_098670 MDNRSGAISTPNDSSVSSLRNTANSGKPQTTSTLPAPPSDYSSV QNVSSSMMDVDNTTVAEDRSRRATSVLSMDDIEAAQALEGLRTEYGQPPRNVSQQTSS SDSKPQPEPLLSLLTSTHPLISSAINGSVSAYASSKSYSPRFKSGAEFLERNIGSPVA NTVGTVGRKTGVESGLRWALQRRGSGSTDPKRSKRRKVSGDKHPTEVDLEKGSDETMP SRRGRSSSDLSMGEPLPPYDDQTSPSYEEVGRPNSSRPNPTWQSRLVISTSGLGVAMS EESLRSLQYCLTWLRWANGRLGKAIVALQGALKEWDNAKKDNDTSQDTSLLSQRIQAV REDVLSTLKQVVDVVSKYAGGALPENARNLVRRHLTSLPHRFQVASTSNPPPDSSAAS SDATIGAHRILVLAQEGLDMMAQVSGVVNDTLLAFSDSGYLSI AFLA_098680 MQRQQTGDRHKTRRSRVGVKTDTPSMKLLERITMNIAIQEALTC TTCTIDPPAPTSGGDPGSEIDGLDEDVSFATEQRAWREESPLGTVVAAGIEEESLLTT PTPAFTSGYERLMTPSQIELYEERIDRFISQDPDRDKELARYYVDYHIHVHYMSHRGM LSLCRDNDDRDELKKWMWGLRKYEEIERMAGKSDLQIPDFSIPDKAPWPDDCELMEHE EGLWRKWEPSRQRQVQRSKFKWKKIMKEREKQRQSHFVQLRLAHQKCKVQKVNIYWGR GILREVALDSPW AFLA_098690 MHSPTPPSPSDNDNYSTTPSYPSSISTDDPSFPASSPRTPLERY FDPETGEIYPQVLEPPTPTWEVELRTRIERGVGLEASWDRAVDCMVGLFVGLHV AFLA_098700 MAANPVQNGLFVHENTTPPEHPSLLSMFSLKGKTAIVTGAGAGI GLAVANGLAEAGANVALWWNTNDKCPERAAEIASKYGVQTKAYQVNITDAEAVQKAVD QTVKDFNGRLDVFIANAGIPWTQGPMVDGPLSHYTDVVSIDLDGTFYCAKAAAAHWRR QKEEGTDLNGNKLTNFTYGSFVATASMSGHIVNFPQMQAAYNAAKSAVIHLCKSLSVE WVKYARANTVSPGYIATEISNFVPQETKNIWKDKIPMGREGRPEELKGAYLYLASDAS SYTTGADLVVDGGYCAP AFLA_098710 MLELSSVVAIAVVCAAICIVGGVSGTLVWLRVRQKRHRVSSRKQ FLGMQTFPAETLTDLSREEGSMLRQYGQLPYGRPTEWGVLESHESLADDSDTQSQRTE KGHSLCRSLSGCRRQSKLLRPHRISSLTPLTESIDGPPVSRRGSSPRDDVSISAVDGA LELPAETSPRHTPEREQGNHDADQSIRPISGPGPSIYQREHLSGLFPVMEDRHQSFDL SGARPRTTSIIGQTPGAAPDKPVPPPPCAYPPNRFRLSKNDSLRYSSLSLETADSSIM NESRRASVGMDSVFTSPALPPCPTFVPYSANDVGKSVALYSRPPAPFVFPAGSLPVEV QRLEPDRTSPRRSLTARSPVPSMERTGAPPRRSESLNVRPPRKESLPRTDIERIHPLH MVGRNPALLPHFSQLQRHSVHGSQRRENDPFYGGVSPGFGRGSTPGWSVSPIPESQLA PANGYLKPPLASAMRGGNRPRKGHRRQNCVRIAIQPPITFGGTMFAPMVEEPEELDEF DRHASQVSDLSASNISSLRSSVSGFSMSRTGSDQQGDVSRITEISSDSRPPSYRNSTY LASSKKRKHSRDDSIDSVLSTLNTGMDKTLPEIITTLPPSLGDSLTETPSPDKPNPVW MAPKYSGSPTTWENAPSPGSPRRSAVKGPRSQPARPRRNSGRSHLLENGANATSPLIR PRSQSLKHGSNRKSTDSVQRAKSTATGSPRHHSQQMNEQEKPATGGSFPTEQQRYTQP PERPPSRSSSRAGNRIVPIWEDHDRAPSCCPTKKSAISIISEESGPAELHGESSPRKP EHLKSARPEFSTPVKKTVGLGIGAATPGSLYDGDGFLKE AFLA_098720 MEPLQTPPETSSFVLLADHQSRTPSSFHSGPAVLHYHSKHCKLS ILEHELQANPILNSLRSADATPTANGTENQNGSDAEGKEIVIDGVDVWVTSDKFLLYN PTHQKGLSIPYPSISLHAVQRLTLPDTQSEVQGLYMQIAVPEQPGVAQEDDFEECITL TVVPPPESQQQQQSEEDNKPDETPTQALYNAVSACSNLHPDPMSDDEDEEGGSGLLSS GLVEMGSGDGGLPPPVDGSSGWITADNMHEFFDEEGNWIGGGEEPTLSLGPGAGTVRA REGEDGDGDREMQEDEETKWRRTD AFLA_098730 MYESYRPHPLLAQVPLTVSPFINLPSSVTLPYTYKSLPSTLPAS VTVDPNNPDTKPRYVVSASGEHAASAEEILASCKSLEELLNKNRTDAEKAIQNWEESI VQRDLAEKRRVAPGWLDREEKLLQPSRSMAGPRPSGQPEHSLLDSLSSDPDASKLPSM KPRDEGEELDRVFGGLNVK AFLA_098740 MNELESSRIFMGSRPFVYLDLCIGLQVGRLVWDDENFSKSARNI ALVKKADGWWLEADVEQTNGAYERRALCLEERIECFEDGTIDEK AFLA_098750 MDRTIARAVTEKKPVPEIDFTLHTMEDGTQVSTLERVVKEVQAP ALQTPTDEQFWSPEDPSKPNLQFLKQHFYREGRLTEDQALWIIQAGTQLLKAEPNLLE MDAPITCVLYLWSLKIWYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSERIYEACIE SFCSLPLAAVMNKQFLCIHGGLSPELHTLEDIKSIDRFREPPTHGLMCDLLWADPLEE FGQEKTGDYFVHNSVRGCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRT TGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSL PFVGEKITDMLIAILNTCSKEELEDDTPTTVSPTGPPSPPVPMDVESSEFKRRAIKNK ILAIGRLSRVFQVLREESERVTELKTATGGRLPAGTLMLGAEGIKQAITNFEDARKVD LQNERLPPSSEEVYKRSEEERQAALERAQREADNDAGLATVARRISMSAGSGRSRRQR DAARESREA AFLA_098760 MPPKLRGSLSKGLPRAGEKAAAYYCPSCATRRRTLSTRRNGIVS PRLEAKRLSLFSSRPITTSSVIHARHVPPRLRELYEALNKIQGVATEQVNISRLQLAL RGLESETPIIRVAVLGLNDATAARKLVRLLLADPLNTRESWEDALDAYDSDPTQGLLI RYGEVSESIPNNLLPTISVPSPILKKGNLEILVSTLGAETELSDAHFTADTFLVPTVT IPTSHSGRHNAVRYPVHRTVVCGRGVDGLVAYNGLVSRADLKKETSSVYGAVDLPVTA PQKSNDRIAFVDIDRADEALEKFRESVQNASLYERGWNGSGVQAVQDWLSSLPTEGAL DPSLKSLITSLIDAAEAGVAADENRLSQDQKAATISDDVRENLDRSVTEWAERGHIEL RSSLEEGFATKKWKGLAWWKLFWHVDDVGMITSEILEKNYLPRAEKEVIWTAGRFHQA GLGMQLKDAPQPAQQLLNDSTNGESESDELWPTQITTSRNRLLETTVPSLQAMAQRLV LFSMSTTTLTSALSALTYVSIPTASVYETGTIVAVGLIYALRRQQKKWESARTFFEDE VREEGRTALIKTEEHLHAVVQEGGPRNEDVAETEARKAIDRARKALEQVD AFLA_098770 MPTKTPTQADFPPHLNISITAPNTTTTSTRPPPNILLLLHGIGD TSATFSTFGRALNLPETTVLTLQAPTPLPFDLPGFHWGDDISFDSATGALDMDAGFAR ATRTIVNEVIRGVLLQKCGYKLREIMILGFGQGGMVGLVCARELGIQPKVQDVGDSGA NDGAGIGGDMALSGVISIGAPYPISGSVVGEKNRTPVLLVAGRDSEVVSDGAVRRTKG VFEFVEVARYARRGDGMPKSREEMLPVMRFLARRLRSWQGVPEGSVEIA AFLA_098780 MAPSSSTPNNMNTEDTPNIPCLTVTDLKDSSSFPNLWYRIHVLV ADLKSFDKPASNKRLERIVDPSFIGEPYFTPKEADTIKKTMIDGKEFTQFVKEELDKR LDRRSKKRSESGDFRICAAHDLAPIMAQALDIDLKRLEKDKNFANLVEEKGLHLGGES WSGLEKNMIDQWLIEV AFLA_098790 MSETQVVNLIPSLRQAGLFPSSAPLIPEDFTPTTELQVAFGEKS VSLGNLFRVSDCKSAPKITFAAEKYTLLLIDPDAPTPDDPKFAYWRHWVVSGLQPSRP HRYLFLLFREPHDLSLSKEDVGGEEFTARRSFKAAEWVQRHGLELVAVNWMLGAGDGW HE AFLA_098800 MQDPDLPGPRFHTTIFVKTGPNGNGTIHEVTGDITSSEGMYYTR TPSEAPELSPEFHTSQKLGVTQACKHPGDWKRVLDGVPTPPQQKAFNVKTMKTEPFKT KDPLTFYEPGEPRRQLMKCTEWTMERAIPALKANGLIIEGYGVL AFLA_098810 MSLRPPAAIRRPSSNVDGISHELSRVSSDIGRNEQLDSHGQVLL SNEWDKKEGWPVVAAGSAIFFVYLGLVYSYGIVQLHLADAHLASASTLSFIGSLGAAM SPLMGMIVARIIKRIGYRFTAFIGSIFLGLGEFTAGWSTQSVPAMFATQGLLFGIGAA MLFLPAATVPSLWFKKKRGLATGLVYGGAGLGSAVIAISLEELISVTGLEGALKILGG MAWGICIPASYFLKAPSGSARAVSSIQWRLLRSLRFILMLLMGAIATFPLFVPPFLLP LYISSIGFSSRVAASILAAWNFASALGRIGMGFGADIFLGPVNSMILSLTVIGVSAMA LWPFASSLGLLIFFAIINGMGSGGFFSLMPVVVGAVFGDGQLANIMSMLSTSWTFGYF LGSPIAGYLLDAYGGTDAGLAAFRPAIFYAGSLTLASAGLLLSVRLMMNRKIFARV AFLA_098820 MNSVEEQPKPASADAMFPTGDHLVLDVKENPKTWPTTKKWVCTT IVVMMTATVAWCSSIYTAALPDIMQDLGCSQIVATLGVTTFLLGFGFGSLLFAPLSEI W AFLA_098830 MGLFVAFQIGCALAPNITSLIIFRFFAGFFGSPTVSNSGGSITD LWPQSNRSVPMACFSAASFLGPVFAPVVGGFISQYAPWRWNFWVVLILSGVVYVAVLF FLPETYPTKLLYDKARRLGRENEIGQPQVCQQLRSSLTRPWLMLFTEPILFLLSLYMA FIYGILYLDFTAYPIVYKETRDWSPGMAGLSFLGIGVGMTLATIGSPYINVIHRHFVL RLGPNPEARLPHLIILAWLIPISLFWFGWSAKPPTHWICGIIAGAPFGFAIIPLFLGI MAYLTDCYGPYGASALAANGVLRSIFGAVFPLFAQDMYKGLGVSWATSVLGFVSLAMT PLPWMFYRRDQKRHMQWR AFLA_098840 MNVGIVGAGISGLYIALSLQRQGHNVTVFEATPRIGGRIYTHRF QPLNEGEDVYFEAGAMRIPRSPLHDRVFHFVQYLNTYGKPEDQVELIPYVIEHENNIA YVHGHKADLSDTEHGSRLGIPQPYRGKSARELLGEVVTPWLQLLERDFDKGFAEIMKY DMLSFRSYLALVQQWPHEVIDFVELMTSQTNQYDLSFVEIIMQNLDFNVREWFTVGHG MSRLTQGAAKLVGLQNIHTSSPVDRLIENPDGRITLHAHGPIPFTGTFDKVVLAIPPA ALQGIRERPTWSFMKEQAIRSAHFEPLYKIGLHFRTRFWEQLNAPSFGGQSATDLRFR WIVYPSNDLGTSGSGVLLLYCWMNDAYRIQSVPRDQRVRLALHDLQRFFADTGVDIYS QYVDSFDICWSNEYVTGDAMFLPGQFSRFHRVAAKPEGNIHFAGEHLSRHHTWISGAM ESAQKAVQEISGEVDARPLGEEEYTRQFVKAIETPPRRVDAIPEHERQILSRL AFLA_098850 MTAENGKEVVAKMPFPRIVPMEHSTASEVAVLNYVRAHTAVPVP NVIAWSSDASNPIGSEYILMEKARGRQLVDVWGDMNQLQGFKFIKNLARLEGQLASLE FPGYGNLYMLHSAPQLSAATVNDEYWLGQHIMSHGFPNAKTGPIQVHVCRPLGLFGRK MTARCSRSFGRIEMLFDRYEMTSVLRTMSERTIFTGPSPLAESIRSDAENAHQQVQEG QHEGHLSHDLIAGAAAFTGMKAWEDHQRKEGKEVSHSTAKQVIAGLAAAGVTRLVETK GLNAIDEHKAKKQAEENAQRLYEEHYERGQNAPHFNPNEHKPHPSFERNRFDEHPHHE GRQGDQVDRW AFLA_098860 MISRRCWQKINHEVPYRSAKRKLKHALQEFYRGVELLKAYAYLN RTAFRKINKKYDKAVNSRPPLRYMSDKVNKAWFVQSEVTENLMAAAEDLYARYFERGN RKIAISKLRKTLRKSGDYSPNTFRAGLLLMAGILFGIQALIYASQHFHHPDPIIPIHT SYLLQNIELFFCLYAKHWTDHAQCNSSHSRLLGFFSCLPSIWRALQCLRRYADTRNVF PHLLNFGKYIFGVLYYATLSMYRIDRVTRFQAPFITFALLNAVYCCVWDLAMDWSLGN PYAKHPLLREVLAFHRAWVYYAAMVIDVVVRFNWIFYAIFAHDIQHSAVLSFVVAFSE ISRRGIWTIFRVENEHCTNVLLFRASRDVPLPYEVASPHTETDQPTEEMVVQEPQQPT PQPPAADIEHGTPPTPGTSLRARGLSRVGTILASAHAQDFQRRKRPEDLGGASASHGN ADTPEDSSDDDDDPTDTRPYSDNIIVEDYASYGDNDRFLH AFLA_098870 MMDFWRREAEVGGGEGGGGGGEMCELVGEEMATLVGNGVLVGFA GQALLSLCLSAWVFFLTKHGNMDITYPEGSMKREIERKRLDFVSNILMIGSDIQSTLG ISYIITTFSLSSIMDLYHLHLVFDIVSFVGVSNTAALVCWRFVRAKIDASATNPHSKN IGGRISYWNGRYRATFFFVILYLALLILLCVRLNEWAPDTEPGRCYFSSLVTSPHASH PGADQIYVSITGSWLIVVILSSVFVGVRGRRFILILASLHFPLHLYMAIALRQANQGK FEGEVKHENEWDFGQTTAVVLLGIAVVELIAKGREYYRFERYVTKHGVLPSEHANGQG PSKDVEAVGNGITYPLDALSVKDEQTPEARHLLSKRHATSPP AFLA_098880 MAANTRYEPAPQRDSFEERGYTQPPPSYQATAEYSQAPRSEDDN VPDDFKFGGMVAEGTLPIRMQFVRKVYAILTAQLLLTTIMSSISFFSDSYRLWIQSNF WLMIVSVFGALGFMLVTYWKRKSYPANLLFLTAFTVLEAYSISVVTSFYDARIVVQAL ILTLGMFVALTLFACQTKYDFTNWMPYLFGALWFLILFGFVAAFLPNSSTVELIYSGL AALIFSGYILVDTQLIMRHYHVEEEIAASISLYLDILNLFLAILRILNNQQNN AFLA_098890 MLVIGAGVLAMPLAISHMGIVLGVIVILWSGTTAGFGLYLQSRC AQYLDRGTASFFALSQLTYPNAAVIFDAAIAIKCFGVGVSYLIIIGDLMPGVVQGFVG GTPDYDFLVDRHFWVTAFMLVVIPLSYLRRLDSLKYTSIAALVSMAYLVVLVLYHFVI GDTMADRGPVRVIHWAGPVPMLSSLPVIVFAFTCHQNMFSILNEIANNSHFRTTGVVF ASIGSSAATYILVAITGYLSFGDTVGGNIVGMYPPGLWATIGRAAIVILVMFSYPLQC HPCRASVDAVLKWKPKASNSNDNSPHRHPLLGPRGNRTPEPMSDLRFSVITTTILVLS YVVAMTVSSLEAVLAYVGSTGSTSISFILPGLFYYKISSPDSPAHQRLMKEDDEAAEG IFSDDGDDNDDLDNQAQSLTESGILRRGTRHWRKAVLRKLSLALAIYGVVVMIVCLIT NSLFIASH AFLA_098900 MSFSVEGRSAIVTGAGSGINFAFAKLLLENGCNVLIADLALRPE AQGLVKKYSIPSSAPRAVFQRTDVTDWKQLELMFEVAEKEFGEIDVVCPGAGVYEPHW SNFWRPPGTPESRDPQHGNRYALLDINLTHPIRTTQLALAHFVRRRTSGRPKHIVHIS SIAGQNPALAAPIYVATKHAINGLVRSLGKLDSKFGIRVTAVAPGVIKTPLWTDHPEK LKIVDTANDEWVTPEEVAQVMLALIQQDQVSEIIGDRTGQGPQFPVCGGTVLEVSKTV RSVSAINDPGPGTRAGNTVSDMNVLEDEVFGLLSQKGWGTPKL AFLA_098910 MAIAAAILAQLVSSFLMTRNAWVPWLLGLLCILLAMFAVPYAPN PAIENSLNENTMLDPGQHNARSMGTLNQEESHSSKHATVRSRLALVAKQLQEGTKVVY GNFSLIVLLAMSFLGELCEDSLAMVLLLYISKRYSWEFAQANYLWALGEAVQFVFLII LLPRISTMLLARFRMNAYAADFTISIASTTMLSFGSLLLGIGVSIPVAIIGMPTFPSI SRGYH AFLA_098920 MSSFEDQIRSRQRFALDHIAAIDTERESNGYNNDNKFWHQSRLF MQNISSRYTKSDLPIDFYEYDMRELWYMIIQGAKITDAKHPAQDRLAGQILHAREMGV LHRMSATSGVEEEASTSKGKIWVDLPFLTQEFQVAWNAADKLPAKQRQNLSAFIARLS AWGVCGSELDTFETRRPLTATDDQQGNSLLPIADLLPAAVAWFELCGYKIENLCLSGH GFESSTLGELAREAQVVPDTGFSTSRWLFWRRRLEEISHCDHAEMAALAQWGVRVMQC WGERILAIDNSNNQGK AFLA_098930 MAMSRLLSRSAVRAASTSAQTTKAAGDISSVFPSLRPDYKPEPL PPRFKDLKSQYFEKNEEVLKQSWKRLLPSLEEEVDKIKSKGSDIIPSVDYADVVSGNV PEKVLAEIRHRGTVVVRNVLSRGMAREYKERVEDYVAANKERVKAFPPDSPAVYELYW TQSQAEARAHPNMLDTQRFLQRLWHSSDPKTKISTRNPLTYADRLRIRMPGDSKFTLG PHIDGGSLERWEDPEYSRVYTKILEGKWEEYDPWDAKHRVSAKMDLYNGAGACSMLRF FQGWLSMSQTAPGEGSLHVCPMIVHSTAYTILRPFFDTQTLQPALDATFPGSVPGACQ EYNPVTHPHLELESTMVSVPEVGPGDYVAWHCDSLHSVDKEHKGKGDSSVLYIPATPM CDMNVDYLLKQRQAAQTYSPPWDFPGAGGPGESGFKGALDWNSINPEGLRAMGLGNKP WEVTPDMSEGEKQVVEAANKACFGQP AFLA_098940 MRLQATLLLLASCVPSALAIYRDEVDHIDFHHALLGTPSAHSTF FLKPSSSSDASLLYTLSEKLLLGAVNPRDGSVVWRQNVSRSAAADNGLLRASDGTNAL VSAAGDYLSSWSALDGKLIWESWFSGELVADLELLELEDAASPSTAKDTIALFGGKAG VVRRLDGDSGKVKWEYKDESGDLPFQVSSSVTDVFYISLQSALLKGYKIKVTSMDLLT GRQNQQLTLNLEGDISGPESVLFVGANTASPLIVWTDKAQKALKVNVIGTKQVSTINI DNTSGEELRSITVHAPKKLNSLPHFLVHYQTQSASWAEVYHVNLQSAVVTKAYNLPRL EGWSAFSTSTKDANVYFTRITQSEMTVVSSVSHAILGRWPLQSPPMERALHAVSEVVP KGDSVAVRSAAALESGDWQLLRNGQPEWTRYEALAGALAANWAEEEYQEELAHQLEVE GHESLFAAYAHRVRRHIKDLEHLPEWLKDLPKRILTSFVTDEVSNLDSFGISRQVIVA TENGRVYSLDGGNHGAVSWGVKAAEAETWAPVAVVTQPGLATVYTDDGSSVTLNAASG EIIKRTPATTKLRSVAVLNDSPAPLVVGINENGTPVDSVDLPGFFVTLGNGRVLGWSA NNNKTPVWEFLPPQGERVIHATSRPAHDPVASIGKVLGDRSVLYKYLNPNLILATAVG DKSATFYLLDGISGKILHASTQNGVDTTQPITSAMSENWFAYSFWGDVVHPSDAKGYQ LVISELYESSIPNDRGPLGAASNYSSLDALPLPHVVSQSFIIPEPISHMAVTQTRQGI TTRQLLCTLPSTNSLIGIPRPVLDARRPIGRDPTPTEIEEGLFKYNPFLEFDGKWYLS HARDVAGIKKVLSAPTLLESTSLIFGFGGDIFGTRATPSQAFDILGKGFSKLQLLMTI VALTIGVVILSPMVCFLLLVAELGSLMFYYRSGRNRSTRFGRHRSCPWEHRRRRMNWN INISIMTWLPF AFLA_098950 MERRSFKILITDWRQVATVWYTLLTCPSISASSIQTSLGVLRIT LYLSGGSNARTPVRSPPFFGSSTRHAKMAFAHCDYTVAWICALPLEMAAAKVMLDSVH PPLSQPKSDPNGYTLGTIHGHNVVVACLPSGVYGTTSAAIILAHMLSTFPSLQFGLMV GIGGGVPSETDIRLGDVVVSMPNATSGGVIQYDYGKTLRDGRFQRTGSLNKPPQYLLT AISQMRSNHMIGERPVLKVLSNIFHKHQDMKEKFSRPDKDWLFQSRYDHQASQSIVAL VLILRRALRCTRGCPFRTRRKRVRI AFLA_098960 MSPIVHCVRHAQGVHNHSHANHHLSDPELTPLGEEQARALGARF LALDNIQLILSSPQRRAIQTALLAFSSHVGDGSLQVAAWPEVQEASDLISDAGRDLPD IQAEFEKLPVDFALVEPGFHIKQGKWAPVASRLLERAQLARQWLSQRPEKEIVVVSAV FCIFLRMTG AFLA_098970 MYVGVQMECEILAEPGVEVGILWASLVDINETPLWGAGNERGPC LCLIHVTSNA AFLA_098980 MSTPEPVSDASERTPLLSPSSDETADDGVISPPTDSLRRVADSL PLSVWLVATIEMCERFAFFGTMGPMQNYIQNPRDDPLRPGGIGLGQAYATMVNQGFLL WCYITPVLGAVVAEQYFGRVKTIIYSSTIYICGLTMLFMSSLPIAQDLGVSLAGLLSA LFFIGIGAGGIKANVSSLIAEQYAGPNEAKRVLNSGEEVIVDRALTIERYPSHYILST FNQTKTNTIARIFSTFYLFISIGSFGPLLTTTIEQKHGFSAAFALPILVFLLGFIVIL SSKNQYITRPPESSIVFNACHAFWIALKHKGNLDYARPSYLAEAASASGSRSELEPES NLPWPDTFIDDLKTALSSCKIFLLYPIYWAAYTQFVTNFVSQAATMKTHGIPNDIMPN IDTFSVLLLLPLIDRVIFPFLRRHGLPHDL AFLA_098990 MPLWGSKSSQESDNAALESDPKNDPVASASQAAGTEWLAGHLNH LTEDQEKKLQEFKKLSEENGYYKSASDSGEASHDDATMLRFLRARKFDVNGAWGQFKD TEDWRKENAIESLYENIDVESYDAARRMYPQWTGRRDRRGIPVYVFEIRHLNSKNMAA YNSTMTDPSATAETHKSSTVPQRLLRLFALYENLLRFVMPLCSQLSRPHPDTPIVSSN NIVDVSGVGLKQFWNLKGHMQDASVLATAHYPETLDRIFIIGAPSFFPTVWGWIKRWF DPVTTSKIFILSSAEVLPTLSSFMDPTTIPKQYGGQLDWQWGDMPNLDDEARKLVGGL ETPPAEGETKPNFIKGPVLFKGDHVEILGKVNGESRKRSVPVPASPAAESTTPAPEQQ KSDDALAAEVNEKLDLNGATEKTGNGDVAA AFLA_099000 MVKAVAVLRGDSKISGTVTFEQADANAPTTVSWNITGHDANAER AFHVHQFGDNTNGCTSAGPHFNPFGKEHGAPEDENRHVGDLGNFKTDAEGNAVGSKQD KLIKLIGAESVLGRTLVIHAGTDDLGRSEHPESKKTGNAGARPACGVIGIAA AFLA_099010 MSSSLEQLKATGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYAALIDAAVEYGKQHGSNVDEKVDATLDRLLVEFGKKILEIIPGKVSTEVDARL SFDTQASIDKALHIIKLYEENGISKDRVLIKIASTWEGIKAAQVLQRDHGINCNLTLM FSTVQAIAAAEAGAYLISPFVGRILDWYKAAHKRDYTAQEDPGVKSVQNIFNYYKKHG YNTIVMGASFRNTGEITELAGCDYLTISPNLLEDLYNSTAAVPKKLDAASATGLDIPK KTYINDEALFRFEFNEEAMAVEKLREGISKFAADAVTLKDILKQKVQA AFLA_099020 MRGILGLSLLPLLAAASPVAVDSIHNGAAPILSASNAKEVPDSY IVVFKKHVSAETAAAHHTWVQDIHDSMTGRIDLKKRSLFGFSDDLYLGLKNTFDIAGS LAGYSGHFHEDVIEQVRRHPDVEYIEKDTEVHTMEETTEKNAPWGLARISHRDSLSFG TFNKYLYASEGGEGVDAYTIDTGINIEHVDFEDRAHWGKTIPSNDEDADGNGHGTHCS GTIAGKKYGVAKKANIYAVKVLRSSGSGTMSDVVLGVEWAVQSHLKKAKDAKDGKVKG FKGSVANMSLGGGKSRTLEAAVNAGVEAGLHFAVAAGNDNADACNYSPAAAENAITVG ASTLQDERAYFSNYGKCTDIFAPGLNILSTWTGSKHAVNTISGTSMASPHIAGLLAYF VSLQPAQDSAFAVDELTPAKLKKDIISIATQGALTDIPSDTPNLLAWNGGGADNYTQI VAKGGYKAGSDNLKDRFDGLVNKAEKLLAEELGAIYSEIQGAVVA AFLA_099030 MAERILMNEYKTLAKEPWVNIELDNEDIFRWTIGLIVLNPDSLY YGGYFKASMKFPKNYPFSPPEFAFRRPLYHPNIYPDGKLCISILHAPGEDEMSGELAS ERWSPAQRVESVLISILSLLDDAEVSSPANVDAGVTLRKEPEEYKSRVRKDVEISKQD IPEGFVMPTHQPATVKPVEKDDSDFWAESDADDDVFGGSDSDEDMDFDDQDTGSDEEE EEEEKERS AFLA_099040 MLSKAAEEELLQFEVDRPRGEVALITLEVVALLGEVAVFIAVLW TNTWGNSGKLSAVAKLVSWSYILFLALIRLLLSTLDLHLLPRIWNHTACLYGLQWLFN ILVFRSAVIHPVSRRATIFSAVEFSLSTLLLLIAVTTRRGNKAVLVPHEDGIQPARHP TASLFSLATFSWLDPLILKGYRQALELDDVWNLTSSQKAATVLEDFRRRQYKGALVWR LGRYFWPTLLWQGSWTIFSCLFTYLPTILLKAILEYVEDPRSTTANAAWLFAILLFCS GAIQGVADGQALWIGRKLGVKLRAIIIGEIYAKALRRKAGASGDAGKKKPEETTPISK KKRVFTFGRKKKKATTTDPEADGQNAKESGEDDDAHSANTGNIINLMAIDSFKVSEVG AYLHFLWASVPVQITIAVTLLYRLLGFSSFAGIVIMVFMLPVNLFIAKQFTKVQNQIL TSTDARIHATNEILQNIRIIKYFAWEQRFEDTVNEKRKAELKALRYRYIIWSTAATVW YGTPLIITFASFFLYTVVEEKKLTPSVAFPALSMFSLLRIPLDQLADMVAHVQESKVS LDRVDKFLNEEETQKYNQLEDSNSAGQPPKIALENATLTWGSSKGQYKDDPQSDTTDA FRLINIDVSFRTGKLNIITGATGSGKTSLLMALLGEMKLLEGSVHLPGGTSSRTELPV DPQTGLMESVAYCAQEAWLVNDTVKGNIVFASPWDQRRYNAVVKACALERDFSILDAG DQTIVGEKGISLSGGQKQRISLARAIYSKARHLLLDDCLSAVDSHTAKHIFREALLGP LMFDRTCVLVTHNIALTVPQAEHVIVLDNGKVVAQGRPDKVAASGVLGDELLKSRPTS RSSSQRPSRMPTDLGDRHEDESHATNGGITNGSAGKGQKQEEARPKLVEGKATGSIKW STIKMYLLSMGSWYYWTGALCVFCLQQLGSVSTNVWIRQWANSYQTEHVGTDDVGDYA AITSFKIPSFNAGGVPRTSTQSAPHFGTRVATGTYGDVNVAYYLGVYAILGLLYIMIS LVREGVLFWGSLHASNKIHKRLLNAVMHAKFKFFDSTPLGQLMNRFSKDVEAVDQEVA PVAIGMLHCLATVVMIVALISVIMPGFLIAAVFITLVYFALGAVYLNASRDLKRLESV QRSPLYQQFGETLNGIVTIRAYGDGPRFIVDNHRRINDYNRPHIYLWASNRWLALRVD WCGALVSFFTAVFVLLSIGKIDAGAAGLALTYAVTFTENVLWLVRLYSEVQQNMNSVE RVKEYLEVEQEAAAVISESRPPAHWPSGGAVEFTNYTTRYRPDLDPVLRNVSFTVQPG EKVGIVGRTGAGKSSLALALFRGLEAEKGRIVIDDVDIGSIGLRDLRESITIVPQDPT LFTGTIRSNLDPFNLFTDEQIFTALRRVHLIGSGTSGTATPVVNSAEPVATANGTVVL DNKNIFLNLETPISESGSNLSQGQRQLMCLARALLKDPKVLMMDEATASIDYNTDARI QETLRELRDSTIITIAHRLQTIIDYDKVLVLDHGRVVEYDHPWTLINREDGVFRGMCD NSGNMEVLLDGAKKAWEHKRLVDDS AFLA_099050 MRIFSALSSLLLAGAALAQSSDCSPKSGDVQTLQFAWALQHLSE RFWSSQSLNQTFLNNATNASQANYEPNFKGITRENRLGIRAVQQVGNDLSNFTTPRCN ITIPQSQDANSFLKNALQVEQTVAGGLIGLAAYTQSPEVAFLLARLAAQHSAQAAYLG TETNSTFFPSNSTSLIPAYTPDQILKTGNQTGQLGTYFNKCVTAPSGPCGQKVNVGPL VATLNSTSTGGGTSSSASPSSTATETSSASSSTSTSTARNRKYF AFLA_099060 MTPWKTERCSIADAPALADNNMSAFWEDPTWILLWPKEITLDYL ISQCAKRYPRSLLSSRHNTRHLKAVDPITRELVGYARWILPETHVVAEDGTPQWGEAQ IPDVSDEEKTRFQALAESAWWGGRSDMDSIDDSNDEVMRRILVQRPYMKLDYLAVHPR NKGKGIATALVAAGVRAAESIGLPTVTMSYKAGRGVYARLGFEEVDRVIQDDSQYGGA GEYGAYFMIREIGN AFLA_099070 MRFTAASLVTLGLLASALAFPAPANSLGVREPSKEKYDVYDVPD KRSKEKYDVYDAVDKRSKEKYDVYDVLDKRSKEKYDVYDVSDKRSKEKYDVYDVVDKR SKEKYDVYDVPDKRSKEKYDVYDVPDKRSKEKYDVYDVPEEE AFLA_099080 MSFQTKEAAYDPLASDEKRFSQSSDGAEDQEDNMAPLFDDPRAI EYEYVRFQGALEHQNVYKGTPNKELDEAWEVLIHTNNSGVDGNVIDRIQKSRIAAKYP KEQGGQYYTGIEVFHHMHCLNLIRQYTYKDYYHRPENRPKPFTDSEPVLRAHVDHCID MLRQVLMCQADVGIVTYNWVHPWGLYPDFSTEHKCRKFDKIVEWADKHALPDDDPEPD SETVWLSGPPQ AFLA_099090 MGREDNFSRNISRYCRMLFAGLITRLVRDEQKEGPIAELCSSYP MVSRRDLDVIGILVEWE AFLA_099100 MHTADTVEAVKAPAIQVVEERKPDPDRAILESLRHTVYACSSVQ RIHGGFLNATYRGSLIKPLQNGMRTVIIKHSEEKDSKAPQLTLSLTRCLTEQAILRGL GSTPSQQVQHKQITIQVPQIYQYLPDDHTQIFEDFSKNGTLHEFLTVGAGERITASTA VALGEALGSWLSRFHAWSKTQVDTDLWSTVEQNSNGFDKNLRDFRINKLLAIQAQCKS EQLGHYAALMHSREFGRKDTIVHGDFSTRNILIQNPSSIDKEKNTSLAVIDWEACCLG DYTRDLAEIVADLYMQTILYGSQIAHSLIQGFISAYPPLDEEAAYRTVAQIGENFFYW NVYAPTCTDEQESELMQLGTDLICKGVTRDREGIKTTFFEFLFRSA AFLA_099110 MVLPLRRLSAPRALSSLCFCLAIICLLVMLTMTAMRDTIEEETG NEMTAHGLLEPTLKVMIVGDSMSQGREGDWTWRFRIWEWFKDQGLPVDFVGPYNGTAQ SDLPSLPATPSGKEESHWKSEIRTSGGYAAGVSEDFDKDHFAIWGRAVAIDKGLIYDV LAAYPTDLILLMLGFNDMAWFHTDAAGTVDSMQTFILNARATNPRLKFAIANVPQRTF ISGREDLPVSTNIYNALLREAIPGWSTPDSPVQLVELHENYDCAPIACPVGYDGLHPN AKGEYQIARAFTLTLVKDLNIGVSPLSIPTMPDGPLSVSSNPQDVGSLPQEVPDMIL AFLA_099120 MASYSNEKGFPTNKLAHELTNIDDDEEYTYEEQRAIVHRVDRRL VVIAGLGYCISLMDRSNASTASIAGMNEDLKMTEGYRYSLVMLIFFIPYVLCQPFATA TIRKFGPRNFLTTMIMCWGGITIGIGFTKTWKHVLIARSFMGAFESGYFPGVVYLLSC WYSRYDMHKRFSLFYSIGLFSQAIANILAYGLTYMDGIENLEGWRWIFIIEGVITLAI GFLAFVMLVDFPDKAHRSWKFLSERECAFVIRRVNRDRGDAEPEDFSVKNFLRHAADI RLWGYGLIFCCLMTVTYAIGYFLPLILRNGMGFSVGESQYLSAPPYVWACILMIVEGW LGDKYRLRGPILIVNALMQLVGISLMGLAKGNGVRYFGVFLVTGGVNASAPTALAYQA GNIRGQWKRAFSSAMMIGMGGIGGIAGSLVFRSQDAPEYYPGIYANLCVLRFRQPKSS SGLNTARRIGRVQIHTVAWSPGVL AFLA_099130 MMCWFLGQLSYTSTATIMRLSIGLTLLRFTSSRIHVYSLYGIMV LSTITGAVLFFFAIFQCSPISFYWDKEGVQGICRTDTEVKIMYFYSVIDVIFDIAIGI LPAIFIHKLKLDRRTKLGIAGLLGLGCIACAAVIARIPFLHLMTEESFLYETTMVAVC SDVETGLGIIAGCFIVIRRGFSFNKSNEKDIPKGYVTPQFVSQTSDSTLRVEYV AFLA_099140 MSNSKHALPKGSRVLVTGSWSDEAVKAAWDPNTPDESKGFKTEA EREAWTWVRGHKPEYTFNTVLPCMTVILCKIIGAITRSADQLHNMLEDPSPGDLRFHD GVDPQTLERRSHGFVEWYVDVEDLARLCAVGLSDPSAQSERILAFAQQMNWFDAVSLL RQLRPKNTPIADVPEENIRGRRDFLPRGGRAEELLRTFYGLPGWTSIRDSLEKGIEGC V AFLA_099150 MAEVTPLNSLSEFQTLINSGQVVIIDFWAPWCGPCRMISPVFER LASDPQYSSIKFVKVDVDDQPEISQECGIRAMPTFMVFKDGAKLDEFMGAHPNGLHDL VQKYV AFLA_099160 MTAVLITGATVKQGGSLITGLISRNAPFEILAVTRNPTSTSTQK LRSLSPSIKLVEGDLDNPARIFQIAQHLTSSPIWGVLQCPGSNWQQRRRNPRQIRHRR RALLHQPHQNPPLYQKAQHRAPPGRPQQEHLHGMDNPSTNSLLREPDTRLLRKGLRDQ LQDGTEGKEASGLL AFLA_099170 MTVLYRRSFLNAHPSNSEGHPSHLLDNRLRQFEESRTHLQNRYF QYWDTSIPVQWGTMTTRMPLIGISPDDPKRDQLLLTAIGVVEVAYLLETDPQTVRWAW LFERYPQWHAVVFVLTKLCGRGQSVETERAWTVLQKAIERWTRRECQKGGITLKTVHH FLAKAAVVGLGRTGSGSY AFLA_099180 MPRDADFLSPRDLLINDNFCLKLASFKQLANVIVKTENLPRDDD SLRQKTGFWESGYQIVRRGEESPDPAYQLMVDTAL AFLA_099190 MCVDNCITGAERRSQPEYTVCQKVLDLCHRAPDDYDKFFTDLEA LRKDPDNETLRKKVLQEVNDRHSEVKALRDQATIWSRDLHSYSMDAGDCETAVRDLAA PFQGSALRDRLIEDDAQDNLQDDLNALGNVKSLLDGFSMVDDMGASLEEVQKMSGE AFLA_099200 MTHWTWGMTAGSATLIEDDIQNLVDYLDKHVDPDDNPLGGLVER NLLKRWATLQEHGRQPTLALHFYHGHSAGLPEDGGLAFQRHGQKANPPVITVIAFKNA YRN AFLA_099210 MLADTQPNTPAGWQAYASLREVTEIPATADASRDESGRTEIHRN IYLEGADILQKPLLDCEIYTDVLAFTEGGEVILSPDEDTTMEINARVLTADQPVHLNM TKAGARSSALMIYAAVVDQPISVSVEGTQRTTLDLGPDSGHVGADIDFADGQLKVSYT ARHEYDATEIYQAFLATELRVALALFWSRPAIAISICSYVAQSTYNIQAHSLSNAQAV SLGQQLAAHAMAGPRAKYAPTLPFQRYHDTMKDQLEAAKVFEDKYQRFQDKDSEVADK IAAWTTMLQNAQDQRATRLSVQSQTLAKYQDARSTADACAKQLSDDDDELQDAKDVFD QGLVDWEKGQILKAAFAILSAIFEFAFGIAELCIGNDPGGAAKGVEDAVESVEKVEEG AAEAGQIMTSSTLQKLSTFTEALQKLYPKVDALVAAANKLAALPGGDEVDLPSLNDIS GSGGSDADSSLITSLAAWDDWELECDQQMEWAADQEKIGGASQFRLALRKHAVHGRAL AQAQAEAIKQGQQYVQTTLEVLQSDQDIQNLQTLLDTYHGEEAIYAAGQAQFYDRFLQ LKTSLAIQLQYIIDAYRFYALQDSQVRLESQQSVGDFQQNLSTLQTEMQNVDNQYAED FTPFNYYVYSDELPSNFPRLVIDGLKDSSQGHKATFTLVATPQASSQAGEHNFAYPFT NGSHYRLDGLEIQLMDVKPRPEAVHDGRAVVSLKIETSGSYSDIQHDQVFYFVSPMQQ KRFSYEIGPDGSFLRIRDKAIFEPTNHAEPPPFTQWTITLETPENVDMSTLNKIQLHW NGKYRPY AFLA_099220 MSDVLDDETVWFPKGQTTINEAPTKSRRLRAATDEDDGDEEDVV FVLGQSDMGALNRYLHGGRVLPLDRTSYFNKTGIVDTSLLTSKIWNKVDELIEAYIQV HADCTGFLGDEDTAIKRWKANPTASATRTETALQRWKAGRKAGRKVTAAADDDDTDFD KLCTWDKMNSLAQNIYSYSEDAGSTDADDSYYVAMLTLCGDYNDSNDPAEQESIRQDI LDLTDDLLGDVNKILSHIGKVKEALKLFEASCQTNQSTLEGLEKSMTTILDQELGSIQ DLDKEIKKHQDDIASYQAIIDHDRYEQEMTAAYVWIPIAGTIAGPVVFAQMQAEIDKY EGKIEAMNKLIKDEEASKQLHKTLQANVTSMKSHATDLSNLIGPAMHTVEALEGGWNV MGSQVQFIHDKAEKFEEKIPRLSMTKRQLKSISNEWYKLNKYVMSYIQNAQLVVPVQV LSLEDYLQQLKDAANKSS AFLA_099230 MVDLIYGSFVVISSRPPQTPARVVKDSRLNARLNRLSRVSPKED LTCFRKIICGDWKMSLYLSQEWHDYLSSMSLRFFQYYHPFLPLLDPQKPPEHYLCRCP LQAWTIICVASRRAPAELGLLGALTGPLTRLLWSTITSVPQDYAVVKALCLLCTWPLP TTSQRKDATFMLCGLMMQISMQLGLHRPVQAEEFTTFRIGHSEAVKDRLQTWVVLLPP DTDNLQGRYMTGRLSQRHSRMPVIILQKICELGSRSKSSVIESPTTTANPTESTTTMI PDQFDGSMENPTMICPPNLAQGDVFFNEAGFAEIFDSLNWVFDEFPDPFSAPQMV AFLA_099240 MFKSPAARQAVKALSINTRPAAVTAASRPAVANTFFRGLSSTAP RANDEKSKAAKDPILAATNKAPEGALDSEGRFARVDESLQIEYPDDENMPRSPIVQGR GGMHFKRTLAQFSLENKVTLVTGGARGLGLVMAQAIVASGSDLAIVDLNKAEAEEQAQ KLVEQFRKENPGLEQMPNVTAHYADVSDPNSVNDALSDIISKHGKIDNLVTSAGFTEN FDAISYPHDRLQKLWGVNVDGTYLFATGVAKHLMERKVPGSIVMIGSMSGAIVNVPQP QAPYNAAKAAVRQLAASFAVEWAGHDIRVNCISPGYMLTALTRKILDENPELRDKWIS LIPTGKMGTPEDLMGPVTFLLSDASKYMTGADIRVDGGYTLT AFLA_099250 MPLSSLLRTASRLRPTAAQKARRAASTVSSTTPKTQNSLDSILI ANRGEIALRVGRTAAQHGIRVTTLYTDPDSRAQHALSSPFAFNLGSVSAYLDGDRIIE IAKREGCQGIHPGYGFLSENSEFARKCTEAGLVFIGPPWKAIEDMGDKSQSKKIMTAA GVPCVPGYHGQNQDPSFLEAEADKIKYPVLIKAIKGGGGKGMRIAHSKSEFQAQLQSA KSEAMNSFGDDHVLVEKYITTPRHIEVQVFADKHGNSVALGERDCSIQRRHQKILEES PAPHLPDATRKDLWAKARAAAEAVGYEGAGTVEFIFDNDTGEFFFMEMNTRLQVEHPV TEMVTGQDLVYWQLKVAEGAKLPLTQEEVEAHMASRGHAIEARIYAENPDQGFIPDSG TLLHVRTPTPSEDVRIDAGFVAGDEVSAHYDPMIAKLIVRGNTREEALRKLASALEEY EVAGPITNIEFLKTVCKSPDFVAGEVETGYIEKHREELFTREAIEPEVLAQAALACLH HDSTPVARKQASFEGSAVGFSPSYQSRQITFADLTPGAKDGTKFDVRVQQTDDNTFNV EVGGRTFEQVVSHSNLGSHIVTSFFPHTRLDTTVIRDGDSVIAFQKGTQYRLTIPRAK WMDKALGMKDVTNSVLAPMPCKVLRVEVQAGDVVEKDQPLVVIESMKMETVIRSPQKG TISKVVHQKGDQCKSGTPLVEFAEESGEN AFLA_099260 MSDDEEYYDEYDEDIFWIEEPDPEIADDLAATAVYDALFFEDPS LEVEEYFSDWDDQSDDYYDEDPTAVRRQRAMGLWPNKYNIKEINDILTAKQKLSAPDG PIPKETLPETDIASFQGTVWKTPHDDNPPRKLYEPGDGEKVALLKNWREVFRSSHPAI GRVRMRKVGSDMAPPRVPVVTKRADTGSDDTSTASSLDSLRETDAGSDGFSKTTTPAE SSLSPPLTVHSHRVIKSASDLPVNSKMLEHEFPIEAPETYDDPMGMEPESSDLQTAPM QEHESSPKKPNSVTAPSTRTRKRKASDALDQPDADKNHTTTRSKRIASKKVGGTADAP AASGPVRRSTRNKAKN AFLA_099270 MAAASRLPFLFRSTSRSLGSIRKPLIQIPASRTFASKHPKGFVP PTEDELLELRERVQEFTRREITEEVAAKTDAQNEFPAEMWKKLGDAGFLGITANEDYG GLGMGYQAHCIVMEELSRASGSIALSYAAHSQLCVNQLSLNGSPEQKERFLPGLLSGD KIGALAMSEHSAGSDVVSMKTTAKEVDGGYVLNGTKMWITNGPDADFIVVYAKTEPQK GSKGITAFVVEKTFAGFSCARKLDKLGMRGSNTGELIFEDVFVPKENVLGEVNRGVKV LMEGLDLERLVLSAGPLGIMQAALDLVLPYTHVRKQFGTPIAHNQLIQGKLADMYTKL QASRAYTYSTARHIDNSASLSEVSIRTQDCAGAILYAAERATECALDAIQLMGGNGYI NELPAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYA AFLA_099280 MRAPSPLLRSLSSKTSLSLPYSRRFLTPRFPITVTSKTSLRTVA SYTHPHHASAISVLPTAVDTSSPDFKENAEQMKELVDRMSNLHSTISQGGPQKAKEKH IARGKMLPRDRVTALIDPGTSFLELSPLAGHEVYPGEDVPAGGIITGIGTVEGVTCMI VANDSTVKGGTYYPITVKKHLRAQAIAQENKLPCLYLVDSGGANLPHQADVFPDKEHF GRIFFNQARMSSMGIPQISVVMGPCTAGGAYVPAMSDETIIVEKQGTIFLAGPPLVKA ATGEEVSAEDLGGGQLHSTISGRNIPLIFLQNISGFMVGADAEKGGIAKNGAKLVTAV ACADVPKFTVVFGSSAGAGNYGMCGRAYSPRLMFMWPNAKIGVMGSEQLSSVMEAVGR TADPALKARIDHESEAIFSSARLWDDGVIPPAQTRRVLGLSLAASLGGRVDKDVQTRF GVFRM AFLA_099290 MRPQREYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIEDSYR KQIEVDGRQCILEILDTAGTEQFSKELYMKQGQGFLLVFSITSMSSLNELSELREQII RIKDDEKVPIVIVGNKSDLEEDRAVPRARAFALSQSWGNAPYYETSARRRANVNEVFI DLCRQIIRKDLQGNSKGRDEPPKRENSNRPDRKRERRQKSKRKGPCVIL AFLA_099300 MARVPVIGRLFWFEYLALFASLILVLLEWVIHIITFCLPETVIK FFYDRSKTIFNLFITPEDEGKRSKEERIATAVAQASDFVDICALFGYQTEEHIVQTGD GYLLGLHRLAYRRGEEKMRVNQGKGGVRKKVVFLHHGLMMCSEVWVCLSEEQRCLPFQ LVERGYDVWFGNNRGNKYSKKSVRFSPGSNEFWDFSIDQFAFHDIPDSINYVLEVTGQ PSLSYVGFSQGTAQAFATLSIHPLLNQKVDVFVALAPAMAPTGLPNHFVDSLMKASPN FLFLLFGRRSILSSTTMWQTVLYPPIFVQIIDKCLDGLFNWKCRNISRWQKLAGYLHL FSFTSTKSVVHWFQIIRHKNFQFYDDEVHAPFSIVASERFYKPVKYPTRNIKTPIVLL YGGNDSLVDINVMLKELPRGITAKIIPKYEHLDFLWATDVEQQVFSHVFEALEQYSGI TQLEGAVTGLINGDAGHAIAV AFLA_099310 MPNSCKDIRDALAQCLQESDCIMVQRHTPRECLSEPLVDTLPMR CQQLRKGYGECKRGLIDMRKRFRGNQPLAGASEMEGGKRNKPEQLYAGKPAFESVKEI SGDEVQMDPEKTRGL AFLA_099320 MKPSSHSSIPSDGSHHTQPKKPAARPAVPVNLDVRAYFAEGAPD NSQPWLLNPEIPSPDEIMGTGSETEFVDLLPNRIVGPWSSKNEYLKAHYELLREDAVA PLRDAVAYFREDPDIQDMNIVSIYEKVHIVGITFAQQGVATRIQFSTIRSGKNIVWEY SKRLRTGTIVALSPSDDSFRNKCVVAVVAARPLNGVKQHPPEIDIYFARSIDADFDPH QEWVMVEARDGYFESMRHTMTALQKMSRERFPLAEQICLLHPNTDTPEYVKAGPVMDI QSAICPSGEEGKINILESWPRYPTGDLDATQWRALEQMLTKSLAVIQGPPGTGKTFVS VIALRILLSNMNPGDPPIIVASQTNHALDQLLRHISRFERDYIRLGGRSSDPEIRKRT LFEVRQNEPSAAIQGSVLGQAQRRYNKYHHTIADLLQNFSAENDDVLLPPELFAKYGL LTATQCDLLAKGAKGWIRPNDEEDDNPLLAWLGEHVVPFEVHYSTETFGFEEDEIDLE YEQLKELEAEQGNEEEDRELLKGPFICLRERFCGQSTSAAEEASRKYLKEPDLWKVPV KARGGVYNTLRKLLKDKIRSKFQSLVTLNTNNCKDLRIGKWERDNHLLQDAKLIGMTT TGLSKYRALVSSLKPKVVLIEEAAEAIEAPIAAACFDSLQHMILVGDHQQLRGSCSVQ DLQGEPFFLDISMFERLVNNGIQYETLRRQRRMAPEIRRLLEPLYGELHDHPSVLERP RVPGMGDFRSYFFSHNWPESNDSLSSKYNEKEAEMIVGFFMHLVLNGVAVKDITVLTF YNGQRKKLLKLFKGNSYLQGQYVNVVTVDSFQGEENEIVILSLVRSGRPTIGFLSIEN RVCVALSRARTGFYMFGDSTALADADSLWWQVVTLMGGKNPKRRLGFYLPLTCTKHEN VIYKKDPSEWDTAYGGCDLPCNERLGCGHRCSLPCHSFSHDQVKCTEVCDRQMRCGHK CDKPCYEIHTCFCGCPLNFAVECKSEAMGALRSKLDGCILEEDSHRLAAIRSYQAFAN GGSKEQDQRLLRMAELGK AFLA_099330 MASLGEDDDDRDLAGSQDGSSDNEMEDTLRDADDGGNDNDNDND NDNDNEADADADADADQDADSQSNASHVSDSAGVATQPNADVEMTTTGPVNIVHDSVS VYHPPVRSECLTASTYDIVPTTAAPHSTSINAVTATADMRWVFSGGSDGYVRKFNWVE SINSKLMLTVAQRHPFVDSVIKAGVVMTYWENMDGNTLSPVYSLASHSEGLWLLSGLE SGAIRLQSVRHDEGKEIALLRQHTSAVSVLNLTSDEKSLLSGSWDKRVFDWDLNTGQT RRSFGSSARQISAIDIRPESSLSVPKDTETPLPNGTYSSNYQASGGNSFDFMDTSNDQ GGVGDSSNPQGGSPADSLFGGADSLFGDADGTGGEGAGASGNAFGIDEDDEFGKALAN GTLPDADAPGEPEPSDQQNGAPAAPAPVDTSAQIKEEDAAPRQPETREPEAATASSQP VVNGLPHAEELEPAPESQDLSQQTQSDKNVSDNTFLAASIDGTIRVWDRRQPDPIARI SPRNSPPWCMNACWSPDGNYIYAGRRNGTVEEFSLHKGLRDPERTFKFPQGSGPVTAL RAMPNGRHIVCASHDILRLYDLKHEQVARHSTVPFLIIPGHRTGTVSQLYIDQACRFM VSTSGNRGWEGSTTEVLLGYEIGVPR AFLA_099340 MVLLTSSTVSVILSSGVICIFTFVLFLSGYVLQQQSVRSIQHAI KPPQPPDPIPGLTHHGGNTKRTTLLDNLNVNDNNNHHPKGNYAYLQLLSTPDPSNICS AILFFKTLSTNNTAIQDRLFMYPQEWDQTPPHKQTTQISTALSLLRTASLKYNIWLLP IDMTAATSAGYTPTDTKLLRLGQIQFMQYDSVLYVQTPGLLLDTAKLDSMLLSRPLPG RHDKNRPESYNNEAWIPMPLRPDRDVTLPPVYLVTVNNVGAGQVEARGHVPNVALPGF GSLVTGPWGVDRSAGEEQPGYVFFEHDEDGHVSWSGNLLFGPWRAGQYDVCEGIDFDD VHDDYGL AFLA_099350 MASHRADASSLLDNRGYTGPLVRGVNPATLFEKAVRDRITDSYY WKEQCFGLNAATLCDRAVELTSIGGTYGVSEKPTPFLCLAFKMLQLNPDRDIVLEYLN FTDPVNDEEGEQTAAEQAENGVVKQRGDFKYLRALAAFYVRLTFDAVDVYKTLEPLLL DYRKLKRRVRDSVVLTYVDQFVDDLLTKDRVCGTSLWKLPSRQQLEDLDLLEERVSPL AAELEEMDNEDKESEEGQASDARSADDASDD AFLA_099360 MDVLDQLDNLWLVCFTVFGLTGLCFALSGLKNKPVCLRSKLHSP RSGTASQSISPEKKPAQYADTLPPQGRQALAALPEFATGIHEVDEEKVLKHILPMTVD YTTCGEDRYTPMGFSMAEVKALGDFPDYAKLSGVPLPREYPEFKIEKALPRPYRPFRW GYHQTMSLTKLETDWWIELENTYIQRIAQRKDLYAKHGDAVLGWLPGSELACKELMEM VLQFICARYPHYFSLVDRRVLQNRILGVEQDIRSKHPLEILLDNVPEDFGVMLRDETT GNYFLRAGVICSALGWNVGTKIGLQLHQIHGPVPDYREKMKFSMDRFFTKMPADKPIQ RGSWGLEVGQPLYMPKGDPHEKLRLSQDPNLQLEDCYLRVDWQTLRRLPLSGAIVFNF KALFTPVTELRDEPGVPALVTKVLKEGNKNILEYKSTWHVEHVVLPKLEEWAKEQEEN GLVPSDWEVATLDDSPWYQNWQEKWHRQQGF AFLA_099370 MTPETETRQSRGLDGLPAELIDMIVACIDPPSLAQLASTCQRLS EMLRSLLPKTAKGYALASEEYYSRYLTRNLGAHILDCPFVYRFQRFADTIIIPLQPLA DAIEKGEINAVRGFLDAGMLGLAIGFRHTRIVELLLERGASLELYQLQPQPKMICMIP YCNLESLKLILDKVDLSYIYGYGRSVLHRAVRNDDPDVLELLVGKYAHLPSLQDSRGE TALFQAVQLPDGGDRQNTMIRILVDAGIDINTRNSQNETALHKVSSRGSPEVVQFLLE RGIETNIPGKNGMTELHYAARDNSTEVIKLLLSHGTFNIHASTNNGETPLHMSARQRT SDNFAMLLANGAIPPLRDANGLTPLHMAQNLGHWSLERYFQY AFLA_099380 MHRNMALLLLTLFSWRAFAASDITYCSTVNTGASNSANVSVYQS NGLCTDTCNSDYAFGILQGKSCWCSNIAPNRATNVDTSKCDTGCPGYPDDSCGSASKG VFAYIQMNEHSPSGTATVSSSTSTSTESSTTSTDSTSKTTDAPTTTATQSVETVAGEV KTITVPNSKPTSDPSAGMSEKDSSGSGLSGGAIAGVVVGSIGGLAAIIAIFFLIFFKK RQQRSTSPSPSVSNGLLDGRNSKGSQMSVANRAFSDNHSHTLSAGSSRLPTFTDTRLK TDTVLFAGGRRDSDVSLQDNEDYSRPVLRLTNPD AFLA_099390 MTMRNGKELLHSRVLSGFLTVLAVPGWAGPAVDDSWKGGCGFDT HDGGPFAVSGRLSRL AFLA_099400 MATIRIIMGLSLDGRDTLLIPSILIRLFVDVEHIKAAATAFPGR PASRYRQPLHSSELYDSYFHKVGPYGGDRATTDRHLSTKVRIVDDLF AFLA_099410 MSSTKLLVVLGATGNQGGSVIRSFLADPTWQIRGLTRNTSSVKA QSLREQGVEIIQADLDDIVSLESAFQGATAIFSVTDFWNAFATIAPNSEIEDQSKIRR TYEYELQQGKNVFDAAAKIDTLERLVFSSLSDASKWSKGKYTRVLHFEAKAHAVDYGR ETYPELWKKTSVVQVGWYLSNFLGPFLRPKKAESGVYQFVGGLKGEVKLPIAAAEEDT GPAVKALIACPPGKNLIAYREWMTPEEFVRTWSRVLGVPAECVTLPEGQSIEGVPDIL KKEFIDNWGYWNEFGYEGRDDPTVLHPKQLEVGFEVPTVEDWIRKQDWSDIL AFLA_099420 MSSKSFKVIIAGGSIAGLSLALMLEKNGIDFLVLEAYPSIAPQV GASIGLLPNGLRILDQLGCYESVMEMAEYPVDKVCFRDSRGKLIRSLENFNQLMTGRH GYPIVFFERRMLIQILYDKIQDKSKVLTSQRVQTVHTSQSHVTVTTKDGKSYKGDIIV GADGIHSTVRRQMWEEARKMNPSWIDPSEENALPATYACIFGISEGVRGIEKGTLSSV FNEKFSYLIPSGPGEKTYWFLVRNIGETMYGPDIPRFTKQEEETLVKEHWDDQITPTV RFSDLYKNKTSSVYTSLPEYVYKRWYFQRIMTIGDSCHKFEPLTGQGGNSAIETAAAL TNHLMAALRSNFCQSLSTVDISSVFEKVQRQREERTWSLVRAAHARQRLECLETPLLK LIARFVTPYYPLQLLKEHWIATYSAAVSLDMIAIPRRPREIPFYDELFRVPATRGITG LLLYVGYLLIAFVAFRLLFVATAANGTWALVRQAVRDRSITMGGSSQTRTSIAATILL ILDATADLHLHGGGLSPKEQMDPFVKVWIVSISSVFIETNVCSLVPAYGLFYTSFVEL DSSLHSILWQAHSSLVGYPIFHGPPGACQNRQLRSFSPRWRLDISFQQCFCFSQLIMH RRVSQ AFLA_099430 MSTADGESLRNRGRPRKVPTAAPDTVRRTQLREAQRAYRSRQQS LLASLKSRVARLEDAFGQLNQIMDSFDAQVIKPGAQMSHAQLFQAVKLFQNEICFQLE RVDSHASPKEAKERSSTTTQSQKPQQRSSNRQSSSGPCQVNTETTSVPTWTKEKAFSS DFWRRFLGSSNGFLPPVASTAHEPDACISVASSIGHEDHAIDYTTSAFTERLYRTCAE NGLRLVCNDTVTDEDIQPQFGLVLQTVPREQIRLYFERVLRMKPCNPVHDRRFPFISF GGAGTHFPSAGQDSRSQNLLRFRETNGVSAIPSDEEWFDIKDIEGFLIEQGIKTEERY EASVTSSSITNSNPSARPGLLLPSGNIVGSADLTSHSPTTSSNVVMVLDEATLIDSML TSQYAQTEFTNFPLELSRLCICLGCVAGFRRSDVETLVWQHVSWKPI AFLA_099440 MPRRYRAREYDEDMYEVERDHYHRKHQHRPRGGRHYKEEVICEQ PRAPSPPPVEEFDRLRIRDDAGPELIREPPRETSKELRKVRDDTFRRRRPHRREVVEE EEHVSQDEELTRRITGSESEDEVPIIPKRRSAPRRGDVRDELLKPRSRRSEYELELPP SVDEMKHEYRKTRSGPQYHAPPRLRPQSGPNTDVEDGYEEEEDDVLVRRSRRRRPPRK ADLHEDDDSTSSPDSEDSADVSLARAPIHRSPPKRHVQDPEDGSMMIDPPLDFDFSRP PRPPRAPSPEVSFEKPKREKLRPASHEEILVEERGGPELPNIVRGPPPEPFLRQRDEA YPTPQSRPRSIEREKEISIHEVMPGGFDEHEVLEEVYGRPRELERSIRESTPKMTGDD WAIISAAPKTEREALLDEISHGPREPALKDKKPKFTVSEERHSESDPDFARGKVGRRY IGMKDQRNGLWTEITKDLVVREAIERSGYEYEETVSSYYVFSYLQFDDVSALVDLSED IRRARRRRIQEIHRERSSMPPPAPPAPPAAMPGEPPLMLDRPMSPPFRHREERRMKQR ELVEDRRGRPRSGRW AFLA_099450 MSRSSPDPFVASPSSPSHPVKNHSRYTYRQLQLLRQSSTASPLR VIAHVDLDAFYAQCEMVRLQTPREIPLAVRQWDSLIAINYPARAFGITRMISAKEARK LCPEIVLQHVATFREGEGGKWAYREDSYRRMNTDKVCLDPYRAESRKILKTMKEELSR WYTDLVDEERGLGPHAQIQQASVEKASVDEVFIDLSPLVYGVLLRRYPELRNGPDGDD RVASLPRPPTTALEWNQGDCLVDLDENETEVDDPDWDDVAMLIGSDIVRAVRTVVWDN LSYTCSAGIAKNKMMAKLGSSSNKPNKQTIVRNRAIQNFLSGFKFTKIRMLGGKLGDQ VTALFGTEQVSDLLQVTLEQFRAKLDDDTANWLYGIIRGEDKSEVNPRTQIKSMLSAK SFRPSINSVEQAERWLRIFAADIYGRLVEDGVLEHRRRPKTLALHHRQGAQVRSRQNP IPGSTPIDETLLFDIGRTLLRQVIGDGCAWPCANLSMSVGGFEDGVGNNKAIDSFLLR GDQAKNIGHPAKDRLTDDLPEMLQLSEKRRKVEDDGIKRFFNQSLGVNTKPQPSEAIL ETPATSLERNSENILLSDASCNPQITEVALGFYTCSRCEKTLPEAERDEHDDWHFAKD LERQERQEAMRSQQTARPSNNTGSSSARGRPGRNSRGKPEKGQTRLAFG AFLA_099460 MIADLKADSARWEADVLRRADQGYPRGSYIQDYSVSQPPPNMVP ATYASSSLHEGRQQPGPSPPPAYTAPPPQQYVDPYTQPPYAQTQSPPYPTSSSYPANH SPFGSGQTYPPPQVPYSAPSQPPVSADMHQTYTYTSAAGYGYENGRNNPRYPGPGYET ESDYSPVTSGIAYPATTAPDPRIGGMDPRYTPESAYSDRSRPQPTRERDPARRR AFLA_099470 MYMQLQKPIIIWPPDIRLLWTNMFKILYGLCPLLDQGGLQNVLS RCWELVNLADCLRATRTVFPAIVDALQGLGPHFYALIAEDPINWIKLGTYMRSALIFK EAAIHIIGNWNALEDDLDYLPSVVHELCESKQAELVEFKQLLEARMLTYCPYTTLRGA SCTEDINTPCAKDFCLWTAMTYYSQWFCMAVAEDRTYYARDGGAAFYRAIYTGGDAYL DTEEQANTNAFHMSVYRAKMLDKALNILKSGMSKFVSVLLVNESHYDPDLMGELPYLT CCKVDDEELPWVTWPNGDWHVDSDEEHFLSDYEVVTDSDQDEDAEIDITEPESTTGEV TSADTSATFATDTLPVDTDITDVESIETEDENKNASVVDEAEERTTAE AFLA_099480 MTTPQFWSTPLRYLRWASHEKPAIFYSLVVGAMGPVMLVTLPPI RRAFGDVDPEPIPLTYPIPQGPRVIPQGYDDE AFLA_099490 MRWCLSLLLFGFLAVVHALSSSGNRLLVVLEDEAEKDAYSTFWG DLEARGYNLAFESPKSDKLSLFELGERAYDHVLLLPPRSKGFGPSLNPKNIIEFMNKD GNVLLALSGKSTTPSAISSLLLELDLHLSTDRSSVVVDHFDYDTLSADEKHDVLVLQR PGQLRRDTKAFFGGEGVLAFPRTAPHTLGDSNPLLAPILRAPATAYSYNPKEDVGSVE DVVASGSQLALVSAMQARNSARFTLLGSVESLQDKWFSATVKAPGDGKESETANREFA KQLTAWTFKETGVLKVGKIEHHLAEGGELNPKIYRIKNETVFSIEVSEYSYDKYLPFE VPENDALQLEFTMLSPFHRLDLQPSAKTENSTIYSTKFTVPDQHGIFSFRVNYKRPFL TNIEEKHEVTVRHYAHNEYPRSWKISGGWVWIAGLWSVIGGFLAFVIVWLYSAPTSTA STTKKTQ AFLA_099500 MMNPFANASIAKRTYREVKLLKQLRHENLIGLCDIFISPLEDIY LVTELLGTDLGRLLRARPLDNKFAQYFMYQILVTSRKSISNLLQRGLKYIHSAGVIHR DLKPSNLLVNENCDLKICDFGLARVQEPQMTGYVSTRYYRAPEIMLTWQRYGKMVDIW SAGCILAEMLRGKPLFPGKDHIHQFFLITEVLGNPPPEVVQKITSGNTQRVVNSLPNQ EPRPLRAVFHEFDNDVISLLEQLLLFDPDKRLTAETALQHPYLAPYHDPDDEPAALEK FDWSFNDADLPIDTWKLMIYSEVLDYHSLGSAGALDLGPGIEEPTLDPTLDPTFDFLQ ADI AFLA_099510 MTVSQPGHRCGEQGFPSAQPYLSHTPGVQDRLGHLGYITAQLPE SSITTPAESSMQCEKLLHERRLNQKSTRRLLHSSVQF AFLA_099520 MSSSSSPLIVNRIIIHVSTTQTSNLHKQLLLEMDGNSVKIKSNM ESSVSGLYVIGDANNDGSTNAYHALFSSAMVAAAGGDEDLYSQIEDLKFQNGDDIEQM YKVLGG AFLA_099530 MLNHSIAFTLLSRENSLAKTGPTPTQTPNQATTDQQEDLPASAS FSSISNGAVVKTFTYPSDI AFLA_099540 MHLTAALTLFLAAAQASPPIQQVIGTSGRSLHRWSLDDIVTSYF GDASKCCPKGTQFDGERCVLDGPKCDNGKLVDGKCVTDPICPVGQWNGQFCVSAEGRV CPDGLKPSGNVCIYESGPTCRDGSKPDGDVCVSKGAPKCDNPGDEFKGGVCVSTKKPV CKDGSQPSGGMCVSEKPPMCGGDAEWAPELKKCVSGTPPICKSGKFDNGFCVSDSDPE CIDDENKYNPKTGFCHSAITPGCGEDSELQDGKCVGEVKPDCTDRYTYSYDLASQTGQ CCSSDMTWDGEACYSIPGPDGCPSDTTQGKDRCTKPSGGLAHCPPEFRESNGQCIGRG PFCPPMLNYEPSLNACVEDENPECLEPGSKLENGRCILELTPECPKGSRQEGNYCVTI TKPYCEGEDEGKAHFDGTQCVSNETPECEDPSAQYDGEECLTGRKPVCDEANGFFLQG GRCVSTKTPECPDGGKLNTQGECVSKRKPKCKAGDLIGKDCVVGSVKCPKGTWDGKNC VVNEDPRCPPNHKWIPSKSKCVSFEKTQCGKGYYPKNGECVSKHDKVRCDDGAEWDPK TQTCLGTKPECEDGSVPEGGECVSQEIPRCPDPERFEFNGKKCVLKKGPDCALGFRLL KGECVSEIGPVCGYGQVAKDGRCVLASGDCMEFEFCPTYKPLL AFLA_099550 MPSNPNLIFLTLLASSNVLANKCFSANSDAPKENFLKCCSVTSG GKGVVAGYDFDYMCNKYPSAYEPGQRGFKTAQACAEYCATDPQCKASVWRASSKLCAK VTATSYEAVSGDGWLLVEKSEKSDKLSDDCQGKIDSAIKGYKEKEAKCQKENGACGEK IARYETEKLRSEKNLDECQSSKDALLQENRNSLEKTIQCESKKTVLESQLDQCQKDQA AETTKCKEKFQQCEAEKAFAAHQCEKDRREAEDKCTEEKGALEERIKTQKCTGKCQVG HTTASTVDRILSSPKREAFISDLKALTVFVLDDYELEKSKEEVRKFTSRYELDFKLWG HDSRYITGLSQAEGRAAWEDKSAPLLDRARGWESELANHLNGKWWMTWYDVARFLGDL LEYVE AFLA_099560 MARTYTFPGVAVITGAGGTGAIRYHEAPMVCIGAAIARGFASAG CDRIAITDLNPTSLDETKQAILSSHPQAHLVVRAGNIADEQFVDSFIAEVAHTFGRLD YAVNCAGIFGDALRSTEMSTETFDQINNVNYRGCWLSSRAELRQMIKQEPLPSHDPSR SPQRGAVVNIASQLGMVGRPKAPAYCSSKAAIIAMTRSDAIDYSKDDIRVNCVCPGII ETPMTTHSEEILGRLRPAVDIAPMRRMGQPDEVADTVLFLCSSFASFVQGHALVVDGG YVLN AFLA_099570 MKVIPPKVSEEQRLRLVASLEEHRHLIPDFVLPSRHTLTRYLTS FFDGFHPHLPYLHLPTLRINERAPELVLALMTIGAQYRFEHRNAERLFYASKAIVLHK LSKETHTPLAAYNGIIQIPLDSIRELSQRTGQATALSPSENDLGMSAWRQIETIRTLL TLMGYATWEKSELVQEAFSLQGLLVRCLREFGLTENITVVPRHSPMQWHEWAEEESIR RTRFISFCFVHVHSIAYNIYPVLRSSEVHLRLPCSTKEWKATTAQEWELAQKEVGAQQ LFFQDALALLLQPSRTTVLLDPIPAPLGNYILLHGLLQRIHLVSELSLPTGDQALALP TEELNKLE AFLA_099580 MESLPKGLVSTTRKVPAELDDRNIVDISDVRRLWKVYNTRPSVH EGDIGYRLENFFWRIWSSDRLRGSLDGSTLAKLFQQISESNPMSILALQQLKKERSSC GEKGSDDKPQETPGNRARRAPLQPILKKSSAPSGETHKTTRLLLTGLEGQSITRKPSN PPTPVPQSQPMMEDINQSIPKPKTVFVKGKNTKRRPVIMRRKSSQTSSSTSTRTQSPQ RTSKLLTLGARTKPSKQEDSSDSEAEAVHIEHVVPREKSSDTTDKPPELPPEFLRSLK EILTNEEPVPQNSKSTPPKWGFVTTDDWTHYDVRYLSAENYEQPSSESLVDKGFRSRF TEQVGLEKEYLAKSVGASSRDGLLQFLQSRSFEIKASDASHAPPDSTVDTSATSTGIP IPTPNTDSSTTLSTNSPSRATFGGFPTLLTPFSLTRGRSQLSLLIEEHRKSQPAESNP VGSDEQE AFLA_099590 MWWMLLFKAFKIGNKAYKTHKNKNGNDDTLNPNSINLSSTITTP PPPPPPLKTRLKAHIQLLLHFLQLVFGLTVVGLYGRDVRAAHKNGDAQNAKWVYALVT GVLGAGTGLVYLGYGVVMMKMGKLGRERMVVGSLGRLGWGFVLVVLWLVVFGVFGGLY IGVYGEGSEEEVEKTKRMRHAVWVDLVNLVFWVAGMVIEGLRWWKLRGGPGAGGDLEK GEKEVAPA AFLA_099600 MTATLNGMYNTAPDTPSPVYPDRLIRPLPKRTLRSRLSSEAAES IFYPPAPPATHLFYGTCTDNGDAVNESKVYVQQCDGPEQTPERDHHHPYENGFDAESG DEDGPVVVRRSAGFRESSSSPTMPPGHPGNGPRTQIKSSSAGPDGYDAFENTNNKKKR KIPTPGTLAGHHSTLSPEFSSMGLASSAPTSGEGSHVSTFYGTGSPASPVSNGLSGAG RGRLGRHGARSSTGRASLSLHTQVSWPQARTSGRRDGVLSSPVEINAKSDQGIISAAI ANAAASAFSSPPRGSGNVSMLEQQTTTPTKTQFTFTCESDSSKGMALQSQSPYPAYRS PNSLPPAAQNQRGFSTQGTQTSPNATSQMDQQGQSSHRAPGAEPTTVGKKKKRSPGSI YALAARQRKIQQQYANLHHPPSMEDIWICEFCEYESIFGRPPEALIRQYEIKDRKERK RLAEKKRLLEKAKMKGRKNKKATKNVTKNAAAQPAAYDQGYDRASVDHSSMGGTGLHD DDYIANEDDEESVSAPPAPPATPTCVKTPLPPGNQATATAGAKTATDGGTTRPP AFLA_099620 MATGGYCVPLVHQVPSGSAHSLSFFEGTGDFRGDDDVISAGFWN WTEIIPSSARERIHHSYHPLQ AFLA_099630 MGSWVSRRKFASRGRAKAGKKADRPAANEDSGMASNPSPVTVPV THSVLLAFRFSLSSLDFSLARSLFSQKASPHPSDYVVLGFGASSLSLLLDLLILLSQL PAPLPFSNALLLFLCIIFSFLYESLSTPSYLLACDSLPTGSVCSTSPFADSL AFLA_099640 MTPRRSSRARTSQPSPAILQHTNSSSSSASLTRERSTRSNAKNP SPQGSSGHRSQSIDDADAAKLDFPQTRQRQRARGDEEIDKIAEVEEEEGEEDEEEEIT RCLCGQQEYPGLPPSRRDLLGRNGMHGRVKDDPILNFSADSSDLMSDEIGSMFIQCDQ CKVWQHGGCVGIMDEAMSPDEYFCEECRKDLHRIKNESNGQYSSQYLPVAPPSPASSS RDSSRDNSKRTKDSKSRQNDPSNPKRRSTMNSRDAAYDEEEQIRRAIEESKEETKPAS EEIAARRGKRSRSDSEAHKQAAKRQRTSSPSPGATSNKQSNPVSQPASEDEKAKAATN GTRRQRAASRSQHNKETKDVEEPEAEQPDATNRRKGRSDRRKGDGTDSDHEAVSPTKT VATNLEPPQPSPDTTAPAPEPAPSRPSTRKSGRPPARRGGRVGRNQYTRDRDVNGNGD SSFMMNSPRRGQSHDTNGDSPRVGGANGTYLNGSESGKPSRPRYVNQRTTMNEMKRRV AAILEFISRMQVEMASAGESTTPPGVNSDRLNGILMKAVSEQLENAMASTVSEADSGT ATTDGENGAVSTEKEFKDLSSVEMMDVLTRHLLKWQQEYGKFGER AFLA_099650 MYVWDVFASQTAFEKRRQRTANLDFDARVPIPFSVFPSSYRSDA VPEPTLAPERVEEEVNLDRPSHVEREDTRPSAPLPDPRIYGKEEIDLRAQRDSRPVPP PAPQPDQYSVYTEDRYQQQDRFQQEKPYPEVHLARERYQEPTSYPRFQETPKVYDQEL SNQLDITERDYRRRVNQQTYDVYNTNASYQQSSVESYENRQPVSYDRTPAHPQLDVSY DKAYQAPTLETYPGSSRSQRQVSVEPVNPPSQVKVLSTTTVVDHPPARKMGYYDDDGN YHSFRRGVERAADRIMHPFHHHDHHGEHHHHHHDHGRQDVAVADDRGPVSRDGAHHAV RVVEPRSSGRRSADTVPIPCNFIRIGDILILQGRPCQVIRISVSPQTGQHRYLGVDLF TRQLQEESSFVSNPSPSVVVQTMIGPVYKTYRILDIRDNQIVAMTETGDVKQGIPVVP QGELFSKIKAAFEDGHGSVRALVINDGGRELVVDYKVIQSSRL AFLA_099660 MFRRRRSASHHQPLSTSNAQSAQSAASHAFLKSQPSSSSLSSAA AAAALRSLTPTPTPVENVQTKRMIQRRASVASQSSIAGSLRPSSQNTLRRANSSSSMS NRTFRDQSPRRPASSSGPVPVAPPIPSIPREYAIRTPPNRRSVSVGPSLRPTSPVKRQ PSGRGVSVDPAARGSASRSSAHGHELDQIPELQRAGSRNSINFSYPMNSRPNSPTLTS EPLDRRDTSVCASLASQLSSPDSPKIQKSALQTANTSARRKTRGGLSGSPGRGVQPAD TAILAAQAAIVPRSEEAAYQPSPPRLAAPREQNTRDLAYKAPKARETAGRSVHDQQDQ LQPRDPEFSQQLPETDHKQPPRPVLTKRPSTVPEDFPGEERAEADTPAEGVEHAAVER SLPETRSTVRTPTPEKFKDPLPSSIVSSPESTSSIGPERESQLLHARPPSSSPGRSTR FSNQLSVMGLVGEHLHQPPPRSVSPAKSAMKNPRKCSVSPDGRTGVVLRPGPALSELS DGTSVGSDEGSRLGFRRKPVKVSFDDEAEIVGVAASPPTSPEDIGLESPPMKPKGKTG WFGMGKRKSATVGSDEFDEVFKPRPELPSFGSIRKARDSEQQEPARQDMSDNESTASS DPTVSPISFSNDHAIGAIISNTQSQDANPHTQLDRTASPTPAAAAKSGVPLEGPGGNT FVSNQESQPAQDAQSASLNSHQDEKLETTENLAVPGIAVQPATPEGERGRSSLDWYTV PGGFPRSSLEVDTTSHDSTKRKGKKQTPGDPVVDATRSNVSDEDESGESIYSDAEEGL EGDGFGSINAVVDEPTSPQRKDAVTTDNNIHSIDQVTGIPQTCQIARVANPVHTISLS PVPESPSSSHERLPYSSPYPPFPARSNSRRNMREIPRSSSSTGTARRSMSVNAPDGHP VCEATDSVVHGPLQPQSGNVFNQPRHKPGDEQIKKRPASWAPNLLKGGLNDDLYVNGN VPEPQRPLSNGSDSSSSFKRSNRPRTDSPHTIRRSLRGPSSSPFRTISPQSTKLPPTN SRPLSSGSSTGALRVTLRSNDARREKPAFFSTGKVQKARTTKASGALFTSRFAESDDE QGTSQQKWQSRFEDSSDDEERVVNNLPPVRGIPRRKGAHDGDSTELEDSSDNERPGLD SRATKAKESDARPARNPALAAVAKSRGMSEEEMEEFLRQPGGRRGSLFHRLSIRKPKA PSERRTSRSRSEARNGSLDPEQVRENPLLDGARGNTVTTITTNNTRPSSSPRRLRRAI SRSSNGDSWPLRSDRRETNTDAPLSALRSSERPKTADGAFRNGSTASNAVDASAKKAD LSSTNATDAMDVEFASGRKKRFPRLRKAFGLRS AFLA_099670 MSIAQAFKSMKVFCGLILYQRDETINEPTITIQVINFNLCAILA FNFNMNPPGVEKAISLAAGTLMKDSGLEGTPMLYHQTDTLLEYHPRDLPFCITHHAPF HRHFAGVFSEALAAHAYGDADKAEHLARKQEVGIKLLKHRVNGHVLQISRLQGKLLLS YGVNAAKIREICPSIQLSSSSYHVESEIAEVFNTGGVTLFTAVARVDYFKNVDLLVDV AVALWSQNIAVRLFIAGGASMDSTELHELSKKVPRQFTRYATFRSKLSRTSLHALFRL AKDSGVFICPSRYETLGVTPLEAALSGVTSLIANSSHVEASRYFPERFRFQPVKEELC QTILNLQGRNLASLGEILRLHVEAQVSDQRFRMDLYDAWAEFSMQSKPMDFHHFPCQG NELA AFLA_099680 MANLQSVREPRNREMKILILGLARTGTSSLTAALQQLGYSPYDW PDRCVLGHLPRWTESLQAKYLSRGRPLEADEMDQLTSNFDAIIDTPCCLLVDELIAAY PTAKIILNYRDPDRWLESMQDTVFAVARWPFWRILAYTDPRYAGAIVQHHITLWDEIW GGDEGERCREKFVEHYNYVRKVVPPRRLLEYQVQEGWGPLCRFLEVEEPKEPFPVVHT GSQFMRTAARGWWDCVGRSIRNVTAAAVCLWILVYGFFWGLEVLLRLFVRLD AFLA_099690 MDSQGDLNIINSDTLYPDIDQAPHSLLSALLQGDNTYQKFVASD DHAASLSGYTQHAPLDICPLNEEVVLPEMQMQMNEFLEDGSSYSFYDTHDIGTWNAAE SQKPQFVGGYDGHLQQSPSVASMQNLSFFESEMAPRDFDSSNASLPCSRSNSRSRLTG GSLSTPTSISSDCGMDPLQRWRESPPETEAASLSAIADALRTMSTHRAVRSSSGGRAE SIASLQSGTSRSSSSVASTRSSRSVSRRSPVPKFRGRVGKTRNDTAIQKSEARMFHCT FCCDTFRRKHDWTRHEKSLHLNVDQWACAPYGGSVLSSTGRNHCAYCNLLDPTDDHLE SHNHSACRSAQEPRFFRRKDHLVQHLRGFHNLKILPTIENWKVEPPLVTSRCGFCDEK LPSWQARADHLASHFRQGLTMSDWKGDHCFEPAIAAQIKNAFPPYLLASEAKSMVPFS ATDPATLDHLSQIYQRNGEIYSEHQLDAINSEGDPSFDLTPTSYLRWMTFRLGRFAQQ SIANGIFPTDKMFQDESRRLVYGDKDAWEQTVADNNEWLTAFRRQCLPDLSGVTDALQ YSST AFLA_099700 MDHSAAQSACTGASEKRRLLNEIYERRAKAGKLVAGVAAKSNSD LFKATGAGKPKAKRYDSHFSSECKSRLPCVLQQTAGYLKTPGLISLGGGLPSSEYFPF YECTLRVPKPPHFSEKDALSPDSPTAQTVSIGKYDVNNDLSEYDLSIALNYGQSTGSP QMTRFITEHTELVSNPPYADWKVCLTVGNTSALEQTVRMLCDRGRNDSILTEEYTFSS ALETFAPQGIKAFGIKMDEEGLLPKNMDAVLSSWDETVRGARKPHLLYTVPSGQNPTG ATQSLKRRREIYAVCQKHDIYVIEDEPYYFIQMPHFEGKGTPAQKSSEDIESFLAGLV PSYLSLDVDGRVLRMDSFSKVLMPGSRLGWITASAQVIERYINHAEVANHGPSGISQL MLWKLLDETWGHEGYLKWLMDLKNNYTRRRDMLLAACEQFLPKAIVSWTPPTAGMFLW LKVDHSKHPEYPRRSIEELEEEIFLQGISNGVLCTRGAWFRTEPNTPASGMFFRTTFA SASEEAMATAIQRLGQAIRQSYRIE AFLA_099710 MTPICNQETRASELVRHYAPQIAGKIILITGVSPGSLGESFVKQ VAVSQPAMFILASRSTSKMQNFIDELGTAYPSIKVKPLYLNLLSFADVRKAAETVNSW TDVPHIDVLVNNAGIMAVPYNLTEDGFESQFQTNHLSHFLFTNLLMGKILASKTPRIV VVSSGVHRVGHIRWSDPNFNGGKYYQRWLGYGQSKTANALMGVSLAEKLGYRGLLVFP ICPGVSLTNLAAHGSADLAAFSADLTDMDNIYGNKWLWGMAEMKIKDLDQGAATHVFA AFDTSIAERNGAFLSDCHVADPDKEEVYSWATSKVDAERLWRMSERLVSQEFKY AFLA_099720 MVNTGKRSGCCFACRRRRVRCDLEKPCCRRCMDSGRVCPGYPEA WDLMLRMQNNQVEKKVQARVEKVLKTRRRERFTGRTPIASIPQGVHIPVEVLSWNQFC SDYAMDSGITFFNILPRYYTDSAPTCCREAVHAVTLASLARKLRESELMARARQHYSK AIAALNIALNDPVLTAEDSVLVTLLLFSLFETIVPDYLENMLLDSDFRCHIHFRGAMM LLLWRFENGSHSVLDRRILAFFSHICFMSTFVDYELSDAKWFSFEELMAPWTSDPLLE PVLSRAIHFKRRAQIQFTTTGSRSPRLEVLLQLIRDGSTISEELEAAAISVRRSSDPN MSSHQQLTTFNGMFEVSTKTTEAIVRSLYRTVRYRVVELVRGLVARIGDGEDYSASCE FDSQVCSSEELAMILEQIHGDICAVLGLDPEHHAGDDNQGLAHRVFGMFFPITVLMFS SSAGEEKRVWFQEKLRCMGEKTGLGLATWAAQKWNSHLCIPC AFLA_099730 MAARSCGITYIVFTAKAQELKRQLEHARSRQPALARAGLRGSDS FLPFVGENTSSGYLRSLKIADLVLDG AFLA_099740 MSIGEYSVDHFQTLPSLEVARTNFVKLNGDDLVKDVFKKFFVEQ GMDRTFGLAMLHRHFDLEPGEMLVDYQGKSVPWSAGHVSGMKPPQPAIWAVSSDGAFK QTEFYFSEEKGLTIGEEELRFMKRFQELLREHKVTQSFGLCRYPGDDFNGLCEITHGR ANINLKPNDVRGIQDVP AFLA_099750 MTTPLPLSEGITTRLIPTKTLTFHILESNPDPNTPRPLLLLLHG FPELAFSWRKVIPPLAAAGYHVVAPDQRGFGRTTGWDTRPFSEVDLNTFTLTSFVRDM VTLVHALGYRSVQCVVGHDCGAVTAAMCALVRPDFFRSVVLLSHPFNGSPVLPFGTSS SSSSGEEGEEKGGGGGTESAAGDHVHDELAARGRKHYKWYYSTSPANEEMVEPVTGLG EFLRGYFYLKSGSWGGNQPFPLEGWTAEDLVKLPYYYVMPLEANMREAVQRHMESESE GTVAASKEWLSDEELAVYVGEYARTGFQGGLNWYRVRTAAGGRYTWDFDVYAGRKIEV PAAFVSGKLDWGIYQEPGALEKMVDGRVCSDFRVLRLVDGVGHWAPQECPGVVVEVIL ELLRGL AFLA_099760 MRTFQSSVSQWMAFLLMCLGLANAHTVIVYPGYRGNNLFTNGTV AEANGLGVAMSPNATDSNSFIYPYGQQWIYPCGGMPTSTNRTKWPVSGGAVSFQPGWF QGHATAFIYINLGLGTVPDNMSHPMISPFQINGPSNEPYPGTVCLPQVPLPANISVSP GDHATIQLVETAKHGAALYNCVDIEFAEPEDVAEVTRDNCFNSSHITFSQIFAATSLT SGAVAQGTTRGTFTSLLAAVLAAVMGAMMM AFLA_099770 MPHSNRKRNLNHHKRLEVTDSSGWTHVTAGGKSARRALRPTQQH HDNSEQGKQSQILVPAEAPAQLNLEDLQTQFWGYRQRWEGSDSWRVVERALSSAPVKV DRIVCIGLGSPSGFLKGGWVDRRSVSMYQLAGLVSVVDLMKKSIPNLEVFAQDPVFNT HDRSLLASLDITVLDHPHGFEKVSPSTLLYCPGAERTHLEQLLSHAPALVFGGPLEDI ESDAVRQFVESRLSVKISRFEDMEHAFWNMRVYSPEECEQ AFLA_099780 MSGPHRSFSFNQGDDGAGDAGDVSPIRSQEGHFMNSPPRHNDVS PVSARSQAMGSSPSSGFLSAHEHGDRGWGQNSGHTQAMRTNSTTPGMDNLGPAAVGGG ISGIALGVANSHNRQSGIDAFRDTDGRNLPAERGYNTTGSDNPYVPTPPGGGSHGSAE NLRPRDSYGSNVALGAAAAPAGQLTPGGSNPSQRSLFDSPYQGVGAMDAGPYQRQSAY SAAGDYPLVINPDEIADDGDDGFTPVPNGKSASSNARAIPAAAAGGAAGGGLFGLFKS KKADNPSYGPVPGAGLEAGEKSRDTESNGDLNKDSSEIKDLMNNPDLHKVFPGMDYTP WGVQYPLCLKYPPSQNNVTRDVAVLSQLTNTVRLYGTDCNQTEMVLHAIDRLELKDMK VWLGVWIDSNDTTNDRQIKQLYKVLDDTKDISIFKGAIVGNEALYRAGNDIASAKKKL ISYMDDVRNHFKEKNYDLPIATSDLGDNWKEDLVTATDLVMSNVHPFFAGVTAKEAAG WTWNFWNQNDVPLTKGTNKKQVISEVGWPSGGGNDCGSNNKCTDDTSGSVAGIDEMNQ FMSDWICQALENGTDYFWFEAFDEPWKVQYNTKDENWEDKWGLMDAARKLKPGLKIPD CGGKTAA AFLA_099790 MMATATQMQRPYPPIYQTPQSGSPASVTSQSHEQHSRNLYSQSP QMASQMYGYSPYSPINTVQPSPYAHSSSQHPLTSQSMILPHQTSSAPIPHTQAPAVTM ATSPSTVTAQQQPTPPQRTVLNPPLPTATSAPIPPSSVHHQNSAIGASTSAAPGPIPA TTPLVVRQDSNGVQWIAFEYSRDRVKMEYTIRCDVESVNVDSLSQEFKTENCVYPRAC CSKDQYRGNRLVYETECNAVGWALAELNPALRGKRGLIQRAVDSWRNSNQDPRLRSRR VRRMAKINRRQGLPAQPPAHMATATPVAPGVPGASLTAPGPRPSLGPLAMGPPQLHHH HAQPEGNTSNEEVSGTADYANGTNRPPAADSHPTPGHSPAEIRTAQVFHGFPAFPPPT GGARGPSIPPLIRDSGIATLGRHPAVVTSSSKIEEVEDEDEDEDDERNPSNDALFGVF PEGKRRKFILVDDNQRGCRVRVKVMLDQVDMNEIPDSYRMSNSVYPRTYFPVQMKNPP GRVIPGRRYIKDENEEDDEETPTVGRILVPAPSVDGDSEIAVPKLSRARRKKEVLLND LGYRMSWSQSRVFAGRMLFLQRSLDAYRNKMRSTMLAAGQEPTAIPRHFETRAGKRRF LERKRRTTAPLGRASGANTYSASRRSAEEVEA AFLA_099800 MTGMVQTDLAHPSTSKYKDEPADEHSNSSLKPNVDAFANHKPQH EPFPVSPSYEEPGYMTLLYLTLVIEIPHRSAFPRPFFDTLGIKTHHIFNFNIQGQRVL SNSKSTPTLLFNPAQVYLLDRTPPTPWGTEFCTIRIRTDHPHKSQRTTHPTLHIQQQV HQHSIPLVVS AFLA_099810 MARPDRRVSLAVARIIPLVLLCTVIYASYAITKPLCIDYLITPL PKYNRSSRVGAGIAIIVVYYVLLTPMVITYLRLLYNVICNPGFIPRGSSYLPDQQDAE APNAHRRNRKRRRKSHRKPGTAEKSDTSDEVDLERGVDHHAGGKAFPLNAEGLENFYT KDVFICQPDGRPIYCSTCCQYKTDRAHHCREVDRCVRKMDHFCPWVGGVVSETSFKFF IQFVFYTFVFCTFTLIVYGRGQSSLGCWYRIFWVTLYLTGCFPSSKAHVVIMSPIVHS GYREHDPSRRSRYPPTGGLRKGEDIDDYDAYSYTNPREQFEKDSAARLRHDRGSYWRE RPLSLTGIDDPQLVSRSGPRSPKPPPSTRGFDRLEWDPRVRRPMQGSADSDVDVARAH RRAGRRNPVHLHQEADEGYSSYRSDYEDAHRRRHRHRRHDNNRSGRHPYDDGASRGSI TNEPASQGTTTGLGTAVLGGVHNDYESQRAERHRSHEHDTRERHSRLQRSSRRQVDSD SDAYTSDEDLRKHRREASARPKASRSDDSASGSERPRRRRSHSRPRPQDSSTTKEMIQ IDRQEDKRTESTVSKDSETPPKGILKTPTDKFPEEPNPVREGVAPLKDAHKKGIPPGA RWTKIDRRLVNPAALEAGRERFEERSDYVIVLRVLSKEEIQAYAVRTQEIRDARYREY VQERRRRREEDKRRGRAVDDFSSDDEEDDDDSPGGVEDKPAEQHKMAEPVKSAG AFLA_099820 MPCYHEMSPFSQVPGRKERRDSVSSLASVSSTMEDDSLVHRPSM SYVNVPDSSLHGLSGRVRTHGYLYICCNCHDGPKLYNNQPRCVMCQHVVCSDCQHVK AFLA_099830 MHSVTGRKGGGIIWEGKVKKETQQVACMICRYSASLTGGPRLEA RNINLPESASSLPMVSAAKINTGSLFENAVRMTGGKCLNLFIDPYKMCNQRLLVDYWP GLNVLMFVVDGFG AFLA_099840 MTSSASKEDLEREEGELTDREEEVSQHKIEPSPPSGRRGLQQKT NLQMVNGKGGRANKKHCNGHVPKDSRCVSSEVEEGEASSTSSRSFSRDSGSPYNPPMS VNVEPVVPAGRIANEATVKPIGQDLSARSVFPPPTADPQLIINGGKSPAQLRVQAQGA LLSLAPHNIRYSELVGEGINPVILKQLYEEVGIKVPTPQPDAVSTQASSLVSTPRGHS FTDHTASVETAGPGEKPPAEPVRADNVTQSTGLAENASTSTPSSQPCAAKPMERKEVI ARMLAAKAAKSTGVPTSPPRDLTKEAPTSGSSTTDIEKTSDTGVAAEAPAQEKEVRVR EKNKAQTELARQRIEQLKKQGLMRLQQKSTSSDASPSNDLPNSKTTNDITPTLNSSSI QHPLPERPPDPETGAFARIPGLFMTEVAQASHDDPSPTPTQGLVVDSTPQPRFNQRKR PRASDFDEPVPLPRKTSNNGVNNSVSGDRLIIDISDDDLYGDDEDDAMDIETSQENDV PSVPEGPAGTYLSVESLPPRPATSSSQGFSLSATPQLPRNNDPEDLRRKHLEIQAMHK RIAELEKRKQAKLAASRTQSPRAADSEISPPGAFPPTDTEACETPIQDASASAGHNSS QVNVMDALQETISSAPSDHPTSNGLSCRLGSMDAEQLKDMKSKVLRKREIESGVPALD AEIKRSETRLAELNFEQEKLLLDITRGKEGRQQLLEELSNLNTELDGVSLEEVESALD NLRTTQESTNEGMSVYAHCSFFPASLYLALFVLAYTSSNAFRIVQELQPITPDDKDIE MPSGSEVSTQLQQQKGSAQEPSINTPTATSNPPRPSDITAGQHDVSEVSRELTPTSSS DSTGSSMDESSDSDSDDSDDDSDDSASVEQEPNARPPVPDVPMSVVSDGVKEINQVAP SPLSEDHHDPLPNQPQATNVTDQSDMSSSSSDQDIEMTEDQASDNSTVSEAYEPPEPE GNASPANSVYSPPFSPASPGPVEPTVEPTVASSPVEKPQNTGEPSFGTVQELGVSQLG NNQVGLLDVRESLVSAEN AFLA_099850 MLPSQLNGSPKRANPFSRASPSPSPSPSPAPRAARPKSALITQS SKFEEARGHFRNSSSLAQSTQAPFSRITSRQRSNSLRNDVTSGTFAPEFIKSEELQRG ADQIRGLEGDNDFSGNKYVWLRDPEKAFIRGLILEERANGKLLVQSDDGEQREVDADQ IDKVNPAKFDKADDMAELTHLNEGSVVHNLHTRYQADMIYTYSGLFLVTVNPYCPLPI YSNEYVKMYKGRSREETRPHIFAMADEAFRNLVEEGENQSILVTGESGAGKTENTKKV IQYLAAVATSDTPHARSGTKQLSILSQQILRANPILEAFGNAQTVRNNNSSRFGKFIR IEFTRSGQISGAWIDWYLLEKSRVVKPNSQERNYHIFYQLLRGADPALRESLLLSGLG IGDFAYTRDGNDSIIGVSDDEEWNALLEAFHIMDFSEDEQMHILRTISAVLHLGNVAI VKESLRADQAALGPDALRSVEKACQLLGIPSKPFVKGLLHPRVKAGREWVEKVQTPEQ VRLALDALAKGIYERSFGNLVNRINQRLDRSATTSDDSYFIGVLDIAGFEIFQNNSFE QLCINYTNEKLQQFFNHHMFVLEQEEYAKEQIEWQFIDFGKDLQPTIDLIELTNPIGI FSCLDEDCVMPKATDKSFTEKLHSLWDRKSPKYRASRLSQGFILTHYASEVEYDTNGW LEKNKDPLNDNVTRLLAASTDRHVANLFSDCGDPDDEADYSKSRVKKGLFRTVAQRHK EQLSSLMSSLNSTHPHFVRCIIPNHKKRPKMFNAPLVLDQLRCNGVLEGIRIARTGFP NRLSFAEFRQRYEVLCRNVSKGYLDGQSIAQMMLDKLGLDPTWYRVGRTKVFFRAGVL AELEEKRDELIRSIMTRFQSMARGFVQRRISNKRLYRAEATRIIQQNFGVYLKLKANP WWRLFSRMKPLLGETRTANEVKKRDEKIQQLETKAKQDLADRQKLDEERRRTEIEIQK IQQTLESERALALDKEEIFKRLQMREVELSEKLAGAIADQESLEDQLDELIAAKKRCD EELHTRITQLEQAGEIIQRFEAEKHEMQAHLEEIDRRLLEAEQISAQKDGNIKELDQE LKMLQSHLTLKDRKVQELEAKLLKVDQDLDIKLANTSKELDQSKKQVKDLIDENRSIR QQISDLSVTSTGYEEMLRRKESEMAVLRNDVRRHEEDKQQLETERTSLSTRHDNMQKR LRELQAETDAMRSEKAHLERELTDVKKLLDEKISEDAEAVESRKLLEQQIHDLKEQLF QAQADLSRERQSRDDVQMLAEHNLTELRDKYTSLNDSKIIIEKEMYIQQDTLRRATEA RLAAEQSRKELQTELIKLRDRFTSVENARLNAEAEIERNIKNQANERLDSVRKDLDEK SRQVDEIEAERERLSIRVQELTNAIAESDNFRIRHDQHKERLERELVTLKGRLTASEN DNRALLTKIQQKNLDIARSNSKASENSRLRVTTLQREKAKLEEDSKKLSRQLGDLQLT ITSLEKQKEKLSLSLEDLNHEANRERKACRNAEKAASTANLQLAEANRNLETERQLRT QAQANTRKLQTSLDTANKEIEGLHRQLMLLHKVVDPDSDRSPESWEEVQPDLSQKVDL AQLLDTTRAQLQVTEEKYNRAESQLAEMRRRHGDEMRELDARYSSSKRALLEEIDQNQ VAGNRTPTHLRKDSENGIAKKYNTPTTPNRRFNFNENANDSARSDRTVDTVGYQKRMD MAAELEELQNKLQMSEMQNKHLQSQIQLANPLGDMRQDESPSVRRMQLLERENGRLHD QLDDSAKKVSALERSIHLGDLSLRDVQAKSHEELYDLINSQEQSRRSLLKVHNEAIAE FSDMKAQLEKLKRSKATLEVELRDSRSEAQELQLAKDQDAASRNQLLQEFADLQIRLD AETSKSADLAASQSLYKTRADEYFSKLEQAEVTVLKATRAEQFAKAQAQEAEDTCARI MSERKEMDSLVEDLQRQTQALEARMEDQAAELEGALQSKQRLQNELEDYRNQRAIDIE DKETSMEQTRQKYQREFSTLNNELEMEREKILKVRGENSRLREELEDLRSKWDNEVLN SSTWAKEKSRMEVMLQDVTTSRDEAVNAHNEAQSRVVTLLSQVRDLRTSVDDVTAERD MLLKEKKMLEVRLTEAGERLEELAKGESPSMRNAASMNRELLELKSKLAQQEDVSAAA VGKMRRADALVTEIQKEITAEREANAQLFKDKATLEKQLKESQLRCVDLETKSYSSGS QDVKFLHKRIKDLEAHLEEQEAKHSSEQRSLRNVDRTVKDLQSQIERREKINAQLTDD VNKARDKIDRLLRNIEELQHNDTDTQLQVRRAERELREEKEKSLRLERELQGWRALRL ERGRGHVTFSDVGSRRGSNGFSSSDIPQRMPSNTKGFL AFLA_099860 MARNSEKAQSMLFRFRAQQAADLGIIDMGRTRRPKAITSVDSIP MCEKWRGQVLKEISRKVSRIQEPSLSDYQIRDLNDEINKLMREKWIWEMQIRNLGGPN YMRGSGRVYDDEGREIPGGGKGYRYFGRARELPGVKEMFEAAARRGRKPAEEEGEESR GRGGDIATRKVDANYFGYGLDEEDGTLLAYEKQKEKEAVERLREKKEDDVEDGWEPLP GDAGDGVEWRLPTLEEVQEELVDRRRRRLLEKIT AFLA_099870 MAYEIYYAFTTTSTWFEKLAFLVWFEFDLGFTAVAIQHAHSLDQ RKRLYRNMICGVLAGVLFLRWLAKVYPDEREQITAYWTGIILQFPIGWLCLYSLWKNH DTSGHSLEMCNMSSTTALDKINVVNDPRIRRCSATINGKTYGYLLAEPEGGFTRTVFL IHGFPDLSMGWRYQIPLFLKLGFRVVAVDCIGYGRSDAPTGSLDAYSYKSHADDLAEL GKQLGCENIVLAGHDWGSVIASRFALYHPSFITHLILFVVPYLPPSPKYIDTADLAKV VPTVGYQLQFGSAEGVVESHTQDKEGIRAFLNGLYGGATPEGKFAMDSTRGFDFEVAS KLGRTRLLSEEELQYYVEEYSRNGLQGPCNYYRIRQQSWTEEQSLLAQGKEAISIKCP VLYVHALADLVVNFEMPKAMVPFVPNLTVKEVEAGHWALWQKPAEVNTFVTEWLQQQG LLDSAKL AFLA_099880 MEAFTFAVSTQVDFPIQIKIGSLEGKQKEVPFSILLKRPELRHL GSVQNPTSDLFVTVQLWSDSKPLGVPLQTSYRTFKSVRAWNEWLQMPMSLKDAPYKCQ LAITIWDLSPFGGEGAQGHYVPFGGTTISLFDEEGKLKMGRQKCKVYRHKAADGFSST TTPSIPSTKRRKANAPDPLGPSPEEIELERVEVLIKKHEMGEIPRIDWMDQMVFRQLE KLKINAEESARKRAILLKSTKQKHREKYGADGDDSDEGNLDDENFTLYVEFPRFDHPV VWSDHEYPPPPVSSYPQNAPANPSSALKPAPEVRFGPGIEGADGEGVIRIYDPEVGQT GNPCEDKHRRLIRSHRTGIMDRDLKPNPKIRDELNAILSYEPTQDLTAEEKDLVWRFR YYLTREKRALTKFVKSVNWRDAGESHQAVEILPKWTEIDVDDALELLGPTFDNPAVRS YAVERLRKADDEELLLYLLQLVQALKYEDNSNVDTEDAAHDSSLANFLITRAANNFKL GSYFHWYLMVECDDTSPGTLSAQRRLFARVEYYFMADLEQVHPEHRKTLLRQGELVAV LSKIAKDLRFSRDNRLLKIEKLKKYLKDPKNELVHIDPPLPLPIDPEISVTGCFPDNS NVFKSTLSPLLITFKTSEGQKYPILFKVGDDLRQDQLVIQIIILMDRLLQKENLDLKL TPYRILATNATAGAVQFIPSASLSAISAKHRSVLAYLQANNPDESEPLGVRKETMDTY IKSCAGYCVITYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAPMMKLCKEM VEGMGGTTSPHYLQFKQYCFTAYTTLRKSANLILNLFSLMVDANIPDIRVEPDKAVLK VKERFHLEMTEEEAIRHFEQLIGDSVNAIFGVVIDRLHEFVQGWRA AFLA_099890 MARISHSRRPSSQSSSPEPSSDKENRQVSARVEKRSQAQTMSSS ANAKRQRLSNRASNIQSGSQSQVSPSQQDRDKQFYDPDQDEKERRRVRKGLRDLTREL HDSRSEYMQPGNYGIRDTIQKANEYFQEVKQTSDATIDSRLLVSAADLSYKKTAHLVL GDASAGIDVDEFVSKCISFMRRAPEDSQAMLSSTQRRRGQASGRSQADPNDSDEDQGD AMNWDWLGRSACFRHNSRPSVSGFLLGPLSVQKRTRQITQRRARERIDPSQAVRPQEL REEDLDRQETSNLTTMCTSINKLLARTQNAGQDMVERLLSQLEEEPTDEMVQKVMAQH HVADDGGVPLFHFCINPRSFGQSVENLFYVSFLVRDGTVGIQVDSRHLPTLHAAKPYA PSEAQRKGVQKHQAIFSLDFETWRDLIEVYGIEESIIPHREEEQHENTGHGWYMKLAS GAIAVFSPVSLTPEVREAISSLGGHLKYIAALDLEHHINITSWKEAYPDAEIIAPEGL YEKRQSNPEYKDTPFQHVFRKENHGQQKISEEFDSEFETEYVYGHPSRELVFLHKRSR TLIEADLLFNLPAREQYSKTGESATSGLLTKIISPLLSTNAPATWQKRFVWYILSSGD RKAFNESVRRIDKWDFNRLIPCHGDVVESGAKGVFRTVMEWHLEGRKNL AFLA_099900 MPVVSRLVSIVLRVVEIICGAIVAGIIGYYLGSLDDLEAWPQAR WIYTEVIAGLSILLGLIWLIPFSSGFFSWPFDVIISLAWFAAFGILVDAIHKFNCGSI WAWGGIVHNDVCGRWKAAEAFSFISACVWLVSALVGIWFTFRVRGTTSDAVNRRGFFR RSAV AFLA_099910 MVCGIVALLVVPNMNLRIHHYILSLLFLPGTTIQTRPSLMYQGL LVGLFINGIARWGFDSILQTAAALLNGAELGSILPVIGAPFVPSAQNIVFKFPDVAED ADGISVLVNDVERFQAFKSDNGSVESFNWTRLKADEPEYFRFGYVKMNALGGLWLYKY P AFLA_099920 MARYSNIDDLDYADDSSDDGLHSFNDNNNSASSYRLTKYARPLI DYVRNEWQSNPKYASLPTTNSDTSDYPRWVQMLGSIVTAPRFRRYVLVYTTVLVSCWL GWKFVVSPRLEEHAAILHALDPQVKEEVGGWFGANALPRFDNIVQLRTLEPSLLPGEV TSESDEHGGRRLIFIGDVHGCKDELERLLEETSFNPDTDHLIFTGDMINKGPDSLGVV DLAREYSASCVRGDHEDRVLSLRHNMIAANTMNDEFLDDANMHRGQYTKERQLARQLS EEQADWLDTCPVVLNVGQIKDMGQVVVAHAGLVPGVDLDKQDPYSVMNMLTVDLDTHV PSSSRKGIKWTKLFNKHQSLLSDSLHETFENPESMLTTVIYGHDSKSSLSLKTYTKGI DTGCFKGGKLTALVVGDGGKQKTVQVRCNNHT AFLA_099930 MGYKTNYEPYRSQSLDMRQELSSEELLYPLGDLYPHVVALQLQS EDNPWSKNFPGYSDVSYWAEVSSSRPPSETSSKHTTCRYSSDSTTSRFVTRIRRLLNR DELRRRSRA AFLA_099940 MDVQGSGRDCWESSPCMEIHESSPLASIAYHSPSKKDPNDLRNS HDGLSLRSGSLAESIGLDSEFNGHGQAPAVCVSESPCEYDMSPNRGMLTPTEEPPVDA EFSQVDLNEWYPRYWACMQHFLNQGQHSPQVQSLAAFVNIRLPYQRSTVPVIGFPAPH NMDSGVEGTYNAPSLRPFIRRLIVTGYDTPSVLQAFFGDDWQAGVGCVCKQERINYLF TAKSGGWTSTKAAYDMLPDEQTPFLRPLRDATEEELRIAESRWSEWLAMEDWMVGSRS PW AFLA_099950 MDPPRLSSASRIVNYDPHALIPLLKAQLPYSIPLLRRLQHGLAY PSSTAKILTTFSGADAPTSPWLAAYVDLFAGRETQVVIYSSLEAQATSPLLESDFVSI LRASRESLELARAQLLALLSHVKTYLLPDYLSSIQSTGSSLLPNNLGRDPASEHSGLI PAPPPRAFLIGNLHTGLFFLLRASGDYTHSDPVPGLRVHRFDTYVKYLFRGQDFVIDS SDSSDSPGRALAPLPDGFRFTDKEGRIGVQPHQYDLIRFRTNVPRSRGTLTKLPGVTI YSDRETQLQPHLSDSSDAAENSSNRAPLGEMPIAWAFLGIDGSLATLHVEPEYRGQEL ALHVSKEAMRRGMAEGGIWRHCGEEGEAWVHANVSESNIASRRVMEKLGGDIGWTCTW TVVEYDM AFLA_099960 MSGPKAVAENMLWGGRFTQGLDPLMVQYNQSLPYDRIFWKQDIA GSIAFARANVKTGILTQQEFSEIERGFKQIAEEWSTNTFAVKENDEDIHTANERRLSE IIGKEIGGKLHTGRSRNEQVATDMRLWLRDELRRLDSILCDLIKVSISRAEAELDYLM PGYTHLQKAQPVRWSHWILSHATAFASELQRLREVTKRVNRSPLGTGALAGNPFNIDR ESMAKELGFEGLLYNSMNTVADRDFAMETMQWGSSFMLKISRWAEDLIIYSSLEFGFV RLSDAYSTGSSLMPQKKNADSLELLRGKAGRAFGQMAGLMMTIKGLPTTYNKDLQESI EPLLDHIKTVSDSIQIATGVLSTLTVIPEKMTAALAPEMLATEIADYLVRKGVPFREG HHISGRVVALAEKTSVPMDTLSLEQLKTVDARFDEDVQTCLDYERAVELKDAVGGTSR RAVLEQTSVLRSLL AFLA_099970 MLDTFEPVVRFLAQYQGGDYVKGWAELWDKGDLIDWDKGFPNPA LEDTLIQRRSIIGDPVVKDAGGSQYRRKALVPGCGRGVDVLLLASFGYDAYGLEYSHS AVETCKKEESERGGRYPIRNLEFGRGSVTFVQGDFFDDAWLDALGLLPNAFDLVYDHS FFCALDPSLRSRWALRQTQLLVPNGHLVCLEYPRHRNTSEMGPPWTASSEDYWKHLTQ PGEEVFNSKNNPIDSGLVRVAHWQPDRTHENGKDASGNIQDRVAIWCRSDKARKRVCK DC AFLA_099980 MGPCSGKKQWYVCSQGPFQGCCSVDPCSAGGVCPDDDDATTVTS ISTSTKVHTVTPTKPVSSKQSTFETSTTTTSRLETSNTPGTTTASTTSTSSTSTGTGT SVADNVNADAETGHGTPVGAIVGGVIGGIAFLILLAIALCIAYRRGKKRVRQFTFLRS PHTDIFKETTFDTDNKPPQNDTTTTEQVTHAPTKKEKAETSSLLTPTDYSTNGTTPIS PTSTAAELDAFHLYPPQSPYTLFTHPNASTPEPSDTGVYTPRAELPAQPTRELINIPH DQRQSLNQSISSQGPTHAELPAQPCRELINVPHSRRQQPATLPMTTPCEQVDECVTRA NSPPVVTTDGVVLSANFDTSASPEADVLSTHGLASSHAMSFMDYDTARRSMLPAHRPE WVDNPGEGVTDKSKGEKHSNRPE AFLA_099990 MSSLSLRSLAPASKISRALRDQRRLFSSTRPAARIFGSNPLRAR EATGAIAEKYPIIDHEYDAVVVGAGGAGLRAAFGLAEAGFNTACVSKLFPTRSHTVAA QGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASVRELEGYGCPFS RTEDGRIYQRAFGGQSKEFGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIEY FALDLLMEDGECRGIIAYNQEDGTLHRFKAHHTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTLEIREGRGVGPEKDHIYLQLSHLPAELLHERLPGISETASIFAGV DVTKQPIPVLPTVHYNMGGIPTKYTGEVLTQDENGADKVVPGLYACGEAACVSVHGAN RLGANSLLDLVVFGRAVSHRVRDIATPGKPHRELSSDAGAQSIKDLDFARTADGPKST FEIRNAMQKAMQTDVSVFRTQESLDEGVAKVTEIDQLYDQVGTKDRSMIWNSDLVETL ELRNLLTCATQTAVAAANRKESRGAHAREDYPDRDDENWMKHTLTWQKKPHGKIDLGY RAVEHNTLDEKECKPVPPFKRVY AFLA_100000 MPSATGENWEKYKKNFADDEEPEKKITPLTESDIQVLKTYGAAP YANALKQLEKQIKDKQASVNEKIGVKESDTGLAPPHLWDVAADRQRMAEEQPLQVARC TKIIQDEKDSDKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQIMLPLP PKIDPSVTMMTVEDKPDVTYGDVGGCKEQIEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDVEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN AFLA_100010 MATAVSQGAAGNNAFKDKEKPMAVRTSNILAARAVADAIRTSLG PRGMDKMIQTPKGNTIITNDGNTMLKDMSVMHPAARMLVDLSAAQDVEAGDGTTSVVV IAGSLLGAAERLLSKGIHPTVISESFQRAAAAAVEILHNMSRPISLVDRSTLLQAAST SLSSKIVSQHSGLLGPMAVDSVLKVVDPKTAENVDLRNIRIVKKVGGTIEDSEMIDGL VLNQSVIKSSGGPTRIEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQYL LNMVKKIQKTKCNVLLIQKSILRDAVNDLSLHFLSRLKILAIKDIERDEVEFLCKSLG CKPVANVDSFTEDKLGTADLVEEVQSSGARYVKITGIKSAPTTNQTVSIVARGANNLI LDEAERSLHDALCVIRCLVKKRALIAGGGAPEIEVAQTLAKRARELTGTEAICWKAFA DAMEIIPTTLAENAGLNSIKVVTDLRHRHAQGQHNAGVSIRSGGVKDDITEENILQPL LVSTSAIELAAETVKMIMRIDDIALSR AFLA_100020 MYSLQYYIDSWIEVASQPSSSSLSSIATNDDIITTGLRVEQHGS RAYHHRSRRRRLQRLAAVTAAQVDYSSREQSSSQDEYEESESESDRVMTSSNEDMPQR PLGGPLLAHSGPPSMSDIPSSDEDDASTALGMRISSSPFVPQPNVFSHPPASQNPAWT RPVERRRPQPSEVSNSSRQTAIRRNSQAGIRPAHRQSQQHSPYNMISPSYQADHDAAL RSSLSTLLSCAAAARGLPKSDPQPSPASGPSRAQPASFRLVSESVAMGEHLSEEVPTS AVETNTSRRPMSHNPTVASYSPRPSPSAPKVRRRSSSPREHHASASRKSRRATTADSA ASPTIMTWVISAGVVVLFSAISFSAGYVIGREVGRMEASTGVGSVMGDGNFSGGRTSA ACGQEAIKGGLKRLRWSSGAAGSGVIA AFLA_100030 MSKTKSVTKKGAEKPVDKALSKVKDSGVSKASQSPKAKSKQIAR EVASKGEKSKRKKKEPTPSSSSESDSDEEMESSSSSSESESEEEKPAKKEVKKAAKSS SESSSESSSESESDSDSDEEMEDASSSESEEEKPAKKQKQESKKESKKAKESSSESSD SSESESESESEDEKPAKKEVKKAAESSDSSESDSSDEEEAPKKAAKEASDSDSSESES DSDSEESPKKESSDSSDSDSSESESEDSEESAKDSKKRKAEEDVSATPKKTKTEEPAA GASANLFVGNLSWNVDEAWLQSEFEEFGELSGVRIMTERDTGRSRGFGYVEYTNAVDA AKAFEAKRDTEIDGRKINLDYATGRPANREQGGFQDRAQARARSFGDQASPESDTLFV GNIPFSANEDSLHEVFGQKGSILGIRLPTDPESGRPKGFGYVQFSSVEEAREAFNELN GAEIDGRPVRLDFSTPRANNGGGGGGRGRGGFGGGRGGPRGGGGRGRGGFGGRGGGPP NKARGGIPEYKGTKVTF AFLA_100040 MAPPPSSYRPRKKRKFPLSSTGSNNALIVDDGNGKHTPAFPLAS FLWAARAGVSQWLILPLILMAVGLFRWAVSLWGYSGFQVPPMHGDFEAQRHWMEITIH LPMSKWYTYDLQYWGLDYPPLTAYHSWLLGKIGTLFDPSWFALDESRGFEDPQLKVYM RATVVVSEYLIFIPAVVNFLRRYTQMHGVPVWSASVALVAILLQPSTILIDHGHFQYN TVMLGFVAASLDAILAGRMLWACIFFVGALGFKQMALYYAPVMFAFLLGICVFPRIQL IRLVCISLVTVVAFAVLIAPLVVSAVSAGAQNELSSIPLPPLLQALPIKLDKSSILYA PLLQLTQVIHRIFPFARGLFEDKVANAWCAIHTFYKLHRFEATLLQRVSLGATLASIF IPCAIIFRHPRASFLLPALSTVAWGFFLFSFQVHEKSVLLPLLPMTLMLSGDGGLSKE TRAWVGWANMLGSWTMFPLLQRDGLRVPYFVMTFLWAYLLGLPPASLEVYRSRSSSDD PSPLLEPHIITKLVHLCFYLAMVAWHVLEAFVPPPPGKPDLWVVLNVLIGAGGFGLTY LWCLRKLVLQCWMIGRKVEKDTQKKNQ AFLA_100050 MSWLFGSSSSTEKTPEPTPVPAEKPKPCCVCKTEKTARDDCMLF SKSDDPQQECKSMIEQYKACMAGYGFKV AFLA_100060 MAPPKVFSLEGKGLKLDTAEDVEAHIKPLVESTDYTEIRLGGNT FGVTACERLGAAFSTQKNLEVAELADIFTSRLIEEIPIALTHLLKALLEIPTLHTVNL SDNAFGKRTSKPLVDFLSTHVPLRHLILNNNGMGPDAGVEIAGALEELAKRKDEARKA GKEVPQLESIVCGRNRLENGSMKAWARAYEVHAAGMRSVKMTQNGIRQEGISSLLREG LRHASNLEVLDLQDNTFTIMGSTALAEVLPGWTSLRELGVGDCLLSARGGVKVAQALA GAKNEKLETLRLQYNDITAEGVKQFLHATKTALPSLRRIELNGNKFMEEDDNVTELRE ILEARKEEHGKDDDPEEMWGVDELDELEEESDEEEEEEEEEEEEEEKAEKFVKDNVQA EEAKVAQKQDKEVDELAEALGKTGL AFLA_100070 MKANSFLIALLPTALAIPLPTPNEGATSLSESQRLQSITDELMF GLELPDFTARREANDPPQLDWYSDGCTRAPSNPLGFPFQRACERHDFGYQNYRIQGRF TKAAKAQIDLRFKEDLYYQCELGRAVGICKKLARLYYRASGRHGGKDAAKRRELDELL AFLA_100080 MPLEAKEIELKAKALTKAATQNEPAANIVSLLKELQQGVKATED LLRSTRVGIIVNKFKQHKSPEVSRLSSEIVSRWRNEVNKQKASGSPAPSQRSSNSPRP AQNGTASPAGTTPTDKASKLSVAPDKRTWKADGVDINQTGNKIRDSCIGLMYDGLCLN STEAPRNVLSKASAVEAAAYKSLGPETKEQYRTKIRSLFQNLKNKSNPSLRIRVLSND VTPDQFVRMSHDELRSDEQREKDAKIQKENMDKAMVAQAERSISTSLQCGKCGQRKVT YTEAQTRSADEPMTLFCTCLNCGKSWKQ AFLA_100090 MNPRTALLNRTRTLCQNFSTSAPLPTLLSNFTQNPPPTALEHGL PQLAPFLGRPFTGQEGLERYFGLLGELLTIEKMEFEAEEKWVVDERAMAVSLRGEARF RWNETGQAWDETFAYRIGLAEEGGEVKVVVYEVWADTGAAYLARVGGLD AFLA_100100 MRSSGLSLLVGALLASASPLKTSRYACQTALSCPEGTILVSKTD PSAHFTSVQDAILSLPNDNTTQTILILPGTYKEQVNVTRSGPVTLLGQTDDPNNATKN KVTLTWAQANHDNTGQAVDNVFSSVLTVAPTLESSYTGSGTTGYPVPEDTPFGNIDFR AYNIDFTNTWRDYSDGPAHAISFSRANGGFYHCGFYSYQDTVYVGKLGNAYFHKSIIA GQTDFVYGFGTAWIQLSEILLRNCGGGITAWKGTNTTFTNNYGVYIVDSTVKAANASI APEIRGKCALGRPWNSQHRSIFARTSEDASIIPAGYIDWIVDGEGRLNKDTVMAEYKA SGPGFNATGREDGNVTIVMDDKEYARYSSPARVFQYPDGRFGNIGWIDLSVDKN AFLA_100110 MELAVLLAAGLRDWIDLGVIIGILMLNAVVGWYQEKQAADVVAS LKGDIAMKAIVIRDGQEQEILARELVTGDIVVVEEGTVVPADVRLICDYTKPEMFETY KEYLATANDDTLKEKDDEEDDTGIEARAGVSLVAVDQSAITGESLAVDKYMADTCYYT TGCKRGKAYGIVVATAKQSFVGKTAALVQGASDSGHFKAVMDNIGTSLLVLVMFWILA AWIGGFYRHLKIATPENQDNTLLHWTLILLIIGVPVGLPVVTTTTLAVGAAYLAEQKA IVQKLTAIESLAGVDILCSDKTGTLTANQLSIREPYVNEGVDVNWMMAVAAIASNHNV KNLDPIDKVTILTLRRYPKAREILARNWITEKYTPFDPVSKRITTICTCDGVRYVCAK GAPKAILNMSECSEEEAAKFREKSAEFARRGFRSLGVAVQKEGEPWQLLGMYPMFDPP REDTAHTIAEAQHLGLSVKMLTGDALAIAKETCKMLALSTKVYDSERLIHGGLAGSAQ YDLVEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVNDAPSLKKADCGIAVEGST EAAQAAADIVFLAPGLSTIVDAIKLARQIFQRMKAYIQYRIALCLHLEIYLVTSMIII EETIRADLIVFIALFADLATIAIAYDNAHFEQRPVEWQLPKIWVISVVLGVLLAGATW IMRASLFMANGGMIQNFGSPQEMLFLEVALTENWLIFVTRGGKTWPSWQLVGAIFVVD VLSTLFCVFGWLSGEYEQTSPPSKAEFSINGDVDIVTVVVIWAYSIGVTVIIAVVYYL LSIIPALDNLGRKNRSKADTKIENMLSHLSKLAIEHEVDAHGKSRYMLGARAEVEEEE AFLA_100120 MAERRISYAPDVENGDRDGATLDEYAALNRYISTARDKRRGSTS SAGAMSAKKEKKSWWKKKSDGVEEGFVCPDEWLETDLRAGLRGSDIEARRKRTGWNEL TTEKTNFFVQFIGYFRGPILYGMFATAMR AFLA_100130 MHQRITPQCGLLDPIPFTSQRTLHLLAVKKFITAPDALSITACQ PQVNVQQSVLPELVAGVDTLSLQFIHQSSTVIVLHLNSVYKGPTTTEDLGDPFEIAPF SLA AFLA_100140 MSDLGLVEVDSLEALVIIDNELDPLSPPAPDTVQVSGLMGSLAL HSTHDLHDRGEARKELQMEDICCSAHGLSILVTATKGDVKHSVLFDAGPESEIWERNV KRLRPDLSFVELVQLSHWHRDHSGGLVRAIEMIAEAKKAKGHTDKVAVDLHPDRPDYR GFALGPNIVSFQADPTFEELEDAGGAIQKHDEAHTVLDNFFLISGEIPRQTAYENGIK GGMRFDKEEKDWFSDELISDERFLVCNLKGKGLVLFTGCSHAGVVNCSRHAVESFDGS VPLYAVVGGFHLATSTAADTESTIKDLERLDPAVLLPGHCSGWRAKFVIEKYMPGSLV PCTVGAKVTF AFLA_100150 MHNNVTYIGDMAPSPQHPNHNEPQLTFEEVQQRYGKDARLFVGN LSVNVDQSTLMRDLHEAFRPFGACCVGLKYSRTRQGLQLPGAFVQFERPEYAQAALSL DQQRELHNRPLRVERAAGQRNRSANGSPPYPQHGPSPQAPYPQMPQQWYPSLQRSSLE SISGSYEYPYMAGAPGPYPPVWGEHSYPGQVEANNVPIQEQASGGQVERQQGSTAAIW NGPLIVDGFCWADKRRK AFLA_100160 MCLAIPRELLKTEIWERPRGLSNLELKNNALGPSFNELGLLFSQ PFSQGRVHSLFSSLFYTPFTR AFLA_100170 MFPTARCFAAKPAGFFKRSADELGRLSKIAWNSEALHTPTKPYV LLDFEDDSTVAGCKTMADRAVGGFSTASLDYVPADPATHSPAHARFHGSISTKLPNNW RVERTGYAAFRNKDRGLWLFGRLFWDMDPYSYLALRVKSDGRRYTVNIQTDSIVETDI HQHRLYTRHHRVQEASDEDLSSHEAAAEESEEVYPSRVPPSLSDVPPESTIMSTSTST ETAGTTGWETILLPLNAFVRTNHGLVVEPQTSILRQRVKSIGIGLTDRVEGPYDLRIH KIWATNGMSEAEIEEERRICGVDALPVDEGVRSGWTRESAQQHTSQQEAPKAKKGLKA LRSEWDQ AFLA_100180 MSEALTNTDLQGALPLIARGKVRDLYDVDEKTLLFVATDRISAY DVIMENGIPEKGILLTLCTKTWFKILSDKIPSLRTHFLTLDLPPQIPESLRPVLQNRS MQVRKLKILPIEAIVRGYITGSAWNEYKKSGTVHGIKVAEGLRESEAFPDGPIYTPST KAEQGEHDENIHPDQAVAIVGERYASKIASLAVQLYKVAHEYALTRGVIIADTKFEFG LDPETDEVVLADEVLTPDSSRFWPKDSYEIGRGQQSFDKQFLRDWLTSEGLKGKPGVR MTDEIAQKTSAKYREAYERITGETKVPAV AFLA_100190 MSSSLSTGSFQTLTFHPDNTVIIQDKIYGEHTISEPVLAELLRC PALLRLAGIGLHGQTDLLGITHTVTRLEHSIGASLLVRKVGGSIGEQVAGLLHDISHT VLSHDVDGALSKPGESYHEVQKSRYIMTTELPRILTKHGFVDLKPFDEELYPLVERPA PHLCADRLDYSLRDAVAFGKLAIEDARRVYDSLTAFPDASSPHRLLVLRDIDLALAYA RAYGECDRDVWCNPAHAVMSRKIGQLIGDLVQQGSLKEEVLWNLSDREFWELLKSKVD SKGLETIKHIEAGPHAEDYHRLPRGTKIRTIDPDLLLPGAGQPSPLSFVKPEWAKERQ DFIQARQALFID AFLA_100200 MTTTNINYSALEQGYDLEINKLTPVLTKRQQITHELNQLQTLRD SNLYSAQQALLNSTTVSDDQLARQELDDVRSSINNTLQQVRTLVDELRYLADPSDPRV RAQVDATKNQVQQAIQDYYRSQTEFDRALRDQVWRRYEIANPEASPEEVEHGVQQVLA GTQMVFQVQGARTRQAKDAQAAVMERSAAIRKIEQDLMTLSELSQQVAELVRSHEPIV EKIEENAEETRLNYEKGNEKIGHAIVSARNARKYKWYILLVCILIIAIIVAICVGWCK STDHC AFLA_100210 MHLLRLPNELLQLVADNLSTDADLNALIQTHSCYYHLLKTYLFE HNVRYGESSALVWAAAHGHIETAKKSLRAKADANALSPLHRSIFKLNLCTCPSILLPA DHACEWCPTDRRHRSTPIMLAAMNGHKEIVELLVHHGADINRQVGGVCTPILGAIGHG NVDVVEYLLITGVNLDVTLDGFWDYDPLELAAHEGHAEVVKLLLRHGVDPNKSSALAL ATSMGKLEATRVLLEAGASIERVCTRNQMDALFNAVDRGEATVVGLLIEYGANLESRD SDGMTPLAYAAYFRRPEAAEVLLNHGANIDALSQGLTALSFAIDEGKVPMVKLLLENG ADLTITNEPLLLRILKSNRIHNQEADAAIVELLLYHGADPNCCDRKGRTPLFLATVKQ KVDIMRVLLARGANPNREDEEIDLLSWAFLKGHEDVVNMLLAY AFLA_100220 MAEDFTSAPDSTSWTAVLPHVHNAHDCQSPTTQSSHGKTQPPEY CYHVYKFGEPDPACLECLTQELPASDDDEMLTDESDFDGEKPLPFGDTEYFPSGYKPE NEYGDAPLDENMVDDSESLGTSSCSSISTEVSEYGMEILNENDIEEIKTRILMALPIH DFIEIQPIRSIIESFTQQQLADIVGKFLELDKLRVNQQSLTTYSMIYCHPSPNLMRFI QRHLTKDVPCPGDERATKVLTFDDIDNGFHKKSKSELIELLEETLSAFHASKLYAVLE EKIQALPEEEREQIHTEARRIFGDLDSMSSGGSGEGW AFLA_100230 MTEPKNQTSSTISTQCELRDLYEYEPLPTPTSIRLIKVEGKDQD GTVHVRLKTIDLKDAPWYHAVSYTWGNPHTELPHVQATHETYSQKYPPDYREPIVANG KLLHVSRSAYDILVSVPKDAWAKRCNQRNPKNQLRATIHSACMTNKKEYVEELISAGV DIDVQDEYGRTPLCYAARLGKLEFVELLLAAGADLDILDGNENRAIDHARESGVEEII KCLEEAEKRVKVHGTSRSWPEGPQMWCWVDQICIDQSNLEERASQVSIMDRIYECASY TLIWLGLEDDYTEVAVETIQKLYSAQGDLIHDNEIIPYRHQPKEVYATAEIPYVSLEE WTALATLFLRPYLRRLWVIQENILSDTCLGYCGKFEVPWRAFCTVAQQIYFRQLVLGR VTSTEFIDINSPVVAIESEIVHLTQWKDRLQNGDQASMPKTLSLENLLFETWTFRATD PRDKIFGLYGLLLKAGPVPWKPDYSKSVAQVYAEATKEIIQNANELRMLSAVLDHSLH NIPDLPSWVPDYSVPFCNMMCANYNAAGTLPQQTIQPSSWNELIVSGVKIDTVLQTGN TTSGPKQMSMFFDARWFELALLLPHPYHNGQARTEALWRTLCADHKKDGSFPAPGAYG ALFRNMLCQLTCVKAEETARAAEQDPNIVVLEAALHHIRQILSKPPISTLSLEEIQRT FGNPDTNLSSPDFQTLTHILYKLHFLGMVEEDPWTPTIDEIEKSYRRTKWQTWEESSA LPGDGIEFHVALRSKHGRRRLFVTEKRYLGLGPASMVDGDEVWVIPSAGAAFVLRPVD RGIFRLVGEAYVHGVMDGEVVGECDVKLSSICLV AFLA_100240 MSAQVNNNQYDFIVVGGGTAGNAIAGRLSENPSVRVLVVEAGIA NPGQIDDITTPSKAFGLRGSQYDWAYKTTMIKRDDYERIEKPNTRGKALGGSSCANYF TWIPGSKATFDDWYEFGGSDWTWDNCVEYLRKCATYHDDEKLYPTDLNKIGTGGPVQI SHAELVPELETFRNALTTAWTSRGEPLSEDIYSGEMHGLTHCVDTIYKGERQGSWLYL ENKPNVTILSQVHSKRLIIDRTTQTCTGVTVVDPTNGAELNLYATREVIVSQGVFETP KLLLLSGIGPAAELNKHGIDVVVDSPHVGKNLLDHPIVPFVLRLKDGVALDDHIHRAG PANEAAVAAYRRDKTGPAASGFLELVGFPRIDERLNRYPAYREAKAANGGLDPFGPGG QPHFELDFVGLFSSAFQWHYPVPPSGSYMTVIVDLLRPVSEGGEVTLNSTDPLQQPNI NLNFFADDLDVLAMREGVRWTYDVLTKGEGFKDLVLEEYPWNMPLDSDEAMNKAVLDR SQTGFHPCGTARLSKNVQQGVVDNKLRVHGIKNLRIADASIMPVIPDCRIQNSVYMIG EKVRIWSPFKFLKCSITNINRVTGCRYHQGPVPGIV AFLA_100250 MIPKTPRQYTLAEGQPISDPSVSTTLPTFGGGSLTTLADTTLLE TLAHFNRERIPERVVHAKAAGAWGEFEVTHDISHLTSAKFLNGVGKKTPVLCRISTTG GEKGSADTVRDVRGFGVKFFTEEGNHDIVGNHTPVFWVRDPLKFPAVNRAHKKHPQTN AHDFTMFWDFHVNSPESVHGLLHLFGSRGIPSSVRRITGFGLHTFKLVAPDGSFNYCK FHFRPEQGVGNLSEDEATRLAGANADYHTTELFDSIARKNYPVWGLYIQVMKPEEAEK AGLMTFDITKVWPHKDFPLIPVGKMTLNRNPANYFAEIEQAAFSPSNMVPGITYTPDP MLHARMFAYPDAQRYRLGANYTHLPPNRPVAPVYAPFERDGLTQTSNYGAEPNYNPNM FTPAVQSQRSTQDVRHGEFLKGAVLGLNNIPVTEADYVQPRALWRNVFDDAERRLCAS NISETLVTLPADLRTGVIDLFNKVDPEIGRLISAKLSGSARL AFLA_100260 MPTNKKSFIPFCLLSPSHPEYTVCAAYTSRGKRCSLKLYDVSRI DELHAELQKSYKTTIDNETKEQMLKELAELTICWHQSRLEGTIEAAVHQWNAELQPLV NAQSNDDKGSPKFEFTPYQTAKIDKLVRGELTQELNRLIDGKISQNFITHKWEKKRDY LYIFECEEAEGMCKLGHTDSPSRRASQHVKCYPNFTQERSLYCPNSKVFERVVQLEFA RHRYKHACLKCNATHTEWFKADLDEIYQRANVWCQFSQGLQSPEKRSQVSIPLFFEFP SDPDRWYKWAQGYVQSWDNKLSPSEPNTTSKSVVDQDIVTVDDDAESIPGLSPSSSAS GTPDDDYSDPPTPTPIERSRKTKPTSKQRLIIPPASPSVSSEAYWTPVESMSTPKGRV LFPRVPGEYPVSPVNIVPEKTKEDANGLTDIFENLKLF AFLA_100270 MVRRANELTRKGNNNQTVHEIVAKWDLENLTIAAVREAPVNWPL PMLNKDWDGVTLDLNATVDLGISLIKKASENQARVIGFPEVWFPGYPKGILNSENPNP WFEYHVRDYIENSLVIGSDNWNKLVQAAVDNEIYVGLSFSERDAAHLYMAQALISPDG EILIHRHKLRPSAQERDLWSDGTVDQIYAVSTPIGRIGMLSCGEHTAPEATFIMQSQT EDIHIGSWPLVPDFGNASLTYESAEVITALGRVYAILGNTVVLQAAIGTATLFPAGSS AVWSQTVANVSFTDQPLVYRSFNASAFSSTTYNTEGEVSWGTLQAINQGFRQYIPQKT GEYVAWHQNLLTDLYNESKV AFLA_100280 MFSEKHQLLFGPPVSSTDPLTWSHLRKELLFATIIFGSCATGSL GPLLVPAFVSLAADLQVSLTSITLLNGSLVMALGLSAYVCSCSARCFGKRSVYLFTTI LVLAACCWGAASKSYTSLLASRVFQGLGMGGFFALAGTASINDIYNVDERGWRVGLWN FAVIVSVNLTPIISGTVISALSWRWAFWLQAIQFGVLLAAVIFFFPETTFRREISGSR PTTCNLETSSDDHTLDHPELDTEEKGGRPVVTSASIESIPVQRHNSFFVFIEPLAIVI DPIVIWGSIMWSMTFTWTILLGAVASQIFTAPPYNMSTVAVGSLTGIGPLIGSALGTV IGGFLCDLSSKSMAGKNAGIYEPEFRLPVMLPATLAIIVGAFGLGAATQYGLSAVVCG VFMAILNFAVGMGCTGIVAYTNDACGQRAGDCFGLAMVAKSAFAFGLSFVFNDFVVKR GTLIFFSTFGAVSVAVMLTNIPLFIWGKQIRAWADGRDLLRRRNIRM AFLA_100290 MTTPQPSQVRVAVTQAEPVWLDLKATVDKTCSLIAEAASKGAQL VSFPECWIPGYPAWIWTRPVDQELHSRYIQNSLTVSSPEMTQICKSANENNVIVVLGF SENIHNSLYISQAIISNTGSILTTRKKIKATHMERTIFGDAFADCLDSVVETAVGRVG ALSCWEHIQPLLKYHTCAQREAIHVAAWPPLFEWGGPEDESLFSMSRDGTIALARTYA IESSSFVLHTTAVISQEGVEKMRTATGAIMNMPGGGSSAIFGPDGRLLSKPLLPTEEG IIYADLEMHDIYKSKAFVDVLGHYSRPDLLWLGVGSCDRRHVKEDAEERREDRVEVL AFLA_100300 MELQSSKTMQSYSRVSVACEACRKRKRKCDGLRPTCSYCLERNR ICEYTVEKRVKRRVDPDYVRTLEDEIAILKQELAQAPFSRRTILDDDMVHAQGEPKRS SEEDGAVAIQDSMTTAIEDVSALIWRMSLDNNGDASFIGPSGNFCFPVTHWDAADFRE KRKAVATPTASTSGAPHIPAPWPDPINILGITNYLLDVFANLINPIQQFVDSETLDQL RGDDLSHGLRLVKTAALAAAALVADDPQSKAIGDEAAAAFDKTALQLCRELPEISTIQ SLSIMSWRELGLEQHNMAWMYNSMCASLVLHLGLPVIITPDRGPASRAPGELSAESRT RDSRLRTLWSSVLMDRYSFDFSELSSTERSRLLLDARDQLLSFYRQIHPSLQLSRTSI TTETPTPAIIYLHISYHMSFILIHRPYLKVAVRNSPTIYRLALRSVTTAAASIVRLLR IHANILPLSLAPPFIVHSVLTAAVTHLCNATSTHNTLRSQSIAHFRVCFRALLVMQSR WVKATRAIRLLRGLAHRWRVMGALPLQYGFEAGGGGEAEETGWQQAEAEAEEGEREVE RENEDEEREEDGRIVDTEENWPLAAEADAANSNFDGDEMEMFDSIRPETFVFDTEYRQ WELSMGLEL AFLA_100310 MRVFVTGGTGFIGKAVVEELIGKGHSVLALTRSEKGAKLLANLG ADVYHGNLGDLESLRKGAAASEGVIHCAFRNTVQDFTVAEFEAACQEERQAIEAIGNA LVGSNKPLVITSGTMLLPPGRLGTEDDHDPNPRSPIALARGPSEILALSFASKGVRVS ILRIPPVNYGEGDEGFVPMMIDAARKNMKSIYMGDGLNRWPATHVQDTAKAYRLALEK APAGSTLHGVAEQGVPLKDIAEAIGERLSVPVESKEWEDMKAHFGWLANIVALDNYIS SQKTQALLDWKPKQRPLLSYIKEDI AFLA_100320 MDIDSISDCYSVTDDGYLAGDSNTGTIWTHVDFCIVRNPVPGCP NILAATVTLLHIKGEDGKPRIKRFIIEHEENLIFDLLLQLLALT AFLA_100330 MAQAAPPQMEGRIDVSKYSPPDIITRDVCIIGGGPAGTHAAIRL RQHNKSVVVLEKQDRLGGQTNTYIDPESGRAVDYGVTYFQNLSSVREMFEHFEIPLTK AVFDYKMHMFDFRTGTPVQDSSKAEMAAFTEKYMAQLAKYPYLKTGFDLPDPVPEDLL LPFGEFVQKYDMMPAVGQMGAWINALGDWQTMPTLYIMKYMCADTMNGVKTGFVRPAD HNNSAIYDAARKELGEDALLNSRVVQMDRDDTKGWVYMEVQSGSQTRLIRAKKIIVAF PPHLDNFGGFDVSPKEKELFSQFQHIYFYPALVRIQGNPDNICYINRGADHPFLRPQL PGILMMRTSDVQGLCTVHFSSRFPVSEEQVKAGIVKGISSIRETQGIDGTDLEFVRLA NHSPYQMTVSADAIAHGFYQELDALQGERHTYYTGATFDSHNTPQIWNFTEQLLQEQI LKSLE AFLA_100340 MGTFIGYNAQNANVVFYMIGSPFSAGVEWSGELPWKISLSQSSD QQGSRLTLSFHHAILDGTSARQLLLAIQNELDSPASVVPQFDIFAANKALAKRCNDDT RAQIKERFAAVQIKPNLQSMEPGRRSTENFRHAEQTSRIETRFPPYAARAAVPAWIAR LALSMALCSCQGVSETVFLEIMSGRKALSQQHQEVLGPLLAPQIRHARFPRDTPLSDA AQSLKSTHDVEHGFSVGQLKSLLPELAHHIDVSLVCQTDMSYPSNGVGGFLWDYRETK TDIPLVVELLPPREGFFHVSIRYHQSRYTDDYITRLLRTFGGYLQWLQGNHTHLSHYT FHHGSSDGDPLLNIVKRHEIDAIPQEQLHCSTEPHIKTEVGVHEIFELQAKRTPQKIA LQYENSQYMTYADLNLRCDEMAGALAYQIGRLVPRPADYEEVVAIWFEKSIDMIIAIM SILKAGLAYVVIDVNHPAERIAHILELCKASIILCGNMTGAEKLSEIARRRGASIFTL GDLLNEQNPQLGQRSLNKRREGFSASSLACVHFTSGTTGVPKGIMIEHRNIAAVVRTK VPGFMGDWTACQLQLTGPTFDIIILDVFGTLGCGGRLILGSTTSLLSSLPQWLEKTSV THLCTTPAVASAFNEQIPVFLRVITLGGEVFHPSLLRDTPKECRIFNGYGPSETTVVA TLYKTDPSDQSVQKIPVGLPYGRSRIYVVVPQTFQQVAVGEIGEIIIGGPQVTRGYLG NPELTASKFQPSPFPDSKLVYRTGDFGRFLPDGTLDYIGRIDNQVKLRGQRIEVEEAE AVITMHSRVKACAVVKADTSDGGCLAAFVELHTRSTTNDQNGASGELSWSQTTKELMS KASQTLPEYMVPAFIFQVKDRLPHTMNGKVDRRGLSNRATKLIEDEAQLATASYSEPQ SKAERVVCNVFGDVLSCRVGVDDNLLNMGGHSITAIRAATRINHELNLEITFRDILQF PTPRSLCARIYQPGESTEQSEARKPRLDRVSEPVELSSAQSRLWFLDQLHQSLSWYLM ILAFRVRGPLRLDALEAAFLALEQRHETLRTTFEQKDGVTVQVVHPFQHRPLRVTDIN GSDKEQTLHKALLKEQTTPFNLTREPGWRPSVFRIDSQNHVISIVIHHIIGDGWSMGI VLRELAVLYAAAISGSDPMTRLTPLPLQYPAYSVWQRQEEQLAKHRHQLKYWSEQLQG SQPAEFFCDKARPSSPSAMLK AFLA_100350 MNLIYEHWGHAPTSAYFLFITPVGFIGGSGTLLTYASQIAAFAR DGGFPWHERVAYVHPRLNLPIYSLAILGIGTFLVLIIALSPAASSIIYSLSVVTSLVT FIVPIFFRIFAGDRWVPGPWNLGRWSIPIHIAAVVTQVYLIIMECFPTARAWTVETFN YNFALTLGAMLISCGLYCSVGRRNFKGLDLEALEAWRRHHAAMAEHEVDEQTLLNLIT QDPGLPRNNPTAAYWQHLPHRLSNVQSANLPQTTDIAIIGSGITGVSVAKTILEQDEA ATVTVFDARALCSGATGRNGGQLAINAAESYLKLKKMVGMEMAGKIIHFNIKTLEALR RIAAQLALVQDPEVTDVVKIRSFKDEESFRRVQGGIEALEADHPSLRGLYTVLDPEAC RKEHGVHGVAGAVLHSSGTVWPYRVVTNTFDDLLSRYPSRLSIETNTPVTEVVYGPSA DSKHPYILTTPRGIIRATHVVYCTNGYTGHLLPALRGVLFPMKGTMTVQDLTAIPSVP NRGSTTSWAIHYTPFLDAATGGLADGLIYGMQNAKTGWHFFGGEKSPPEQLLSSDDST LSQSSVQFLQESLGSLFGLQGPAHQKLVSAWSGIMGFTSDTLPLVGKLPSALTGRDGQ GEWFSGGYNGYGMPSAWLAGESLGLMILGQSPREYLPEAYLISEERLRERLTVARSME YLSEA AFLA_100360 MSFTNESRGDKSPVDNKLLQPLEPVSTYGTVVENGTIEELGYVA VYRRVFRSLGNMCMVVALTTPLSAILVTAFYQISYGGYWGLSWGWIIPNVILIPEVLA IAELASSMPVNGSFYWWAGALAPPGWSHAISFVTGWLNVFTMFASTASFAYAVASSLS YAVTIAAPSMAWTNAQIMCLSLAVIVVWSGVMTLKLERIASVYIAMVLIQTLVFIFGL PISHKVQNRPFASARTVFGEYTNFFDWGLGVSVPYSWFCTLWVNSAWMVPVYVAEETH DASREIPKSLWYTFSVTAVIGMVICLVSAFCINDIEAAAADERHVFEDSLKGVHANPL AFLA_100370 MAESITTLKQQLIDEFIQANPKSKAAFDRARNALPAGNTRSVLW SEPFPLTLQSGNGAHVTSVDGQEYLDFVSDFTAGLYGHSHPVIKQAVKDALATGFSLG GVVEKEAQLGEILQTRFKSIERVRFCNSGTEANTFALATAKAFTGRNKILVFDSGYHG GTISFHGTTPNPMNLPHEFVVGAFNDIERTQSLVDNSLAAILIEPMQMAGGVRPASIE FLRFLRESATKVGAVLIFDEVVTSRLHYHGLQGAREVYPDMTTLGKYLGGGFPFGAFG GRADIMEQFNPIAHGRSVLHHSGTFNNNIFTMTAAVAAAELVTEQSLAELNKLGDDLR ATGNSIVQQAGLKDIVFVGYGSTVGMGFLGDRGQVLREVFYFTLVKQGILMGRRGFLC LNLAHTKEHIDRFLDVVKVFAEQHRADV AFLA_100380 MPNIVVINGADKVTPTTEEGAGAFTDLAGSNASAEHPIVAGVWD LVDLDEPTPAWEAEWDEVKYLIAGDLTLKNEETGEVSNLKAGGMLWIPKGAKMSIIKS RGVRTIYVEQQYRRALHTSS AFLA_100390 MPNWRLKLNGRENQDIRFLIHSCQRSIVAIGECSRPLLDEILPL ASEHPSILSALLAYSARLRVSSGDGSAARTEGSKRNALDRYQETITKVQSILSRLQSE NPVNDGDVMEVLASCLLLTLFGLPEQVHNWSVHVTGMIALVESLDIEGFRSVPLVRYL QTVAAHLDISAFALNRSEASQHKWLAWGICPWRRSTSTIDEPTEFTTFEISSGYPETL VTVVALISAVIEGQIDDSVAAYINDIYQGIHPVQEQRLAEYGSPQQTESYWTSRMHAI IAGWEPPMVPPHISSTLSLALTTAWETIRKAAHIYLTRGGFTTSIYIPVAPRRQRVNQ RYVREMIVGLQSLITLAEKKGITIANAMIWPMTVIGNEIFNDYALQAELVSMFERLHR YFRIAHLTQVLDLLKELWQRFSMSDAMLASPQPSRELSLQILAAEKNLSVPLF AFLA_100400 MNPVYHEADRFSYLLEHSLLLGDVLPTVLSLDPYLESLSPATII FLLQSTTIHAIALRQFFSDNSNHALLENGVPAKLVRSSDHHHSLLSAIELHCKRYDIP IIGEIRTLLSTCLSRAAFRNTDARIISTQQLMHYRWCSTGTGIIHLQRDEAVAAWQYP VSPGEELWQQPSDNRDELQPLIVDLCAPNTRLCRNGYFDLSILIR AFLA_100410 MVDVALLLCAGMVGICRRRLLILLGYKWGTLGTTSVLRYVADIP FSYIFHLPAQRDHLITMSSRACDRCWALKTQCDSQSPCARCRRLGLPCLTERPVRAKG RPRKSVSSRQDRAVREPSVEPESQSSGQEIRSSVSTADVSSIPLDPSLIDSLLQRLLS SSRKLGIFGLLPDSLHADTEARANFSVRQILNAELQNALLAIALDLYCYRLPETVAGS LAVSRDHLQMRALADTPCLTWKSHELQLGNALLLLLFSYTWCLNSEFADIAVRWLSLS RIIHEDISKSSIQDDDWNELTRR AFLA_100420 MSSVEPPLWEQRAAQKRASRDAEIRKWQPGPVPDLKPDDTYVKD VPLRCGLLTEEQLRITESTPSQLLSNLSSSHWSAEAVLRAFIARVTIAHYLTNPLSEI FFERAVARARNLDEFLKTTGRTVGPLHGLPISLKDVMNVAGQETTLGFVATVGQIPDY EDRLVTKLHEAGAVFYCKTNVPQTLMSGECVNFVFGRTSTPYNTGLTAGGSSGGEGSL IALGGSPLGIGSDIAGSIRTPANFNGIYGLCPSPNRFPEHSAENSDGNMIIQGVAGPM SRSVDGLEVYTRTLLGLRPWEWDFSSARIPWREAEYQEGLGKTHPLCYAFMPHDSVVL PNPPILRGMREMKEALIRAGHQVIDIDPWDGRELMKAAFPIFFATGGEEVRKILSVLD EPLIAEITPLEAMTTLSVAQYKSAAVKIKLLRQKYIDIWQATASKTATGLPVDAIILP SGGTVAPPHGKMEYFTYEAISNVLEWTCATVPVTQVDSVLDAKPQSPFEPMSDYDQRN WDTYAPETYKDGPVCLQVMGRRFEEEKVLGLLRTIDKALGRDEFYMS AFLA_100430 MASFITDDEFRSLHQKLRAAFTDNRTRDVRWRKWQLKQLFWLLD ENEDALVKAMADDLHRHAFESLSYDVSDVKNTILTMLKNVDTWSQGAPPPEAGLFFRY VGKAWIRKEPRGVVLIIGAWNYPLSTLLSVAAAAIAAGNSVILKPSEIARHTELLLSE LVPTYLDSSAIALIRADPASMGTILEYKFDFIFYTGSTRVGRIIAEAAAKHITPTALE LGGQAPAIVTKSADIEVTAKRLVAAKLTNLGQICVCVNHVYVDPEVHDSLVARLIYWT ERFSKGDGLNGLARMVGERQYDRLHNLLEHTQGKKVFEGPHSRKEKLIFPTVVTDVKL DDSLLSEELFGPVLPVVKKTVPEVLDILRGSPYPLGLYIFSNDSTEIDTILNSTNSGG VTINDVAIHADVPSAPFGGVGDSGYGAYHGKWGFDTFSHNRTVVRVPAWIDRFVQWRY PPFDIKNRSETDAPRPRFKKGETLEDQGGSGMGCVVM AFLA_100440 MATWPSDSVWSPQTHNEQIYARAPNPAEFPEVPAISKSYWIREH RLDFEEECARTSLPSEVDVVIIGSGITGATVAYQISRSQPDLCVALIESRGLCTGATG RNGGHIGRPEVYHFRELAEEVGVKEALKLKRFGQKNRNMMVEAINELEAVAEVDLQLN GTIVVFETAEEKEEFVADLESAKQHGHEPEGYLIKETSDVLSKVTMNESKAQYGAAYL EASGTVYPRKLVGVLLKAALKRMPALTIHAYTPASSVVSDFTAERYHYTVSTNRGEIK ARAVFHATNGYASHLVPSLCGKDGVYGRKAHMLGVQPNITAPDTCQLSRGFGYQDFWH WILQRPNNGPYLYGLATAEVTGDYNDNITLPENTPHRREMVQFLETVFPHHFEDIDFK RDVVYDWTGIQGFTKDGASIVGRPTKGSPGEYVSVGHNGEGMGRCFACATVATDAMLS YLKGTKSWTPPEWFPMSFARNLGSGDL AFLA_100450 MSVPGLPFLGNILDIDLDNGTMSTLKIAKTYYPIFKFTFAGETS IVINSVALLSELCDETRFHKHVSFGLELLRSGTHDGLFTAYDHEKNWELAHRLLVPAF GPLRIREMFPQMHDIAQQLCLKWQRYGPRRPLNLVDDFTRTTLDTIALCAMGYRFNSF YSEGDFHPFIKSMVRFLKEAETQATLPSFISNLRVRAKRRTQLDIDLMRTVCREIVTE RRQTNLDHKNDLLDTMLTSRDSLSGDALSDESIIDNILTFLVAGHETTSGLLSFAVYY LLTTPDAMAKAAHEVDDVVGDQELTIEHLSMLKYLNAILRETLRLMPTAPGFSVTPYK PEIIGGKYEVKPGDSLDVFLAAVHRDPAVYGSDADEFRPERMFDEHFQKLPANSWKPF GNGKRSCIGRAFAWQEALMILALILQSFSLDLVDRGYTLKLKESLTIKPDNLWAYATP RPGRNVLHARLALQTNSTHPEGLMSLKHETVESQPATILYGSNSGTCEALAHRLAIEM SSKGRFVCKVQPMDAIEHRRLPRGQPVIIVTGSYDGRPPENARHFVKWLQSLEGNDLE GIQYAVFGCGRLITSRSYMGND AFLA_100460 MAEHGGARLAPRGSADTAEDDPFAELESWSERSVWPGLEAAFNL ARHNSSDGTGKSTRITIRSPYTLRAAHETAVVHQVRVLTSAETTKKVHVELALPDTMN YRPGDHLAILPLNSRQSVQRVLSLFQIGSDTILYITSSSATSLPTDTPISAHDLLSGY VELNQVATPTSLRSLAAKATDEKTAEYLEALATDRYTTEVRGNHLSLLDILESYSVPS IEIQHYIQMLPLLRPRQYTISSSPRLNRGQASLTVSVMERADVGGPRNCAGVASNYLA SCTPGSILRVSLRQANPDFRLPDESCSHPIIMVAAGSGIAPFRAFVQERSVRQKEGII LPPAFLFFGCRRADLDDLYREELDAFEEQGVVTLFRAFSRAQSESHGCKYVQDLLWME RVRVKTLWGQDAKVFVCGSVRMNEGVKAIISKIVSPTPTEELARRYIAETFI AFLA_100470 MLTTSSYRTHILISRYLHRCHKHRQINIRNTLIVTTSLPGADRI AISTGATVIANGEAINCLRQAGVPEELLLPVSGGERIPLFTREIRDKAKGNVALRAPG PPGGPVFPVHELAALSVEVWPSLHCLMPSGHPEIIDTGTVYTGAATPFTCTLDITLGM KHGLLRLGELMPVEQQSEGQRSFVEYVSDRKRNVFSHCDGGQLMYNFLVEGKALLWSA HLGGYEGILKDLKPKPDVAILGIAGRANLNGKPFDGSAAEFALQEIQWLGSPSQVIWC LHDESCIPPYRIDTLAATAAVEKETASKVLHLTHAEVYRLDL AFLA_100480 MAECPTRSHYREQQRFEKSDIGTSTEIPIIQQDTTHSDFPEGGR QAWLVVFGAWCALFCTFGLITCIGVFLEYYKNGPLEKYTASQISWITSVQVFLQVGSS ILWGRLYDSYGPRWLLLLGTPLYCFGLMMTSLSRQYYQIFISQAILSSIGSGAVFNAS LTSTTAWFYKRRGTIFGIVNSGSSLAGVVLPIMMTRLFQSIGFSWTLRVLGFMFLALC GIACATVKTRLPPNWRPISVTDYIRPLRELKMVLTVLGGFLYFWGMFLPLNYIIIQAQ ANRVSPTLVPYLLPIINAVSLIGRLLMGVAADRFGRFNCIIAITAMSGITTLALWIPG SNSTAAVIIYAVCFGLGSGGYVTLFPSCVTQISDFEEIGTGLGIAQLINAFGALTGSP LGGALIRGQDSSTDFLGLQVFCGVTMVASAVAFGAARYTQVGMRMAKV AFLA_100490 MPKLKVIIVGGGLAGACMANGLVNKGNDLFDVTVFERDTESSGR DGYQIRLGAHALMGFRACLTEEQYRELLLCFGRSGGVVSSAPAIYNTEMELLLDLGKF PAYEKSAPIGRARLRDFLQAPLRQKGIMRYGRKFVRFEVIDDEETPGQSKIRAHFEDG PSEDCDILLSAEGSGSRANKQLGCNNIIEDGSLGAGSLLGKCHLPWSVLRTLPRPLIE KGTIFTTAASAMLFAAAYLPDSLAPSQKASVSGDLSGLKKPSNYDEEQAFLMVGFGWM EGPSSIEAIQLPDTKAFMREKLAETRCHPDFFKLIDALDPDAIQSVPWRYAKTIPVDW RRNLLLGKESSLDRSIANPRVWLIGDAIHPMLPSRGMGANQAIHDTADALGPLLELAK QKDRIGLLFDDDIRVQVGVYERAMIPRAFTWVKRSSAQVLPNMDSFKGKAVIAGLRLV LVVVGGLMNVMRFLGWKPTDDAPELP AFLA_100500 MSALFAEVLVKPPFLWGFNTLGYVFTGQIVTAVAVPIVCGYFSD QITKLLSKRNNGVSEPEYRLLAVILPTVAILLSTIIYGKTAGHPKNWTWAGIAVTLNF EYFGFVGIVVSSFVYCMDAYPQRVDATLVLICSCRGFIGFGISYGAIAFVHKTGYEGA FNICAGIMAALMVIGIFIYIFGRQIRRRTQKFAGGT AFLA_100510 MEAKSTSEVQIEDVETFNNKVYNQVVGSTKLINDSEVVLVPTPS NDPNGKGFDYPLNLPVWQKYVILFIVGAFAATGNLMTSGMSAFLPAVRASYNGDPKTN DLITWPAFFMGIGNLIAIPLSYAIGRRPIYLFSSILLAFGCLWCAKSESLESHIAGRD LMSIAAGTCEALCPIIVKEIFFLHERGKAIAAFSALQAVGTAALIIASLYIASDSHLG WRWWYGIFGCVSGAVALLSIIFVKETKYTRSMEALNGEGIELEGVLVPVTTRTTRELD VVNYRLRSFFRDMAPWSGNAEWSLTIDCCKQMCQVVLFPNVVWLILL AFLA_100520 MTPYIGDTADPSSEPVKHGDWRDEFDAKGYVVLKNVVPKERALY YRQKMLDWLGSFDNGFNINDRSTWTEENLPWSFKNGMYLNYCAAHEKYVWDAKQEPGV LDAFAKIWGTDELIASYDTVNITLPNAAEMGGTKPWPHVDQAPERNGMHCVQGFINMS EAGPKDGGLVVMEGSAPLFEKFFKGFPPDRTKGPLAALHYDFYPFQDEDLKWYEARGC KIVKVCAEPGDLVLWDSRQMRYAVYPETDLTRTVIYTCYTPAAWISPEDLENKKEIFH KWEATTHWPHINIHSHGKHMIDGELDPLERAEPLEKPEMTDKLEKLAGLKPY AFLA_100530 MKEFKDVQVIYKPLSQPKIGLGYQGFNPRTEILPKGWSNEGKRP LTCDILVEHDVGYKVRDGVTLYADVLRPPTGRVPAIVCWSPFGKKFNGSDALNLMAPY NLGLRDDALSGLEKFEAPDPAFWVEKGYAIVNVDNRGSFDSEGSCAVLGTQEGEDGHD VIECIAQEPWCTGNIGMAGNSHLAQSQWFIAAQQPPSLKAIAPWEGCADMYRETFVRG GIYCGDLFDELISKYVIHGREIEGIRAMFDKYPLANDYWNDKRAEIEKIDIPTYITGT WSNTMHGMGAIRGWLKVKTSNKWLRFHPYQEWYDLWGCPESTQELLQFFDRYLNGLDN NWESTPRVRMALLKFGQTPSIGGIVEQDFPIPNTEYKKAYLEPNEQLAFHKPTNRLAL SYDATSQTDFLKFRYTFDRTTRIMGMPKAVLYMSCQEHDDMDVFVILRKVSSTGEPML CLNVPWEGLPVKSFHEIPEKLRTEVILYKGPTGILRASQRAIDHDKSMHPNWPFHPHD REERIPPGQIIKLEIGIWATGIEFQAGESIQFEIAGHFRGVSNFGRPGHVKNKGRHIV HIGGGHDSHVLLPFC AFLA_100540 MSIGVALLGAGIFAKKEHLPAIESCPSLSLKAVYSRSQTSAESF AAAAGEDIEAYFESPSVQSKSLDDLLQRQDIVAVIIAVAITAAPDLIQKALAAGKHVL SEKPIAPDVETAQRLMDYYHGQTKSGVLWGVGENFRFWNPVQKAARILTEMGGSLVTF SVTAYSFTDSKNPFYHTDWVDVVSAYTTSLQEDLPPLDTVHAILRTNKGRSGTYTSSV GIQAKRGMEFEIVTDKGYVTYRPFQMEILVKQDQGGNWEERSEPAPLMWGVKEEIAAF ATSISTGRLDSRLSTTEALEDLKIVEAMLRSGDAQALPVDITSTFRTYSIT AFLA_100550 MLGNSCLAPLSKRKRLSYACNHCRAKKTRCDEQFPSCRNCQLAG VQCITVDKRRPNAPVQHRRKDLPVTERTFEPEATNLVSPETSHPPEFTIPSALSKSLS PGPRDSNEWGNWDTRHLPVLPTRAGSSIVQISTQWLDLALSRLRIPRSNYVRVNLEPC LSSPEMSYDYTVASMEPGLPPVDELHRLIELFFKRSARSRRVSKTSRLMLLYLITILG TMAGPTVFQQHLNTYLSYCHTLVGHLILQPSIESVQALLLFSITLRLRDQLSQAWDVL TLAISMSQTLRLAHLSAHLRTIHDKGSGSNMNPMRTWWALYVFEKFLAFDSGRQSTLD DPRLSSVGRQDPTEPMNNEKALVLQDYENFLTSLANVLREMQHRSWHTWRTESLDTTS DADARASKIRTAGAIDTLLWEWRGSLPSEYQVGTSSSADVQLTPQWAFLSFYYHQAVI VLYRNTLLLDWSEVKREVDRYGSGEPWHLRLRNGPQICLEAAKGMTNLQVMVTEADEP SFLVLGTSPLAAAYVLAVHIRRQPATILSRTHFEVRLFEKVWVHCGICSLT AFLA_100560 MVRLEPLLLLHTADVLAASSSGPSSLGTFENPSVRSRPRFRYWL PDAGVDKEIVSTNIKDSGARGAGGVEFVPFYNYGGEAGDPLPQADWVTNGFGTPAFRD VFRAALQAHKDAGLLMDFALGPNQGQGVPASSDDPGLQWDLVPYSVPVGTNETFQGPI PGWGTGELVAVVSARVLSQTNISLPAIDSPFLTAQSGYLSLVLEHESLTDITDQASED GQLSIRFPTYSNGLGHRIFAYYQKRTLNRNLHFTNNATTTIWDNGSFAVDHYSPRGAQ TVIDFWESQILIDGIKELLMEVGNYAWEDSMELTSNTSWTPALPERFQRKFGYSLKRY LPVLNFGTLWPDNNVNIQKYRPGAVQSILSTPDAGAGYINDFRSVLEDGYREYLQTIT DWARNELHLEFSAQVSYNLPMDMEVNIPVVDAPECESLQFGDSVDAYRQFSGPALLAG KSVISNEMGATMAAYGYPFRSLLFSVGRAIVGGVNQLVLHGQSYTGDYYETTWPGYTA FSYSTSELYSNKQPSWDHGLSDVLNFFARVQYTQRQGIPRVDVAIYNKVSATDAAAFP SMYQADDLINEGWSWAYLSPDNFALPGATVENGTLGPDGPRFKALVVENASNMTLSGL DNIREYSTQGLPIILSGGLPGIFPSANASESTAIEAGLTHLSTSANVHLVRTGGVAEK LSALGLSPHIQVQTDGKWLTTWREDSSSGIDYALIFCDSNTSSGYITVTGSAKDKVPF YLNAWTGARSPVFTYNVTDHALTLPVSLQGNQTMIIAFSPRGLNESGEPKTHAIETPP SVIGATYDQRDGWVAHVANQGSDTTHERIHLSSDRYIELPQHQRTASPFNLTNWQLVA EHWEAPTNLSDAKTIARKHNTTHELTTLVSWSQIPILRNASGLGYYSTTFQWPPTTHR RDNGTADGAYIVFPSILHAIQLSINGHRVPPLDYTDAKADISQYLKAGTNEVLAVVPT TMWNYIRSILPEIRDQGHLPGLLAEGLPVPGISENGLVEEVSVIPYSKLVIR AFLA_100570 MEVKRSLLKTQDDPIAKCLQRIPSDIPALKKYLYPGFATDRLYH NDYIHKQPGVPCDECKCDLSRLRRREDPKNTNPKYIRVHRGVIASGGMVIKDANQRDN LAGKYGVLCFETEAAGTLADLPALVIRGIADYCDSHKNDLWHGDDMSPNSPVANTASP SQIAPLAHLFQQMQTLRLTASRLKPSISDRRKELVAWIGGTVTDDEYHAALSAQIPGT CRWILQRTQFKAWAALTSEVSKVLWIRGGPGCGKTILTASIIRHVTSLHSTGSIPAAY FFCRDEDDRKRDLNEIARSWVQQIVNKMDDAVSMVYPLCEQNKSRAATMMEIWDILYR VCHGIHNCMLFLDGLDECVISGDPWHQDKASFLAHLWRTISGTTARVLISSRSDTEIH SQFLSARQDTITFLEHNIAIEDTAQDIIDYSTSIVNERLGVNKDQDFISEISKDVARK CDGMFLWVRLMGKQLFPGKNKNQIRRTLDSMPQGLEQTYRREIHSIINLGISEKTWAI GILRWTYFAARPLTVRELTEALLTETNTLTTFPFHEMPDTWDEYYVNDQIRRYCQSLI DIRGSPAASITTHTVHFIHTSVKEFLSQTSICAMNQGFSFSEVAKEHELLARTCLTYL CLDDVAVDDPYDLHTLQDIKETFQFLEYASLCWYDHMSRSENGHWRLEQFIRVLFDPS STRWMMWSEMISQNLWPGSTLHPIKEHNGPTPLPIVSCLGLLDTVKWLLSLGSDIDAT VGRFGSALHSAAARCQKNTAQFLLENGADRNKQGGMYGYPIVAAAAAAKYNPRESADI VDMLLKAGADISCRDQRGCSALHHTAAVGSEETLSILLRNGATVDDHNGRQRTPLFFA AAHGHKLITELLIQRGAEVSTRDVHNRTPLLAAIQNGHIPIVEVLTKHGVDVRTQDND GLTPLHIAVELGHSQMVDLLLRHGADANAADKDGETPVYVAALSGHNTILQNLIRHQG QVNCKDSLEAWTPLHAACAEAKEATTVQLLLDNGAEVDAADSHGATPLFYAAENGSPA IIELLIQYGAQVNATKEDGLTPIHAALGGVQPLAVEALLKHGGCNLTSDDCVSLRGNS PLMVAIMKEEYHPVVQPLVRAGVWVNSRNTAGLAPIHLATLVGDVGILELLLENNAAV NALADKGFTPLHLAVSEGKRDIIQLLIDSNAAINALTDEGLTPLHVAVMGGKRDIVQL LLDNGADVNAEKNGITPIYRAIHNKDELITTSLIRHGAEVDAPLALAIKQGDEDIVRF ILQHGPEIGPEYLIYGNRSGHDHILQLMVEHFLAKDAID AFLA_100580 MVLTNLKQLQELHELTRSQHLDLTVQVVRGDISIGDDISRAISC ATKLIKGVVQAAMVLKDTLFTEMSLARFKQVLHPKMLGTILA AFLA_100590 MSWPRIGAYALLAFVAIMALNVTYQFLFRMLNKTRPPLVFHWIP FIGSTIHYGMDPYGFFFSCREKYGDIFTFILLGRPTTVYLGTQGNEFILNGKLKDVNA EEVYSPLTTPVFGSDVVYDCPNSKLIEQKKFIKFGLSQAALEAHVPLIEKEVEDYLAM SPNFHGTSGEVDIPAAMAEITIFTAGSALQGEEVRSKLTTEFAVLYHDLDKGFTPINF MLPWAPLPHNKKRDAAHARMRSIYIDIINKRRNAGDNVPEKLDMIGNLMQCTYKNGQP LPDKEIAHIMITLLMAGQHSSSSISSWIMLRLASQPAVVEELYQEQLANLERTGPNGS LAPLQYKDFDNLPLHQNVIRETLRLHSSIHSLLRKVKNPLPVPGTPYVIPTSHVLLAA PGVTALSDEYFPNAMAWDPHRWETQAPQENNKDDIVDYGYGAMSKGTSSPYLPFGAGR HRCIGEKFAYLNLAVIVATMVRHLRFSNLDGQTGVPDTDYSSLFSGPMKPARIRWERR AAKSG AFLA_100600 MPLIDPQIREIYDSLVTTHADSPGFEHFRQTWFEIVWASGDREG ETLMNLKALPSGQTTLRRADFLDALVNLIPPEIVQFGKRLDSLEETAEGVSLRFDDGT TAVADVVVGCDGIKSKVKESMLPEESREKQPQYSGMYGYRAVLDMDEMVEAVGEQRAR VSTMYVGNGAYGISYPIMRAKKVNFGLYILSEKWDCDTWVRPAKREEMRQDASNMGRY VNALIEVWKPVLRMPDPSQWAIFEHPHISTYSRSKVAILGDAAHASTPHQGAGAGQAI EDAHVLAELLGDARVTKPEDVVAAFKAYDEVRRPRSQRVVTSSKENAYLLCLCLDGVG DDEEKLKDTWNQRLRWLWDLDIQEQAEEARKKMVEHMRA AFLA_100610 MLWLTVVVLSLTSKKWRVFFWCFIAFNTITSVLDAAVIFPQCTP VELNWDKSVEGRCWSANAIDAIGITQGAIAASTDFALSILPIVFLWNIKLPKRVKVGI CGIMALGFA AFLA_100620 MSAMEQEDKHPSPDVRIATGSDQSSEYSKRNDNGIVLIPQPSDD PEDPLNWPMRKKIIIFACICLAGFAGQMSPNSNQLTFVYQIPAYHKTQTDLLNSVAAG LAGWVAGPFFIIPLVAVIGRSSVVLWSLVAIFACQIWAAEMTGANDYISFTISRLFCG MFGGIPAILGSGYIMDMFYLHQRGKAFAIFEVLIIFAVVGGGTLGGFIAEHKPWNYVF WWTLGPVGAAVLAVFFFVEDTTFPRDPSMPKRAPLPKGWFANRIATFLPGTKTQPSGK GREFVRKAITPLQITFTPITLLTGTYIFIALGLPIMQASTLATYLEPPEEAGGYGFSS LQMAFFTMTAWVGIISAQVYGFFFNDKTPLWLARRRGGTWHTEYRLANTILPSIILPI GLGIYGAGLEYHLHFMVLALGSFLIWFGALLALPVCYNYIIECFLNNPVEASVSLNAY RVSFGLMSVFIVTQWQSSVGVGWMWGMGAFLIVFVDLIMAGIILKGHLVRKWTATLGS SLDVTEDGANISAKKEGGV AFLA_100630 MPSYIVTCKENASAEEIEETKQHVRDQGGEITHEYSLIKGFAWV SSVRALRDSANRLPTIFTV AFLA_100640 MPAVNKKPNLSSFACSALSSTMRLIHTERLQLEEFADEIPPYAV LSHRWGKDEVTFQDILLNQNHDTEGYRKVENICKVANQDGFEYAWIDTCCINKESSAE LSEAINSMFRWYESAGRCYAYLRDVSPDNNQSDLSTKVRNSVYFKRGWTLQELIAPSD WLVGHYNVGDEIFLFGFSSGAYIAQALARMVDYIGVLPEINGGFQAAWDIYQSWNNYA LRTDADKLWEERESYFQMKGFREKLSKPTNRIPFLGLFDAVVVKSKYLGREGDRISTT TEISKSASTICHAVSIDECRAELRPVLLNEELGSGLLMKGLKQVWFPGSHADIGGLVP LDPDETCSLSHIPLVWMVCEAINAGLSFRLTTQFGCDEHTQVSPLDLTKIMGSDSLSD QYNAFTVSPGTIFSSVQLKDSLRLASTQGHLHSTMSHKHTIKQNSRHALLAHTAYRRL ALTKRRKLPPFAKLHISAIHRMDSESTHYHPANAIISRDPGSAMTLYTAHKRGHLLAI SDVNDIVGSFYVAINKAEARSIASVKPPSLYSKIRGAASFRFRKVEEPALF AFLA_100650 MRAATAIASLLLVGSVVGLENPHRKAKAVQRAHQHKTVLPRAVP VARDDDYKYLTNKTERFLVNGTGIPEVDFDVGESYAGLLPNSPAGNSSLFFWFFPSQN PKAQDEITIWLNGGPGCSSLDGLLQENGPFLWLPGTYKPARNPYSWTNLTNVVYIDQP AGTGFSPGPSTVDDEEGVAAQFKSWFKHFVDTFGLHGHKVYLTGESYAGQYIPYIASA MLDEEDEKYFNVKGIQINDPSINDDSVMIYAPAVRHLNHYTNVFALNDTFLADVNSRA DKCGFNKFLDEALTYPPPKDFPVLPEISSECAIWDDIVAAAYDVNPCFNYYHLTDYCP YLWSEMGFPSLAGGPNNYFNRTDVQKALHVPRTDYSVCGETTIFKNGDQSPPSALGPL PSVIERTNNTIIGHGWLDYLLFLNGSLVTIQNMTWNGAQGFQKPPVEPLYVPYHYGLA ELANGNAPEPFTLIAGAGLLGTAHTERGLTFSSVYLAGHGKLRINMSC AFLA_100660 MLPRRLPSRLPGAIRPTRIPVHHSLRHPSRPFTQKSRLELVTPP YVRPQLPFLSPLASSRPVPPLSIHLRQHFARLISTESREHYKQRLYRGLRIGLSFYAI LVLFQVIKLGVYQEEIEHQWPTPPEWSWKSRWCLRSAQALQHPEDIGKLMTNWPMVAG YLKELLERLEDPVGEGKGIVEQGDGGFLVEGVGKTGFDVSSKSEPWRRGYFQALMGAA KAAENLEGWLTDRKQRISAPAEYVVGPSNPRPKPMPAGQKKVPREEDCEQASPSPEVF YMKILTTRGFDTRQKLDAALAYADWLDYKGLQDTASDMYNWAMDIAASGSPVDAGKVV DVKTGVLKNDGKALPSENILRVSTALAVYHARHNNLPTALSIFTSVLKARRSLPPPPP GTIMPKLPSLHKSKDPFRYLFDSIKIMLVPVEYPAPLPSGNEPPLRTATSACDEAGLM TYIGEIIYASSSKEKGLAWTRDAVDTAEATMLELGESDRIPRHRCAECLRVGLENWKT MVSRLVAKAEKEEQESIQNAGRSWFGGQKQIEAKSVERKRWEAENLILDDRIRRLWPM IDGESGLEGIAPNSSLFV AFLA_100670 MNQKDFDGVCENCRLADGNLFSMPITLDASQQVINELKLQAGSR VTLRDFRDDRNLAILTIDDIYRADKEKEAKLVFGGDPEHPAIKYLNTKVEEFYIGGKI EAVNKLNHYDYVALRYTPAELRIHFDKLGWSRVVAFQTRNPMHRAHRELTVRAARARA ANVLIHPVVGLTKPGDIDHFTRVRAYQALLPRYPNGMAVLGLLGLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGEEFYGPYDAQHAVEKYKDELGIEVVEFQQVT YLPDTDEYKPKDEVPAGVKTLDISGTELRNRLRTGAHIPEWFSYPEVVKILRESSPPR HTQGFTVFLTGYQNSGKDAIARALQVTLNQQGGRAVSLLLGDTVRHELSSELGFSRED RHTNIQRIAFVASELTKAGAAVIAAPIAPYEHSRKAAREAVSQHGSFFLVHVNTPLEY CEKTDKRGIYAKARAGEIKGFTGVDDPYEAPENAHLTVDVSKQSVRSIVHEIILLLET EGFFDRA AFLA_100680 MSQTENIHVISKKDNSQHAVVSIDNPSSGTRQLPPSSVRVRPLI LSLSSNNLSYARAGELLHWWDTYPVPSEAPAPYNDQSAWGIVPAWGYATVLESTTDIA PGSTLWGFWPTSGLPTELTLIPGQPRGHWTEVSEHRKHLMTYYNRYEVVSEDDRDTMA WTAAIRAIWGAGYLLSEYVFSSTGTPVHPYGGAAGLSWATEDADLSSAVVVNLAASTK TARSFTYNLLCRPAGSGPLGVLQITSSPEALERAAELQIAKRQTPAGPVKALAYSDVD RAVPWLVGLKPAKLVIIDYGARDNVLVRLRELVQSNEALKDCKVVIIQVGSQQKVYTE EEVREAYASMKALGKIQSNASTTRDTAIELDGPEAFFDRMDLRWKQWLDDRAATVPDM RLVWGKGVAGTEGMYGGWERLTKGDVRPEEALVYMV AFLA_100690 MPAKMPDTYPSHAEDFESKDNATDSGYVSGSSSDDYLPEIVFTK PHLQFLNRQLQFLEPQDVLRWCVTSLPHLFQTTAFGLTGLVTLDMLSKLEVPRPQMVD LIFLDTLHHFSETLTLVDKIRQKYPLNNIHVYKPKGLNSEEEFAKKYGARLWERDDQL YDWAAKVEPAQRAYRELNVHAVLTGRRRSQGGKRGDLDIIEVDEAGLIKINPLANWTF DQVKQYIKDNDVPYNELLDRGYKSIGDYHSTQPVKENEDERSGRWKGQAKTECGIHNP RSKYAQYLMELERKRQEEALSQALQNQMTTAQ AFLA_100700 MAANNPTTRPQRPTLQESLRSNSFLHDHQQYKPAVPISSIGNVL ESSLESGVGSLSLNPISPDPEKVTESGITHSLFNHQVNPLPTGTPQIVATIYYKSSDP IHPHLHPDSSFNAGAGVKLPSPTVPVGSAPTVDIEKIPREPPAPEPEPLDHLYGPFVS QLCLTNFLQILESLPTPYQRMNTSHRCLDQNEHPRVVEVTFSPPPNPEYLTFADLRKH ESIWRFEREWNVEVVLQEESVFRRHKRLVVFDMDSTLIQNEVIDEIAKFIGVEKEVSE ITERAMNGELDFSASLRERVSLLKGVPADVFEKLKSVITISPGARELCKALKALGCKL AVLSGGFQPLAEWLAGELGIDYAFANHLEVDPASQTLTGKLVPTYPIIDASQKRKLLQ SIAAENNIPIAQTAAVGDGANDLLMLHTAGLGVAWRAKSKVQLEAPTRLNGETMVDIL HLLGLSKEDVKELTTEVA AFLA_100710 MAASTNRLRFLYSSARTVPQTGSITPISRRTYATTEPSPSATGA PATARKRTNFTDKLNAGPSFADFVSGGEDNTPLEPSEAYALKTAMVGPAGRKKEMTRL PSWLKTPIPDSKNYQRLKKDLRGLNLHTVCEEARCPNISDCWGGSDKSAATATIMLMG DTCTRGCRFCSVKTNRRPPPLDPHEPENTAEAISRWSLGYVVLTSVDRDDLADGGARH FAETVIKIKQKKPSMLVECLTGDYLGDLEMVKLVARSGLDVYAHNVETVEALTPFVRD RRATFQQSLRVLEAAKQARPDLITKTSLMLGFGETEEQLWDALRQLRSVGVDVVTFGQ YMRPTKRHMPVHEYVTPDQFELWRQRALDMGFLYCASGPLVRSSYKAGEAFIENVLKK RRAAGTAGESVTDSKAAVDEATR AFLA_100720 MYAVASKTKPKLVDISRQSSPAMSCSIATPPQPPVPSTPDLGHQ EISAFSPDTPLEMSDSGYATTDPSCDSPGDPKPDKEDEFIHVSSVGSNNETTIRDKPM RKVTSSPKSPPRPDVAQKANSPPKPRRSAPSSDTAENGELKKWWDHEWAMDQLEHSTK EFPRSMLRLTSPVIILIRHTDEKDILRRFRQIFPDAADNLLDGLCAALIARNYVLSLA SSKRKIANHCHRPTLSRLDTVPERASAMLGTPLAPSSPSRIRDRVLGSRSGELNKSLD RMIDDLLFAICRKHDATLKSAVLVLAQVLETKA AFLA_100730 MEAFGLLPSPPLSDSRESQPSPSSAYFSPKPDSDLDTDHGTPKS SVYTPTTISSEVYSIHRNEATPKRAGDTTNVHMAHSNLMKQYSSNNGKWTPVSTDSLR MSSPALSSDADAFEKRTFSGSSIETQRSRSGTASSEGSWVPSYLSYCEDWLQRAPLEF ETTGEKSKENNRRRIQIVQQSPPPPERKREMKAPADETVVGIL AFLA_100740 MSELQRVATPTVLAFRREVRSNSRDDYWPETSICATCRSVTTWR IRSSGPLQVLITEGMRQAAHRDSVKAPKATRTGRHGPILSRTVAPGNQNQSP AFLA_100750 MLSECFIAATLTSGKAPASASLRDVGICVHEFQPSTNLRSTFKK SSTPTNCLAVSPSHVYAAQAEKAIVHVYSREKGNQEAVIPFPERIRSLAIAGSKNGDV LVLGTEGGRLILWETCTGRQVATTASHLSPVTSLVVDPSDNFILSGSSDASIHVWPLV DILSFTKIPSGRDRQPPNSPIRTFSNHRAAITSLAVGHSSGRYNIAVSTAQDNTAIAW DYHTGRVLRTFLLPSSAVSLTLDPVDRAFYVGYEDGSIQSVDLYKAQSFQHPLHDPSL QSTPAQPSAEEKWSPPSADCGAAQSLSLSYDGMTLLSGHQNGKVLSWNVGRKKYATTV ADYTHPVTNLHMLPLAGLPNPGQDLKRVAHTIVKPRYDSSLSESSQAAGAVPADYTFS THLLNSTSSKPAAARNLDGSDRTNQFTEAFTSAVFPNSMIEEGLAELAAFNQPGGSTA QGSPMMTQATNYEDLAAKDSQIASLESELAALKKKTTANEAARQLTTDEVTKLRSDLA NLNDYVNGLHQKQDQAQREKVLRQARKEERETRKREAWFKAEKKGKKGDAVVRRMEAE EAMQTSDSDDQSSDE AFLA_100760 MPHHLRANEWCEYREGIVVSSSDGGGQRRHSTQMSNYHNNRRHS PSSPTNFSATVIDTGLPKKVVLPDIQLPEYARVTVRFPDYGREHYAQPVHPSTPRSEA GYYWGYYVRRCRSLSSVFTECPFDGGYDLSFGTSERGAPVYSVLEEDRQEHDNYDRRK IPPDYKHLLIVFGGVAGIEAAVHNDPQLCDMDIRATEAGKLFDYWVNLLPGQGSRTIR TEEAVWLGLTSLRGLVEGTHRPRPSYKSSNF AFLA_100770 MSSKPQTYLSNGQVLGSPPLWVRINRLIENIYIFFGLYFVSLFS LDPYTAAQNSQFNINRSQNHPNTRARWGGSGGSGSGGGGGGGPGGFGPRRIGRVDDIR GPECKSCR AFLA_100780 MKDASCDNGSPAPLLTAVYAESQVHLIIGGNPLAAARCAKSLEA GAKPVIIAPDTGDLHFSLSERIENGSVQWVRREFQDDDLKTLGREEVDHVVDTVFVTL GGNHPLRILSNYLIGAHISKLCRRLRIPVNVSDAPELCTFSLLSTYSDGPLHIGITTS GRGCKLASRLRREISSSLPSNLGTAIDRLGAVRRRLWAEDYAAGLCTAPLEGDEDDIT GQSHTFNKLVTEDDISAAKTRRIRWLSQICEYWPLQKLAAISDADIDAILQAYSSGKN SLDSTNGLGHLEKKGKIVLAGSGPGHPDMLTRATYNAIQNADIILADKLVPEPVLKLI PRRTEVHIARKFPGNADQAQEEFLQMGLDSLRRGRYVLRLKQGDPYLYGRGGEEFEFF RGEGYTPVVLPGITSALSASLFAEIPATHRGVSDQVLICTGTGRKGAAPEPPTYVPTQ TVVFLMALHRLSALVESLTTPPQEGSRPRTPWPKDTPCAIIERASCTDQRVIRSTLEN VCLAFEAEGSRPPGLLVVGASCHILHPRKDEKWTVEEGFRGLDDLRGEIVPENDQKHD AFLA_100790 MADGVLKAEKDFSKDADKLIPEAEQIAKVGFAPYSCTRTDAQRA IDSLLGLEKQARQASDLPTTSRLLVTIVTLSKNSGDWNLLNDQVLLLSKKHGQLKQAI TKMVQVVMGFLDETPNLDVKLSVIQTLRTVTEGKIFVEVERARVTRILSNIKKSQGDL NAAADILCELQVETFGSMTRREKTEFILEQVALCIERGDWTQATILSRKINKRYFNRK PKKSPEEIAKLKKEAEEREKTRGPDEPPMEVDDDVTDLKLRYYEQQIILSNHDYKYLE VCKHYREVLDTESVENNPEQLRAVLARIIYYIILSPYDNEQSDLLHRIQSDSRISMVP VENRLLKFFTIHELMRWPAIGQQFGPHLCNTDVFSPKPSQSADDQPFKRWQDLRKRVI EHNVRVVAKYYTRIQMGRLTQLLDLTEEETEKYISELVTSKTIYAKIDRPARLINFAK PRDADDVLNEWSSDMKSLLGLLERIDHLITKEEMMARILPTREKGKAR AFLA_100800 MSKQPLKLLMLHGYTQSGPLFHAKSRALIKHLTKAFPLHDVTAT YPTGPLRLNPADIPGYEPTQETPTEPLEAYGWFRRSNTASPPEYLGLEDGLAAVAKVM SEEGPFDGVIGFSQGAAMAAMVVSLLEPGRKEAFARFLDANVASEGKGETDAVVAGVP FPKAFEGLSHPPFKFALCYSGFRSPGARYRGFYESPALQTPILHVLGSLDAVVEESRS RALVEACAGDPEKEGKVVWHPGGHFLPSQRPYLDAAVRFIREQLEGGNKKDAEEEDVN DMDLPF AFLA_100810 MTDANTIQTLDTRLGELLAAIESHPMMTSSQPHPTGFYVHDFIR NTHTKLRNIDAQKLQAADPATVKEFQDIRGRNMLAEQLIEGSGPMAQMMLMMGGGPLD FGDAIKQKVREVNAV AFLA_100820 MTFYVQTWDEYYTQVLTLGIISGPVEGVLTLCLVFGLTAYMGGG SFWHQSMLETVGVPKLDAIPEQLYDMPFTQWYLVYGAIVLFFATGSSIVHVMQIRRER GQDPIAPLFGLLPLVAVWVFVPAYLYLQPTILENYMVPFALYVGLVNAYAASESSVA AFLA_100830 MLAPRILRPVSSLVRPLSSSATAYRAPSIRDITPSSAEEFAARQ KEFRENLEVARKKKVQQEREEQQLEANQLPKRGPLSSLIYGTKEGQQLDKDIERSFSQ VLARGKYVHSIVFHEVKPDRVDEYVDLVGQWYPRMAGTEENRVNLVGSWRTQVGDNDT FVHIWEYQRYEGYHASLHNISRHPEFPEFDRKLKSLIKSKKTSLMQEFSFWPTTPPRR LGGLFELRSYTLHPGNLLEWESHWRTGLRARREVMEGVGAWFVQIGDLNTVHHLWQFA NLEERKIRREQSWGIEGWAETVHKTVPLIQSMQSRILIPMPWSPVG AFLA_100840 MTESLNPPLSYEASATTTHPHVASTLPQEVIACLKNSRFLHLAT CDGLTPHVSLMSYTYLPSTPYDQYPTIIMTTNPSSRKTNHLLTNPRVSLLVHDWVSHR PPTRAPNPGGQRDGSPPPAATRSSLANLLLNLNTSALSSISTTIAGSARVLEPGSEEE AWCKEQHLENNTFEEEMGLFGQQQRQQPGQRRPSISIDDDVRVVTVRVREGRIADWKG GVRDWQVVLEGEDQPAPLVNGTLESQ AFLA_100850 MRLAHLHIPDITSFTRVSNLQQTLTTRLLAHKKLIPKDTAPGTT PIQPPDPTIITFTPNPVYTTGRRDLPPSNTSPTAHLSLPPPLEPIRSLLTSANGAEYH PTLRGGQTTYHGPGQMVAYTILDLRRLGLTPRCHIRVLENSVVDVLRGYGIRGLITED PGVWVPPVSGEGVPKKVTAVGVHLRRNISSYGIGFNVTEEPMWWFKQIVACGLEGREA TSLEGQGVSGVEIGEVAERFVSAFVERVNQDFACGEGAKGEKIEGVYRITEENVLGVD AFLA_100860 MGLCGRQTVVRRKMVLLGDGACGKTSALNVFTRGFFPTVYEPTV FENYVHDIFVDNVHMELSLWDTAGQEEFDRLRALSYEDTHVIMLCFSVDSPDSFENVA SKWVDEISENCPGVKMVLTALKCDLRKDEFENPNPNAITYEQGLAKAKEIGAVKYLEC SAVQNRGIMETFYEAAKVALEVKAQGSNGSKKGCVIL AFLA_100870 MRLTPASYDFEYEDADDDESGDIGIENKYYNAKQMKIDNPEEAI DEFLEVPALEQEKGDWGFKGLKQAIKLEFKLGRYSDAVEHYRELLTYVKSAVTRNYSE KSINNMLDYIEKGSDDDKAYQCMEEFYSLTLHSFQNTNNERLWLKTNIKLARLWLERK EYGQLSKKVRELHRACQREDGSDDPSKGTYLLELYALEIQMYAETKNNKRLKALYQRA LRVRSAVPHPKIMGIIRECGGKMHMSEENWEEAQSDFFESFRNYDEAGSMQRIQVLKY LVLTTMLMKSDINPFYSQETKPYKSDPRISAMTDLVDAFQRDDIHAYEAVLSKNPDVL ADPFIAENIDEVSRNMRTKAVLKLIAPYTRFTLAFISKHIKISIQEVQDILSFLILDK KLNAKIDQENGTVVVESTSDVDRLRSLEEWNASLRTLWRATLNDGEGFRTDETSQLHG MRGGPLFQSGFGDEAPPAVGLRAGRRLRTGWKGKGSGHGPKATGAGGY AFLA_100880 MKLNPHRYQAFLSLPVDQYCATRIETVKTEIDEVGLQALVDGVV EPAGFAVEILYLDRSEGDAVTPHMLTPARPSVVTIRLLYRPGHYDLLYQAETTVNMEP VVNYQYAMTSNYTPWDQGALSFDVNSSLMSIPNLMMDPTFGLAPSPIPAAPASPFQVS SPPDVYQPPIHTSPPVPMASPPPPRMSAPPPMSSLPSRSSDGPQIRLNPLVMKPNLSH SLPVTTPFKNSPYNQAHFQNQDFEPIHWEPSESRK AFLA_100890 MTSSPSSSSPSSDSVPTPSVSGVAKSTSASRIEKRQQNTLAARR YRQRRVDQMKSLEEELRKVKEERDALKMRVSKLEGETEALRCLVRREKK AFLA_100900 MGLVGQSEPVLMSHSRSFLLANDGGGNHSGQFESTLFSLYPPYC RLISTLHFYGHEEEIHL AFLA_100910 MESTVIDHSSRGGFALIYQNPYLLGVASSQLLEVSSSDMIRAWY RGVITMESFGARFPRIYTDSSFKGMVVSTLLLAAWFGSLINGPIADRLGRKLSMNVAV VIFVIGSAIQCGAVNIPMLFVGRAIAGLAVGQLTMIVPLYISEVSIPEIRGGLVVLQQ LSITIGILISYWIDYGTNYIGGTRCAPNTPYTGHTKTTPTFNPYTDVPPNGCTGQSEA SWRLPLAIQILPALILGLGIIFFPDSPRWLAMKERDDEALTALSKLRRQTRDSPALVN EFLEIKASIMLENTFARDHFPGLSGLRLHAAQYVSFLTTWARFKRLAIGCCVMFFQQF MGCNAMIYYAPTIFAQLGLDGNTTSLLATGVYGIVNCLSTLPALFLIDKIGRRVLLMS GAVGTCISLVIVGALIGAYGSDLVNHKSAGWAGIAFIYIYDINFSYSFAPIGWVLPSE IFNLSIRSKAISITTSATWMCNFIIGLITPDMLESITWGTYIFFAAFCLLALAFTFFC IPETRGKTLEDMDLIFGDTAAHEEKQRIVQIEAELRGTQGPNKDTLVKPSVQQEEYV AFLA_100920 MTSTRDSHSYACDECRLRKSKCSKEKPTCAQCRQLNKECKYSPK ITRICAPFARSLHLTYVEDRLQAFETALGRLFPGGDLDATVRSLLHDHEGPKPAPSSK SSSRHSTPAKTEADRTEPAPEALPQQADGFDWTENKITVGDLTDGMAALSIKPEGTGY FGASSSVVPLRALLKHGFDLNFPVGSAKPNAGPEGVPLKSQLLNSAPSGVIEQAFIDA FFLNYHRSYPFVHEATFRAQFYEQATRRHGQAWQILLNTILALGAWSVGDDNSDLDIT FYQEARGHLQRVSVFETGNLTLVQALLLLSNYAQKRNKPNTGWNFLGLAVRMAMSLGL HKEFPGWKISLLQKEMRRRLWWGVFIFDSGAAKTFGRPILLPEESVMDAKPVLNIQDD ALTASTTTLPPEVNGPTIYSGMIAQARFHLLTNSVYQRLISTPPLTPEETRSLQKPLE EWYNGLPDYFKQPSFTSESDPFALVRNRLMWRHWNLRVLLYRPILLRWASRRWTPGSF NEPEDPLEAECRMLCLRNARLTISSISDFMSNYLCTRIGAWYMLYFLFQAGVIPIILL MTDPTSTDAPAWLQDIEATKNLLLHPSLSSNRLAARCLQVINRLCSPAYADTAADRPA GQPPILMQFPDQLLNDPTFGAMFPDVDQELNLGGMDFSDWVNYTPQAQFP AFLA_100930 MPAQPPLPPLLAPYVSSLPQSSLTVLSSVLGATGNWLVLRFLYA ALSAPSNSETAFGLNGSEGVKNRKVVLVSFLRGWEFWRSEAKRLGLDLARLTDKRQFA FVDGLSELFYNPTTATATPRPSFPGTAAPRTVLPVRSQPSPVPARTPQPVPRPGNVST NPASREIGPVKRLHLSGNGTAALDALERDIATVIKQLKAPIPGEADEPEVLLILDQPD LLLAATGPSKGIGATEMGDWVMGLQQNTHATILTLSSDSPLIHNASASAPQPATPLET EHAAFAIGSAHRAQMVMQLRNLETGAARDVSGVLRVSKGGAWGQNEAGAEGSWEEREV LYFVQRDGGVSVFGRGE AFLA_100940 MTKGTSSFGKRHNKTHTLCRRCGRRSFHVQKSTCANCGYPSAKV RKYNWSEKAKRRKTTGTGRMRHLKEVHRRFKNGFQTGTPKGARGPESQ AFLA_100950 MSSRKPADVASKERNEYIPSFISKKPFYIDDDSTNDYLEHQRLQ KQTTEQSKWYERGKRAGPAATKYRKGACENCGAMTHKTKECLSRPRKQGAKWTGKDIQ ADEVVQNIDMGWDAKRDRWNGYDASEYRQVVEEYEELEKLKRVTGQKKITDGEDEEGG AEEEARYAEESDMGRQQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRRMVDMGAQE DQAAALVAEENFVRASGDAAEFEKAQKYAWEAQESGQKIHLQANPTSGEILRKKEQAD TEAKRQAQRKALLEKYGGEEHLTPTPLRDTMVVENERFVEYDETGAIKGAPKKAAKSK YPEDILTNNHTSVWGSWWHNFEWGYACCYSTVKNSYCTGEDGKRAFEEADKMLMLEAD VGADEQPEAETEDAAGHLNQEQDIDDRRADDSGTKSKKRTLMEVQSGITEEELESYKK TRLAADDPMAKFIGNDILEQ AFLA_100960 MEGLWKDETHRRKKRLGLADPDSSPFDPEELVSLSAATREQYKG EWIHEEEYRQLTLRAVAEERRQYGGGDVNVDDFLVQDPLGKDVKTVLESVEDLYPDNN NFPGLQAPQDLEIGESANEGAYTDENAALPVASDNDSYCSDDDNIADLFSEGNDEEER DVFDDPLADVFSELPFDEHREPNAPLAHTSTDYKPFFDRTEGSSSRHRTNSYAQGQLE HINSQAKIREDIRRAERTLKRSESEVIDHVHFNKRPKYAPKSRVQNSEHHNEEWVQHE GDRSSNVKFEDMDPRHEPSKGSPSQSQPLTQSRGYPKGSGQNSRLSFPVVKDPNDPMT ILRFSQDGGPSKVTRELADLAEPNIDASEDASEDKSSLPLLVLSTESKSNESSSFLSV MAPMIYETFNIPQNTRICCLRRPCPSPSEVLSTLSDYDYPAVIYDKAHYSDQGDVPDR PRDYAGREFRLQGSGIHYLPDFDPTGRSPAMLGEQTSILRDRQEQEQIDQHLRESCTS RVWEFAPVPPSRSEVIQWFESRQQEPKAETVQPERHLHEPNTKLNVLSQIEGPTQKNE YGFKYSQKGRSTSVEHQTQYMSIMSLEVHVNTRGVLAPNPEEDEISCISWCIQSDDED LDVNSHLSGVRVGMVFQGEYDKPEETLSKALRIDLEHEPTELDLINRLVDLVRLYDPD IITGYEVHNGSWGYVIERARKKYDFDICDELSRVKSQAHGRFGKDADRWGFNHTSSIR VSGRHMINIWRAMRSELNLLQYSMENVVFHLLHRRIPHYSFRDLTEWYQSGKPRDLMK VVDYFVSRVQMNLEILESNELVPRISEQARLLGIDFYSVFSRGSQFKVESLMFRIAKP ENFLLVSPSKKQVGQQNALECLPLVMEPQSDFYTSPLIVLDFQSLYPSIMIAYNYCYS TFLGRVHQWRGRDKMGFTEYQRQPRLLELFKDKINIAPNGMMYAKPEVRRSLLARMLA EILETRVMVKTGMKMDKDDKALQRLLNNRQLALKLIANVTYGYTSASFSGRMPCSEIA DSIVQSGRETLEKAIALIHSVERWGAEVVYGDTDSLFVYLKGRTRDEAFDIGEEIAKA VTETNPSPVKLKFEKVYHPCVLLAKKRYVGFKYEHRDQKEPEFDAKGIETVRRDGTPA EQKIEEKALKLLFRTADLSQVKRYFQKQCTKILQGRVSIQDFCFAREVKLGTYSERGL PPPGALISTKKMLEDPRLEPQYGERVPYVVVTGAPGSRLIDRCVPPETLLHDAQLELD AEYYITKNIIPPLERIFNLVGANVRQWYDEMPKVQRIRRVEGTVTSTGKDARKTTLES YMKSSTCIVCKAKLDDTDVPVCAECIRQPHISLLDLVTRQRHAEKSVSDLLRVCRSCM GVPFGDEVKCDSKDCPVFYSRTRYVANWRHTKAVLDPVIKLLQDKSESELEW AFLA_100970 MQRATEKPQLSEREHGRGSRKQRSRKGKKHHKRSSTLGPSSQTS SSTNKGSEDRSSSTATYQTGVSPHRALTTSESCLHNTTDPFLHSRSHSPSLSSSSTSS SAFNRRSSRSLTTMSHNRRGNRSRDFGRPGQGTSRQPQNSSRLQQQPAQLLLAPWTFW DTVAEYRLKIPFVQLSRIFQVRDTVTGDISHFIVLDSPPLYHRRINNIDVTHSEENNT WRESETWYRQTYIVRNALELPYLPIGLKKAKAVIDIGRWNTFKITYPRDADFKGKLTL LCDILKDYNVTFQDTDRFTQWDTNVEMNPPIWKWIDLSDSQPPRKACSLEDLFDHNFV HLPFQVRYQLEVCISNGYLSEFTMTREFAVKLSELGETQAVKLLEHVSAKKQVYYDPM KIFDLKFIKGVTQAKIPPYCCYMHSARITPSTIYYNTPTVDISNRVIRRFIEHADRFL RVRFTDEKLLGRINSTTDSTMDEIFTRIKRALTNGIVIGDRRYEFLAFGNSQFREHGA YFFAPLPNLTAANIRAWMGHFNSIRNVAKHAARLGQCFSTTRAIAGCPVDVVKIEDVE RNGYNFSDGVGRISRFLAQMSMSELKIKTPTGEPPSAFQFRLGGCKGMLAVSSEAQRQ EVHIRKSQFKFAAIHNGLEIVRWSQFSMATLNRQLIIVLSTLGIPDQVFHAKLHTMLQ GLDQALESDPQAIYWLKKYVDPNQMTLTISQMVLDGFRRSKEPFLTSVLTLWRAWHLK YLKEKARIAVDQGACLLGCMDETGLLQGYFHDKVPGNDASVEEKTAALPEIFVQVSRP EADKKSEVIEGVCILARNPSLHPGDIRVVRAVNVPQLRHLQDVVVLPQTGDRDIASMC SGGDLDGDDYIVIWDQDLLPKDWFREPMKYTSNKAQDLDRDVTVNDITSFFVTYMKND FLPRIAHAHLALADFLEDGVNEEKCIRLAQLHSDAVDYNKTGIPAILTRNLEPRKWPH FMEKFNKPKDRIYHSNKILGQLYDAVERIDFVPSLEMPFDKRLLNCEIEVPDDLLTFA KNLKGQYDDAMRRIMAQHEIKTEFEIWSTFVLGHANTSKDYKFHEEIGAISATLRDTF KKQCYEKVGGRNFDQLAPLALAMYRVTNEEMSDALNKYRAENSTTGNKFFHKPTPKID QLPLISFPWIFPHILGKIALGHFEVPGGIPVVDNDPFGLFTDDLDPTSPLPCRCSIVP AASMPLSFSDNVESLEQLLDFGLSTSGPHELASSTDAALSDVPIEADLSLLDFSDIPK YSQSPSCNCSTGGGCVIQSMDTTTPAMAVDTIQRSGSPSGGSTGGGCVVQSADVSNST FNEVVSEKWVEIVEEEDDLKPTALDKLNELLGF AFLA_100980 MMQKVEEICHDLERRCGSIEAPLRAVEEERRKISLEAQEAKKHN DELRLQLQQASRTIDELQQNMSRLEVHAEAASARIEELTVSLDAARGELEDQRRDSQE AANRDRENARTRELDLIASVAEKEEQLEQLQEDAHRQREESGRLQQMLDARSKERDAA IEQNAMFEQDMAKLRECIEEYRLLLTGKDEEVARLQAAKEDIETLMETLQSKLNEEVS ESDNIRSALREAELNFKRELATLTEQFEVQLSASAAEGTKQKEEIATLQATMQAAAST ACRELQTKEKRIQYLEKKVQHLRDERAAKAREFSEAQQHITRLMTVMGWKPDTTNTTT SGRQSRSRSSLGPSQAAVTQQQTHPEDECSQPQADIILAQSFETDTSQFGGRSPKRSR ITAFPVAELPAESHESTENKARHPVYRRGGTRQSRDRTVLGDTDQNSQPSSQENNKSI SNRRGSFKDVQSYGGANENHLQDVNLDMDLEFSKDFLFTSTSLSQATDEHNPQPEI AFLA_100990 MQDSADLVGREVERLITAPYAPSLQDLYDLVQHTPNVSLRSWAS HKPCQVGALVDALVDGLSRSSFALHLISIFTSLSAFRDSLLERYPCILDQFLQKAMED DGTEYIPVCTAILSSPLRAGFIPPARLAPFLKQLISTTEDNPCAEKILPIYKIMTGLQ SSPRVLHGIPPEIMSSLQIELTKTLRNLDDHMGNLLCLATFARIASSQRVPRGDESAQ QVPGWLQNIRHFFGAKRGLKTLDLVVLRVILACSTSCQSLMTDQAAESIKLGIEICAT VEGSQRESWIQSNSSKIAKLCEKVTRDNIDPEVQMLV AFLA_101000 MRALSRLPRGFPANPRVFHRRPTLPRPEVCQRSRIARLNSSSSS GNDREKRGDAGISEPSTGSSNSWTASRTLLVSALAAGLSYAYAISSNKSQPESLKQPQ YGSTQDLKKAIAELRAKLGDEAISTDEDDLQVHGFSEWSSVNADRFPVAIAYPKSTED VAEIAKICHKYKMPMIPYSGGSSLEANFAAVHGGLTIDFVSMNKILELHEDDMDVVVQ PSIQWMDLNEKIKDSGLFFPVDPGPSAMIGGMIGTNCSGTNAVRYGTMKDWVINLTVV LADGRIVKTRRRPRKTSAGYNLTGMFVGSEGTLGIVTEATLKLTPIPEETRVGVVTFP TMRDAASTAMLLIRKGIPVQCMEILDDVQMDVINRAGGTGRSWKTLPSLFFKFSGTSA GVLDSVTLTRDLAKKNHAESFEFARDEREALDLWSARKQSLWSMLALKKEGSQVWSTD VSVPISRLPDIIEITKKELDDLGIFASVLGHIGDGNFHTCILYNRKDQEETERVEKFV YDMVDRALEMEGSCTGEHGVGLGKKKSLQKELGPETIDVMRSFKKALDPHWLLNPGKI FDFKDES AFLA_101010 MASSPKKKCGVLGATGSVGQRFILLLADHPFLELHAVGASERSA GKAYKDAVRWKQTTAMSEKLSNLVLRDCKASQFTDCDLVFSGLNSDIAGEVEMEFIKA EIPVFSNAKNYRKHPLVPLVVPTVNPHHFDLIPHQRKEFGLKKGFLVCNSNCAVIGIV IPFAALQAKFGPVEEVEVFTEQALSGAGYPGVPSMDILDNVIPFISGEEDKLENEAQK ILGSVNADATAFEEQAGLRVGATCTRVHVSDGHMAFVSLRFKNRPAPSAEQVVQALRE YQSEAQKLGAPSAPKEAIRVFDEADRPQPRLDRDICGGYTVSVGRVREGAQGGYFDLR FAALSHNTVIGAAGSSIINAEIAVLKGYI AFLA_101020 MPRGPTKHQKRLSAPSHWLLDKMSGTYAPKASPGPHKLRDCLPL IVFIRNRLKYALNGREVKAIMMQRLIQVDGKVRTDPTFPAGFMDVIGIEKTGENFRLI YDTKGRFTVHRIQAEEAEYKLCKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KVDVATGKITDFARFDTGVVIMVTGGRNMGRVGVVTHRERHDGGFNIVHVKDAVDNTF ATRESNVFVIGQDKPWISLPKGKGVKLSIAEERDRRRAAAIAN AFLA_101030 MQQERRITRLKRKAHATVLNLDLGALDGGDKSLLEPILLPFSVP VVIEGSETNHQRASRYGEIEAFERRRNKKENFTLSGDRQRFEA AFLA_101040 MSIPNQDTVVGFHQSPPQAFFSLPETGGMTGVPRLRAMDESMSA TSMSVQSSYPSLFQSSAEIPPFFVTYRWWEDEATTVLWAFDVEDIKRVIQYGLYRDEN LPRSSLQSRNASAVDSFLLTLVQPKERAYIANLSFIQKVEEIIRRCKTNTPSLVAWSW FPSQVNRDLDPAAIADAIDAESHLHFTRIPFEELVRYSLGYPAIAVEWFLQQHTALYI HLLNYFNTFPEEIVRYTKVEQHLRNRSPFAHRALLHCLLALQSGGNYELPSATPGFEF IADPIQGLFKELPPSLTSILKVLSVLRVRFERQYVHARTMNWIQPFDIDFSLYEDLTG STSAADFARTLTNADERSFSALTPQQIMAEDPVVTKLLTKWESLSIEVWECCTALPDM IPYIQDCVQALLVIRNYHSFSALINGLRKYSITDSVFSNNGTAGAMALNPIVPPDLLF LTVPYQNYAAYRQQFQSSPGIPCLIPHIREYQQQGQPALLQMFQRMRNAMR AFLA_101050 MSVEVPLWPHFLFRVFEPLSCFGGYIFPLLDLNKFIVDSTPNVP PPETIHPSSVVLAGQLGNIYAVLGLLSFLIHHNTTDPKVFRNYILAYVFSDINHLYAT YRGVGWDAFVDPWAWQNLLTWGNIGMTVFMLVNRILFLLGVFGNAKVSETHRKRS AFLA_101060 MDSNGTFARSFDESLTRELPQLQSLRIAPLRQPVSSRILSIPSP LEPNASGVREPNSIPKTNNSTGVLPGRNDSGGLTESVNAARAKKNDHTVDAVSSLQPP PKPILPEFVNLRALERFPYSSFDDDSHARKRRRLEVQADSFGEHLQLPIPQAHKEPRP PPFGPFAILNGLNEPPPNAALLPPIEAGSITQLLTKPSRGTSFVEPALLTANTIVESQ SVERIEGRIHEILDSPVGENTVDGVQANVESGLFEDASVDQIGHEKGDLQNDKEAQPR AEEKEPLSPKTRGRSRKNLRKWTEEETTALLRGVVKCGIGNWTAILAQPELKFNKRSA SNLKDRYGTGRDPAEKVWTNRRLDFASAVPGLIGSAADPNEATKKLRDTLADALSRAE TEGTDGAPGKIRLPHPWPVSETPPGGISAGSSQESLSSSGTPTEESEPKSSISQAKQA STKTGPTLSSKSKSTLASLGIPEPHFTMKSRRRSRRPFTVAEDEALLKGYAVHGFQWT LIQQDKRLNLGHRRATDLRDRFRTKFPHAYRDGGSVSGNSFNQSEESGLKDGKDRASS SKRNLQPTKQLPGSQNGKSDNSEQSALGPIDPALSPPAPPPGLPLESMAGAPSTGVFS FPLDENATNPTGVDPSWADNTLAPMVWDELA AFLA_101070 MQAVPESRQQTFEEIYGPPENFLEIEVRNPQTHGTSRNMYTSYE IVCRTNIPAFKLKHSVVRRRYSDFEYFRDILERESTRVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVAGHPLLQTGSKVLASFIQDPNWDRNAW AFLA_101080 MGTYDDWIRLQDDAHRVMNERQDGQNMRDWCARSLEFWKRFDDS MLTAQMWITTLDEDVQRELTRAPQPQTLSEAMEMAVRFAGILSREKEHETDKERGRKR ADRRNPTLTEQTATRKRKRQRSSTGPSFSKIRRHRRQRPEGPR AFLA_101090 MARCPRRVATPIHFRFSSLFFPQWSILPPGSPRGCHLRKLNLRL SQPSLTSSYSSTALSRNEDTRTRHYEYNWIDGTESLEKYKPGGYHPITIGDMLQGRYH IVDKLGFGGYSTVWLAHDTRLEQYVAVKVCIADSTFREANILRALSPPSPYPGSNFIP ALLDGFELNGPNGNHSCYTMTPARCNLKEVSFSHLFPAEVARALVGGLTLAIAYMHSR GIVHGDAWFEIQTSLSYSADIWSLATAIWEIIGMKAIFSSEYTSVDEVICQQIDVLGS LPLEWFESWGKRDLYFDDDGVPKDGRYVWSSIDGAFEEGVQKYRRKFGMGEFDGEETA AFLDLMRRMLTFRPEERPTAREVLQSRWMVEWVLPDFERSSQMG AFLA_101100 MATEDDNFDIDIYGDGGGYNANEQGGEDDIKHDDTELILDAPEQ PQNGGPAHGDGAADTNAQQGHAQGTAPNGEHVTQSNPQQQAATETPAPPQGVKRKEHD DRPSDPDATPALLISDLYWWTTDDDIRGWVREADCEDELKDVTFSEHKVNGKSKGQAF IEFTTLQAATATKHKLESSGTTGRKYSVNYTNPQPNPFRTLPKDAPMRKDNQARSMSG GFNSPAQNMNFGMNNMGGGGGGFRGGRGGFNSRGGMNNNMGGFNNRNFQNPMGFQNPM AGGFGGNPMGGMQNYGGFNNRGGMMGGMRGGPGGMRGGRGGGGMGGPNMMGMPNMNPM GGMGMNPMSGGMNPMMGGMGGNMAMQGKGGFQGPNPAFNQNFFPPNQGVGGDGSWNPH GAKRSRQE AFLA_101110 MSETLQELADIPKDFLREGTLFVRRCTKPDKREFIKISQAVGMG FLIMGAIGYFIKLSKSVACAVNPQEKHPDSQGHAAGENRSRTNIASSVHIPVNNILVG GA AFLA_101120 MSSPDPHTASGDRPPSRNSPAIWHPAREWLEEDEEDDMDDEPET EISEYPEDASHPDEDNFDDEDGFYGVNLNYLGNIHIEFSMDEGNPAQSGEAAGQAGGR HSRVSAARLLNLLASNGMRHILHYSRAGGQMDEDEEDEEEELAGFFGFRRRARRGGGD PAPPVPSEEGAKLMASGDFGSNSYYTDELKKRRKSLATRTMWRELGIDLSGPRQEVQS ITQGLIPGSVADKIIHFDSRCYSGQFSDDGNFFFSCSQDFRVRMYDTSNPYEWKYYKT VDYPFGQWTITDATLSPDNRFLAYTSIRNLVCLATTDPLDTSEPSVLNLSSSNGRQFG IWSVRFSGDGREIVAGTSDNSVIVYDLETRQSILRLRNHEDDVNAVCFGDKSSPHILY SGSDDSTLRVWDRRSMGDGREAGVFIGHTEGLTYVDSKGDGRYVLSNGKDQNMKLWDL RKMMTTAKFDTIDAQDYTSGFDYRFEPYPENYYQSHPHDCSVVTFRGHRVLKTLIRCH FSPPDSTNSRYVYTGSADGKVYVYNLDATLAGTIDVGQATVDTRPQDPDMMNSAYDFS SRSGDLAWQTCVRDASWHPNAPVVAATSWNGWGLSTGTCTVHSWNGGAAEDEGTPPVS RNYDCRLKSVREYDQFKETVHHLRSRPVYRRRYEDDEYAGEIW AFLA_101130 MNGSYHNEYYDLSFPGHCQLGHYATSEDGHLMPNPNMDPNSSSN YQSSATSPVSPSSCTSADIFPGGTALPEIDTDFQLYDYSTNDISPTLPLDAGHVPSTE RLFSTIVPQAPHLHCPQSASSPDPLDQVKADLHRLQSTVQSAVRNVSHPQLFEMKSFV TDTYNCLENMIQEEIDGEIHSPHSTNSTTSTKDWYACQLCETHRRRTYSTKGAFRRHV STEHAPRFRHSCPRCDWATPRRDKVWEHLRHRHSPLDGLKRNDIKRTKLRSPRACPLC DKSVQSWEAYFNCVAEHCRIQSGSSPGTSGVQSRRNSGDSGSGGDGFNGHFAPGNLFD GQGPQTQFPNGGGGNANNGGNYFSGHGSYFYGNGCSRENNSAQLVGQRPSHPLGSVPD RDDASVDPALLSRTLGKKASEISHLGPSSLSGHHQLLDSAMESSPHAMPPMDNSKHHG GRKPHNLRSPSETTSFNNKSPRQMTSFKGLSPKKSQERPSSEPQNLLPKKCKSCGHIM KNCVECKLQEGNVDRCHLCTGKASQQHGVSKVLEDYDQYYDFACNGMSDRNRSASKIA WALEQVSQAFKTEGDLCSTGISRTSTYSSFEESFQWVSVMKAPDTACAFQASEQSTFT IMGPGNSTKTLSGAWDAHATRCRYTRMTLALCSPQVYNKIGHSGSLKGIHIGLQKVLY DTQYIIGDTSIACQPGPEKKTASGLTVEHAISCLPKTPESLCTIQSKTMSFRGFTVYR ASCVVKDRQSPQHPIHLIDAPSPAKVQLTRRRRARLRRKLQVIIGLLVLQASVTDTYP VSKQLEDKDAKILITESEDTDLIETGSDLDLSEPKILPQWLRETISTFSAGLIDHVES TMLATSIYKAEEEIWPKVKTFVGSMASYLETPVSPAVIEQHIAEYTKLGIW AFLA_101140 MPFSATPHTGSAQAHLTVDDAQKNVGKDIIVQSRETGDVVPQPP HPSAGNTDKKDQAKPFAHFVAGGLGGMTAATLTSPLDVLKTRLQSDFYQAQLRSLRAA HPLPPSSSLSSLPRSALMHFNETFQILRSIHVHEGWRALFKGLGPNLIGVVPARAINF YVYGNGKRILSDHFNYTNSQETPVGIHLTAAAVAGIATGTATNPIWLVKTRLQLDKSN AEHHNGQGRQYKNSWDCIKQTVRHEGIRGLYKGLSASYLGVTESTLQWVMYEQMKMFL ARRESAKRADPNYTYGTWDDVELWGGRICSAGLAKLVAAAATYPHEVVRTRLRQAPTV SIGDGKAVMKYTGLVQCFKTVWKEEGMVGLYGGLTPHLLRVVPSAAIMFGM AFLA_101150 MGHSHGLRSGTRYAFSRNFKEHGQIALSTYLKTYRVGDIVDIKV NGAVQKGMPYKVYNGKTGVVYNVTKSSVGVLLYKVVRNRYLEKRVNIRIEHVKHSRSR EDFIKRVKENAEKKKQAKEQGVHLHLKRQPVGPREAHVVQAAAPETITPIPYDTHI AFLA_101160 MAPVPRVYSKTYKVPRRPFESARLDSELKIVGEYGLRNKREVWR VQLTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRIGVLDESRMKLDYVLALRVE DFLERRLQTCVYKLGLAKSIHHARVLIKQRHIRVGKQIVNVPSFMVRLDSQKHIDFAL TSPYGGGRPGRVQRKKAASANAGGDDAAEEDDE AFLA_101170 MSDVNPAEILEQLQQLQKESGDLKSQLAILRISEPIFSPDAENQ SQSLSKRTSDASAIDNPTPASLVADLTHYKELFSKLRFSYVEQVTKEKFLRAIVGDPP LVVGHNENVELETQLAEVKAELKASKEEVRMMIEEMEKTGRDLANRYNNVELQMTQLS TLPESIENLESTIAELRAKQASDSVASSSQNLPLPATLSLVAEREAELAALNRQLAAV QNALPRKTREAEAMERELGVLERRKSEAITQAKEAERKKQEGESDGLEETGRWYRSAE EALKHLVGVEG AFLA_101180 MRQLRNQPASLSNDSRKDPHSRQPRSDDCKLHRDRKDDREDRHS SYRRRHRSRSASADRDRSHRHRRRRDSYGEDEDRHRSSRRSRRHRSYSRSRSRSPQED RVTKSRSRHYRQRRRSRSPSRSRSRSLSPNDRRKRRDREQCSPERSSTNQQLGYESDP LEDLVGPLPPQANNSTGAAPIRSRGRGAYRPNMSNIDAHFAPDYDPTLDVQLEDDDEN ASGKPSRRPVAGLMTGDDDWELALEAVRDRARWKQRGEDRLREAGFDDAFVKQWKSNT TPTTGDSEGRLEDVKWSKKGEGREWDRGKYVNEDGHIDVKASW AFLA_101190 MTDQFAFDYPSPLKGYEGLEPLPVELHEDGKTVKNPQHGILSKA YEEFPDPLAKDRRGGFDVHIYHFQNNPDQVAYARALYERVRREYVTATTVPSYVLEYA PMVWLHSEEAYMPSDIGEQLVHTTPMVNWKPIDKAPSATTLDNLDQFNNLGNTSVYLT SKEGIDADPQPSWFGGVKPDQDGRTQDAISSTIILRDHGDGTLDAFYFYFYAFNQGNT VLAMEFGDHIGDWSQSGTASMPRGRRLRMEQRRKSASVRLHIQGTVPMLIMPFLGNMI TLFPASTSLMG AFLA_101200 METYNANCHCGAVRFSFSLAPLKTIKINRCNCSICTKNSFLLVY PLRKDVVFRRGEDQLAEYRFGNRTKPHKFCPNCGTSVLIDFKESQFEKEREMMAINGN CITRRRRL AFLA_101210 MATATSGLRSPGHHDPSDIENGSTNKDQRRNSSLGFLRRPKSIE PLASKSKKNSKSQAIEEELRRQGAMLKQPPRLPDLSPAPILESFGGEERGHANNTTAP SNSTPSPQLQQPPSRNSMSTDYDPYARTESMTHRGRYSYASSAVSTVNNPRRLRRRKD PTPYNVLVIGARNSGKTEFLNFLKSSLAMPAHKHPSRPAEEMEYQHRHEPANQGYTSE YLETEIDGERVGLTLWDSQGFERNIVDIQLRGVTGFLESKFEETLSEEMKVVRSPGVR DTHIHCTFLLLDPVRLDENIAAAKRAAQGTPKASDSPVIGVLDENLDIQVLRTVLGKT TVVPVISKADTITTAHMSYLRKAVWDSLKKANIDPLEILTLEDQEEEYTSSESADEEE EDTPDNAGDGQKEPGSPSTKSQGSGTQAPPQILPFSILSPDPHSLEAGDEPVGRRFPW GFADPYDAEHCDFVRLKESVFSDWRTELREASRVVWYERWRTSRLNWKTPVPSAGPSK KMYAGRLGPLDQGPRVR AFLA_101220 MSLQADDPRSRGRSKSRQRSSSHASARGNTYLSSEPADEYLRAR SRSRGYRTSAGHLPSGPDLGHYTYPRDNTDPSRSPNLRPVRYDAPPDDVYSESDDEGL AYGDFPGGLERDYYGYMATPRTSSSQVNGAMMSGALNGDRRAGKEPTSGRSSEEALGG HPSYAKPGAWKYATPGQYLHAQPDWATIPECERPGFVPPSSQAGEQYMPGAFPQPATT TAPAFPMPQYANLEAQSNPYPSWGGRPVSMSGPHAYTPTASTPTHKRSVSSDTNAKTP YANPPAFQYAQIDPKVQYTSKSASKQPVSYTAAPQYTKPGEADRGQPSQHSNIKYSAN PQFSKIPTSRPESGQQYVEIVPGDRTGTRPKSHSLSSGNNLSVAGPDPGLRPVSPMLE PYKGTYQSISPMPSPIVIPSRRDEDVSDLEPLDGGSDSSGLRKHRRKKSKDERELKEP KSDRSKRERSRVRQERPGSQEQAVMLIEPSTPRKKVSFYDPEPDAMAMQDALSHTRSI DSKTLIRVLPHLTSEEILDLRAEYKKHVKLHGKSVNLAKHIRLKLGNSTFGKVCYATA LGRWESEAFWANCYYQSSTSRRELLIESLFGRSNSEIRAIKECFRDSRYLDSLEKCMK AELKADKFRTAVLMALEANRQSEREPLDDELIERDVNELHKALVSRHGGETAMIYIIV RRSDSHLREVLRLYERIYGRNFARAMISKSQNLVGETLAHILNGVINRPMRDALLLHQ ALRESRTGKERSELLISRLVRLHWEPRHLEQVKSEFRRRYGERLEEAIAEEVLTSSSG DDWGEFCIGLARSSKALSKKG AFLA_101230 MMHFLSFCLSVASLVSYAGAASTFSPARPPALPLAVKSPYLSTW LSAGTDGGNGGYLAGQWPTFWFGQVTGWAGQIRVDNSTYTWMGAIPNTPTVNQTSFEY TSTSSVFTMRVGDMVEMKVKFLSPITPDDLRRQSLVFSYLDVDVESIDGKAHDIQVYA DISAEWASGDRNAIAQWDYGVTDDGVAYHKVYRQTQLLFSENTEQAEWGEWYWATDDQ DGLSYQSGPDVDVRGAFAKNGKLANSDDKNYRAISTNWPVFAFSRDLGSVKTSAGTLF SIGLAQDSAIQYSGKPEGTTVMPSLWKSYFSTATAALEFFHHDYAAAAALSKDLDDRI SKDSIDAAGQDYLTITSLTVRQVFAAVQLTGTPEDPYIFMKEISSNGNMNTVDVIFPA HPIFLYTNPELLKLILKPIYEIQENGKYPNTYAMHDIGTHYPNATGHPKGDDEKMPLE ECGNMVIMALAYAQKAKDNDYLSQHYPILNKWTTYLVEDSIYPANQISTDDFAGSLAN QTNLALKGIIGIQAMAVISNTTGHPDDASNHSSIAKDYIARWQTLGVAHDANPPHTTL SYGANETHGLLYNLYADRELGLNLVPQSVYDMQNTFYPTVKEKYGVPLDTRHVYTKAD WELFTAAVASESVRDMFHQALATWINETPTNRAFTDLYDTQTGNYPAGITFIARPVMG GAFALLIL AFLA_101240 MHPKTLPWIVGLLSPTVTSLSIPIPFLPSQQIFNPSPWSDNEIC PLAPKISPPEDGLLPALRFVKDESIRARQANRLSRAVQVPTTVTDYMKDPYDEGFAPF VEFQELLEKLFPLTHKKATLSHINRLGLVYTLTGADTTLKPLLFTAHQDVVPINDASD WTHAPFEGYYDGTWLWGRGASDCKNVLIGLLSVVEDLLSQDWTPNRTVLLAFGFDEES HGFLGAGAIAEYLEGVYGRDGVEFVLDEGGMGLETLSSSSSSSFSSASGDGEGESEGE SEDGVIYALPGVSEKGSVDLVLTLSVPGGHSSIPPPHTGIGILSEIIYTLENTELFTP RLDTSHPSRKKLECQVRHSPSSVEPWLASALQSSDHVSTAEKLARSRGDQFRYILQTS QAADLFHGGVKTNALPEHIEAIVNYRVALHQTPEEVMDRAVRIVSPIVEKFNLTLAAF PENKKEEEGKVNHLTISTLSGALSPAPVSPTGTGEDAVWTRFAGVARAVFESVPSLKG KTVVVSGDIMTGNTDTRFYWNLSRNIYRWSPSRAGGALNIHTVDERVAVDVHLEAMAL YYGMFFFFSFSALSKVVRSMIADFVDLIRAFDAWDGSVESTYDLR AFLA_101250 MQSVLKPIIGPKKEIHDLSGRVALITGGALGIGYEVARAFVLNG ARVIMVNRKEEQGQAAIDKIKEEAGADAKIEWVPCDMGNLAQIKEVFTGIREREERLD LLILSAGINANQYGETHDKIDRHFQVNWLGQFYVCNLLFPLIRKTSKLPDTPAPRIVW ETSEQHRAAPKVVHFGSLDEINNPAIDNTELYGRSKLAIILGVKYGFLDRVIKPNEDN IYVLSVHPGAVSIPGT AFLA_101260 MKRQQNADREDRKKNQTYSVNSSIFLDGVDDPLPWAGVNAVMSR IDATIAF AFLA_101270 MTRTIINLSILGLLSVPALALNTACYNDLGSLTLSRSTEYQSVA LCQQICNEENKPVYAVQDQKCFCGDTLPPLSAKVENTECTTKCPGYPADSCGGPKTYT IGTFTTSKSRRAIYPTTTPESDKDTERETETNGILTAPDVDDGDSDPLASLSVNPTMV KTASNAPSTATGTIVTAPSGTAYPNQPDVVASPSKTLTTSVATGSARASVSASASASV SGGATPSASVVAGASQISSERGVVVGVVAGVVGVWGLF AFLA_101280 MARIATRVAGQQDIHGLKASPPRRRWTRSQSRELEAHPMDDVAA GKSSLKGNKLWKGKGKLGGHDLDVVTEESPLKSSRKSGFPARQVIPESPEDVHNNTMS GSTIIVPEAETDQEEDEDDDQDELGPELMLETLPSLERSAKDVLDFLVPGSADLKSIV NMAKRLSDPRNTQSKRLNLRKNALKNQPGWFEGRTYIDVTRASESLSSHFSKDGVQLN WSAEPILHHANCARFALEVLLASNNSTGFRKAIRDVEGQFPAPFMRDLVNGRRNAVGE SALLKDTFQLALEIRTQSLIMQLEYRQHEPSFDPNFILEDGFFLDVSVHEPIDSDNAP MRGFNLPRLNIDGSLPDEFREAASDRFEEMVANLPDEDGTFDIDDFKTTYSWRSFLLR AARWIRKRCEEIDQDISRQPSAESAREEFFAEIDAKNRRSSSVAGRSSLAPRHTEERS ERGTIASPDNRRVSAIPPATPRDSPRGVESKERRKSGKPAFLNKSSLERIAQRVKQPH VSIRDFEARRQSDAAARPAPRNIHKESESQLRRQTLPAPRQSRPAAFEEATEEHVEEP AEEPAEEPTGEHIEERTEELIEEHTGEHIEERTEEHIEEHTEDLIEEHTEVRTEEPTE VSGFREESPLLHHDEQDVFNVDSESELSELFVGERTQLEKSHSPVMRRSREPRFATLS PVRTRLFATEVRLEPTSTQTSNTFRPIPSSQELWKAAASRRGTTPTQTSNASRPLPSI QELWKAGNRDGPSKHAKMSEQASRFIDRQANAHRVSPISQSADPQSAERRHTELQSKK RRRYESEDEESDGELSNYNRPVDTARRRAEKPAQPNKRQRIEEHDESAAQLLNGLQET TRRTSVRESPEAAPRSTNPVPVSSSARHTVTSTKAPVRWTPAEDKRLIRLIEEVGLGG PKGSGWCKIASQNEAQPVKEGESRIAGRNQVQLKDRARNIKIRYLKDRKPLPSNFEHV TMKEKDRAMLAAKGINVT AFLA_101290 MADEQLPVLIIGAGISGLVLAQYLKTKCVPFQIFERDSAIDARS GGWGLTLHWALPALRQLLPEDIVAQLPETYVNKEAAARGDTGRYQFFDLKSGEALYNV PAAERIRVSRVRMRQLLTSGIEVQWNKSLKDIKSSPDSVTAHFEDNSTCKGRLLLSCD GSRSLSRQILYPTDHEMQPLPVQLLGATALYTPKEMNGAQMIDPFIFQGAHPDTNVYL FFSFLDTPNNFSDSTDKYHCQLIVSWADSKGIDVPKSNAERLALMKSLTTNWADPFRS LIHQIPDETEVVSIRVADWIFSPRRQRGHPRVVLVGDSAHTMTMFRGEGANNAIVDVQ DLVKRIDFTLAGSFTLDALRSSVAAYEQDIFARAETSVLNSRQACLDAHDFEKILNGS PLVSKRVLQEDK AFLA_101300 MGWVHHAAPEVEAQSQYREILGVCLSLTVLMVITVSLRLGLRAH ARRLGAADYVMFMSMLFSVIYSALCISQSRYGLGLPLNLRPKQNLPIYKKLNYAGRPF YQIGIAGFKASLCLSYLRLLTKTSLSIYRILIWTVLTISTLGHIAGALALIFNCRPVQ LAWRTDITGTCLPVGGTFYGLAIFTIICDLMIIFLPIPLLLRLKIKPAQKAGVVCLFL LGLFTTICSIMRLTQIHRVAYGDGNSTALVLWGTIEFNVGNIITCIPYLAPLLKGLVR DFRSNSKAYESQGHYVLESWKDPRSQLRSTASAPVHPRRTPSEELIMDSLGPSHGGIE MTVEVRVSLESKVSRGVV AFLA_101310 MREEKKHSDTVAHAIPTHRADDAAEYLEGHAGVADTQDVDISAL RRKIDYRIIPFMFCCYVLQFLDKVMLNYAAVMGIKKDLGLVGNDFSNTATWFFIAYLI AEVPNVYLLQKTPAAKWLGLNVTLWGVAAAASAGAHDYRSLLVSRIFLGIFEATIGPS LMLLSSQFYTRSEQAPRFTFWYLGLGVAQIIGGIISFGFQQVHHSFAGWRIMFLVLGL VTMLVGVLTMFFIPDTPMKARWLSEREKVALLRHVSVNQTGVWSSQIDMRQIWEAVGD VQLWLLTLTTICTSVSSGVVTTYSATLINGFGFTPPHSALLNTPSGIVSIFFTLAVGI GIRRISHRWAWFIFCTIPGITGGALMSFLPKHNKAGVLIGIYLVNAIVATLPILYQWT MANCAGHTKRAFSSALVAGSFSVGNIIGPQTFQARDAPEYRPAKIAVLATQAAAGTLA FVLFLYYVWENRRRASTADEGEEEVIDETKWAGLTDKENRWFRYVY AFLA_101320 MKVQHLSSPFLLFLLPAIATALTDFAPNPASSLNPTVAERDTTV SNAAVDGLAAPKVSPKGTLDAPVDGKDGRPHAGPWVETNAERDRKKTGTAKTEEQADT KSAEHTGPDGKPIPHSNDGVMDDPHRTGPKEGTRGTEGGVSEKQKGSTDSGEKVPDRP KEAPPLPHSEQQKAPASEDKEGKTSDSKMGVVEKPADLPEKPHDIPHPKSPPSVNDDP LGLNTPKGSTGQIPGTPEEPVDVLHSLLASFTMIVVSEIGDKTFLVAALMAMRHPRLL VFSAAFAALFVMTVLSAILGHAVPTLIPKSMTKFLAAILFFAFGLKMLKEGREMSPDE GVGEEMKEVEMELEEKEQEQLRRTRRRSSVTPHSLESGRAGRGKSRSAGNRLPSPPES LSSSSSRGSSPSRGRRLDDMLSGMNNLFSLLLSPAWVQTFVMTFLGEWGDRSQIATIA MAAGQDYWWVTVGAISGHGLCTAAAVIGGSAIAGRVSMRVGKCFSDSSISVNIRLLDH SDSRRRCGFLGLRSYLLDRSSLLDRVFSLA AFLA_101330 MVSAKSLVTLVFGATLAAATLSPASSNTKGKCPGTLNCDPAKTS TAIQAAECSHNTRTSGTQTFAVFTTDHQYDSSHGAPYGTCKAYTCTAPTDAEMTESNE DCWTFFWK AFLA_101340 MKDTTPTQGTADQLQTNAATTSPPVPDAPYSIFDKRQKWLIIAI VSTAATFSGFASNIYFPALPTIADDLGVSLELVNLTVTSYLIFQGLAPSLWGPVSDVK GRRIAYICTFIVFLGACIGLALSKNYATVIVLRCLQSTGSASTIAIGSGVIGDISTRA ERGGFMGIFQAGLLVPVAVGPVIGGALAGSLGWHSVFWFLTIYCGVFLVLLIALLPET LRSVVANGSTTPSNPLTRYPLNIYQRTTKAQWKLEDDSDASRPAARKRIDLTGPLRML ITKHAAPIILFLAVYYAVWQMSITAMSSLFKDRYGLGETQIGLTFIANGVGSMIGTLV TGKILNMDYQRVKENYETSLHAEAANDGSDSEDDFPIEKARLRLVPVFSILQCLSIIL FGWTIQYPDRVHIAVSIVSTFITGWTAVSTQSVIMTYLVDIFPDRSAAASASLNLARC LFAAGGTSFIMPMVNGVGVGVAFTICVAVQLVALIGPLIQWRFAGKWRKQAQDWTADA AFLA_101350 MRFEIPILVALTAGGSIVSAIKLPLTYCVQVIPEVISGLNPDRI YEHARTKVCSAGCVVKVSDYQSKGREMGIQIIDEQSKEMGAPELSSEYTKVLDSIYNT ALEKCEAKQLGETNICDVDPAQGRKIGQCVKRNMLSIVFDQPEAFWSILTTKCEEQYR FFSNEDLWEIKFPQYLEKFARERC AFLA_101360 MEQIPTMNDGRIILTQDKGQSYTAQLLYLIVAGYIAHTVYYAYW GPTARIPGPWLARFTRLWELLKVSKGHFEQVNIDLHKKYGPIVRISPNTFSISDPSAI KQIYLGRTTLMKSKFYEPFGDPLDPNLFSETDIKKHAQSRKAVAHLYSMSFLVTYEGS ADRCNVQLCAKLRDFARHRTAFDVPTWMQFYAHDVIGEITVSVIFSLLILAFGEPFGM MAKGQDEHGIADSIDETMAYASRMAVIPELHRWVSWFGRVAHLKTPFHNIQKYILEQI DARSGSDSVGIDFLKKLLTLREDDKIMQLDIEKTVGNNIMAGADTTAISLSAVIYNLL KNPGTETKLREEIDTLAAAGKLSNPVTFEQARHMPYLQACIKESLRVHPAVGRPLLRV VSPEGLTIAGQYFPGRAIVGINAWVAHYNEDIFGRDATTFRPERWLESDKEKLSVMEQ SLLAFGAGVCTCIGKNLSMLEMSKVIPELYRQFEFELSESEGAWMTWNNWFVKPAFEC YVRLREGV AFLA_101370 MSSKRALLIASTYGGLNGTLNDVKTMEGLLTKRDFEIIPCYNEN ATRAGILEAWNNLIESTSPGDAVVIYYSGHGALVHDEKRKEKNKSWQFQFLVPVDYDE STEGDFRGILDVEISYLLRDTTDKTRNVTIVIDCCHSGRMFRDPAEEPVKHKSLSKVQ FHDLNLYLKQLREKGYFHGEVFQLGNPYAVRIAAAATAESACEHINARGQWSGALTDA LAKAMGETDGIDVSWRTTLVRVCQLVNTRHQWQHPQVEGPDTRAHFSLQHVKSGALYV KVENGKAMIDAGSVVGVRKGNVYTVVPFNPDEADDGEPVVEATVTAVAAFKSKAALTH MPSWRSINHEGALAFLKRDVVDKLPVSLPQALDGLQAGVEESRYLKPSSPDDKQAPLA EFRHEAGSIVLVDHQGVRIRTRPIDSTEEGTSQAFSDIIADAERLARAKRVRELCCER RQDLLAHNLQVTVGTVENGEPKVNFQMAGNDRIAEGESVYIRLENAGSTALYVSVLNI NVVGTVSSLTGAWPLGIELPGGLDITLGSNSFDELVGSKLSWPTGVATDLPVEERFVI LVSNRPVSLNYLAESVNLDVDILRDAAEFVKTAAHLYDVVHVPFKLYAKSTDLDDVPD LDDDSSSETVFYDALDELPDQCILAANLPEPETVVEWADALSYPLDSVPKGIFGAILR TSKNIPPCIRVINQHTEEITVVVSKYRPNRMLSDTFNGPATKKTLASQTDECGCCVAT FPLWSRSEGFGVISIFKGPEKVLYIENDRVPAGATAYFANKPNLRLEKYAAGQQWISR AFLA_101380 MKLLYFFTFILSFALFISSLPVEGDNQHTAAEKDDTISPGEANK NATPSANPNNGFGYYYCPPRGCRAN AFLA_101390 MHPTIILQNAARAPRIRALGQHFVTRSAGQPSRLPSMSSTRSSY DSFAAYRSRAYQHGPLHQASFMRALANSRSGAYEKALNSRGEYIDHGDLSSQTHHLPW TEAEIDAIESGGASLLS AFLA_101400 MAPAALLSPEPPVVIGPATKKATRPSSALPQSLIDGARIAKKDT FNAAKHLNFQAPKRIYTMEEIGLEGQGISPHAGSEPFSLFNEEAIKQMRAEIFSDEVL ADCQYSSTFNKHMVRGMGPAYVLLILGMLVAFTNFTSRAPFTYAAWNDPEVLRRISEV AGIDLISSIDFEIANINISVNSNPQPVPEQQVPSNEELPAVAWHYDSFPFVCVTMLSD CTGMVGGETALRTPSGDIMKVRGPAMGTAVVLQGRYIEHQALKALGGRERISMVTCFR PKDPMVRDETVLVGVRGISDLSELYTQYTEYRLELLEERLRLKQKEERRRVVAKRPFD IADIRQFLKEQKAFLESMLEEIIEVD AFLA_101410 MAAKETELQEVSLALRTARANKTPIPTPSKIWPTLDAEDGFKVQ QINTEHAVKNGDRLVGYKLGNIAKVMQDAFGLDHPDYGFLLASTFVYESTTVHLKDYI KPYVELEPAFVLHKPLKGPNVTVADVINAIDYAIPAIEIIDSRVQNWEIGLADTLADN GSTGAVILGGTPRKLTELTLRDTRGTLRFNDKQVMDGNTANVLGNPISAVAWLVNRLA AYDIEFLPGQVILPGSCLQAVPMTEAGHWNCTFEGWGSVEFDVV AFLA_101420 MTEKLNLESHSAPNLIDRDIYLLHWRPAPPDDQPDIHGLPSLDH ALYLLNTVMFYMGQNYFLFEKKTFLAHLHEFYYGDALRKAMEYKLWFVQYLLVLAFGS AFVVQPTKNTREPPGAKYFIRAMSLMPEHSTLWKDSLLAIEVLGLAGLYLYSIDHRES AHVYLGQAIRIEQLEGMHTQLPEEELGIDTVTRCHNLWWTLYLMDRHFSASLGLPMIT QDNDITTLIDPPTASSRNATLSLRVRLSQMLSFILSSIYKTEETQLGIFLEQTRSVLH AMAGLAQEIESAVSIQLQKPLHRVPRETRLIMLIYHQCVIVATRPLLLSVLKERLEKL GHGEEDWQSLLVATEALIATGIKSASKTLQLLTDEDDLLEAFLPFDLEYTYGAAIHLM MAHTLFPGAVEDCSLNQVYSILDGMIYKGNQVAAARKAELTHLEYLFQELATRIEQCG LQTLTLHSLPQDEHAVENSSHQLENHLLPVPEPTLPMDGDPELLPSDLRPTASNMECL DSLGISSYEFFSIVAQIGHHESYSLLDPRET AFLA_101430 MDRLSERSIPNVTIDDMQDHSPKKEEVWEEVTHDAVFGEISEEG PNYRNVGFFGTVILMMKTQIGLGVLSIPTAFDTLGMVPGVLVLCAVAAITTWSAYVVG TFKLRHREIYGIDDAGALILGPIGRVILATAFCLWISIGLNAVSTHALCTAVFVIIAA IPGFLFSSIRTLGKITWLAWVGLPCILTAILIVTIAVGIQDRPAAAPPGEWVSDFKVV GNPGFTKGITAVSAIVFAFSGTPGFFSIVSEMREPSQFTKAVMACQAGVTIIYLVIGV VVYYYCGSYVSSPALGSAGGTVKKISYGFALPGLIVTLTIVSHIPAKYIFLHLLRGSK HLTSNSPTHWICWLSCTLSIAVIAYIIASVIPVFDSLVSLIGALLGPLMCFQTMGGMW LYDNWGTATRTKKWYFMAGFSMFVIVSGTFLMVAGTYGSVVGIIDTYNSSGGSAAFSC ADNSNSV AFLA_101440 MGAVVFAGRLWGVFSSYPNPTRGPSYGYISLFQSRIIKNSKIFE ISTARDSSPKARKQVQNPNAVLTYVGAITDQLVDEKIVETVTWTQKDSPCITGIAGED KIK AFLA_101450 MKSHSPPEGGKAQSTYIEDVHSLKHADEKAQGDYAGATAKTDPR EIRLVRKLDMRIMPILWAMYFMNFIDRNAVPNARLNNLEKDLGLVGTQYNTCISILFV GYLLMQIPSNMLMSSKKVRPSLYMSVCMGSWAVVSACTALTKNYVGLVMVRFFLGITE APFYPGALFLLSVFYTRKEIALRISILYSGNIIATGVAGLVAAATFSTLDKTHGLAGW QWLFIIEGAVTFGIAILGLFMLPDHPLTTRWLTPEERQLAHDRILKDTVNSEESKGPI AGLKQAFRDPRLFLLAFMQNMHLSSCSFNNFFPTVVGSLGYNSTITLVLTCPPYIATC LASIVIGITSGRYNERTWHITGCIGAAAIGFIISCATLNTAARYISCFLFASGAYAAN SVILGWVSATLGQTPEKKAASLSFVNVVANASYIYTAYLYPKSDGPRYLTAMASNAAF SVAVIVSAWVLRFWLQNTNRKIRQGILPGADEGLLYAY AFLA_101460 MSDGNRSGNVLSDYRQYLQDKSGAGSTLGLVLDLSCRKGISTRH WEAMVILLSQHHIRSGGIRSYVVHYG AFLA_101470 MAPSISDFPHSVASTQPSVCKVGINGFGRIGRNVLRASLNRTDL QIVAINHTCNTVQDLIYLIRYDSCMGKLSDDISIHALSDTLITINGRQIVLTSERDLQ KLNWSAVGVDYVVECTGKFTKRDLALQHVTYGHAKRVVISAPSSDSPTYVYGVNSDNY RADEDRRVVSCASCTTNCVTPVLKVLHQQFGIVQGLLTTVHAATQSQQVLDGYSKKNR RLGRSVFDNIIPTTTGAAKAIATVLPELTGKVTGVSIRVPAPNVSMIDLTVTTEQPTS LAEIMAAFRRAAKSSLAGVLYVSDEELVSSDYKGNPNSAVVDAPACTELNPQFFKIMA WYDNEWGYSNRLLDLTAHVALQEQ AFLA_101480 MWDSSGGMDVGTSNISRLIDRVSSVTEVVLSPAFFCRGMGDWIA ACPKLEHFKVDIGVISDAPSSYIFDPREFRQGLAPRKKTLKALSIEFHNSYRRFRAKH RTMEVQHYLGRDDLPFGSFREFIVLEHLSMRHANLMRLPGVNIRDSHDAAPQCLVDLL PTSLKSLEITDVVQAFILGLISELRLLVRQHTTIIPQFKRIVLHLQEGELELAMLLID DLKSECERMGIRLMVIGRSI AFLA_101490 MSTFRQFNRFLPPKVFAFCKYTIIAGLTGATSWQLWSRHCYFEP FGPDNDPLFQSKYFRRFNPNKHPSLDDSCVREVPLSRIKPGLVKDALNGGSKLLEMFC GGVWGGYGTIVTDHFIVLEKTPRSIVIRGGSSPTEDPDKPHEMDNISEITVDIDTKKG VAEFRLKNIFFNGVRHTSEALFPPPVVWLHFQYCKLLVEAGVSHCMR AFLA_101500 MACFNWMHLLSDKGQRWIESRTGEKVRFEGLYALDLPWANTRRL YMETLNAGLIHELPSRCSVEGYTKQYFSSFQSLVFPVISKPLFEKTLDLAYGPRSSFG SASARSCVYAFLAVVNLFEIGENFQEAMDCGYFASAAQTFMVQITQEMTVDGLQAVIM LILFQYFLGDLQAAAVSVSIATRLLYTLGANTMSGVDSCGCSQPYDKNIEECHLRDLF WVCYSFDKDICLRTGQPPAINDSYCNLTLPPDYARLQDSNILRHSMSMDSHTLPLFPW DLRLSKIKSEAYEALYSAGAQRKSDSEILSSIRTLDEALEQWRVSLHPDFRPTLSFSQ EMPVCANLNTQAVMLRLAYYHCVTMIHQASERGRLSDDCNEGRLSGINTSTSLAINAG TSTLSYLQTVLPVVEGECFWVVLFYAITAILTLFRNILHNPLGPEAHHHVHILREVPD LIRRIPIRKLTLGEVIHLRFLDGFTTELARLGACAISQVQLEATDIRGTQTFASSEEW PL AFLA_101510 MGKASFVPNLLTIMDRKEHARHRRLIGIGFSQSAMKSVNERLLH HVKSFYHVAYNAGLPDEANGWSHPLTMSDLCSYLTFNVMADFIYGKSYDLIHCPDYRH LLEHIEESNLRTGVLLYCPQLYIGRLDRKLFPRASTGNKTIHSFINQIIQERKSENGV GQSIYEQLGTQRKSTDHPLTPEEIRSEAMLLTIAGNDTTSTALCAALFYLGKNLHAYE KLAAEIRTKFSVVDGIGQDETLRNCHYLHACTYESLRMSPPVGSSMWREVGPGGTSID GEFIPCGYGVGTGIYSIHHNPKYFPRPHDFIPERWLSEKDGFICKEQADIPFAAYILF SAGTRACLGRHLAITELLTTIAALVLLYDFRISHTENGELGCGHALGRHGRTNPGEFQ LYHRVTSGKEGPILQLRPRKGN AFLA_101520 MTGRHYQRLAPKEELPPTPDDHSPVEQSKRKRASLACLECQRRR IKASFIQGVALAVHANHVCSTDVNVSTMNATTNAARSPPRPPSRT AFLA_101530 MGLPKLKLPRANADRAYKDEPDPRPSDAVLRQSANGDDGLVDTK IPFLTFRSFSMCVVISMGGFLFGYDTGQISGFLEMEDFLQRYGEQRADGTYYFSNVRS GLIVALLSIGTLMGALVAAPIADRVGRKWCVSGWCVILCAGITVQISSPTGKWYQVAL GRWVAGLGVGALSLLVPMYQAETGPRHIRGSLVSTYQLFITLGIFVANCINFGTEKKT STASWRIPMGVTYIWAIILGFGISMFPESPRHDYRKGKVDKAISTLAKMYGVPKNHRA LAIEFDEIKQKYEEEVARGQVSWIQLFKAPRMAYRVAVGVALQALQQLTGANYFFYYG TTIFQGAGIENSYVTQMILGAVNFGSTFLGLYLIENYGRRRSLIAGALWMFCCFIVFA SVGHFSLNRDDPPSTKTAGVVMVVFACLFILAFASTWGPMVWTIIAELYPSEYRARAM SLATASNWLWNFLLAFFTPFITSAIDFRYGYVFAGCLFLAAGLVYFAVMEGKGRTLEE IDTMYVWKVKPWHSSKYVFPETEPSIMEKRRASHASNLSTNPTTIDDNHETTV AFLA_101540 MKFSSTSALVAALVAGVNGASIPRTQGSVPITFIGAADAQFTQD FPTDGSSVAITNPLSISHIASSTNGVSCVFDGIDKSVTVVSNAETVDVGPPQTQISGS CAVGAVPLTQHERRENDEDVVITFVGAADGQFTQVFPVNGVATQISNPLSISHIESHR PGVSCTFNGIDSSVTTVTGVQTVDVGPPQTQISGTCHNL AFLA_101550 MMFTCNWTALLCALLSILSVCVADIPQTNYDVIIIGGGPSGLSA ASALSRVLRKSVLFDSGEYRNNPTRHMHDVIGNDHVVPAEFRKTAREQISFYNMTTFI DQKVTKLEKTGDNAFQATVGDQQYTARKVILGSGVKDDLPDVPGLQEGFGKGIFWCPW CDGFEHRNQSMGVLGDISEAYGAVRELHPTLNKDIRIYANGTNTTEQIAILDKNHPNW RKVFQAYNVTVNNKPILNITRIQDGGVVNDPAIRLEFDKFQIYFADNSSEVRGAFITN YGTSQRSDLPAQLGVEMLGSKINTLRKGLRTSVPGVWGVGDANSDNSTNVPHGMSSGK SAAVYCHVELAQEELDRDAGLEKRETEFDAESFHETTERQMGSEIQDLYKRLSRR AFLA_101560 MSKMVNWGILSTGDNATKFAKDLLIDPSTRGAHDVTHNLVAVAS STSLQKAQDFLSAVNAPPSTAAYGSYESLLADPTVEIVYISTPHSHHYQNARAALLTG KHVLLEKVFTINAAQARILVQLAREKKLFLMEAMWTRFFPLTLYVRQLIKDGAIGTVQ RVVSDRNLGRDIETLYGTEHRLVNPALAGGALLDSSGTSKSAPHVSGSLVKYAPTGVD ETATVIVTFPESKTQGVATASLRVASDPTDPGVRIFGDKGQIQIFGPAARPLSIAVVT YGEGGPEVVERKDFEIPVGHGLFWEADACARYLAKGETESDVMPLDETLLIMDVMDRV REENSLRYPAEVEGH AFLA_101570 MTPNPSSTNKAEASPTNVIVVGAGPVGLLTALRLAQSGIHVDVL EKEEKLNVTPRACSYYAAALHALQRAKVLDDVKKAGFTTHGLCWRSPLEDDGKGGKKF GDILASLPIVGNEGWDSGVVNLQQAKLTNLLYQKVLETGLVTVHLGAELVAIEQDSNS VTAIAIRGGGNQKHFQGSFLVGADGGRSTTRRLLGIRFKGHSWPERLVAMDVLLDDVE FDEKFPSSLFVDPVYYGLMSPLEEPRIGTESLWRYTVAVDPTDASTDNELVSENSIEE LLLKAIPGPRPLPFKVLRASPYRVHQLCASTFNRGRCALAGDAAHLNNPMGAMGLTTG LIDSEALADALELIIHDGKPISILDTYSDERRRVFQTFVDPTSTQNKLRCASDTETAK EDWLIRLMAKMTNAPREMVARGTQPFFTTWRTDMKQAIGHS AFLA_101580 MPSKDYVYKTSGSLEIAATVYYRQDEPRSSKKPIAIGFHAGGFT IGSRFLFNSNEIDALLDFGFVVVSADHRLCPHVSLYEGPIEDAKDAFNWARTTLPDLI KKDVNIDIDGDRIVAFGQSSGGTLALHLGSLPNPPRAIAAFYAAAYLSDEIWTQPTPG ADMMPPIDKAFAESVYDEAPASMTIVAPQQFIAPGTMPMPNLSVPRDAWMALAFKEGQ HLSRCVKDGDFGRVDPATYFSPKFPPTVFLTGTDDTFINPKFSKQAHAQLSGLKVETK LVLAEAGQHGYNFGMEKDDVRFQETVLPGYEFLADHV AFLA_101590 MPRARKTPPELYCSTCQTIFARKEHYQRHLRTHTKEKPFACTWC GQTFGRVDSLTRHHTTVHQNPGVEGLGGLVTSRRRVIQACTRCSQSKLRCDGDRPCRR CRDQQSVCHYGPSKRAPNFDVGDHVSAKPPKVPSGQVAPDGMNYERLYAAERSDPAQY PAPHGVTLPPSPERYSPHGEAEAMVTMNVTLAPDLSADHLIDFQSWFSVPADNDCWPD LTCYDQDLSVLDIVGPSVTEGSMQKSWDTQKRNASLPQPNIAEVYRRNQVPEIDKDAV EPRHYDPARTEDDAQLIFPDMTVIPREDIEAENLAHVEEVPAEVTSTVFELANDMQLK SNYPQFIELRIPPAPVLNAWVQLYFEHFHPMFPVLHKPTFSTSGSNPFLVLAVAAIGA HFSDIPGAQPCLRAMHELIRRYTSYTVRATVPSRSSDDTDRRWKV AFLA_101600 MYSGDRRALELAEIFQAVPVTLARRKRLFTNTLPQERLCRLDLP IAEKWHVSILDEQRRRAGFAIWLIDAAYDYNFDLCTTMKADELQNCFPQQDDRWDASN AQAWATFGEENASIQNITLGQVINDRTWRYAWSKTGTLGKQTILQYLANVVNGKDHVS PASPSFSPEQSHAALEALETLLEETGDQGYGHSWSDLKASAIHRVIILSALTLYHTPT PHIVPLAIKVIYGKMNDDSWTLTIDRWRSSSCQGRMGVLYASNLFETVRSARCIHFMT PVLLLNAVLVMWLYSIIHDRLRHGRGYQLEIPSVVLDLKSLNTPATKQWIANGSSCIK LPGISNLLSRQGRCKMLEESVVVMRSLRAWGISSMYAQLLERLRLVEDMQPAELATI AFLA_101610 MTGMILLHAPHPVVREEAWELSEKLSVGGKDVDHKAFEILQQVP LLVTNFSYVVWCHLMPRVVTGVGDAYTVGSQEAKDIHGKLVEVLGVPVECDDEPGYDL GCLVGIKDSDPTASTRRTGAAACITGVVK AFLA_101620 MADPLSITASAAGIVSLGLSVCKGLLAYYRPYKSRYEDIENTVE VVESLNGTLEGLDSLLAEASVFQSSSVAQQAVCAADLIKRCQVRMHKLDTMLAKFRKS SSNGKMAGLRGQVNRMLYPFRKETVLSVKESLTSLQGSLVIALHILQLAHTLPEVHRW RPRCTCPYSGQDGFHTWPPGPSASPHRPLCPFYMKEWKLASTYTRAVLCTRFLSYSVK VAFMVTIGAGGLSISPKLEFRAVVSAYSPAFTFIRHLSRSGYHRVQRNMEVPHADPVT LAQMFKDGAASPSDTLPNGMTLLHVLAFYYPMIPPKECTDWHDLMKYLLQAGCSPTFR VFHDQLRSHDAYVEQLEQATGRAASEFPEETVPLVTRSTAQLHSLIRQRADMKDWILL YANWPEGLLLLLQAGYKAHEYELYAALDFDCESSVRILIETGNVIVGCGELWAATRHP NSKIADLIIQALVDRRKRLQLLAEAHLSVDELSELKIRPDVLIDLQTQQVIQISRELR TSI AFLA_101630 MYLVDAIALGRLEVPANMVELSNEDHTWTLATLMLTWCAICAVK FFFLVFFRKLIDRLRLWQIYWWFACLFNFGLLVFGLVAFWVTCPHRGAAAMECNTSKY LGSLTAYTASKVAVDVVSDILILAIPVGVIWKVRADWTQKLAIAGSLCIDVVQVGLSI ARAAGLEHDGHADGIFEMYTLYISAALGVFLAAATAFRPFIMAKKHSKAYTPPYSPWA NSFSNQRKRGSDRSETSGWSGQTPTPTTGDFERLTPDSKDSDSRRDDPEWHAMSSTNS SATDCASVVRDHSMADDPVEVTEVQPANVLKHTR AFLA_101640 MSLYSSYILYPGDWVEVGHNLKSKDGTVEFGVQTDGRLILSHSG QCVFQTEQRNDIKGLKMKRDGNLCLYTKRGKPIWQTDTAYPIGDHSVCCFVQDDGNVV LYRGENAIWSSRTPRDPGHVCSGSCRP AFLA_101650 MPNILQNGQWLRPGQNIKSEDGRSGLTMQEDGKIDVYHDGRCVW QNTREQRYDIQGIHMQEDGDLVM AFLA_101660 MPCTNDDVEVWSTSTRGEGSDVYCIIQDGGNLVLYNDGDRPIWA SHIQKGTFHIPNDDDEYCNSPLLRGSWS AFLA_101670 MEQMQGFPESQPSSSIMDSLRAARDARMFASTSNTENIEILMEQ PLETPWAILSYTWRNVFRMSQTPTSKTSLCTACKAAAYIDIPFLQIDTCFISGPGVSL RAKSAMNGLEYADYRRAPMCLVYLQDVVYTYGDSNFMEKIQNSSWLNEAWALQELLAS NEITFYSSEAKRLCKKSDIL AFLA_101680 MHLVYTATRTPEYFHQVRAFPSSFAIFSRSWDMIPESPGTFLPP DARLDKACEKAIEYGYEWLWTESSCVTNTRKHNLWKSIFELYRMASLCFVYLSDIEKV ADWDQSKWFQHAYTLPELMASHEILFFTKTGKVVGRKSDLCKELSSITGIEEAVLRNP EKVQSCSVAKRMSWASLRDAKEVGKEDLAYCLIGIFLVPDLSVRFNGLTNAMLSLQLE IMKEYQDDLSIFEWQHTDINDPCTNGLLAGSPFQFHLCGDVKTCKNGSKINLASDSNG MFSIHGLIISQPLGRIGLVLNSYHSDIHPRMYPCIHLSTVPPESPESPESPESPESPE SPEVECRRMDISKVYCISAADAMKTPMAQGTIYIREDGTAFIQ AFLA_101690 MTRVTMDQDTENKVLVIKNMDSGYLVAGEQVTLEDVSYDATEPL AEDELMVQLLYATYDLFKRDLASSSADATELRGRKPVETMSIAQVIKSNNKQFQEGDM VIGRLPVQQYVLIKADDATELKLLENPCEFDDIRLFLSVLGVPGLLAFSSLYEIGRPK KGETILIAGASDEIGQLVGQMARLEGLKVFGSVESDEKLDFLITELGFDGGFNYAKES PYEALPRLVPNGIDIYYDNLSWMSRLNIGGLDTHFDLLGSRHLNAAFSSMRRYGRIMF YGTIAEQTVLDPIIGMFLHNTVLKRLTIRGFGLSDPSFGKKWGKLHMERMQQWVKEEK LKIPTFEITGMDNAAKAFVEAFYSSENTHTHTILAVT AFLA_101700 MPSQVLTHEEEYDLAVRQGKGLAQQFFDHAFLNPSAMAVIDGDT NLTYQDLHERAAMLARELQRGNLHTEEPVGVVVQHGISDVVAQMAILYAAGTCVPMDP TLPDLQIKGRLDRLKARYILVDRANQHRDLPFHPLIVDDSSASFSKSSHVRDNEEPMQ ITLEHRTHIIHTSGTTSEPKAVQIAARSILQVVFHAPFEPLYPTDRVAHVNNSSFDVS LFDIWAPLLRGACIVVVSKVTLLDLETLAAYIDRQGITVMATTTAILNLAASVYPRAF EKLRLCFIGGEAANISAIETIFQAGPPTQLINAYGPTECCIFCLAHRVTIADVQAGVV SIGKPIGRTVAYICDEAGRPVPDGHEGELLIGGAGVSPGYINQPDKNRASFVAIEGSD CQRFYRTGDIVRRRVSNGQIDYVGRRDHQVKVRGFRIELEAVESAIMKTGQFSEAVAL KVEAGSEGAGSILVAFAVALSGTKPHAVLSAVDMLKAVLPDYMVPKIELISKMPVNSH AKVDRKYLQQLFRNRWAEQHIDMDNEDSTRGKLANLWASILGVPVPASNDNADFFLLG ATSMQASLLISRIQKTFNVQVSLLTLYDNSSLIRLAGILEERILGTQESFCKESERHM WLEDSKLADSLVPPSDPPVDWCRDTEGRVFLTGATGFVGSFLLADLLRQPNVHQVGCL VRAVDPATGLRRLQNGLAKYDLWEDQFRYKLLPLCGTLEDRYLGLGPDRFEEIAHWAS VIFHLGARVNYTQPYSLHRPANVQGTVNVLRLACAGRSKVLHYVSSISCFGPTGFITG TRTVMENEPLPRHLEALPYDHGYAQSQWVVENMLQRLMDNGFPIVVYRPGFITGHSQT GACNPDDFLSRLIIACGEMGSYPLLPNQRKEFVPVDYVNAVILHIASSTATAVGRVYH IVPPNRDLSLDMNDSMELVGSLAEGNESSVRGVSYQQWVQELDRQSPERLRPLQPMLT EKLYQGLTRWELYENMPVYDTTNTRQALESYPGGLKFPVLDSELMQKYIRYLQIRSAS PKEENPLNGTDS AFLA_101710 MSPDRKLITIFGGTGKQGGSVAHSLLQNPDFRVRVITRNAQSDA SRKLAALGADIAQGDGFSGDEMLSAFSGSWGAFVNINSDDKIFTTEGGPTEFDMGKII VDSAVQAGVKHLVFSSGPPCTEMTNGRVRMKAMDMKNKIEQYARSLGSFETFTPIGAG WFLENFLGKEVAPVFGGFPYFPDDQGYLTFRVPYWGGDEHVPWLSISDDFGDIVQGIF LDPGRWNGHFVHGVSDIRSFEQVVADFAAVTGNKARFQPILPTWEAFDTHGIQELEDV KLMFGFTQLTGGRYFGPEDTEVDTARQLKQITGLKLGRPEGQHKLTSARDWFAARFAN AFLA_101720 MIGEQYTSIITGFKSALAVSCIAVSLFLLSPWIAYARLPSSIKS PIKAKGPLSALRACLNEISAGAKTSTRGYELYSKKGQSFAMLNINFRPQVILPPEHVR WLVTQPEDILSHAKASDDADALGYIWPLFDASALHSFSKVLQIDLTRNVTQTEKDVLE EVQHIMDELVGQTESWKEVNMVQAFERIMYQATQRVYVGLPLCRDSTYMGYVKGYARS LGTAMVFAAQLTPWPLRQVTALLAGLPVYYYVLRVRSYLSPLFKERMERLKEKGGTQD DNLEGEPRNLITWMSDGVLSGVGPKSISPSEMVTWLGILALLPTDNLWTTCTNVLLDL LSSESEHAYLHTIREEARTVFASSKESGKPVSHGLHHIDSAIRESLRMNSLSPRSLHR QVVRRGGVVLPDGQKVPTGTWLCVLSGNIQRDEDYYEDAQTYKPFRFVPKLTEAGGDK APLLPLTNEKYLTFGYGRHACPGRWFSFQVMKIVIAYILANYDIQPLEKRPDNIVFAD LNIPHLSHIIRIKRMT AFLA_101730 MAASTTAQILVVSLGLLIFVLLCPWFGYLRLPSSMRWWPSIPSG PLSALRLSLKEYSGSRSSEDGYKAFSKKAELFAICNPSFYPQVLLPPEQIPWLLSQPE NVLSHEKANEDVHALPFLAPAFDNYDHLELIRAIRTDLTRNIPNTEDAFLDELRHTTN EVLGAPGDNAWKEVNLTVALDSIIFGICLRLFFGVSLSRNRTFVYYVKIFTRVTGAMM LFVSQLVPWPLKPVVGIVAGFPIYYYWVRLIIYLYPTFKERIQCLRTKKETPPADMVT WMVDLAISQNPTRKVHISSLIVRLTLIVFLPVDVLIAMTDNFFLDLLSSDPDRKYYNA LRQEAEAAFTNRDKTQPISQSMPYMESTIRESLRLSPLSDRMLSRRVVHKGGITLPDG QFLPRGTWLAVAAVGVHRDERTYEDPDEYRPFRFLSEDTETKEAKAMLVPVTSEKFLA FGHGRHSCPGRWFAAHAMKLIIGYILVNYDIEPLEKRPVNSVVGQTIIPQLDVKIRVR RRE AFLA_101740 MTYDPENAMGEARADAPVEAEKEHEATQTTVKESTLGYDNSSDP SRRDSYRPTKLQSNLTIVSCYIANFSDGFQNSLANPTNVIFKKLLGTDGYPSEMQTRI SNSLLIGAILGVLALGYTSDMFSRRAGLLFTSGLVAIGTLMSTLALQVHPTYNMLWYF VIVRGIAGFGVGGEYPPSAAAGIEESDDFKRKYRGPLFVSFTTLMATSAAPIQMIVYL ICLIASNDNLPVTFHAIYSIATILPVIIMVLRFFMTDSTLFHYSNFKRQKRPLKFYLL LLKRYRWRLFTTSLAFFLYDFINFPNSIMSSTIINSLVKDHNIRTTAIWQVILGALPV PGVIVGAWLTNAIGRRYTGILGFAGYMVLGFVIGGTFPHLSKNMPAFVVLYGLLQALG HMGPGATIGLISTESFPTAMRGMGYSIATAFGRTGAAVGTQCFTPLQERAGKQSTFYL AGGIAILGMIVYWFLPESSELNLEEEDRDLSVFLAENGFPMEKA AFLA_101750 MAQAPTGTFQVHYFASASSYTNRQSESLPAPLPLAKLFDVLESK YPGIEAKVLTSCGVSVNVEYVDVEEEKLKLRDMEAQDGQRSDLVIIKEGDEVAIIPPV SSG AFLA_101760 MADPTLQPAILIVSDTASEDPSTDKVVDALTPLLLTTDQSPWKT PFSNIVPDNVLDIQRSICDWTDGQDAVNLVLLSGGTGFTSRDNTPEAVTPLLHRHAPG LVHGMLAASLKATPFAMMSRPVAGVRNGTVIVTLPGSPKGAKENLDAIVKLLPHACIQ AAGANSRLLHAGGMQTLEAEAGVSSGNNLESRAENSHAHQPQLGHSDCGHSHHRGNNT AKSNDTSEGPSRRNRLSPYPMLSVDEALWRISHHTPDPVVVEAPVTPALVGSVIAEDV YAAEAVPAYRASTVDGYAVIAPDSTSENMTSTTGTKGIFPVASVAHANSSDFAPPLER GTISRITTGAPLPPNANAVVMVEDTLLHSSTPDGTEEATVEILADDIKPNENVRQPGS DIELGSMILRKGDIVTPVGGEIGLLAATGTHTVKIYRKPCIGVMSTGDELVPYDDPQR LHGGQIRDSNRISLLSCLSSWGFPIVDLGIAPDTPAGELEERLRDAVHREENSVDVII TTGGVSMGELDLLKPTIERSLGGTVHFGRVSMKPGKPTTFASIPVKRSPVQTDDAPRT WGKKLIFSLPGNPASALVSLHLFVLPCLHKLMGMGQGRLSPGSGPVPGLPVVTVTLMH QLPLNRERTEYHCAIVRASQADGLLYACSTGVSVTGQRSSRVGNLAYANALLVLKPGH GYIEKGTLVEALIMGPIRSGV AFLA_101770 MRTSSRDYLMLAMLAMPQAHLASAERVLGAYIFARHGDRTPKIL GNTQLTDLGYSEVFMTGSYYHNRYIDSNSSLQIEGISTDVVNLKQLSAAAPSDAVLQN SATGFLQGVYPPVGATASQKLANGTTVDAPLNGYQLVPLSLTTTGTNSEDNTWLQDTT KCQKAKVSSNSYYSSSLYKDLLASTQDFYTSLTPVLKGEFSDSDISFKNAYAIFDYLN VARIHNSSTENMPTDAQFEQLLALANIEQYNLAYNSTETVRAIAGAQLAGEMLDALSE TISTQGKTKLNIQFGSYGTFLSYFGLAQLPKANSDFTGIPDYASSMAWELITDSPSGF PDPADISVRFVFHNGTITGADEPTEFPLYGQSSTTISWTEFEKQTKNIAVMSTAEWCS ACGNTDGKCANPSSDSAGAESTEKKSNEVSRPVAGVIGAMVTLAVILGLEAAFFLFGG FTIAKRRKAAAGSIASDATETKFVEHS AFLA_101780 MSHLKKFERKVERKVENLEHRLSHDAHQHQQQQKGKPTGKMQDT PGPKIKNEVKKQIENRPDEWKIEQGINAAKLPFLDQSGPETVYIQPKVWDGKMTKDEA AIKAVGDPKKLFPREIEGWKGYVEWEEYPERKKAAHKILTSQAFPPNPEYQMGPIPDT NPVLPGTHWKQWHHAVGGELTDVPEDSWNTVLKEKHPEMLHLLQFPYNGEPPKRLTTA QPVTPNSLHFVRNHGGIPLIDKDKFFLKLDGLVKNPKTYTMDDLMDESKFPRMKKMIT MQCSGTRRIEQISLYAGQGDEVPQAPWAEGAIGTAEYVGISLKKVIKDCGGLLEGGKH LELYGAETYFKNNEAMNYVVSVPWSKVKANEVMLAWEMNGEPLPKIHGYPLRVMVMGY IGARSVKWLYRIKAIETPSLAPVQSKEYLYFNQQVGKHNQRPTDGIQIQEMPVSSAIM SPWKNQVVIHNGKIRCKGWAYSGGGRWPERVELSSDGGFSWYPVPLENMSEKGQWTWR TWEIDLPCDVEGWIEIVCRCWDNSLNTQPLAVRAAWNWGLHVTSSAHRISVYSINKSR PLTAERLEMLKAHGQPLAPITWPEELQTQSWDEYKDFFKKYPRDPDNEAVC AFLA_101790 MANRLERLRHLLSIAQHAGMLSLIIHLSTRLLISKQIVELYHLC SFRVICVCHGCLRPAFDKNAKRVEGKTMVVCTRFVSNGITGRRRYGVHYEMLAKEYKD AWLVYLEGMTNGRASWRSDNDRD AFLA_101800 MSSSKTVALFTLALASFKGALAALRLNSPNNIAVYWGKYHAKRI ICAITDMTSQVYQISFLTRINGAGGVPEVNFANAGDNCTAFPGTQLLDCPQIAEDIKE CQSLGRTILLSIGGATYNEGGFTNEAAATAGAKMIWETFGPVSNPSVKRPFGDAVVDG FDFDFEATVNNMPAFANQLRSYYASDTSKKYYTTAAPQCPYPDAADGPMLDGAVYFDA IWIQFYNNYCGLQAFVPGSAAQNNFNFDVWDKWARETSLNKNAKVFLGVPGNQGAAGT GYQPISTVSEIIKYVKQFSSFGGVMVWDASQVYANTGFLSGLRSALGGGGTTPAPTTS PTTTPTTSLTTTSKTTSPTPTTKSTSTSTTLTTTTKSSTASPTSSKPTASPTSTVCPI AGQTCPTSGAFSCNGSQFGICDNGRWVMQQCPAGLVCAQSGSGVYCDYPGSTGVAPCS AFLA_101810 MPRLIDREPNTPLVVALRKLGYTPYHGSECFKSPPRDFNLWIEA LKCNFFNPDPKKIPRYGREEFDRLIGSYDAVLDIPASMFWEDLIHAYPDAKIILTTRD FDSWWVSMDKTLFRFIRMPFFRYWQYFDQKEVGPLYRMSELVWRVFCGNCYEKEVCEK AFQEHYRKVREAVPEERRLELKVGRDGWDVLCPFLGVEVPAEEWPREYPARALNENID MAFWGAVRTILFWVGFGVVVVLGVVWMGAYAGRYHDEI AFLA_101820 MKQHDEEAEYVLVLHAWKRADTFNRGFLHLSNGAVTQQVPGGAQ LVEVPQNPAIANNHSLLVEHVCTAKRHPSGTGLVVTLKDATLPRYLVSDENRFWSRVK QRWFRLVLGTNGDVIWEEL AFLA_101830 MAEVQQDEQDPQYQFFLYLFLSEEALEVQVTNDSSITNVDEVVR QDPMLKRFVGYKDDITKPRFIDMGRQGFVFRFKYREQDLCLKVFYPYKAPYNVHKEVE AFISPFGCESRAFARLCDLRENGHWAVRCHGWMYLTDSQLQQLRRVCGRRVGNDPYWG NARWAIVKDFIADKPPSRQDEQFQNILSNFCIPKRGGILPDDVKKENYRGDRIVDLGS TITFPFYRRYAQAEDLDRFFKELDQYELPEWDNSNE AFLA_101840 MPPTSVTPPSTPSPNSLDQHVVRAIFTQLKTVASTTGFDAILEV YDENNKLLGQLNSKDEYIASLKNEINDEKERKRIALDETFKVNEEERTRHKETKGVVQ TLRTVISEKDTCISERNKKVDELGKQVKKLQSDNAKEREKVALAQKEINGLQQSIQDK EITIDKMKKAGADLKDKLASAKQRVKELENEALGLKGSLATTQASLEKLEGYATRYSD ITEDSAIESMLKFWDYAKTEIFAMLETDLSNDTLRNNSVWEKLRQCELARDHQLPLPC SNTLAAKQMRLALILAILAREINTHIFLPIYIAPVDNQYNQFRKVLANQASIDSEKES FCRSILLSLDPTTQDRICRVGIQTVVKNVLEYLDELLPEDRRLAFHKTLEEVVQEAAK IWKPIQGSKRRYEPDFDPPTADDDCEAFTFPVTDNTNTEKGAKPKHTKKIALTVFPRL SIIENNMSTAYTTITQLSNSQYEWMAAESEMDKEPASPTIGRIPWRRKSNNPKSLSLP NGGSKKT AFLA_101850 MSKSPKQPYDTQSLTETVMDYPFQYGRRYHKYHEGAYVFPNDEK ESVRLNMQHHMFKLVNNGRLYFAPLQDPRKMLDIGTGTGIWPIEMAALFPNAEITGTD LSPIQPTVVPENVHFLVDDAAEEEWLWHHDYFDYIRLAHLTGGIPSSEELLRKSLQHL KPGGWLECHEMDPKPMCDDGTMPPEAEEGGLSAFALHDWWRAQHQSGQFTNPPRQFRI APRIERSMIESGFVDIQQRIKKVPTNPWPSDPEMKEIGFHSERNWLEALSGWSYKPLT SLGWTRPEIEVFLVNVRKAIRNRDVHCYTNYHVVIGRKPFPDEKEAL AFLA_101860 MIMFSKGVIKELLSISVVAHASELNKVVDFFFNILFQLQLQGKG AASLVQLAENEETHSQSLGYRQLGTIKIDCSFMPDSQWGILQKVAGAPAWLLYLQLQF SQPSDCKLANANVELTFEKMAPASQEPINLSNLGPVLTEYFGPRGIAGNDLVEPVVLG STALNAKLKASKRPGSHQDTGTQWSLQAYTWPVEGDNSGLHRRVEWIIKEADSQHQPL LHRGQVRVALVLQHDLDPFFITVRIEGQLHGDRGWFKFPSSMDSSTRTLCVRVSPSAH EQTPLDERARQLNKDMTALLVQSFRPISKLDKGLRPEELKSSCDKATKTAPLANRTTP KESEEYTIGWICALSLEMAAASAMLDEIHETLPTPSNDYNNYTLGKIGSHNIVIACLP IGVYGTTSAATVATQMLATFPSIRFSLMVGIGGGVPSSTADIRLGDVVVSKPNGRLGG VVQYDYGKTVANGIFEQTGALNKPPQALLTTVAKMQAEHMMRGDKIFKYLSQMVTAYP RMQNFTYPGQERDYLFRADYDHEGPNPTCKNCDPAQTVDRKVRMQSTPVVHYGTIASG NQVIKHGQTRDRIAQQQGILCFEMEAAGLMDNFPCLVIRGICDYADSHKNNDWQEYAA ATAAAYAKEFISMTPARQVEITPTAVEA AFLA_101870 MLDIIHAYEKVVDNLNHHAPADSQYPSAEHMRSLVRAGSPVYGM AALAPNATFSAGAELLLDRIQATTNSNSPLWVLAWGGTNVLAQALVKLHKDNSPEKAA NLRKNLRIYTISDQDDTGAWLRQQWPDLFWINSIHGWNQYGMSTWVGISGDEFYDVDK GGPNSTLVSKAWLKENIQIGTLGAAYPDVAYTMEGDTPTFLYLIQNGLGVSEHPEYGS WGGRYQLVTSNQHGPGFRHYSDVQDQVVGLNGNTFKSNKATIWRWRDAYQHDFAARMR WTLTDDVTKANHHPLVKVNGSSGLEPVNVYGVAGSEVVVDAGESVDPDGDELTFNWIY YPEPSTINGTPDVNVTTFGSRGESARLPVPIIDRTCEAGIEHCDLFHFILEVKDSGSP PLTTYRRILLHVAKSDAK AFLA_101880 MAPFFVEAVQEGWYAFTPRERRDVAFYILGIMIYKFGLEAFNGS IVALATNRYDYDAMRTKTPAKTFQRVGLMVGLNQACQCIGSILIAPLIRRFPSRIVLS SAVLVFALFSALLLIIDASTGGTFAPASFRDQHPDHDFHYYGRYNTDGMIPVYCVAGI AYGMVELMRRVIPRDIVGGNVKKLRHLDALVHIFYEISGTGGAFCTALALIPFFGNNY SFLITPICFAFAAISWFFLTDETFRSHDTPALERQPSYIKAVTVGFWLFIESIWTGAR IIFTSRKFIWLVPGYSVALYAHRYLENAVAPAIARRYLGNAAWSQIIVGGSNLGELLG ALFVILFTNLVTTPVPWLRLDAVMLLITWYLPFWRPPMQISAAWMAAATFIPISFGWA AGDVSLAAYIQAALARVESKTQNVSALGAVMAFLYSTYIILYAITSPILGSYIDRVYS DTGGSENGGNIYAAIQNVASVQFTIISGLVLLSTFVPRGSLAFNPKMLYNENLDGEAG LERVSSDDLRK AFLA_101890 MDRNLSNIVLHPNMDVVAGVIDWEMTAFFPEGGKSIHRCSSAFL KFAPLPRCNRVALPLITVSL AFLA_101900 MRNPLTEDLGTVLLLSSNRNEPLGLRHQPQRTSRSSLPSPYPPS RSSSRTSVRGRPSKKKTADGRIVLEPQPEDSMNDPLNWPVWRRDAALLSLGFYCLMGG GMTPILAAGFTQVADTYDVSTQQVAYTTGLYMLGLGVGSVIMSPTAILYGKRPVYLLG ATLFVISGVWCALSPNYPSLVIARVFQGIAVSPVECLPSATIAEIYFLHERAYRVGIY TLLLLGGKNLIPLVSAAIIGNLGWRWVFWIVSIVVGACLVLLFFFVPETFWDRTPRPR TRKRPHLYRSVSDLVSHGIRGRPTHVQRLEDHAPEADTESALPKKSKKGHVGFVENPD AEGHSPNEKEAEADHDRVSIAPDVPGEDHVHFARDADPEKADGFLHPPAPAAFGSERA SDLGVGRQAAMSPARSDSLDPGAPMNGSMHYTNWLRERPQMPYLHYLRVWNGRISHDN WMRVAVRPFILFAYPAVLWSAVVYALSVGWLIVLSESVAKVYETRDTYNFTALQVGLV YISPFVGGLLGTAVAGKVSDVIVRYMTRRNGGVYEPEFRLVMAIPIALSTTAGLMGFG WSAQEKNAWIVPTVFFGLVSFGCCLGSTTSITFCVDSYRQYAGEALVTLNWSKNVFHG LIFSLFIVDWLNAQGTQTVYLALGGIQLGFLLFTIPMYIYGKRLRMWTVRKRLMEQW AFLA_101910 MESNHRSDDHNTGRRVRELYRYFQPERVLSGNRTSSSNSSPFSA SAPIQDSSLATPPSPSISSQPPLLGSTGAASTVVPEEALVLGNPNTTLSSFAQLAALR LDVERVLISVSDRNSQFILAQSTRSTATSNKHEMSGDGVWSGCSTISTEAWKMCAVCL TFALGPSKKEEGHCKFLVIDDLSQHDEYKGLSFVREKPNFRFYAGTPLTTETNINIGC LFILDTKPHDGLTDTDKQTMKTVSMLIMDYLRVSRQASEGRRAARLSRGLSCFVEGSS SFVDTSHPSYAGSFAAVPGTPQSSCLRANHLSVGSLNSFELPSRRSQSSDARSISSMS EGRGDSGLSPLPDWWSGNRGNQRLDEVHGNSWAFKRAANLLRESLELGDDGGVIFLEA GNTPMLDIESGSDCSTENSSPAPVLAISTNDEPFAPGPGSSNLYPASNFDSSFLHQLL RRYSKGKLWSFHRDGLVSSSDDEKPSRSRSRATKTSELGRGTGKKWKSLENSMLNLYF PNATQVLFVPLWNAANSQWFSGCFCWNTVETRVFNSSVELSSVLGFGSSIMAEYSRVE SLISDRQKGDFIGSISHELRSPLHGILAAAEFLSGTKLDDFQGSLLETIDACGRTLLD TMNQVLDYSKIVSLEKSWRQIKRDKTVPSDYRALDRLSAHLDTYVSTDLALLTEEVVE GVCLGHAYGQKSTISSDQPVVVSPMEDPGDKGSLSHPRPEVDVVVNIAQNDWVYQTQP GALRRIIMNVFGNAMKYTDSGRVSVRLEVTEAEGRCRRSGMEELVTLVVSDTGKGISE EFLRGRLYTPFAQEDTLAVGTGLGLSIVRSLVKSLNGRINVNSTPGEGTTVKVTLPLL RPDVEDIAEDPLTPRSPSAKEKDSPTESRLLRDNHAGRRVAIAGVEPDEIAGHPWWSI ISRYLTEWYGLELVSWSSQGPVDIVLSEGATSAADLKKQFATKVPALLLLCDKSVDRA TKLKECSSLASIVNIIRPPCGPHKLARSIRKCFDSHANSIPTTKSIVLPERPKFIPDG KGGFESEFSDDVADLTPDATSSSGASSLLGSAHSPTHSEIPEILPTIMSPSSSVRDPS PSEIKEPESKPQRLARVLVVDDNAINLNLMLTFMKKRNLSTLDSAENGKVAVDAVERL QQGYDLIFMDISMPVMNGFEATRAIRAIEKERDCCTPATIIALTGLSSSRDESEALTS GVDLFLTKPVSFKEVSRLLEEWAENGLGNRCLSS AFLA_101920 MDLTSLQSLIQTHPLIDNHAHNLLKRDEACNYAKYPFEQITSEA QGSALGNATSTLPLHRAATQLAALYRCASSDWDHVKAARDAWVQRDYDGLIRECLQGT HTLLLDDLLTDQDIESYEWHDRFTTSQTKRIVRIEILAAETISTLMRDDARPQEGDVS VLRERWEQFREGFKQRIAEAIADPAVVGFKSVICYRTGLNVQPIEDSDDTLLLESFGR TVGQGQGSAYRVEDKRLNDWVVRQTLNLLQSAKAATASAPNKPLQLHTGLGDNDIDLV LANPAHLQSLIAQYPEVDFVLLHSSYPYTREAGYLACVYPNVYLDLGEVFPMVSRDAQ ESILRDSLDIVPTTRLLWSTDGHFFPETFYLANKQFRDVMEKVFVDYVHHGDFTVDQA KQAAADILFHNSNRAYSLNEKLAYEAPVSANSVSASSTATLDAFMRGNPDVKYIWMQF IDYTNTTRVRMFPVAEFAKIARKQRRVGICLCTQLMLQDDSIAPEGSVTGQFYMEPDL SSLRRNVGIDSKSATVMTYWKTEEGAPLPGCPRTTLQRVTNNLREHGIEVTCGFEIEV ILLKPITNDAGETDYVPVVRNHSWSQMTSDTRKMVPLLEEIVEALASIGIHLEQFHAE SAPGQFEFILPPGSPIATVDTLIKARQVVTCVAEQHGLRATLHPRPLPHAAGSASHAH VSIAPPTQEDAFLAGVMRHYPALAAFTLSQDVSYDRVKSGLWAGSEWVTWGTQNRETP IRKISPGHWEIKTLDGLANMYFAIAAFLSAGYLGVKENLPLTVKDCIHDAAKLPQAER EALGITTELPKSLTQSLDALEADSALQSIIGETVVNNYTSVKRIESKRLLAMDETTRR TWLLERY AFLA_101930 MATEYKLPFELNDPSLLHFDSFVGNSWVQAKSGKRFEVVDPGTD IPWASCPTNSAEDVPSAVETAHAAFEQYKKSNPRQRAQWLLKWDTLIREAKPDLAKIL THETGKPLAESYGEIDYATGFTWWFAGEAERIHGSISVPSAPNRRVFTVKQPIGVAAA LVPWNFPIAMVLRKAGAAFAAGCTMIVKPSPETPLTALVLAHLAQKAGFPAGVFNVLT TDLENTPSLSEALCKHPLVKKVTFTGSTRVGKLIASHCAHGLKKLTLELGGNCPFLVF DDANLDQALDQLMALKWRHAGQACITANRVYVQAGIYDRFAQLLKERTQKLVVGHGAK EGTTMGPVTTPRSIDKALSQVEDARRLGADVILGGNKVTDTKGYFFEPTILTGMTKDM LVSREETFAPIAALYKFETEEEAVQLANDTSMGLASYAFTKNIDRMWRLLENLDAGMI GMNTGNSSAAESPFGGIKESGYGKESGKEVAVNEYLVTKTGTLTIEGQY AFLA_101940 MVDNVDISQVSLGRLRSQLAIIPQHPVLFRGTVRSNLDPFGDYD DAVLAGALQAVGWHQNEDSASEPTSSTLRASSTESARESLIKGDTDMEHDCLLTEETT ERGLDQPVADCGENLSHGQQQLLCLARAIIRRPKILVMDEATSSVDNPTDDLIQRSLR SALGQSQPTFLVIAHRLKTIADSDLVLVMDDGMIVESGSPKELLHCDQSCFRSMVYQD PEGEMLENIILNGVE AFLA_101950 MAKYYPLSQILTVAKVHPFYSDTQWAPTRERLSDILANSNDYAE DIHLHSFPPTEKAKLALDLTTELLESAGASVLCAGAEMEMEALVDALNQYRVNVVAGD AGQLVQLVRYLDTLPVNQRASLQIRKMIYTSEPMTPAQRKFITSVLGGVTICSMIGSA EAGPWAVSNPLLTGYNPESPSADFIYDTRAMLLEVLPLSYQGSEVKSNCHDGCIAGVP DGEKGTLLQTSLQRLRNPLVRYVCGDVASLHPLPAEVRARLPAEEAEHYRIVRIYGRD KRISFDWYGEYFEFETVQALMRQASWGILQWQVILWTKPEGLDKCLEVRLLRSTASDG ALLSEEDLIKEVKHFFMVFDFNESLFQLKFVTGLDGFVRSATGRKVPNFVDRTT AFLA_101960 MAPTLSSANLILAFFALLTSSRAAPSPSVPAETAVPSSKTAAGA PLFHSETLQLTDGVLTKLNLQNNTSLFAFGSNSSSSKLSLGDSGACKVFPGDSEWPST STWSLFDRLLGGALIETVPLASSCFSSWPEYDSAQCNLVSNNWTDSNLHAADPASIMW PLYEGRTCLPTDDTSGTCTVGGYSKYAVNVSSVAQIQLAVNFARNTGIRLVVKNTGHD FNGKSTGAGALGIWTHNLKDIQYLENYQGQGYSGPAVKMGAGVQATEIYAKAKELGFT AVGGEGKTVGVAGGYVLGGGHSPMSSIYGLAADQVLALELVLANGRFVTVTEETDPDL FWALRGGGGNTYGIVTSIISRVHPKVGVTTSTFSFSTGGNVTVDTFWAGVRAYLDRFA INADAGTYAYFWVMSTGTNSFSFLMNPYFAVNHTVSEFNALMKPWFDELNHLGIPYTP DTKYHDNFYDAWDAVFPLETVASSTMVTGSRLFPRANWEDASLLNETFNALKTTVTAG YPLLAFNMKAELPEGYPESSANPAFRQTLMHAITSASWTANSTNTQILNQMEHFANNV LGIWRKTCPEAGAYMSEANILEPNFQQSFYGSNYERLYQLKQKYDPSGLFYAPTGVGS EDWTVKSQDGLPDQNGRLCRV AFLA_101970 MDSPHHDYITSPAKRHKSNPPREIGVMRKSFVDNGSATFLGSSS GIHFIRTVYNSFARRSAHLSQSKNTQENLVPGEDDQLLQSPGYDAQAVKKELWAPQEL DHRSWTASFEQLVQWTRSYFECWHPMFPFLSGPKFLELLEQISQGGLDGVKTTDVILI RSIVSISLMDGRQVTATRSTPVPAKLVFRTVDQAMESLHTLFCDPPTISILQAAFSVA LFLASLLRLNAASRIGGVITRTAFHLGLHRCPARFACFSPEEAAVRQRLFWSIYCLER YLSQSLGIPLSIRDDDIDVCYPGIERHGEGVYDPNLRLLTYLAKFARVRGLVLELRNK SILHSQETSNTATQVNGELAHWWNEVYDDVYPVEEDPGLTPLHRLLLIVFRHESIISM NRPLLAAEQSSPEYKTALQVCIESSRSLITALRGYVLPGGQGTVPLVWPSFTWAVWMS CLILVYAAWEGDFPVLSASRYAKTGLSILQNLSLRGNTWPQTCIEAIRDLESALSNPP PTPPIDNRPASPGPAEDRAPDYPTNIVEDPRPAPQYHPSVVFGDSSINNFPLTYPFPD PGDFESGWNDLWTVADGPWLIEENFDQNFGFHI AFLA_101980 MKTYNIASIPADGIGPEVISAGVTVLNALAEKLNTFKLDFTHYD WSSETYKTTGKYIPDGGLEDLKRHDAILFGAVGAPDVPDHISLWGLRLSICQPLQQYA NVRPTRVLRGTQSPLRNCPPGKLDWVIVRENSEGEYAGQGGRSHRGFPWEVATETAIF SRHGVERIMRFAFETAAKRPRKLLTVVTKSNAQRNGMVLWDEVATEVGKEFPEVQVDK MLVDAMTTRMVLKPETLDTIVASNLHADILSDLAAALAGSIGIAPTSNLDPTRQHPSM FEPIHGSAFDITGKGIANPVATFWTAAEMLAWLGEETAAQKLMECVENVCERGILTAD LGGKATTKEVTEAMVGEIQRL AFLA_101990 MVLQGRPLRLTQVFLIVVPAFILFGYNQAGVGPLATLQSWVHVF PEIDAVNTTGAVKAHNSTSKGAVVASFQLGALIGALSCSFLGDWMGRRKTVFLGTIIS IIGQVLQTASYGLVQFTIGRVILGVGIGMFSAAVPVWQSECTSAKHRGQHVIVDGICI CLGYTLCNWIDFGLSKVDGTLQWRIPLAISFFFELVLVCSVFLLPESPRWLVRVNRIE EATTSLAAYKGIPEEDDEIRMEIAGIESSLEVSAEHSGSLKEMFSKNDKDRLLYRFGL CMALQFFQQMCGGNLISVYASTIFEENLNMDSDLARILSSCAMTWKFLCSFIAFVAID RLGRRAIFMISGAGMSVCMIVLAITNSFGKNYAASIVSALFIFLFNSFYPFGFLGGNF LYCTEVAPVRLRVAMSSISTANHWLWNFVVVMITPVALDTIGYQYYIMYAVISGCIPF VVYVFYPETMNRNLEAINNVFRDAPSTWNIVNMARHLPQGEAAEVDAFTRAAEKAEIE QRENV AFLA_102000 MHEIVVVGLFLLIPVTLALVEIIERIGLGVDEGVELEDYLELER GRGMIRRGRRRVFRKKRGKKKKKPTSILEMDVEDQVFLR AFLA_102010 MKWKSLALGLLATAQSAASLRFVMYIDEYHTQGLPDSSGTAGIS HAVMGFAKSTLFNSDSPQSWKPFEPIDTMRKRFSSDTKLLVAIGGWGDTSGFSEGAKD EASRARYAKNVKAMVDEHGLDGVDIDWEYPGGNGEDYKDIPNEQKAGEIETYPLFLAA LRKELGKDKLISVAVPGKRGDMIAFTKEQGPKIWESVDMVNVMTYDLMNRRNNVTTHH TSVKGSLDSIKAYEEIGLDTQKINLGLAYYAKWFTTKKDAGCDTHPLGCEVAELEDAK GKDTGKSGALTFEKGTMGEPSKDLKESTDGSCGFGKGKCPNGSCCSQYGTCGTTDAHC QAGCQSDYGTCKGISLIDSWRRAEKDGVTDEDAGGQYYFDKEVDLFWTWDTAPLIKRK FKDIVDAEKLGGVMAWSLGEDTLKWEHLHAMQEGVQERS AFLA_102020 MPPLSDSPPPVPPPVPVETHPNRAVGIKPHGQLRTLPDPTRLAP EDAYYSPALVRTRTAPATYDESIRGLNGSTGTAASVAALRPPHAVPARKLRGTSRRRR RKGAWKKLLWVKQSYPDNYTDTETFLDHLQRNPRVRPYDFWPLVADSTVIVQHVCSVA IFVCCFVGIVQGRVSPVSIVCWGSVGTAMGWILWDSWVFREHGESHVVERASEGDDGS SSGSTTSSMNPSTTRLNVQKDNHVHGLGLTMSHSETEPERRSSNSGLGDSCTQDAASF GGANGAAAGSVPPLPPHDAPMISRLSSRNRQRLSTVKSAFLIYCALLGLSPILKSLTK STASDSIWAMSCWLLITNIFSFDYGSGEGAGATKFPASLSTNAAVMASTVLASRLPST THVFSLMLFSIEVFGLFPIFRRQLRHISWTGHVFLTLALVIAAGGAVGITLRGGLTAA VVGSMLGSILTALAMGGCSWWLISLQKYKNVVTGPWDPARPIIRRHWD AFLA_102030 MVDQREGSLGRDSSEEERKPTVSPANEPQPSLVVNPKAIFGSDQ PLPQHLADKLEEWHKEHGTVRPPCNSQRPAKGNLLWKTFDRQGNHVNLSAYAIIKPTA YNVLVLHTAEGSEKLVSSYFPFGTGFGTFLRGWLGVHDGWEPTTCAVRRFASHPDHLD YKPEAWSAFEVLQKRHKKLLPQPSQASGTRRSLPGPSQMQRSETRIQRKSLPGPPVAT HDRGANAAHGSSSSSSSSSEEDESDEEDEEDDSSESEDEEPEPTSVPKRRRMNESSKP TNRNPKVVFKLISYKSGSVRCFPLDECRTAKEFFDKARTFFQLFDRNVEVKILSCQIP SQSQQQYIFEGSEGEFALLVDQVKSLAGDGALTVEVSYVLSLH AFLA_102040 MDDVIRMTLDQLKEEYQKAHGPSSDELCVVATGGGAFKYYDKLK ETLKVNIIREDEMECLITGLDFFITEIPNEVFTYSETDPMQFAEARPDVYPYLLVNIG SGVSMIKVSGPRQYQRVGGTHLGGGTFWGIMSLLTGARTFDDMLAMADSGDNSGVDML VGDIYGMDYNRIGLKSTAIASTFGKVFRLKNDVHEEDGEDKPHGEDGQTNGEVTFKPE DMSRSLLYAIR AFLA_102050 MDTFNVSSMGTALDDVTQAQNAKKAEAASTAREKGWAEPEGYDY SKYNAAPLGPPLATPGEGNEPEQPQQELPEWAANAAKYEWKDEYGDVGPANPQLEEML FRSELINRTGLKIGKSLQNIEVEAESRDRPNPIKSFDDAGLHPIVRENVKLCHYDIPT PIQAYAIPAVMTGHDLIAIAQTGSGKTAAFLIPVLSKLMGKAKKLAAPRPNLADGFNP IVDAVRAEPLVLIVAPTRELSTQIFDEARRLCYRSMLRPCVVYGGAPVRDQRDELQKG CDILIGTPGRLLDFMDKPHILSLRRVKYTIIDEADELLLADWESDFNKIMSGGGKSES TDWPSYMNEDADHRYMMFSATFNKECRQLARKFLAGDHVRIRIGRPGSTHINVDQTIV YSEEHLKKQCLYDLLLAMPPSRTLIFVNSKAQADLLDDYLYNMGLPSTSIHSDRTQRE REDALRAFRTAKCPILVATGVSARGLDIKNVMHVVNYDLPRQSHGGIVEYVHRIGRTA RIGNEGLATSFYNHDRDSDLAPDLVRLLLETNQKIPDFLESYKPADGEKMFHDDTDDE DGEGGGDNTNEGAGSSTWGGIPMGETEDAPAPAVDYDF AFLA_102060 MHTLYLYTILALFWATLTTTTETTHRTPLQVTFLPPTTNNTPII VDTFAHPDRNNLGSWHGALEDLYVTQGGNYIELNASDADQTYHSQLSSTTCFDLTPYT TWILHIVYSGPPTFSISMHQNNPSCNPSLSPYPETSDSVEASRYTAKPHWHHWRPKKQ KKKDLYIPLSHFTIDHSRVLSISLGNFYPPYTRPIKVHKVELIPTLPRGVKVPKKQPT GELRLRCTRPGSFAFGIDDGIPSLADEVREILESEGVLVTFFVVGAPLRDNKTGFADF YKKMVEGGHQIALHSDGHSK AFLA_102070 MSDECRMEGMESTKAIDDDIFRNIATFKSLLGVESSYFRPPYGT IGSRTREVLAKRIKNPQIINWSVDIEDWMWADSDTPERQLKAFYRDVERGGNLAVLHY LSNSTVGYFREIIRFVKGKGLKIMRVDQCLEDPGAPELL AFLA_102080 MFPRRFRRIAVITSVLCLFLLYHFSGLRSSFHATTSHGRRRFEC PPLPGMEDVLVVLKTGVTEALDKVPVHFQTTLRCVPNYVIFSDFEEEINGIKVHDAFR NMDPDVKGTVPDFSIYNRLVQLGRAGLETGDFADEANSAIGKPNNPGWKLDKWKFLPM VQETLRYKGDAKWYVFMEADTYYSWGTLLEWLSHFDASKPWYLGTETQIADVIFAHGG SGFAISNPAMQRVAKEYTERNVELNEYTDAHWAGDCVLGKVLADVGVPLHFSWPILQN TNIGELDEFTTAFYRRPWCFPAVAFHHLSARDVQDLSNFEQRRWREKQTTLLLHGEVF KELIYPNLSSYRDNWDNLSDEEHSEITTFDDCQTLCKDKSDCAQFSFRSGSCFTGRTP KLGTASSGARSGWAMKKIEEMMHEAPVCSSVEWGAA AFLA_102090 MASAPTHEMTPAEAESVYFNNYPPPKALPKHEALARAFINYHVE DNRRLVLVTSGGTTVPLENQTVRFIDNFSAGTRGATSAEYFLEAGYAVIFLHRQFSLL PYSRHYSHSTNCFLDFMDEAPPASNAESDHGRIVVHSEYQDQMRDVLRKYRYAKKHNR LLLLPFTTVSEYLFELRSLATLMKPLGPNALFYLAAAVSDFFIPSDRMSEHKIQSSEL PQNLNNEEAIDPSDIYTGGIPQETKPPTHSKKLIIDLDPVPKFLHQLVDGWAPDGSMV VSFKLETDPNLLVYKARTALQRYAHHLVIGNLLSTRKWEVVFVTPESPYERWIRVPKS KRSKSISGAEEQVGLAEAKTAGGSAAPKNGETGGDYQQGQDSSHEGMEIEALIIPELV KLHSNMIAKHGAQA AFLA_102110 MTSLNQSGDTNFTVFIRLPFPRGDFVDPPPASWNAAKDQALWDL LSRPSKGDDIDCIAERFDVTLQFLLQQAAWLYDRQLSQVRWQMRKVGTTQSSSPSPAP GSVSGSTALGGQSAKGGPGAGKQVFRRCVCHRLIFVSPTSSKSSILTSKRYSPSTRSA TTSHELGNYSQPNQTFEGITPH AFLA_102120 MFFLTYLYYKVLAVLIRALASRGRHPISNPDDVVYIQSREAART IKAHVYRSASAPSPTPILINFHGSGFIIPMHGSDDEFCRQVSREAGYTVLDIQYRLAP ENPFPAALHDAEDAVNWVLQRPEKFDTSRLAISGFSAGGNLALALSSSVFPRGTFRSL LAFYPPVDLYTEPGLKTPPDPAGKPLPAALARVFDRCYIPASYDARDPRISPLYAQPD RFPDRILLVTAACDSLAGEAEALAAKIGKEPEREISVHQMQGCNHAFDKNAPEGSTSA NAKDKSYVMAVAMLSRS AFLA_102130 MMQSSKSVGFDENRYRNEVLLVSSEEDERSQEQTLVEEARQLGL KVPEVEIVASLAASIASGMVDLSSPILSSAGSSTDRNSVCEVTPSNEIPPLDQIASSF SEFNLSDHAKCGSTRSLASLSTRPTSYSSSEGKLAHGTDGIALRKPGHRSSFLSVASS EKKERRKSTLKSAIDKIHFRKRRSPSAVLLPPAAQITVAKGEGGVDKYYVESKINEPR GHDGADEESPVLRLEIPVFDNESVRRSLENADLRQMRESQKMEKNRHMTFQDAFMSEL RRNHQTIVADRLAANKRSEEEKREKNIADASRMEERQLAVEMDQVREFERAKMNSRTR IKYMEGYFSNASPPPSPGSASGSEISPPPTRKYTPQHKAQLAQEYHDHESMDRLHEAK IKVLRDRQELKLQEAIARMEKELDDLIDKHALEFANLQRDHQQEEASVLQTFEDKKTR LRHRWNLEEAILRKKLEVQHDQPYGPLPPLSFSDCRYETRDSAISVSEENPTSVSGDE ELVHRKRSEPLL AFLA_102140 MDTTEPPKNMEEELDSEIANIRAEIRNLQRKRRFLASSLLSSDS FKKRLQEYQSSRPSSSLDAEVSPLVRAAGEHAEVNHHRVAFSATTFPFKDPSPNSENP SLLGVRIDVCTSNGRFTKPYYVLLKRVRGEEKRLRVHRHTIPAFISVEKLERAFLPLP AAREETEENLKPWKRNADRQDLPRFVRELRRQLAAWHLRMDAVNFLRGKLGVQRRGIE AYNDDDDGLWVRDILSDNQEEIRLETNDLGIVSLSPTALDATYVRLEWEDGRVGRFKM SDNGVVERAVVIGDNGRDKLLEAVLTGGNGRVETILDRLKQHLVPKE AFLA_102150 MSRDTDLDVVEVDTDAESGYGESAQSEWTSLRSSIMNYHYENGR RYHAYHAGSYWGPNDEKAMEQLDIGHHVFNLLLDGKLYLAPIPEDVEQVLDIGTGTGI WAIDFADTHPAARVIGTDLSPIQPTWIPPNLHFEVDDCCDDWVYGKDSFDFIHVRGLY GCVADWDKFYKEALDHLKPNSYLEQVEVSVVPKSDDGSTNNTVFEEWGRVSLQAGDAF GKTLRIVDEAKEKMIKAGFVDVQEHRFKCPVGPWAKDPRLKVLGKYNRLQWEMGIEGW SMMLLTRFLNVSFSFALLVCCVSVRGDLDSSGSRGIPRAHAPGATRPEYSCLSGEVLI PSPSPSLPPSIPMGLSSSIRPQTSQPRKPTPVMSTRRSRSLLKRHIHSLMNRVYFKLK QN AFLA_102160 MALSTSPNTSICNLIYNPIYRLKPEELRMPPELPEKTDYTRWRL LNEDGRHTWHYLEDDESSRKWPQTLADKYYLGLPLTNATQNLPDLPQPKSPLETVQNG LTFFSKLQLSAGNWGCEYGGPMFLLPCIVFAWTATATPIPGPYATEIKNYLFARANPV DGGWGLHIEGESTLFGTSLNYTVLRLLGVPADHAIMIKARTLLHKHGGAVYAPHWAKF WLALLGIADWDIVNPVPPEAWLLPDWVPVAPWRWWIHIRQVFLPMSFIWSRRWTMPET EVIRSLRNELFTQDWGTIDWRGNRNSIAEIDNHHPKTWVLGSLNWLLSEVWMPFLRPR FVATWAEDWVSKLVDMEDENSDYADLASVNGPLNMVVCFIRDGGDAYSVRRHRERLED FLWVNKEGMLVNGTNGVQCWDTAFLVQAAHAAGLAESEEWRPMLVRALEFLDHQQMRE NCKDQEVCYRHPRKGAWGFSNKDQGYAVCDCISEALKSVILLQKTPGYPQLLDDRRIF DAVDTLLTYQNPSGGCSSYERTRGGEYLEMFNAAEVFGRIMVEYDYPECTTAVVTALT LFKKHWPDYRSNEIEVFIQRALGYIKKAQFPDGSWYGSWAVCFTYGTMFALESLASVG ETYRNSEYVKKACHFLLSKQREDGGWSESCEGCRQIKYIEHPSGSQIVQTAYAVIGLL SAEYPDMKPIEKAIRLIMARQQPNGEWLQEAIEGMFNKTCAISYPNYKFTFTMLALGK FARMYPDYRLD AFLA_102170 MSLLRLPCELLLVVAEFLETEEDINALSQVNRVLHAVINPYLYR FNAWNSESSALVWAAAHGVEDTAWISIREGAFPDAGDESGLTAMSIAAMNGHEEMVHL LLETGKVDLNAVDFELGRGPLGWAAGNGHAGVVQLLLESGLVDVNSSDSLFLTPLTLA AQSGDEAVVKVLLDTGKVDVNSKDVTGSTPLGWAAELGHETVARLLLETGQAEVNSKD SEAGRTPLSRAAENGHDFLVKLLIDTGEADVDTKDDSSRTPLSWAAENGHETVVRLLL ETKQVNMDSRDHEGSSPLSWAARNGHAAVVKLLLESGRVDVDSKDLLGRTPLFQAVVN GHEMVTNLLLRTGQRMVNQL AFLA_102180 MKRKDRTVSKRRSKPTLRVATSQNGHARDGMNSNIEMRKRDTSI ESTSSAQASRIMSRSSFSLDHDPPVTPQTPGLTTTSFSNLPRSDKRNFLLLCVLYFLQ GVPMGLATGSVPFLLKPYLSYGQIGVFSLASYPYSLKLLWSPIVDAVWSRRFGRRKSW ITPVQVIAGLAMIYMGGRIGDMMVQAGANGGAGVWNFTYWWFLLVFFCATQDIAVDGW AITLMSPPNISYASTAQTVGLTAGHFLSYTVFLAFNSQDFANRWFRSIPGEGGLLSLG TYLTFWGWAYLVVTTCLAIMKKEDKTHDRDSISDVYKSMWSVLKLKNVQTIILVHLIA KIGFQANDGVTSLKLLDKGFGQDNMALVVLIDFPFEIGLGYYAGKWSTEYTPMRLWCW AFMGRLAAAVLAQLTVMIYPSGSEVPFWYMLTVIGEHVLSTFMNTVMFVAVSAFHARI SDPAIGGTYMTLLATVSNLGGTFPRYFILKLVDMFTEATCIPPSVPPAADQLKGELVT APFSCALEPDKNRCTNGGGSCQTIHDGYYTTNILCVLIGTVTFFMFIRPAVLKLQGLP LRAWRLSPNSRQ AFLA_102190 MHLPTLTLLANLVTLGTATTADTLRPRNWDLRLLKPGCETSGSN FAISVYHAQGVSERSCVDLTTVRGLNLSIVDTVSWKSPSEPQFDLCMYAGGDCDSGEV VGEIRDGWGVCVKYEGWRGWRAVAKGEECD AFLA_102200 MAGRSPTRLLFTLATPSLLVGYGTHVWLNSLEARYPPIAPDRTS TELLRTPANSTTQHVPHIDIYAARIRLRDLQARTNNPTEKPTKQDLNIAWAQSLLNCS ILRLEAKVIGLFSKGKFNPGDLGTTPAGFSPDPETGAPRELLNGAMTVIRQPVRDEPL LVKWEIPDGPRRFFEMIARWGYPWRLMTGGRHEMSVEGPFDGEGDEEGLGPFVEVRFA SAHTYEIVPEEGGLLQQKTIPKWVGRLHRGYARFLLDTAVKELVEGTK AFLA_102210 MGKGFFSHEFETKSSDEFQGTRNHIFDDSDAAEYWANVYEKAQY EGRHRFDPSFTWTPEEEKKLVRKVDLRIMFWAWLMFCSLDLNRRNINRAITDDMVSKN LPELGMNTNDFNYGQTIFLVTFLAAELPSGLISKKVGPDRWIPFIIVCWSAISAAQVA LSNRAGYFACRALLGLLMGGFIPDIVLWLSYFYVRRELPIRLSWFWTAISTCNIVGSL LAAGILQMRGLRGWSGWQWLFLIEGLVTAIIGVLSWGLMPPGPCQTKSWFRGKDGWFS EREELILVNRLLRDDPSKGDMNNRQAVGPVALIKCLKDFDLWPLYLLGLLIYIPPQPH ANYLSYILRRLGFSTFHANLLAIPSQFMFAVNLLIITRISDKLNERSIVASTSNIWIL PCLIALVALPESASTWTRYAISTVLLSYPYCHAILVGWNARISNTVRTRAVGAALYNM CVQAGNIIGSNIFREDDSPLYRRGNKILLAICSFNVVLFYAVKAYYVWRNKTRERKWE SMSEEERSDYLLTTTDEGVKRLDFRFVH AFLA_102220 MTSPQDPTTNNSLDDIFGSSPPHGDTLIHRETTTTSHPELSELP SLRRQHVTAGYRDGVSASKTEHVQSGFDAGFPVGAQLGMRAGTILGILEGVIRGYESR ASSAVIKKPGAMRGGGGGASSSSTESEEAAKRRMEKREKVLKLYQAAIEELDVRKVFA GLDGTSEELKPEEQLRGLGDKAISTWEEKVKVAHWEEIMDALEMKDTTTSSTTAPTKS QEEGQEQKQEQEQS AFLA_102230 MATAYHPLPGELNAFRRGRKSSQTNFCLPPPSPQRKRASSYYPP RDLVTTDETNPFYLERSLEYGARRAHQPGARDVRFSEEANQYYMLSTVNPGKELPFPV TVRGETARSRTNINRSTLSVVELEHQLALQQISPQPWDQPSHYSQGSFGSVQTEATPD LTPSSSFSSNYSAPIYPDDTIRVSEQLARHSHTDVTSGNQVPFCPSTPRKRSRTALST QPSTPTRDGSLRTALSNASSDTLVMSQSDALDSLRGKPLPSLPAVARNANTLANRRAQ IVVGKPPIEASMISPPCRINPVTMEPHTTRFDEAMFIPANDCPSPVPSPGSNSPSMEK PPPFGRDRPSTSTSEVVCEQSVWESDSDTEDTDPKSRSRKPMDTLKKVRSRVHLRMAK SAPKLQNSSNSPQVLEKFPTTPDKPPEGRHPAPMRSIGKSRFAPDKFQSAHQTVRIVA PSTTSLVPPRTPRSRRNSKDERPNFDIDRSTAAAMQAKSRRKPHSNSPQSSLSSEGGK IRTLCREDRSDKALQSLTPLRQPLYKRVWESLRVLGCHGDMPPPRPRKAM AFLA_102240 MSDLGSATGPIGLAGTGRTQNGASRAAHGPVPVNTHPPSGVRTP TDIMRQRRDREARKKAEQEARDKEQEEAERRRQESQERTQDPAQPYAAGVAGNRPPQR RAGPGAPAGCDMQPESRTTQQPPGIPAAAQRPDSQAQGQTPGPHAHPRQPGPSTQHNP QQPPTGSTGFQKQQAQTLNPPGTSQSQQSPRRVGFPHAFERWETLSSHWEGLTGYWIR KLEQNSEALERDPISQQMARQVTDLSAAGANLFHAVVELQRLRASSERKFQRWFFDTR AEQERSKELQADLERQVRTEKQSRTEAMAALQKAESDKARVESLLKEMRRELQISKEE ARRAWEELGRREQEERDRTNSLRNGEPTLVGGVQVVPMIQGLPTRSNTANHPSGGAGK SSEGHYYEEAPGSPTETDPFTEGQRGYQESDSQRYAPRPPHTSVAAESSGQYAGNYYE HDGEYHGRPTSENDNRSYDPSAASSEPGDDEYGSYRPTQPQQGPSIVYPRPMSEESDD YERGSMEDEGGYVPSTMPSTTSAPYSQGSVDYSGSGWGTTWDSVTPRHRHPTRLSDVM EEEEPRTTPSRASRASRASQASRSVH AFLA_102250 MDVFGASFGVQSSPRLTDREYSVKDGIIYVPRMVNDTLLDEFIH REAGRSDPELQPCRQEERPLKLVAGEPGILDTLHFTDDRGAMSELPESHVEIQVEYIG LNFKDVMTAMGQMSKDALGNECSGIVTRVGGDVEGLAKGDRVCAVCEGALATSVSCPA TSVWRLPDSMDLKTAATIPLVFCTAYYSLFDLGRLVQGEKILIHAAAGGVGQAAIMLA NMVGADVFATVSTPEKKQFLMDTYGVQEDRILFSRDSSFTQHVKKATNGEGVDVVLNS LAGEMLRASWDCVAPFGRFIELGKKDILQNSRLEMSRFDDNVSFSSVDLTAIYQKRPL VMKRLLSNVFKLFAKGLARPVAPITSYSVSDVEVAFRSLQGGRVFGKSVIEMQPDALV KVYPPQKPQVHLSADASYIVVGGSGGLGRNIADWLSQHGAKHVVLLARSGDGKENVKR LIQRAESKGVKILAPRCDISNEADVRAAISKVQREMPPIRGVIFGAMVLRDCLFEKMQ YDDYRAVISPKVDGLWNLHHTLKEAQQHLDFFVNLSSVSGVIGNRGQAAYAAASTFMS AFARAQLAGGYPYTNIDLGPVKGIGYLAERKGTVNEVLDTLETQGVEEEELHALLAGA ISGKMLNTCNGHCVTSLEIAASSPADQGPFWMVDPRFSHLVRASIAARAASESDDNDQ QTAAVPLATAVRKAGKREAAQALIIDALGQKMSTLLMVPLEDIIPSKSISSYGLDSLV AIEVRNWVFRELESYLQIMEIVSAPSLFYLADRVICKSKILQHLQLNDGQGEGEPGIS K AFLA_102260 MEFLCLPGAYGNENIFKAQLGQLVEELQRTNSAKFHFTRGPILA NPPPEFDGYFGPPPNYRYIYVRDDFAVKLRKLPSIPNREQAMHYVEHGTKNEATAASA KKAVDLVLDQIEQNDKIQGLIAYSEGATVAASVIIEEQRRYKESGRPVRIKCAVFISG WPAIDIHSGKVIIPTGLDDEEYIPVPTCHVIGAEDAFLEGSKALYDLCNVDNAEYFDH GGGHIIPRNPTTLRELGDVIRNMIRESLDCE AFLA_102270 MSLTVPQGAVVKVTSTSEVSEVIKFARKHRISFAVEAGGHSTTG SSASHGGIVISLSQMRKVLTDPASKTVCVQGGATWQDVNSSTAPYDLVVVGATSSHAG VGGSTLGGGYGWLTGRYGLIIDSLLSVRMVLADGSIVEASETTSPDLFWAVRGAGQAF GVVTELVFRAYDLKHHVFGGALYFTPDRLAKIVEFANEFHRRMNENSGLMFGFTAPPF MEETAVLVIPFYNGSREEAEDFFEPILSAGPAAGQTDMMSYTRLNAVANVDPSPEGRK NINGTNISLPFDTDFVYDVYKQFDRIMRSCRRVGNSVLMFELLPYNHIIEVPLDATAC ANRGRYYNVGSIFCWPDPDLDQKMLTEQQGIISKIENFGSGSRDEGEKRVAKYANYAG HNISAANLFGENLERLQQLKRAYDPNNVFRKWHDLLHQKNPV AFLA_102280 MPDWKTESTSKKEGTTANNDGLAFQVLLVVCLTVYVVTAIWLLL RSYCLAYSSLASGATDPAIANVSPQDSMERRLDCLERVAPTKPFKIWWLSIQTIRSPL KQSDHIFTCSICLDKVRKKDPIHTLQCHHVFHRECLENWFLGFHNQCPMCKKPFFEEL DMSPECAV AFLA_102290 MVGRASRSTVPSLERSTLRSIPSQNAFLPLRTKPAQPAYTQTLR HNGLSSQRSFSTTTLRARARTMGQLKARNSTGPFSWKSALLFVITGAGMIVYFRVEKE RLARKRIAEMSKGVGRPKVGGPFVLKDLDGKQFTDEDLKGKYSFEFHGDIIGLTGTYE QVKNMCKQYRVYFSTPQNVNPGEDYLVDHSIYFYLMDPEGDFVECIGRQDTPDSATKV IMEHINDWKREGKPLKKD AFLA_102300 MTTSEDQTTPAHLDPKTYPRHLTDPTQNIHLELTYSPLNAQSAL DKISSPAAGANVLFLGTTRNTFENRAVSQLSYTAYPPLTLKTLAGIARDAVAKHGLTG IVIAHRLGVVPIREASIVIAVSSGHRRAAWRAGEEVLEICKEKAEIWKREEFVDGGME WRENRERDGEGKKVVVSEK AFLA_102310 MKRFCVLVNIAIRKQQRLSMDFFLETMTSVMYRLLQLKFETGSI GEAIRLGLLAFSSHIFLQWRDIQRPYIQFSASYKESLVSLKSLNGVSSDIVLWLLMVG RISVFGTSDDEWLKPWLRANSQLCTVHSWPAMRDVMESFLWIGALHDKPGKDLFESAM LQLSPQDNALWVGQIEYHRSSSYKTK AFLA_102320 MSLEGGSAMLPIIISTGIAKPDPDTRKLIRSHVMLGKNRGKYRR SGRHDQAELEHDETCNEKPRTLRKPSGPLTKRAPSTVPQRVGSEVSLLRFADTVEPAL AVNIVRFSAMSKRTLFTLEKYLSFQKKSDQWHDLLIADPIYLHGMAFITQDFFDGLSG WQAKTNNPASLHFLKTLQLLRERLSLPDEQTKTSDATIMVVLFLTTHAHIREDLDAAK HHLKGLHKLVDMRGGMAHFTYDVNLKTEIYRYAFCNLSTIKQPKNKVL AFLA_102330 MTASKDLPPDTTPYYYLKIFLKSQLCTKAQLPPKNTTLIDKVAI VTGANTGLGLESSRQLLSYNLSLLIIAVRSVAKGEIAAATLRKEYPIATIEVWNLDMS SYDSIRAFVRRTETQLSRLDIVILNAGLQNMQFGVVPSTGHEETIQVNYLSTVLLSIL LLPVLKNKSTVGTPGRLSIVSSGTALFARFPHRKFSLLLPSYDDPKNFDLIEQYSASK LLGHMFMWKLADYVSADDVVVNLVDPGFTKGTQLQRDVSGVVSLAMSLAKVITARTVR DGASTYVDATVVKGKESHGCFVMDWQIRPFATVLYTPEGKQIIERLWDETVVELKFAD VQGILRSMNRK AFLA_102340 MATPGNQNHRAWWKESSVYQIWPCSFKDSNDDGIGDIPGIISKL DHIKNLGIDIVWLCPSYKSPQVDMGYDIADYYDIAPEYGTVADVEKLIKGCHERGMKL LMDLVVNHTSDQHEWFKQSRSSKDNEYRNWYIWKPARYDEQGNRQPPNNWVSHFQGSA WEWDEHTQEYYLHLYATEQPDLNWEHPPVRKAVHDIMRFWLDKGANGFRMDVINFISK DQRFPDAPIKDPRTPWQWGDKYYANGPRLHEYFQELGKILKEYDTFSVGEMPFVTDTE EVLRAVKYDRNELNMIFNFEHVDIDHGKYDKFEPGSWELTDLKFFFERWQKFMYENDG WNALYWENHDQPRSVDRYTNAKEEDRVIASKMLATILALKAGSPFVYQGQEIGMGNVP PEWDIEEYKDIDCLNHWKRLPNDPEIQKIARQEYQKKSRDNGRTPVQWTNAPNAGFTS PNVKPWMSVNPNYARGINAEAQVNDPNSTYSYWASVLGLRKKYVDIFVYGNYELVDRD SQEIFAYTRQYEDQKALVLANWTDGTLEWDSSSNGVKAVKDVLLNTYDSASDVKERFS GSKWSLRPYEAVVLLIEA AFLA_102350 MVFHPIELRIQALTLVAWGIPAKEIAASLGMPERTVQDIYRRAR ARGYDPSKDPRIKMEYVEDAKRSGRPKTITDEVENSVVQSIIQDRAAGSEKSSRKLAD ETGISHSSMYRILKRHGSNVPSAETTMQ AFLA_102360 MTFVMSRWNTKTIIKLVAAACCSYFIFTILLADREAYYSTTRDV IRAGQHHLTEETTFDHINNETLGFQHIYAIGMKERTDKRDYLTLAASFLGIKVDWRDG VYPDNVSEKSYPLKLGESGVKPAAIGCWRAHMNTLIDIVENGYTTALILEDDADWDVS LRQQLAEFARGVRTLTNNQHESKKAPYGTNWDILWVGGCASGAHQNETDFYVIPNDPT VPNTTIRGPWESPAGPSIQWRQEHPEWPVDSTRYIYRANMGCCTFGYAVTLEGARRIL AELSINYLNLPVDNAMSDLCAGSNRPQLRCYAPFPNLIGTFRSEGYVSRDSDIDQWED RKFEWHPALAYNMVYSTRLNIHRLVAGEETVYSQWRESPDPWSKAEVKLGELEYPRGV FVSQ AFLA_102370 MTYTSEKPEEDPERGLLIKSPVNYETDEKIEYASDHESEASTER LIPGPSFIIWTGINILSTVAIVFTNKSILTDPSFRNCQVSFAAYHFFVTGATLWAVSR PWCGFFVPKSVAIIQMLPLAAAMGIQVILQNLGLAHSSVMFHQLARLLLTPVTALLNY LLYGAKIPRAATLPLILLCAGVGMVSYYDSLPTTDGKVTTSLLGIMFAFSGVGASAIY TVWIGHYHKKLEMSSMQLLLNQAPVSAGLLLCTIPWIETPPTVSFVPASTWVLILMSG VFACLVNLSGFYIIDAAGPVSSTVIGQLKTCIIVGLGWASSRHVIMGQSVLGIFMALV GMSMYMNIVLRNQSKA AFLA_102380 MFTLLFLLLGVICPTFAHPVPRATLNRLYRRDISPSLVTEFTRF SEFAASANCQGNHNGSSTGSSVYCDSGYCNTLHKSSTQIIDGFEEIKPGGTTGYLALD KTNKYIVLTFRGTVSAENRNADLDFQQVDVSTICDGCKVHHGFWAASEGAMNVLLPKV EETLRANPDYSIILTGHSLGGALATLGAVTLRNSGHTVDLYSFGAPSVGNKAFAEFIT KSTAGKNYRITHTNDEVPKVLFRSSRRRPLDSLVPEYSQSSPEYWITSPNGVSATRST IQVIEGVNNEAGNLGTTEVTMEPHGWYMGDMSVCAQTWKDWE AFLA_102390 MVFPPRESSAYYNLGSHRRSVTTSSPEAQLWFNRGFLWAYSFNH DEAVRCFERATKHDPKCAMAFWGIAYAVGPNYNKAWHFFDMADRQHTVKKANEALTRA IELASNATPVERDLIKALTARFPPSDNIPSDMGPLNHAYADAMRPVYHAYSRDVDVAA LFGDALMCISPRGLWDLDTGKPTGDHTVEAQKVIESAMAQGIEGRDHPAHCHLYIHLM EMSPMPERALPASDRLRRLVPDAAHMLHMPTHIDMAVGDYRRAVDSNEEAIIADDKYF NRDRGSALYVAYRVHNICAKLYAAFISGRFEESLSAAKKLEQIIDINVLTSTSPPMAD WTESFLGNLAHVYVRFGRWEDILNLELPADRAVYCATTANILYARGVAFSALGRLAEA EAAQKQFEAARATVPPSRLNSIPVKEVDVLKVGSEMLSGELEYRKGNYEVAFAHLRQA IELEDALPYSDPPPWMQPVRHALGGLLLEQNRVEEAEQVFREDLGLAKDFPRRKARLN NVWGLHGLHECLVRLGKLEEALSIQVQRDIAVGSADVPITTSCYCRLSAVGKAETCCS SHI AFLA_102400 MKSLTFPILLTLTSLAAARTDLDGCTKSATVNQWGEASMIWYVP DTGEICDFPDCGGGRAPPKYNQPGCAAYTGTETLTPSYLPGWGPDGKVAPSTSAVSAS ATHSEAEATSTGAVTSDTTKTGSTLITAAPTLSTGVSSSAAGSSSPAGSSSRVAESGS SSSSASSGSRGSSNTTSSAGVLATGNAASAMGMNAGVMALVGGLVGILAL AFLA_102410 MPQYRNGQSVIYKPVGGPDSRTSESVGTIQSVLTEPGNQAGRHV DASEENPRYEIENQNTGKTTTVYERNILGPAE AFLA_102420 MPNLTLGKGASPATRSRNTAILSMFAVIGGTWLAFRSLSPRRNQ AAVSEDDRQAIDGEIKMGRAEVVSEADRQTMKGGKPGENKVGRAPHKGLTTP AFLA_102430 MPVTFAVAEVSPTKWTFGGKVRNTEELFKESCPQIFKNGMKITH SSFSPSSFDRNFFIASNHGFVRAVYLAYCYHYHLKVRPDDVWVAILVQLGFYINAHAE ECRKIFVAHEGKKELIVKQGSRLDPEDLAIRLAELIENEIVEDLRSWFMPSFSTTTEV DQVVASVLMMGTMQKYFGYRGVLSCGIPRVTLLGERADWVQLQNKIEKINEFGEEPRQ FANLLRPVLRHFVACFDGSQGTQAKSFWSASVHMSGKSGPPTISGWVTAFCFWNAEGK CRKDVARHRSTKHLELDGLRYLPLRVKDIPSGSASVPIVIKGGKQDYKREMVAGLIGV NFTDPETLDTIEPVSGWCMYGPVQEVLPESKESTGDDL AFLA_102440 MKFFNVLFFFYAALVVSVPTAINDAELDKSNASPDALTVVCQWD WDCPKQQLCCKGRCHRTGDCKHVPPKSEVAGPQRCTWATDCRPGDLCCSGYCQSVYDC RRVPPKPPVKDSEDTADNKDSPETPGDAEPVIDNSSETQLDKRRYRSPEDKFNKTNDE SSIQSLQTEVTCKQYWDCGVSGEHQWMCCNGKCIKWYPGSTPWIRPKCLK AFLA_102450 MATPDPHPDLKALYDKENELNAQWEHDIHEARKIHYEHNKSFYQ RRAELATGWTGDTQTHHPPIRDFWLTALRNEHETRKLVTKLDLGPLKSLIDIRVEWLE GFDYVLAFYFAPNEYFTNRMMRKEFYYDKTGEPTPNPSPLEMRGDRIHWKKNHILQAE CHARIGTKSFFAFVSRSLAYGDAQTSEEESMEDARITEDFEMGESIRDSVQPYAMELN SKAFGLEEDGVGEEAEEAEEEDDEDIDYDME AFLA_102460 MDMVKAAYRGQEYDMHFPGAEEEVRATKTILCNGKRLGVTANLY EALLSLRKTRPGNGEYWIDANRRKTYRGMADHLNSDMTERNAQVGMMGRIYQSADLVL VWLGDCSSKLAQGLPELEALAQRPPRELPPFELLIDDESTSTTAASSAFFKNDRFCIS AAAMTVLDLTNRQWFKRIWVLQEFCLAKHVVFLYGKHYVSLQALLTSFIWAYQNPGEA MKPEKGWNIAKTYILPRWFSHTGDIPNVLLARKAIAQGHKLTLREWLLTCKGRSATDP KDFVFGGLSLIYPESLRIDKQRLQPGDYANSTHPPPLPPRPGTRAPNSNQPTMERPTA MVGVSISSVPLPKGLWSVIEIDYKASEAEILVNVAACLLSQNEPHSLDLLSIAARPRD ADDLLKAPLKCKSSKTYDLPSWVPALGSWTSLVNSNLAAAAVAAGGGGTAFAAGTLGQ LQEAVPSPTISRDGTTLYLDAMPLDKIDEIILNAKFSYSKEHLDALIPFSNCWPRCPT PTPLMSARASMRLLPLLLPPFLLSPPVTLN AFLA_102470 MDGDVNRSSSSLPPQLLPRVWLCEIIEREVRLWVTVLRIDIKAF TVLKKIRANKRRRLESLLAVYRQLIEKFDDLPWSGTADQGIPPPDESHGDEQKKRRSE EIIESLCSKIEERIPRQSRRFLEAEMEPISPEAQRYENAFYSAMNWRSLFRTKDGLIG MGPSWLSCGDWVMPVRGAIVPYVFRHIDEDLKQQVKSLGNTVEKLEKHLFELKSTAKR NQQRLSIADTERKIASLKQKIGELCGQVGRKNAWVLIGEAYVEGVMRGEALERAGFDA FERIAIV AFLA_102480 MRSTLWSLLGLTGLAYASTEGSCKCTPGDSCWPTLDTWNALNAS VSGKLIKNTPPAISCYPGPYQNDEECAYVYSQWSNETWQSLSPVGYIYPTDDNCPPVD LSSGEKPGNCTLGQAPLYTINATEPEELATGMAFAKKNNIRLVVRNTGHDILGKSEGY GALQIWIKYIQKGITYHENYVPSDQCKHTNWTGAAFTIAGGYVWSDVYQEVFKRNLTI VGGGDPTVGCIGGYIQGGGHSPASRDYGLGSDQVLEAQVMLANGTTVTANACQNSDLY FAIRGGGGGTYGVVTSAVVKAYPSKPVVAQSLAIMPLGNNTDALLEAVTDIHTEYPSI SDAGFSGYGTWSINGPMVLFGNETVGYVHAVAAMGKSQKYAEVAFEPLLKKLQKYNGT SLFVSVQWFQFPSYPAYYNAMSGAHQSTGSANSALTSRMFDKDSLTKNRTLLRRMIGV IAGAPEEYTINSVELVGGGKVLTGGEDRFSGVNPAWRSTYMVNVVARGWADESTAQVV KDDITYKKGGAMRALTPKLGSYMNEADRNDPLWATDFFGANYKRLSLIKRKYDPEGFF YCPACVGSEAWHQDSLPGQAYGPLCHGRK AFLA_102490 MSSSTAPQGVATHLSANGLIGITWAGVALSVAFTSIRIAIRLQR MKHLLADDYFVLFGLALLITNAVLQTIQAPHLYYMTLTPTGEDIKYHSLRYVHLEFVI IGLFWSILWSIKGSFLALFWMISDGLPKYRRACAVIAIFAFIAYVGCWLASALNCHPP SDYFRFGKCTKPADIKGSVISISYSTAVDIITDLMIMALPLRILWKAKITRQQKIGLG VVFCVGFIIIATAIVRAIEITGRAYSDQVGLAIWSIAESSISVIVGCLPPFKSFISRN SSTNQYPYGSSGYTANRYDRSATSARKKRSLITTSLSEVPLPLEDMKSYRDLGYESHR QNVHITGGVDGPDGLGNTSWFKTSEDEPRGEIRMVKEFSMVSSR AFLA_102500 MIGKEKNRKHLYFSGNYAPIYTVQHAYPCEVQGTIPEEFLGGQY VRNGSNSLQDDDRRDLHWFDGDGMLSGVFFRRMSGSKVQQPLYSNRYILTDVHCATAE YPHISPIISSATTLLSPMVSPLKVFMGMLRTMALMLSSFLGFVVRPIRRISTANTNIL YHDGRVLATMETGPPMRVYLPSLSTVGWFTGSSAEGEPPDETMGPSIGGPGIEGFHNE MTTAHPHSDYQTGELLLFHSTFIFPFVHYSIISSGCAGKHGSYLNQPVPGFTSGKMMH DFGVSRKHTIMLDVPLSMDPTNITHNKPAIDAAPIYHMGDLDAPAEANHENPECRLYY YQFPADKSSTITEQWALSAIPFEFPHVPRHIEMTAARFVYGCSMSEGNFATRQKSSVK IDCLVKIDVRHLLQVAEAHPPTQITGCVDQRSINEILAINDANDPIQVFALPYGWYAQ ECSFVPRKDGISEDDGWLVTYVFDESQLDANGNAPATSRSELWIIDARNMRDIVARVL LPQRVPYGMHGDWFSEEQILNQREVAEFRSLD AFLA_102510 MATCELVQSPQAVQSFPSYFRVLARFVTNKYHPDKQFVASLRKA VDRLAASSQSMYMGSAMFQGPFRIDLILLYSFFRVADDLVDESQDTESARMIIEQCDQ LLEAKFSHPELFPFSPGYQEAKHPAPPELIAAIDSLPVSRLRLEHLKGLIEGFRTDLT FSAKPGSFPFVTESDLDTYAYHVASSVAASMLGLVVHHFPDHQFAINVFLRRRVVDAG ERMGQTLQYINVARDIARDAAINRVYLPTTWLKQQGLGPEDVLASPTDSRLELVRDRL LDRAEFLSASAREEMKFLPDEVQGPFLATVDSYLEIGAALRRGMRPRTLDDKLRLPLG TRLWVAYRAMAWRK AFLA_102520 MATMIVIGELGFYRLMSSIPIGILSRPESRQLIAPGAGAGGIAT AARLAQEGFHVKVIEQHGFIGGRCSIISKDGYRFDQGPSLLLMREVFEETFQDLGTSL EQENVRLVKCEPNYCVWFPDKDIIELSTNLTRLKAQIQHHEGPDGFPRFCAFLNEAST HYNLSLAHVLRKNFPGFLSLLRWDVLRSLISMHPWTSTYSRAARYFYSEKMRRVWTFG SMYLGMSPYRAPGTYSLLQYIETVDGIWYPEGGFQRVLRALGDIGMRSGVEYILNSPV KSVLLDDSNHVVKGVLLEDGEELYADLVVINADLVYAYNELLPKTRRSHDLKKRPVSC SSISFFWSFDEKLPHLRAHNIFLAEKYRESFDAIFEDHRIPDEPSFYVNVPSKIDPTA APPGKEAVVVLVPVGHLTSEKGGQLEEEKWDTLVSQTREIVLDTIEARTGLQDLRSRL VHEMVETPLSWEERFNLDRGAILGLSHSFFNVLSFRPQIKHPDIERLYFVGASTHPGT GVPVCLAGSKLVTQQIVEDWNMGIRQKPRSGFILTLVMALLTLVVSFLWRH AFLA_102530 MNIFGKSINVVEELVEKLKAAGFVGVREDVLKVPVGPWPKDPRL KELGRWMQIQTMDAIEPLPLALFPRVLGWSEQESRILFSKARQEFKEMKRQLYVYTHF IYGRKPEA AFLA_102540 MSRLPPEDNRYPPGPSHRPYPRRDDRDGRMYDDRSRSRSPGETA RIPDLVTAVGTVMSIDDARLDRPRPGAGGRHIEIATGRVIARLDTTVGAEVTVGVGVE ARDEAVTTDKKAEK AFLA_102550 MSPVVPVDVLNRLRMNSETVIILRALRKFGLSVIGRLKYPDSSA FSDSETSILRALLLSATFRPFTSTVQALVGMTVEPKSASHIAGRERIVLAPELRGIGL ARTVRSSITLHAQNVSGVKPPDLNSGDNDAAPENQPSQFLLFRGLEPTVTEELLAKGV AKLYRPAPSNSENASGNQKKGAKVASTTGDSNLGARDGSIRRVLLVRDRKSNESWRYG FAEFATIQDAQAAVTRLNSFEKFTISSRPVLVSYIHAGVFVPVINPSARTERFTFSPL NNPSLKLMYWDEEAYVTELTVSTGESDNNQMLLKNDQHDQSENQGKTQKDTDKTKKRK ADNPAGAGAKKLAMPSHLQFWSNRHAELHGIPKKNPDDVGISSDQGAPSTDPGAPPAQ SYADPNRNCCYLCMRQFKSSAEVNRHERLSQLHQSNLQNEELTSKAMGKLIKHGIAQQ TPEYRDRARERRQAFGSSKAPVKKSAPPPKEEEEPPVESTSKGASLLSKMGWSAGTGL GAQGTGMTAPIATEVYAQGVGLGAQGGKLGDAVEEAGRNTRGRYDEFLEKTRQTARER YEQMER AFLA_102560 MSATEVQVPFAPTSFSALSPVESIVFDAKALQKATEILNVIYRY RAPVPESVEDRSDEGTLKFLPLIYSRVKAHQAIQLILPAFPFKSPNRKNKVLGTLPDK GEETALSHLNGLCAAITDIYEPGAILTIASDGLVYNDLLGVPDSEVYAYGQCLRQIVL DQEYKHIQFIRLQHLLHVHEDMPLDAATYESLAGTFRQRLVENYTPLDYDCAASIKED KDVCATYRGYIKFLTKDLEHMYVDDGSVSKRSHKQKLESIAKEMIVRGKAFAEAIRKN YADHIRLSIHPSAGSTKISIKVLPLALHAVTPWHSSPCFTVDGRIEYGMREVFDNRED VELVHKDGRPWYYREKSDLYRWSESVEIEPQYPCGLIIRPTESNTSVTDLDMLKLRGL VQENSPVVLRGFNDTRDKELFVKKAEEMGTPMPWKFGLILEVKDHGTESQGLNNVLSA EWMPFHYDGLFKVKKEINADGKEVTVSCPPKFQFFTGMTPSPKDTGFTLFSASHLIWH YLPQNYTLEQLSKLSWTVRTTSFDEAKITDLPLVVPHFAHNRPCLRYHEPWPQEKTAF DPTYITIQDVPNSPEICQMLDSLLHDRRVAYWHSWEEGDWVISDNVTMMHTRSSFTAK SDRCLRRIHVD AFLA_102570 MENKSSSFDVDLVIVGGGPTGLLSAVLARALGLSVYIIDLISDA KNGALEVGRADALNARTQQYLEVTKTLPFLEPFGLKCNTSSTFEGGQFTSQQNKWWTS LKHTHRPNFLMIGQSEVEEALLHQLDIPVDYNSHVNGIEETTSGVVVTTDKGKTITAK YAIAADGARSFVRTTLGIPFTGTKPEMVWAVLDTFIKTDFPVCPEIITFQKDGQSRVA WIPRERGMNRFYILLDGEITQEKAEASVRDHMAPHKVEFKKTEWFSTFEIKERVASTF ISKDGNGRILLAGDAAHVHAVNGGQGLNTGIADAFNLIWRVAFVAKGHGGSTLLKSYD EERRATASAVIDVAAKLVRTTVKTALEYVEIIEKNAGYITGMVIIHFASRMGVSYSSN TPLVVDSNYGDFVAGNRCPDLWVTKLPVRSSQTNAEELSRMRLYELFGYGKFKVLFIG NEKPASFEQAIELQQKAEIWHIHDQDHRLTTLTYEFGAEWVKSDEGAVVVVRPDLYIG YVGKDWVQYLASVFN AFLA_102580 MTSKGADRLIYCGRSSRQGCIQRQGYKNSHMCIQLYEEPIMSER FEYDPLPEPTCIRLVSFVPQDDGTSPPPMNQGEPLLRLSLCTADLRDTPHYEALSYTW GSPFPPEDSRSRAYENEKNHQRVVINGREHEIGRNLWEFLHQQQQINAYLRKVAAEML ASGLDIHGRTPLMRAVIDNIVDLTETLLALGAETGAQDNQGKTALHYALLRDRPNLEL AELLVYYGADIHAQTKEGKTPLDNAEDEVVTLITSFNKDLGGKALPRGLRLSAQRPMW VDSISINQKDITERNKQVTMMSNIYSTAMSVVVWLGVEDDRRIPLALDSLDNPRPWIF LTSLRDSGFAGSRLENAMKVGHSSEQILDAQGIEELMARSWWSRTWVIQEVALAKRIL IICGSVTIYPMRTTFILCALCGIPSPWKERQDVLDTTALFESARFSGLPGIEALMLAD ISFRAAPHTGEREYYVKKILKAMGAVPNISWGRRLSLQNLGRLSWWSQSSDPRDKVFA LLGIACPDPQHQQIIVDYNIPTDEVFVQYGRLFMQGSSEPIQNLHTGESYVFEPLEGL SYVQDTSKPHPEFQDYKAKLPSWTPNFSAHHTTCRIWSREFAAASAIANSPTILSHPD PKILYVSGSIVDCIVAIEPTQSKGDVHEPEIMAWLELIQPLQPKYLGGGSPVDALRKT LTVGKGYQNKKRARSAFRDFIAWRLCQSPMEPPLESILTRLRKTGARDTLPSVMELRK QKQEPRNQKQEYWRSVLKITERIERQVQEFQDKKQGPEEIEQGLLKLKQELLDQGYNI RIKRQGQAETGWVDLETMQEDLQVGYPEYSQVEFYILFKRYYRSRCLFRTRKGYIGLG PVGIQPGDEIWLFATARTPFILRRPSKGSLRRKTLDSNSSTAESECRTFIGETYVHGI MNGEAMRKDGFRPVSLV AFLA_102590 MANPCNQLSLAIKAGDHPKVTEILDSGLQVATPGHFLLATQKKD YATLKLFLSHGWDINTDIDSLVPSALVYAFEDVELLDWFLDHGADPNKESRIRDCTPL SYAVMEAPFNIVKYLFENGGQLKRGQLLHYAAMRRKDDSYEVLQFIYNEDPDYNELQI NKLLDEGTSHHLMNYRSGLGTPLHYAASSGSVDMVSFQLDKGAAHRLDPYHRSPIGYA VYYGHYEVEQVLKARMTLGAGSA AFLA_102600 MPTSTLHLRETSFSMADIDITETQFKLPDGLSVYQKTWAPSSAA PVARLVHFHGFSDHINNTFDLFPSLARRGIFCTGIDQRGWGQSVKTKADRGNTGPTAA ILADFAAFIEVQLEAKPSVPVFVMGHSMGGGLVATLASTPKYQGLVSRLGGIMLEAPF IGLDAEQEPSIITVVLGRLAGKLLPRFQITQPMKVETIVRDPAVQQLLKNDPLNPCVG TLEMFANMLDRAADLTSGKLKLNDGVRSVYVAHGTGDQVTSHDASKRWFDAQTGKVAD RKFKSYEGWSHVLHADLPENRQEFADDIAEWILARV AFLA_102610 MTPTQPPLLQNINAAGIGTRLSSPDCQLIRLDGEKERITRGDII APYGEVNFCNPELGVTNHYQPDRTRYPIVNYPHAAVELTGVYKTFQAIANDTNAYEEG AAKVSRLLLGQKYPLLIHWENDIYGVRKTTTQDHYADDDILYAGKDKPPKIMIMLETV DKKYKKKHIGSGCKVVPWIYDNEGRSLGNLVDHHHSIRTHDLGDYEPPSLDIRHVRLE EKVTCFMDGKKLQIEYFNDTLERHDPATVFAHTDDKENQPFKHFVFTNI AFLA_102620 MFSFFRRGPPQTPEIPSDQAPSQQTTTQTSQSPPQPQNQRPESE PELKFINPQTKYKLLLGGLTFFAFSLWSTRRALNRRYLASVPPFYTSSLYHKPDVSGG AEAFEALNLATLNVLSLGMAGTGGILCALDINGVDDMRRFVRRGFYGDGGDVTKVDKE LEDEVEAWVGSVLGEKFGVELKKEKERERNENKA AFLA_102630 MLASGSGNVSLRTVSYRTSNSRSPSNGSHATVVRYATPMSHPVS HTSSPAISRTHLGPPMVGSTSRLDQPVKMPNDNKNAPSPAASTVRSVQDREVHMSPMT ESTSRQVPSDSYAGPPPTPGMDDVPYIRFAINQLTREEDSRSLRRPSSVASEDYPAER LIWDEGLGYFIRSPEANNTPPAQQPLLQHPSPEPVDRSLQGSVEPEAFVAVEPPKDSL LYPRLDYVPCVLRPWALTAVILCSLLMIAGIVFCNIWSQGHQGFWDYGGQGGGRYFVV QFLPQILAVIITIWTFVIQAAVYRTMPFAIMASERKLGHVLHRLPILSQNFLVPDFSQ FRHGEPLVGLSLVLIWLSNMISLPLLSCFFQAKWFIIDGRGTWRWTAVQDVGWTLVAM YGLLTVALVFLVFRFVRTRSGLMWDPVSLADLVSIIQRSNILRDFEQSEILPDVGDSL NPRVLRLGYWQLSNREATFYGIGEVAAPLGNPSLHLSEKSREKQPYGLSRVSYDLEQR IGEGKYGFDEHMYSPSVRYRWAPWFLRDTFIVAWTVTIGALFIAFVLVSFIHDAIKGG FPPRLPTLPSPKSFSSSNFLYSFIPALIGNVLFLAWQPIDVYFRALQPFVSLSLPEGA TADQSLLLSYPSCFPFHVTVLAILNKHYKVAWISFMSVASAAIPILAGGVFIALNYPS QSEIRIAALMPAFYAMVAFCALYTVSFLCIWPGRRRYLPHDISTLADQISFLYQSPLL SDKILREPRSKADLVTRLVMAPPGDRDHPMYGFGIYVGRDGKEHLGIDRFHRPGRADM LITTGSMQ AFLA_102640 MKPVEDSVSHLPPLDWVPHPKHLNTAPVAPVAPAAPAAPATSSA HAPLASASSCSSNNGSSGYWYEKIEHNDQSSFLSSEYKDSYKVFRNVVSDYKADNTGN QDSAPAIQRAIEAGASNGPSRNSKSMGTTGQPAVVYLPGGTYLLQTSLQLFTGTVLVG DPSNPPVLKAASGYSLDHIVYAKDPNHEGTNNFYIGIKNIVLDSTGVDSAKSLSLLDW TVSQATQLANVAFHMPTGSKHTGLTTHTQNDLSFSGGGVGMKLSGQQWVFKNLTFTGT TIGVVAGATDIVFLGCQFQQGAIGINASETSGSLTVIDSSASGLDTFITSGDSGGAGN AIVLENVQSSGVTVSLGGKAVLTGGVSDTWVHGTMKGSTSNGSRQYNSGSTNKERVDG KIVVTNRASSLLSGNKYYTVSPPTYQEYRSNKVLNIKSVSSSPVYGDGQTDDTANINK ILSENKDCKVIYFPAGTYIVTDTIFVPSGTRIIGDAFASTISAVGNNFKDEAFVRVMI RVGYPGDMGVAQISDMVLTVGDILPGCQLMEVNIAGKNQGDVGFWNVHFRIGGGVGSR VHSDCTSSPDSCKAAYGLLHLTGTSSAYIENMWGWTADHDLDGSSTQTISTGRGLLVE AVAATWLIGTGFEHHTLYQYNFEHAHNVLATMQQSETPYWQGVGSTLAPAPWGNHLSA SDPDFSWCAGDDATCRMALFERINGASNLFLYGGCNWAFFNNNGGCNGKCQKNAVQII ESSALYLYGTNTKSTANMILEGSTTIATEDDNAGGWGGVIAAFLYKT AFLA_102650 MLLKPATPLTILLLIAFVLLLLSVISTPIVKGIPLATFENVDYG VFGYCKAGQCTNIHLGYTNDDLSDTGDDFNLPSSTRRSLSSILIVHPVAAFLTLICLC MAAAAHFHAPSHSPRYLLVLLILLLPTLLVSLLAFLVDILLFVPHLGWGGWIVLGATI LLVSCGVVTCAMRRTLVSRKARKRRIAENAEMSGENYYNRQNAAAAAAGLNNPKPLNG EAKEPFVTGSPPGSDTGPTFATFRTTTHESDDDRTPLNSRTPPNDFPLPDPSYPTQMR DNGPPYNPSRDEFGNPLPPSGPYGPGPRMRPGPGDPRLRNQYSDGSMGSRRGPAPPGF VPRGRGAYPARGGYGRGGPYGGPRGPPPGGRGSPMGSMRGGRGGYRGPAPFGYGPNPG PRPMPPPEEERYDYDAPPDSMRQPSPGPIGMAVSPPDGSPIGQAIEMTPQPRRTGSAE PVPQEVLLGQQPHALSADGQPEPVSPSSLYSRTPSYVPPRAAWEQAERRPGHSPSPVH AYRSAETARTPHHAGSESAGYFEDADPRFANRNEPAVGNPRLPSALTPGSSAI AFLA_102660 MKSLPLLTTLLLTLTTTPTTQAWTFTWRNASNSPFIERSTSPAP CTKIDQAEGQEFVFEPNNSPYSFYIWSNDNCSGSYSGFTPPSRWGKKASTDLRSYMVN YGGNDGPSTTAVSSSTSTSTSSASSTGTATGTATGGSSTSTTTASASATATSSPGDSS SSSSGISGGAVAGIVIGVVAGIAVVGGAFWLGRRQRSGSGGKPPGNAGQGFGSASGLG PGAGYVQPGTPIGGSDAGGYMGAEVAKGPLPYMYENHPMSPVPAYQPPVGQQFAELPG ESVRVEMSDTSRVNELEGSGKR AFLA_102670 MGTESVLESKPSVENREAVDDASSNHNVERQQVLSVGLNDALTK ERMSPWSWAMFRLYGLVALTTLNCCMNGFDGTLMSSINAMPTFHEHFGTRMQGSGTGI LFSIYAIGNLAGALVAAPAADTFGRRFGMFIGSLIIIVGTILEASAPKVAQFIGGRFL IGMGISISNTSAPIYLVEVALPQWRGIFGGLYNVVGYYIGALSCTWIAYGTGFMDTNW SWRLPVVIQAAPSVVVLAVAFFMPESPRWLFANNKPEEARQMLIKYHGNNNPESALVA HECREIEEGIRFEVETGGRRWWDYKVLFSSRDMLYRLWLLFLVCVFSQFIGGSVITAK PSRYFMPVMLENAGITSSTQQLLLNALNTVFSFIGGLFGSCFVDRWGRRTLFLYGTFI TGLIYIPINVISSYDADSLTTSMGYGFIACIFLYGIVFSFSWTPLQALYPAEILPSRV RAKGMAFQNVVYGGSNFINMYATPTGMDNIGWRMYIIFLVLHFLEYIFMFFTLPETKG RTIEELEELFLERNPVKASLKKREVVVREGMGVKEVGE AFLA_102680 MGAILSKIIETYHALAAFWSEISQNAAESSALLRRIATPEFPVP DPTVSFWQTNPKHQELVHAKSEQLPQSADIVIIGSGISGASVAYTILTECQALGIEKR VVILEARQVCSGATGRNGGHLKCSPYLTYSELKVLLGKEKAKRVLYFQLRHLPTILEL VKDEGLDEAEAREVETVDLFTEQTTWDKAQEMVQELRRDAPEYAEDTMVWDAKQAQER FGASSHCLGAISYRAGAVWPYRLVTSIYMKLKSQYHSSFSIETGATVREIHVEDNDTT PYLLHTSRGKIRATHVVHATDAYAPNLVPGLKGKIFPVRGHMSAQAADGPSSHLDGSR SWSIIGKKGFEYITQRPRESAGPHSSGGEIMLGGGVYKSDGKGMDEIGIWKDDCVDPT ISAYLGGIWSTVLQGEHTRVLQLWSGCMGFTPDLVPFVGKVSPTFTKRRRPYNKRKGA ASESGSTANEWITAGFNGDGMVLAWLSGTAVGLMVLGREDVHLEARAGRPAGKVTEWL PKELYLSESRVRDLSIFKLARFL AFLA_102690 MAPHYAILDTRALEAASSHLAKRDLTVTHTQAVTLGVMAVYVVV IALLWNLPYVRWSLWPFKMLVIAFHEFGHAITACCTGGKVESISLDPHEGGVTHMRGG ISAVTLPAGYLGSSIIGALLIFAGFDIVASKVASIVLGVCFLLTLWWARRDWLTIVTI LLAVGLLVACWFIAHGEALKWVVLFIGVMSALYSVWDICDDLIIRKVNTSDASVFAQR YGGSSRCWGVIWSIISLCFMAIGIIGGIAAFRESFSEQEDSSKHFIPTI AFLA_102700 MQSDSDLVLVSSTSDGITTIKINRPHRRNAVDPTTAKLLYEKIL AFENDSSQKVCILTGMGGTFCAGADLHEVAGQDSRDATSKETNGGRSHFQAPPESGEQ SLGPMGPSRLQIQKPIIGAVSGYAVAGGLELSLLCDIRVVEEDAVFGVFCRRWGVPLI DGGTVRLQAVVGLGRALDMILTGRPVSATEALSMGLANRVVPKGKAVEEATAIAKQLL SFPQLCMNADRESCYYSAYQASSFQDAMRHEYEKGVKVLDFESIKGAAQFSHGAGRHG SFKNGSRL AFLA_102710 MHHAPPPTSSSGDLLVSAPENHLSVNWDPSSLANPPVPRIDNNP KETGGTWGDGCSAATSLTDNQDDTTLRSSLARHFRTNIDTTHTDIVLIICGFVSGLVD GLSFNAWGSFASMQTGMPLLHPRTRTSSTQATANQLPAGNSVFIALGVSGQPAYPAYL WAKSLIALTVFITSNILFIHVSRALGPRRRSTLILSFAVQTAALLAAAILVQLGVISP KPEDPRAPIEWMQILPISLLSFQAGGQIVASRVLGIDEIPTVVLTTLLCDLLVDPKLT EKVNPKRNRRAGAFLALFLGAMTAGGLSKVTEMAASLWFAFVLKFLITAGWFVWKREG RTEGKRDVEG AFLA_102720 MTDSNDRLAVVVQQSPMTAEYQTPKNPSCVVCARRKRSEEDRRH SGFYQSPIRQDNAASARIRNIDHPATTHNAFPSASRAEQGMLLTGDGKSVYLDRLSYL GMNNDTQMANG AFLA_102730 MSDNGSNHSVQEVDDETSLILGGAVKKSLSELHPSPLHIFKLWQ TFLENVNPLIKILHGPTVQQQLLEATGSLETVSKEFEALMFSIYCIALVSLKADDVQN TYGESKAVLLSRSRRGARLAFTKAGILRTSKPVVLQAYVLYLLSLRASSDSQSIWSLC GVAMRMAQRIGLHRDGSELGLTIFETEMRRRLWRQLSILDVTTAQSSGITSQFPYFSV DVQPPSNINDSELDPRMTDPPREHHGATEMMFVLARNEYGEWMRRWSKAGGESHGGRG FLASSLLSPEAKDEAINELNRAFETKFLQYCDKSIPLHYMSARLMQTVVCQMRFSAHH PRQYVEKDPVSLAERDFVFSTCVQILEGFEDCQSNEIIERYLWHVDNHIPWDALIYTL YELRTRSDEEETKRSWVLIDRIYSRHYDQMRNRPKTPLHIAVQGLILKAWKSHSEERT RRNRSMLPRPHIVSVLSERSERGTSSHQPRSEPSVSESGTPRGRTTQDITPGNVGMLD PLNNLDLSPLDWSQWDDLLENFQLEFTNNELFSTDAL AFLA_102740 MTGPSIQDRTGEFHAILGQAQKRVATNKVGSQRQALLSDSQRRQ ANGSANGGAQPGRRSEFARRAVEIGRGITATTAKLQRLAELAKRKTLFDDKPVEISEL TYVIKQDLASLNQQIASLQALTLSQHPKSNRSKADQEGEHNDNVVVMLQGKLADVGAN FKEVLEVRTKNIQASRSRTENFVSSVSSKSQAALDTQRSDSPLYTSGRRTPQPGGSSD LLTLEPSNPSPLGRPSMHSDQQLLVMEEAQTSNSYIQARGEAIDAIERTINELGGIFG QLAQMVSEQSEMIQRIDANTEDVVDNVQGAQRELMKYWTRVSGNRWLIAKMFGVLMVR GSLNLFNFKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKQDHSSQNSHLKATPGPC KTGTTSSHSWGIAPRRQISLCAASNQTSLNFGGVSAPEATNSPQSRRICHMNSIRFVH VGE AFLA_102750 MARPFQNPYEPVDSPGADNPLSPQPRGGTPISFKTNVNRAKTKR WVEAKKISYDGNDWGDDEYDEYDEDPVPPPPQQQPLNQSTGDLPGLASRNIPKPWALG MDRSRSMDQVMTLGTGPVADSRSHSADRNAEEQNHNLPLRSADIYSRLREQTVGPGSP PSLSRASTDPVPTTSPAGQEAKGKQSENQSVPSRPQNDVPIIGLPDIRRLSGFGADLT AAPSSDAQKDQPAEPQQQEPQLHHNPSVGFRSVVNQAFDVPETPSTIIDSITRSDSNS TSIISPIIPPRGTNEKTPTIEEEPESTSPPRGFKPGHRRDLSVPSPDNSPLRRPIITN NDTIAPESLAEMSSDLPSDSPQDQSTPTYQQVTVQPTTTAAEDRPAPLKISGNMSPPE NSIPTIVPSLSTENSPQDTESDRLRKEIIRSLSRENTPSEEPDPQDRSRPQTSRQDSL IPSEYERYWNETASASPQGEFKPVLGYNPAQNESQDLYSSSPLQTSTTAPNAAPQQDI TPKLKRRFSWESASSEEQLVPATNVQSPPVGPIPGQFPVVDEKSLQPEPEPELEPNTV APPVETHDEAERDNVPEKPKLTIIPPSATDNSSIVSGRYLPEVANAQTVGDAYSPAGA NQDAVTPAPALAPTQSPSIEASLLGFRDILELKTSDERVKAFNKTRDQFVTIDTGLNN WLRVTIHAHPEYADVVQQSLKQTTDESKYPVPRAKFPKLSSLGNLVSSHQEGSSGSGH VRRPSTHLGSMMNKQHVEQRGKDFLHTAGVFGGKAGEAAKGLFAKGRSKFKGGGSDKG FESSRGYRRKFSFSELALDLAEENHDKRRTVHFGSLPVMNGARDSQVKRLSLDIKRKQ VPSVSARRPVEGAVADPGYHTDPEPSCVHRHRSGLTQDLDKEVVAALGFSDADSRSGQ SGHALSYRSTAGDLAELAASDSAVLAPTGSQEHWELPGDSASSAHRLAPVQPGRDCPP RKPLSRENESPPMTPIQVAINDAPLLIPEYKSDQRDIGDKILSWTEQANSVDDPMDQP NNVQPQPASEEPSPERETTTRAPSSTGKRFYSSVMETKNRDSQRSNGEIKTATLLDPS HHRRGSSVQVRLQPVPSVSSLGTLEADRDPQRPLRQVLGQANSSRVSFLSDHDGGDGQ HQSLGGILRPDRQGRRPKSPWPGDEAEHASRRLSGVFRPLLSGSRPRDASQSTDHAPS NPPAQPASKQAPTQTALSTMEKLKVVGNKIRRPSRASESHGVKTGQPHRKALDKISGF FNRRAEHGRPKSRAAETHVPPVVQIQRQAHSMDRLYPVSSQRTSTSDHSHLPSAEYDR PRSNIENHSFQGQPPPVEGYFAPESFSRLNDPQEPGTPLTQQITVEDVASNTITSNRI PSPTDYFRHRHSGSGSGNGRLTPQSPLFRPPTNPQTPQYQQPPIASPGLASPLRASPI ASPVVPAPQSPPSRGRSEERTYAQDLNIRSRSPKTFAPRPEERHIPSTDTTDPAYHLG IFRQNPRTSRIGDQERPWKLTIPGESEEDKPPDNALAWRQQTTQGVLQCGHDRLPTYE EDTKDPPQENPRDEKPPLSDTPAPARPSMPPLSQSTGNLHPTRGEGRVLNNDAPVELP VQTDDDSSEEILMSSTAYPGQEWRPVGFSGWE AFLA_102760 MASMTSFARALRSTPTTHTTTCSILRSHLRPRSLPRSVSPRSAR FVHPGAYQPFVPPSPSELGKPAPAKTYDRSRKWLRRLVYLSLATGVVYAIDTQFYASS LTRTARTFTLGLIVALDYKINFRPNPLLASSINHLHTRNAERLSDLLRHNGGLYLKIG QAVAMQSAILPPEFQKMFSRMFDDAPQNDWKDVEKVIREDFGKSPEEVFGVSFTGDPT KGVMERKARASASVAQVHWARLADGREVAVKVQKREIAQQIQWDLWAFKVVTWVYSRV FDIPFYSLVPYVSERLFLETDFENEADNSEHMARLVAGESRLRNRVYIPKVYRELSSK RVMTAEWIEGVRLWDKDAITRSWRGGWHQGSPGCHGTPMDPPQSDSTSTKVSRNPNAT QLKPERDHWRGWNGRGGLGLSLKEVMTTMIDLFSAQMFLWGILHCDPHPGNIFVRRKP SGQPELVLIDHGLYIHMDTDFRHQYARFWKSLLTFDNAAIRDIVKQWGVTNPDIFASV TLMRPYQGGDLSTQQHFEGLSKKDRAQRHYEAQQAARKGIRQILGDESKWPKELIFIG RNLRIVQANNQFLGSPVNRIKITGTWASRALVESPDLPLNEKIQNYGRHILFRLVLFT SDIYFYFTKIRQFLRLGGGMEDSLEAQMQSMAKDMGVDLQQNVFEG AFLA_102770 MRLFVGHFVLSFLLFSLNIGCASAGINTSCLKVVTALAARPGDL FAKFQEHICDQGCRPTVPHWDLWTRNNSFLPAVRSVTKRLEAPRHEEAMIKLGDDAAD IIKRRCGPLLHGGDLCSDSETLAGFGNCFKTNFVRAAIMNLPTLLPMVSEEVCREQYE YLKTDRLWEEIIPNNMKEYASVCQTLGDGAPELDHGRVHEEL AFLA_102780 MVYVTQNNTAQNEMRDAETLPCVNIRNIEAKENRYRLLGHTDTI MWVATSPNSTLVASISWDGTARIWDADDGDCLQVLGPFGGQMCPKAVVYVYDIEKAEE VLHFEGCEHWARSLDWSPDGKLLAVGASDSSVRVLDPYTGEEKIGWRLKFDNRLMRRF AGIQGVKFIDAGRKLMFRSTVGFTEVYDLQLTKQQFARGPECQLERGSTSKPIYTADS SLPVVSDADGAVRFWRHCE AFLA_102790 MIGCGLYTLVSSAEGSIVIWELDRDGKNVSAEKENKVDIDTLSA EAADIAIFKIVSDHGWALGDRGGLQDNYN AFLA_102800 MDVDEKPTEESEDKVDKKAQEKKERKEKTKQEKKAKKEKKKAEA QEQQSVSNGQQSQAEPAEDDAPKQKGSRFICFVGNLPYSANHESLSAHFEKIAPVSVR VATQKEKPTKCRGFGFIEFDNYDRMKTCLKLYHHSMFDDKKYPPRRINVELTAGGGGN NENRKAKIEAKNKKLFEERQRNAKSIHQEKERKAKVEETGVDEYAGVHPSRLSRMA AFLA_102810 MATGPATQSLKTTNLQCVVTGDGAVGKTCLLISYTTNAFPGEYI PTVFDNYTASVMVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFD NVKAKWFPEIEHHAPNVPIILVGTKLDLRDDPATTDALRQRKMEPVSYEQALAVAKEI RAHKYLECSALTQRNLKSVFDEAIRAVLNPRPAAKPKKSKCLIL AFLA_102820 MASEEVNVVAIMYPKSGKHDELSRHISELTRQVHATEPDTLIYY AFSIKDGNEIMVVERYRDQDALHMHLLSPHFQEFGSKAAGLMERPYDVKVGHGILPSS VGVTRVQS AFLA_102830 MQLGLHRPVQPEEFTTFRMEVQGEAVKDRIQTWAICNIVAQNVA TGYGQPPGTIYDWALEPASLQDADYHPSHDLQTRLRIEKFCDRVTKSLYSSKPEPAEF ISSEKLLIVQLLENELRDMEVDLGRDISNINMIHLRAAELHLRYFVFLGSNPRSDDLT KLFIATTSFLGRVLDLETSPGELIGHATNYILQMIVSAAFALMKLLKSDFSRHIDFDH GKLLFNGAISAIRRISVMDHDRPVRLADILAQMWNAGGSDPSGEEALLLKVRCRMSMS HVYDTVWRWRQRFRPIKSVEDAQASLANPNLSATAGPVSRQDDSLEDPGLMYAPNFDQ GGAFISEVGFSEVFDSLNWVFDGIPDSFVAPPVM AFLA_102840 MADCASNRRNAEMEKEIAELRRRLATSADHPHGVEATVSDELSP CSEEVFCGPDSAVSNRTRTLSAPLEPQPLATPLTIQRDASIMSQEDNMWRLEDVSLSR PRVARLFEQ AFLA_102850 MADVSLHDGPAPSAPSPRDADAPPASADSAGHSATPAISDELKA RMDKVVYSDIGITTLLTRLKQSVASARDFSTFLKKRSSLEEEHAQGLRKLSRSLLDAS VRTDNRQGTYGQSHNDLSRFHDRMADHGLQFAVSLQQMADSLHELAANIERGRKQWKQ TGLSAEKRVMEAEAAAEKAKAKYESLAEQYDRVKTGDKQSGKFGLKGPKSAAQHEEEL LRKVQNADSDYASKVQAAQAARQELVSTHRPQAVHNIQQLISECDSGLTLQMQKFATF NEKLLLGQGLSISPLKDSAGNAAIAPKSLYEVIQQIDNEKDYRDYVLSHQNNPGAVTS EQIKYERHPTLGGTTGPVVPASQTSTQNKRNSSMLLQSFSQQHLPAQSSQQSPAPAPA PTAAPAPSTQQSSYPHNPDSYSSSTFQPPYPVSDSPAVPEKQPLNPPSMAAAPSPLPP AGGTTGNFQQHLPPLKPVFGVSLEDLYLRDGTAVPMIVYQCFQAIELFGLDMEGIYRL SGSANHINQMKQIFDNDSSQVDFTNPENFYHDVNSVAGLLKQFFRDLPDPLFTSQSYT DFINAARIDDDVQRRDSLHALVNNLPDAHYATLRALVLHLNKVQEHYTQNRMNAGNIA ICFGPTLMGASSGGNVADAGWQVRVIETVILNTFQIFDDD AFLA_102860 MATSLSKGPVFTAVRSNNALFQLYSCQCRFFSQSSQRSAMRGIP ENIAIKQPAQPSMATRGMGLSRSELPQDIGLLPGTYVRPLWRDMPSIFQQPQERLQLE WLWLKSGFQNFLGLVAYCKWFNSGLPLRLKERRQAARELHQRMYSAFAKGDISTLRKV CCTGLANNLSSRIANRPRDEKVSWSLDKYNRTPATLLTGIRVLSDRATQIPEIPDSGV RQVVVRITSRQSTGKSKVVKTGKSEVSVESTAPPKQQDCTEYIVLQKLRWYGEDEDWR IWGHATPTTVEDLANPMFVSGLTFAERMAAMKEQFEGKK AFLA_102870 METLTFLYHATQNHAMIKRETAAALLVVSIASFLHLTRENEPEV PVFVITRKLWDWAVAIFLRMRVADRAGAREL AFLA_102880 MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVADSEMGEVIQLQGDQRKDVQEFLTDKKEGL ELDAKTIKVHGF AFLA_102890 MASKKDMRRLDLAIPYVDPPANKDDADMSGAMTSTMPMAAMFTR NRMIGWVSFVFSLQNWLGETPEQKRTASTPAYMSVFMSLMALVVTYFPIFMPPPAVPA GAATATPSP AFLA_102900 MYESPDATQCRHVPDFNKFPRGKEGFVNAIILGISYSTLFHKIS PIFENGAIWGPSSDCQETHRFAGESEDDPFSWVHGDVTGMERNTYSIEEII AFLA_102910 MIPHSSAGVQSWGHPLRAVNNGSGHVDASQAVGPPDPQFEKLPT PVPQPQPRQPAVIDLTTSGGDAQELEPPPKRLRLDLPAAPSARDASPAPGSGGELRST PGTGGSKPPSLSWRNRPVWSFQAMLSEVPGSNVMNEEDATAVAQGGKPASPPSLPVLP WKYIPESLGSNPTTSRASSPVKEVQTIPYRIETPSVAPVLKGEKVADFSPWIGNHPED VLNEQTAKQGHYDRTQVSQNESNTARPSLYAQLKHRSGLQMLSSVFAAALEKRQNHSL VTAPSTFKPPPRVTLTDNKREAWLRDLANPSVPLRKLSRTIPHGIRGKALLDQCLNKG IPVNRAVWLAKCVGANEIRAFKRKGTSGTLALGLEAKWVRDWTASVQQFLEGVLGACG SAQWKMKMTYAVSLTARLFFERLLDHDQYLGWFLSSLEAAPVNIVPVWLLMLGIYWDN IMRYRKRGRRLAELLLVKLRQVRALILTVNTLLIRQQVTQPDKGGPLQPLVDRLSLYV RRLVLEHTSSVVLPGSWENHKELISSCLNLKDNVHKTIYQNLSERNSRLQLPKNHQDT AERSPQQRVIQLFDSIRSAHDISSASTACLKTIEDKAILISKLLEWTATPFRYGLCRV YTGVRLLRKWKMSGIDVDSYILSFLSDVRVTSALNMENIYHIISELVRSQTFSVGRYL QWLMAKGVTNTPQPVPSDLCLLKQLPANRLPEHVRNLRNTLLYRAGIPVMEEDSAIAE LKISIAQRLPNIFGAEMDSAMPTESSQPNPTWAVKSEIGQWIRHGIAGHCRDSPRKLS GVSVAVDPGASALTPDEFYSVREILETFGDLSMLADILKQATRCDDDVVLASVADTVN CHFDCFCVIGATADLFRGLVESYARLKRLGNASLDLLFSLIELGLRIPSEFNTVALLR QDLTRLENKSALAAPSPLSDSIPLALSDVDPSFQEKLNQLLSSGGGMDESTMDTVFYS LMHILENSGSPAKLSANETARYLAYLRPFQPKHFDTMLIRWICGLLKSSTPSMSRILP PLIGVGCVTIHAFVFLVKKLLQSEKVAAVIPNLAGLRVDLLQLLVPLVSGKSKYADLV TYRFHVAQQEFFMKHPQETLDIICDAVALVNSETGSNPGQPDIAGCATELLDILLTQN PEVTVQYCLQGFIGKHSTSTTVLERALDNLLGFDSLAGPPTMSEAEKVVRMTDDFSLP FCQLKLQMLFNAESGRNVGNGIVDVMFKAAVEDTRSKGSNWVGFIRERAERALFSIPL FEEQLEAHGHPGTAKSLETAKLYLTIIEKLAYSVPEADTAPLRLKFFSLAQTSRGLSL SGSLLF AFLA_102920 MSAEAAKSSGLSAKIDNKRKRQAEESSKQAGAATGNAEGPSNKK RKNGKSKLKKGGKDKKDKPQLDASEKEQRDAKQTETKGGIDEAIGKMDGRLLADHFMQ KAKRHNKELTAVELSDLSVPEFRDKDGSPETVLGEGNSQPPGKGV AFLA_102930 MQRRAANTPARDRRKSGRMQRETTFDILRNLGRALAPVSQPIRS SPQEEKPESEPELEKDEIEELDNEPEIERPRLSLPLEEVEEQEEASPEPRPPRLSLAF EEEDITVEYPRRATSEHDRARLSMMSFGNPRLSENFGDATRLESDSEDGGDSGIEHGE DGENQDETVMSQGAFDRGGETEDLGRFNFDFNFPSPPPPPADDMDGPLNDDEGFELPP VDLVQDTAPMSDDSDDAAVTAGEFGLDLHMPSRASLSESPGIVGGGLRDEDTITVQGK QKKLSRHGIPVPNMPSGVIRKLATRFAPARAGSKAKISKATLAAIEQASSWYFEQVAE DLAAYSKHAGRKTIDESDVITLMRR AFLA_102940 MRSIFSVLQRAAATSWQPPIAASIRGPRMAFKLDSICARCRRQQ IRFYSKQLADDPRWLSVVDHPAQIVRTGRKHGPGLIILALIPIISFALGTWQIQRLDW KTKLIAKYEDRLVKPPLPLPPQIDPDAISEFDYRRVYTTGRFRHDQEMLVGPRMREGE DGFIVVTPLERGDGESTVLVNRGWISKKMKDQKDRTEGLPQGEVTVEGLLREPWKKNM FTPENKPEDGKFYFPDVEQMAELTGSQPVWIEETMIADMVEYYNRSGKGIPIARAAEV NLRNNHSQYIFTWYGLCFATSIMLWMIVRKRPNEATRRVRQNVNW AFLA_102950 MFFSGTLQEGIALAVSQAKAVICFVRDNDQTSNLWEEDYFADKD FAQLLGSRSVLLRLTKDSQEATFLTSFCPVTKFPAVVVIKNGMLREYLVPDISKDDFH SRLKAVLEDSKPTTQPSLNSPAQGAQGHTANPSTSSHTASATAPQSEPSPAPAAAVPA RAPASTEPPVTGSQRRPDQLEYNSLRAGGRTYRVETPSQAQKPQPKKQETPKPQGIGK PKDSTEEQKEPETKATKRSASVERTNTPADERKPQAPTPPKQYRLQVRLFDGSSIRSS FSPSQTIRGDVRPWIDSQPGDEKRPYNLKHILTPLPNRTLTIAEEEQTLAELGLGSTA NLVMVPINTYTEAYSATGSSLPARAVSSAYGLVSSAVGTATGLVGSFFGYGQPTPSPS ATSQASTSSPSPSGDGASRPRPSSSRGPIIRTLRDQRNEQNDSQFYNGNQVRNLNIPR GLKL AFLA_102960 MPTIIIQKMDATDLLPLLEQLDDHVDDLEEALAPVLNSAITDTS KKLPVLDKAKFHVLITYALESLIFYASSTSWCRPYPCAQRSHQTYRRSRQTCCCSLGP ALPASLDRCSPPVDFPAGLSSPSPPGCPRPAERRTRPVRPDGLDRCWCRSRLLHRPRH RWFLQRRFQRPRRGPTGSSRRGPAHGHRTVAEQHRQQLLWQPCLRDGRSQLPPVHGRE PGQPQHLRMVPGPACMSPF AFLA_102970 MHNPNLYRSWLERKKCLSINTNGLDDVKTGFDVNVLNDKTWYSV PYWNKDGTAENGNDSIDQHTEGVGNEELLAQPADERIECLIVAVKGPVTVAAMDSVKR RLTPDSTILFLQNGMGIIEELNEKVFRDPRRRPHYMCGVISHGLARRKEPFQVCHTGV GTTILGSVPPADAVSPANKVDVDWAPSTKYLLRTLTLTPPLVAVAETPSSLMLYQLEK LALNCVINPLTAIMNCKNGELLYNYSFTRIMRLLLIEISSVICALPELQGVPGIESRF SPERLRMMVVQLANKTAKNHSSMLQDVLARKPTEIEYLNGYIVRRGEELGIKCVVNYM IKHLVLAKGLQTKQVESGAIPIDLLREPQI AFLA_102980 MNPNWWPGEEHEQFTEWAISQGIIANGVGPARFPGRGLGMIATR NIEEDEAIVTVPLKAMLTSERIPSYFTSKFPDGTPTHALYAAFLTNGNAEDLEEFNAW RKTWPSRQDFEDSMPILWSESLRNYLPPSISSHWHSIQSRDKLQYETTHQNLLAQQEQ RLRTAWDIVVSIFPDTDWETFSYHWLIVNTRSFFYLMPGQEPPEDRNDAMALLPFADY FNHSDVACNVKFDGENYVFRATKHYDEGEEIYMSYGPHPNDFLFAEYGFYLDENESET LYLDDIILKDLSTSLQEELEFQQYYGPLRRCLTYTLSNYQLTATGVCYRTEIAACINY MPLEKWRNYVLGYSAEGADEKKMEVMIQGWIRAYSNEADTVITALEKIESSQADKKDH QRTKMLRKRWTQIRDLCIKASEAASC AFLA_102990 MSPSGFNMSVLRRRGALSLRELSLSTPVTVVSCRARSFSVNNRP PPKYPGHVPLNFVERGALAVGSAVGALLNPRRAACGEATATPYFIYRLRDAMLSDPTG RQILRERPRITSETLPLPYLRSLPENSVGRTYAAWLDREGVSPDTRDNVQYIDDEECA YVMQRYRECHDFYHAVTGLPTSLRGR AFLA_103000 MTGLSMFAAVRLKPAERERFFALWLPWAVRSGLASKELINVYWE KILEKDVDELRGELGIEKPPDMREIRRMIREQKKREKERLQQSA AFLA_103010 MADAELEEIRRARLAQLQQQQGGVPRGGPAPDGQDDQRKQAEAE RRSAILNQILEPEAADRLGRIRLVKESRAVDIENRLIMLAQTGQLRQKVSEDQLKQLL NAVAENQRKDEEEHKVVFSRRKGGWDDDDDLLDL AFLA_103020 MADLIAFHTYYSRHSFLSPSTMIPRTNNHKRSNSSDNRTLSPDR TLTKARSANDLSGVANGKPVPTVRSTSVGNFPESGFSTLKDPRLLSTSVETEPTESSF HHPDLSNEVAALSVKLVQAINNQTTLDDTLVATRQELEQAQTKIRTLESENEKYRRDI DQEVFIKKADVDYEILRLKAALADEKAQRALVEKEKKGIEQELETLTAALFEEANKMV AAAKLEREAVEKKNEQLRAQVKDTESLVASHQEQLAELKSVLQEMNITKDDIEAGTIG STAPASPAKQQQQTPAIIKHSAETPVLAEPAPIQEELVPGPSTSFPHLIRPICRTDIH AFEEFKELFTLSSVSKPPSRATSGSYTGLNVMSLAAGFSSGGFGSASSSPAKSQTHSP NGSISSPQPANSHIPLKETRFYKRVLNEDIEPTLRLDAAPGISWLTRRAVLSGICDGS LVVEPMPASAKKYEFPCSLCGERRTGPVNERTHRFRTSDSETAQRYPLCVLCLEKVRS SCEFTGYLRLILDSHIRVGDTEDEKDAWEETDPEPVAGDHSDVSGEVKEVLTTTSNDD DESNDHDPRRASLSEDPFESAASASPVSSTAVSAPYDGSEAQKYGKDEVSEQPLEQAE ACVIANSGKHEDPKVLLQPGESAISQAAVTSCEKVDAQLSATENQQG AFLA_103030 MASTSNGNTPNLPEEVSKPPEGVVLPPKDIRAIVEKTAGYVARN GIVFEDRVREKERNNPKFSFLNPNDPYASFYQWRLTEIKEGRGTSVSAGRPGEPAVAP EPEQPTGPEPPTEFHFSARMPIINAQDLEVVKLTALFVAKRGKSFMTALSQREARNFQ FEFLRPQHSLYQFFTRLVDQYIILLRSEGLDQATSEKARLAELEHNVQNKYHILDRAK KRAEWVKYQEQQKQKKEEEEEQERIAYAQIDWHDFVVVETVLFTEADDQVDLPPPASL NDLQSASLEQKAMMSLNPLRIEEAMPTEEEAPTYYNAYPVQPEPMPQPVVQPAGPAFP PQVQPSQPLPVAAAAAQEEDQRIRERMEARDRAAATQAAAKAAPGQQPMRIRSDYVPR AQARRLNQSGATALCPNCHQQIPVAELDQHMRIELLDPRWKEQRAKAESRSATTNLST ADVVNNLKRLASQRSDVFDSTILPGAPDPEEEARKKRMAFENAPGAGPTPPMVGPAGG PPNPQNMNIEEQIRHLHERYRQ AFLA_103040 MSPKSKNALEVQPPTKSTRSSSRSPRPKNRKKAPVETLNYGSDG VKDNSIFSLPTSDYKALVLVTLVATAVRLFRIYQPTSVVFDEVHFGGFASKYIKGRFF MDVHPPLAKLLITLAGWLAGFNGDFDFKEIGKDYLAAGVPYVAMRMLPAIMGVLTVSL MFLTLKASGCRTTTAVLGAGVVIFENALVTQSRLILLDSPLVFFTALTAMSFTSFTNQ QELGPSHAFRGPWWFWLAATGLCLGATLSVKWVGLFTVAWVGSLTIIQLWVLLGDTNN VTPRLWFKHFFARFFCLIVIPLGFYCGMFAIHFLCLVNPGEGDGFMSSEFQATLNSKA MQDVPADVAFGSRITLRHHNTQGGYLHSHNHMYPTGSKQQQITLYPHKDENNVFIAEN QTQPLGPDGEIAGPFAWDNITAEYIEDGAIIRLNHLMTQRRVHSHDERPPVTEADWQY EVSAYGFEGFAGDANDMFRVEIVKSMSEGEEAKKRLRTIQSKFKLVHVMTGCVLFSHK VKLPDWGWEQQEVTCAKGGTLPNSVWYIESNSHPMLPADAEKVNYRNPGFLGKFWELQ KVMWTTNAGLTESHAWDSRPPSWPTLLRGINFWGADHRQIYLLGNPLIWWSSTLAIGI YVLFKGISILRWQRNCADYRNVNFKRFDYEVGTSVLGWAFHYFPFYLMARQLFLHHYL PALYFAIITLCQEFDFLANRIHALGLASRPAIGKALAGIFLALSIFTFTLYSPLVYGN PWTQDACRKVKLLDTWDFDCNTFHTDAPPPEAPVAPPPQQDQQILKEEAEEADDANVT PEPQPPRAKARVEYRDQDGNILDEKLVAALAKEGKVSFETRHETRTRLEHGHEVEMIN GQVAPPHPDVEGQNPETLGKQEQSADDGPASAAGDSSAAEPNSPEAKPASEGNEATN AFLA_103050 MSSIAAMASRRAFARQSVFRAPARRFYSSKLEEASLDKAPRRDP ELYVLLGVMSGAFLAAGWYFGKKPTSVTSESNVRIGDSAMPWEREDDGKVYKYQYHPH GDKSQPLRNAPSALNTVIVPNVTLPADLHERYNKYGKEEWDY AFLA_103060 MAFSSTNSETSDAPHLGPTQHALGIPRPPSVGGISSRVTEDIAS EDGDQSQSNTGVSSHAQHRSRPSVSSRPGPPPVRSSIISQATNRPGSSNSRLSRSHIP SLTAQGFFRPLSSQRLQAHRGRPMTKGTESSEDWVDHASQNRRSLISNSTLAQSSIPQ EQEVPPSRGTEFTDPIIPDRNTSNASPIGNTTARSIGESAKLLRDKERHNQPSQPHLN LGVSASSQNGHDISQRSPLSFLSPPNRNGGQEHRDSRNHERLSSAGSSPGSIEKQSRT VSKSRLGKNYEYFLGNTIFCGGGRFQNSRDKPVNVATGVLVVVPSALFFGFSAPWLWH NISPAIPILFAYLFYLCFSSFLHASVVDPGIIPRNLHSMPPPDPSDDPLAIGPSTNDW VMVKLATSEVAAMDVPVKFCKTCNIWRPPRCYHCRVCDNCIETLDHHCVWLNNCVGRR NYRYFFTFVGSSTLLALFLIGASLAHILVYRSREGISFNDAIDQWRVPWAMVLYGAVA APYPASLWAYHLFLVGRGETTREYLNSHKFAKADRHRPFTQGNILKNWISVFGRPRPP TYMQFKKPYHEGDQRLSMVKRKYLPRDVEAQAGIEMQHVPSDQPQD AFLA_103070 MNRHIFFSPSFPSRSFKAIYIHVEPSAFGLLICAFFYTLLLVTR FLSSVPFEESFFEAIVGFDSDITLYEGYFVQNGT AFLA_103080 MAFEYSEQLLTPENSRSETSSNNENASTEEKPPVRRRSTRVTRA SLRGEAQLDGDMEIDNQGTLSTAGENNPVVSGETLVDKAEGGKRSQASHLRHSIAVME SWSEATLAQGNMETEGDHPLAPDTPVSKSSQELQASDMGTSLQQRTLRKRVERILTEE GHGNKGKVTVTAKEIKSPVRRSSRLSLLEKASDLVGRASSVLGKRSRDVMGKGKELGR RASLRPRTTAPKEEPTKAASEAPAAKKRRVSESDLPVKIQENEEAVQEAPKPVVRSRT KRWLAHGLYTGQEHTESRPLQSRSRNAKRKSQGPTQRRLLPMPMFAGDRLLKQGRDFQ LPFDIFSPLPSGQPKPNEWRKTNKNVFVGEASSIWRANKPLELSKCMCAEETGCDEEC QNRYMFYECDDTNCGVGPECGNRNFEELKQRTKAGGKYNIGVEVIKTEDRGYGVRSNR TFEPNQVIVEYTGEIITQAECEKRMRTIYKNNECYYLMYFDQNMIIDATRGSIARFVN HSCEPNCRMEKWTVAGKPRMALFAGDRGIMTGDELTYDYNFDPYSQKNVQQCRCGSDR CRGILGPRPREKEQRSKEKELRAENEKKSSSKNNNEKASITKQKVLNGSTSRVNKRQL LGSKSIKSGVKKVVSKARASASKATTASRTSLKTTVSTKTSKTTNKKATATPTRRQVK KDNTKSKKEVKLPKVKTTKTKARAPASARKPAQKNKTQSTPLTSKLSRPSEKTKAKIL QAAKGTNARRRTVKKEDTKPKSPTKRTSKAKETSPRGKAASKAAKNTKA AFLA_103090 MPPTFRSSRSGRQFGDGANRTRTGQIDHDVFEGLPVRRWTRQLQ TVSQTPKLDGQESFVEGPGGKQTIPEHPMPKDSHLLTPMSRALLRAARAGCIYIRKAT KDAEDEEKEVTDVEEQPTLQTAERSFVTRKWTTVPRHLENSEVEFLAKRRPGLPSLYG ATTSGANGAENGSAPMRRTRFKKVDPTSGNISIYEAWVPEGHKIEGEITDDSQLVPEN SETIVTPEAPAPGTVIEGVGVVNAEGVVVADPASAAVMTPPKRRPPPPKRKAKGFGKG RRKKVMFAPGEGADANAVHGAGTGAVDGIAEPGYGKEDADRSQMSVDQNGQDEEEDDG EEGEESDEGDESMLDAKTPETPLHQPSAEPTNDPASGPVAEPVPTSTAPATESVVASQ PPAPNPPAVASDSSAQAFPQPPAVPTMPLAPQQPFQSIQPSTDLPSSTVPPTKPTQEL TPAEDVEMTDVNPDLPGVDTNAAPLTQTTPSTQQQATPQVIETQTETTMAAVPAQPQD IPRVTAPDPFPVVKSEDPTEKPLEVPPMEQTDLVVQAGVEDENVKLKPPASLPEMPPP EHAPQPEQALQPQQTTQPGQTHHPQPTHQLENTPKLEQAAEPEQASQSEQIPQSEPAS HPEPAFAAQPAGDIMGNNESSLLDSLEASLGHAPGVEKGSEAQFGEQTEALSDHNAGH PSTETTQQPGSMEIDVDTMDPSTEPSLQTPAPQPTEPIEPVADQPPNQVPEIATGNSA EQAAELPKEHTQPPAETPIEPSIEPATQEFTQTSVEQPVEQPAEPAMEQTEPKAEEPA PVQVEEPLGEPQGAQQPEAQPEPISQQSSAEDIEPAPASNSEPPAAPLPEPPQETPIE QFPQPTEQEVAQPFLEAPVKPAIEQVGEGAGVSQPETTQPLQEDEPAPPQQPAEPQPP QAATPPAPTGTEDAQPRPIETSETSETHDFTAPNFSPISDLNPELEKKEEIEAQPKAG EAGEPAPPGPDTVQNPSN AFLA_103100 MSVPALQRDTAFQVRSLFRSLLRQSSQFSNYNFREYARRRTRDA FREHQRETEDRRIQELIQDGLQNLRMMKRQTVISQFYQMDKLVVEGQKTGKQTGKEGD IVRQKDTGWD AFLA_103110 MAQIPTPPASRHGSESPGIEYKQPPVVDPSDLLQSLDTLLERYL HLLDRHQKLQAELATTLSSGFLSLAQANYTCPPGRRYGADYYDERMKATRKVASNANE RGVIRDLEPPTSTKNVEASSVDESSDESTKRGDYKRIFTIKPATSDSAEEPSELTKGE NQSHSSDAFTSECEVPEECDPKAEDSTTSSGNPGAQEPTETKPRSSEKTLRSSDPIRW YGILVSPFLRSAQKSFTEAVGGPLPELASVVVEMQAVEKEVKSVRKKIDQA AFLA_103120 MFPAAVAVDYTEMRKKRMALEDLVLKFERKECADKRIARALNAV AAVNMGSRKEKKTQRASSPCWENIKGEECREGRKQPPDAYRERKNNFWKRSDWIIGSA AVLRPHWPGGDLQGR AFLA_103130 MMERQGSVRRAREMLEAGRRHEPQADAGVPPLRRDVAHMTQWPL PTENSQMNMVDSHVRHLTPQGPPPQRPPRPDMPSPSVYSERSVPDVAPSPLHIKRPVP SFSQPLSQSSPPRVAVQRPPPPSPSSVAASTPRVSVATEDLLRHSTVSSAASMASIPD FPFPAQRLPADPSQRNIANLAPPVGRQVVNRVSSVSPIPEEQSDSKGSYASSRAIPSW TSAKAESEILGTYLDGASDDDREPDHAVNGNSSTLVRQASIGKRGQPSVCMIRRSTAE SPVPPPEDPARARPAAPDVPPPSFAKEISTGKARRGSFLTSSSDSSHFDLDKAPFVLD VGQQHPEHVNSEALAKEIEVFPRAMPTMSDKRPGGRRPPPLNMGAVRDAEKRGSLTSL PDLIRRATKLATNLEHGRTASRNDLLGGGPSRFPFGHQHRGSGSIKDILASFPPPAAT PENGHSSWPFFFRRSTLHQLNSRESGPREVQEKEQKRPRRCCGMPLRLFIILCVVFLI IVLVAVLVPIFVVAVPKHNAASAKTGCAKTAPCENGGVSVSSGDVCSCVCANGYTGSR CTIAGDASCTTTQIDDDSESRNATMGSEIPRLFEDSQNNYSIPLDPLTIMALFSQNNV SCTTENALVSFRNVSNNKARRSLPVDLPAAPLPEDQIGGSIPTATPENVPTRTLAARG STSTMNGIIFDGSEPTEVHGPPTSTTPSTTKESSAASSTATTTTTPTPTATVSTEVLD FSRIAVLYIFEKTGTLDAAMLSEEKIETYLTGPYPSSKDKYTIDLTNSGVNGNYTLNF ERFQITSPSGNVVGGK AFLA_103140 MHQFLTLAALLATTALAADRTPALVARQVVELPCSYQGEKECGS GCIPLSYTCCPNNLGGCPLGSYCDGLGCCPNGKTCTGPGGVSTRPGSTITVTNSLTNT LTSTSTSTHTLSSSEVVTPTYTPTPSSSTSSSRSVIPPSSSAVPPSSSTPAVSPTSPP LHTGAASHLTPGFYAAAGLIVGAAIF AFLA_103150 MRPVTGEIFFVTLQTSYGTKEAANYVPGRYNEQDMVQDLPSFRN GSLKRFIVRPSLHTTLVFSLAFTGVSPILIDLLESINMTVWEEEEQQRYG AFLA_103160 MPITHIVLFQFKAGTSPDIIKDICSRMLGLKDTCLHPSSQKPYI RTSSGGIDDSPEGIQHGITHAFVVEFASAADRDYYVKEDPVHQEFVKSLDGVVEKAQA IDFTPGVF AFLA_103170 MSRFLTPSKVALLCLISIYTEGVIPNSSAVHVLSFLVASLSPLD ADPSSSKNWKAQYSASITDLEGALSTHSSSIPGRTIWDLFLKKVWSIDSCDALEVFFS NVLDLLVKSREEQIRDRDNGLATELGCMRLSRCSPLGAFVRRAQLEFTRLQFHDSVKL WKGFVKYRLPTYHAWARRNPFGEQAMVDMNLLELGLDTGSQLAQVAYGNIEDDLEEDN YVSTKDVERLLEFQIGELQRMGGRVPDGMKACLERIITSGATLPNLIHYLRFLDAWRA GDYPSSFDNLHRYFDYTMHSRDRSSYQYALLNLAILQADFGCYGEAISAMQEAVSIAR ESHDMNCLNFCMSWLYHFGKAFPEQMKDVQNTGMLGNEKEGLAFLKAKAKETEMWSLL STTLLSEAKFELQNGESLASSIENIIRASHLNVAKNLINSTGPQLLLQTALYARIGIT HLAWLSSETFQECYASKAPFEDYLKNNFRSSQLVARTTRSFQRSLSSNDKTATEYLLS QLQAIQLPDNDITALLGFLHVEHLIRQGNCIRALEIVERIAQTIHRDNFDIHCQVKLL CLKAYILEKTSQPQRGFSLAMRAANIAYRSRLLPGLWEAICALSGVLLSLREFEAVVA MVESIIPQILESSDCALAARAYSLLVDANMGIAGKARSQGSGQNVEYMNRALEYLDCA YDQYEKVGDIKGQCEMVAKKATVMHLTGDLVLANDYAAKYLDLQRLGSTER AFLA_103180 MFPQRNLLRLAQRSAQQQRSAPVRSAIQRRFNSTDSKLPWMVDN EFNRERAAVKHHAASTSDLWRKLSIYAVIPCLILGSLNAYNLWEEHWEHWEHMPPLEE RVEYPYQNIRVKNFPWGDGDKTIFWNDSVNYHNKDKAT AFLA_103190 MLHLDDNGQNGVSHYDVERNPFAYEPWRGKRTFEAEMQKAMFEI LEADIVVLQETKIQRKDLRDDMVLVPGWDCHFSLPRSKKGYSGVVIYTRNATCAPLRA EEGLTGVLCPPNSSVPFRDLPLDRQIGGYPTTEQLSTLELDAATLDSEGRCVILEFPA FVLLGLYCPANRDESRDSFRQGFLDLMDARIRNLVAMGKRVFVTGDLNISRGEIDAAH ASEAIRKGTTTEDEFISAPARRLFNQLVYSGKVIGERDEGREQPALFDICRSFHPNRR GMYTCWEQKINARPGNYGSRIDYVLCSLNMQDWFCESDIQEGLMGSDHCPVYAIFKDS VNMLDIMNPPGIFDNGERRQEYTTKLLLPTSGRLIPEFDKRRSIKDMFSRKPDMSSQK LPTTSALTACASIREQVYKIDAEENSLGASKPSLPIADSSPTPKGTVRKRSEKSDPPP SVKRSKSFPSQTRTTSVSAQRTLKGFFKPKGVVSSQISETKTPDTPVQAMERSSGPLP ASSTISQPEEQEDLQGIHSVPAAPTSYMDSSGPAAPFVGQNSETVIDPIVSKEDWSKL FAKKPVPMCEGHREPCISLSTKKPGINCGRSFWICPRPLGPSGNKEKGTQWRCATFIW ASDWNP AFLA_103200 MHKGKYTTLTPDAGDLSGSGFWIGEEQCSLAYGAGLSSSVFLHN LLNGK AFLA_103210 METTVSPLQRAFNAFLMTMPPEQLEELLKYLQDAKSQENTQSSY PKENFQSCLEFKADKNNGSTNPASANPRSSVSRGKRASDAKRRPLNSFIAFRSYYSVM FPDLTQKAKSGILRFLWQNDPFKAKWAILAKAYSIIRDDHDSDVSLEPFLGLSAQFIG IIGPSRYLEVMGWQLDVDDQQQYTIARVKATTANEADISTNYSVNDIVKHCYTSGYVS EKNRKSKASNNNSAPVMAFAAQPTLVVHKNNSIRVSGNHTIVTDVYKTNPAMEISSPE QTEDTFSPNTSDLSTIADEPPLDAMEVVGICNRPQLYSDSSTSNRFDFDNIQFPGLDE ENAMFTYDAALQTPLMPYDPLHYDPLEAYDFSRFVDI AFLA_103220 MNCRHAFNFSTLSVLIIHHPFFCNSIDFSIALHCRSAQVLPVRA QSNCAVWTRAHRLPPHIVVCSPDPTPRQFTGLQPVTMSRTEADLAINIRKATSIEESA PKRKHVRSCIVYTWDHKSSAAFWAGMKVQPVLADEVQTFKALITIHKVLQEGHPIVVR EAQQHANWIDSLMRGVGGDGIRGGIGYGPLIREYVFFLESKLAFHRNHPEFNGLFEYE EYISLKTINDPNEGYETISDLMTLQDQIDAFQKLIFSHFQSGTNNECRISALVPLVQE SYGIYKFITSMLRAMHTTTGDAEALEPLRGRYDAQHYRLVRFYYECSNLRYLTSLITI PKLPQNPPNLLSDDDERPALPRRPTKEIEQEPTPPPKAPPADPEPINDFWTTEAKRQQ EEFEAEQRRLQQQWEEQQRQQILAQQQAQHDFEEQQRLQAEQQRLAQEQLLRDQYQTQ TQGRLAELEQENLNARAQYERDQLMLQQYDRRVKDLEEQMNQLTSNLNMQSASKDEQI RSLQEQVNTWRSKYEALAKLYSQLRQEHLDLLQTTKSLKLKAASAQEAIERREKLERE LKTKNLELADMIRERDRALHDRDRLTGNNKEELEKVKRELRLAIERAENAERQKGTEI STLLSKYNREMADLEEALRNKNRALEDISSRNADRQGDHDAVLREKDEEIEVYKSGME QALMELEELKMNQGDVDNALDSQIDTVLHSTVAKINDIIDSVLQTGVQRVDDALYELD SSMQAGNQNASPPYVLSQIEKASASATEFSTAFNNFIADGPNSTHAEIIRTVSIFSGS VADVLSNTKGLTRFANDDKSADQLINAARKSAQATVRFFRGLQSFRLEGLEADHKTDV VINNNLEVQRDLQSLSKLVETFAPKSTKISTNGDLGDLVDQELTKAADAIDAAAARLA KLKKKPRDGFSTYELRINDVIVEAAIAVTNAIAELIKAATESQQEIVREGRGSSSRTA FYKKNNRWTEGLISAAKAVATSTNTLIETADGVISGRNSPEQLIVASNDVAASTAQLV AASRVKASFMSKTQDRLEAASKAVGAACRALVRQVQEIIAERNQDGSEKVDYAKLSSH EFKVREMEQQVEILQLENSLAQARQRLGEMRKISYQED AFLA_103230 MGSLGIFLFVYFLGGLTFIPLVLSLILLHAYLTLPSPPPVEQRC ELAKDPLRRPGDDQYSLKSGTDELAEKFHRTHESDVAAGYFAVCREYVPGGVNGKPPE RTTPAGEVIAAESPSVYQTMYRSLFDRKQTPTIEPTKNNGKSGKKARNVFYIVLRHGH LMLYDDANQVEVRYVISLAHHDVNICGGEGEIQEGELWLKRNAICLSRRLESLADLGG PSPPFFLFSENLSEKEDFYFAMLQNQSRMWNSPDAPPKHQPFDVKHIVTLVQRLHSSE EQLQTRWINAVLGRLFLAMYRTPEMEEFVRKKITKKISRVNKPNFIKTDVQYNGNFRV EISATVRIDLGPRFKAREVDIVLAVVLKKLHGHLLIRFKPPPSNRAWISFETMPSMDM DIQPIVSSKQITYGIILRTIESRIREVVAESVVQPFWDDIPFLDTATQRFRGGIWQRD IPTPDTKVDIPDESGAQPPTTGAEKVDLVDVLKTKDERTMSAPVLSESIPITMKPRKG SKGELERNNSSASYAAIEKFGSSPPRAIRSQTFSNAADPVLTADNAKIDKVVYDGKDA EKSSAASAMIEISNRSPPGSPNRSPSGSPPTDSHMPQDNASQSRDPSIVESIESVGEF STDSSVHPSTVHKTSSSSLRSMAASSTASPSGNKPRRSTLEALARSVTSSTTAEKSQV SLSLGTATSVAKRWGWNMFSKGEQNATHESPRPAGTPEEPIGRGHPLPPPGTPLPRPE SFVFKRNSVPVTKRKPVPHNASAEQQPKGDGKRRVSKPPLPRRNPIFDSGDSENHPDE LLVVEAPYDSGPNSPVVDVAPDNALPGPSTQRDSPTSKVIMRRSNELWEKANGHDRSS LVEDTEADKHGMAILSATDGIIP AFLA_103240 MKARAVPASGLVLPSRVTPATSICWQCLRNDLISIQINSQTRAY HPTRRKFASPFGAAVTAAQTLLKGLPKAPPGISVDPLRIVGKELKFLTKNIRQLLGSG HPTLDKVAKYYTKSEGKHMRPLLVLLMSQATALTPRHGRWSSSPSYTVNDPISSPSVL ADTNPDLNPLVSSSAEAKYDFAGDENILPTQRRLAEITELIHTASLLHDDVIDNAVTR RSSSSANLQFGNKMAVLAGDFLLGRASVALARLRDPEVTELLATVIANLVEGEFMQLK NTASDEKNPVFTDETISYYLQKTYLKTASLISKSCRAAALLGDSTPQVVEAAYAYGRN LGLAFQLVDDMLDYTVSDAELGKPSGADLELGLATAPLLFAWKQNPELGPLVGRKFSR EGDVQRARELVYQSNGVEKTRVLAQEYADKAKAAISSFPDSEAKDGLLQMCEKTMNRR K AFLA_103250 MPAERRSLRSNSKSDGSSSANGEKARSTSQNSSSNKDKVAPTRA TASKTKSTKAASSNNTSNSGMGEQRDQPCTNGSEPTENGLNGSEDVEMGEDTAVPPTK GSRLSGDKGQDQEGDVAMEGAEGDETQKPEPEVDPRAKAIQDIKTNFTLLERAVAHFD PRFTLRVLRSISSMRKHITSDVLAEVLVESYPPSSPTASFLLEAIGETGAFESAVASS KMDVESEKTRSNSKEILPEIDTYLSILVQIFLYDNKEIQRGAKFSTSLIERLRTINRR TLDSLAARVYFYYSLFFEQITPLPPSPAATVTMIRQPLLAALRTAVLRKDVDTQATVM TLLLRNYLSTSHISQADLLISHNRFPQSASNNQIARYLYYLGRIRAIQLQYTDAHGHL IGATRKSPSSHSARGFYQSSHKLLVVVELLMGDIPDRAIFRQPALERAMHPYFLLVQA VSVGDLDGFLSIVNTHSTTFRKDGTYTLILRLRQNVIKTGIRMMSLSYSRISLRDICL RLGLDSEESAEYIVAKAIRDGVIEATLDHERGFMKSKEVGDIYATREPGEAFHERIRA CLSLHDESVKAMRFPMNQHRLELKSAQEARERERELAKEIQDGDMDDEDAGADFDAI AFLA_103260 MSLLDSHLEQILLSSNAIAELPFPPPRIFTNALLGPHDITALIR DTETHERALFQTDPSVKAINASQRRSTRRGTVFPSETEGESMASRIYAARNSKSQSAV ARVLGSDMMEEIKRSAGTSSRGRGEVNVDVLLRGAEILCNVYPVSGAQEKIASLRYRH QLVTDSIVDLEDRVARNTAELEKMSHSYGGDYDDYESSGTLQPDVADLTDADIEQEMD EIRELEKMKRTLEARVSGMERDLGGLIG AFLA_103270 MDGSQVPAAQPMVTQHSNLIRTDQVQKLPHLTEQQKSQHTQLVR NFWEVLNNRDPQSTEYQHAHSRLTQISQSLMKGMRAFQQNRQLQQQQLQGQPGQPGQR PQSVNPQTFNQLLPQIQQKVNSLQFSLPPNISQEQAQSWLPEARLRYGIALQKQEIGR ARVAELRQQFSQRQAAGNMTQEELQEFKNRQLAAEKLYREGGDFLTKFKEQQENFKAQ QQRAGVQNVTGQPQGATATPAPTVPAGSDGRPANTPVSMHPGQAPTPAPHTITSAVSA ARSQAGQTAMSPSVSQQGQVPVAQAAPVATPAAPAAPPVVPPQAQSQPQPQPQQHPQP PPQAQSQPGAPGSQVTFTQVPNLDGSTPTPTSAQPVNVQGPPRPLSQQAAMAQAAQNY TNNNANNNMGQQQNMPQPATNSHAHPQGYIPNRATDNTARNINMAIPKTLNVPPPEPV AMAPARPTLSGGPSHGAMGMMGQPAIQKHPGYVLEGEGQRVLSKKMLDILVRQVTGGG EGEGLTPDAEEFILQMADDFVDDVITAACRLAKLRPSSTLEIRDIQLVLERNYNMRIS GFSTDDLRTVKKPQPTQGWTQKMSAIQAAKVTQGKAE AFLA_103280 MPSFSNDSSYQTATATATHPRSFDSPPGKPHDKSPVGNTFLWTN WPNGDTSHLDTPGNDRQPLANGSVYSLNGPRSSASSYNRDLSHSKDGSMHSLGHVSAR DPRDGGRPSMTHEQDFARKSTDAGAGAGSATASIASQQPNGTSLNPRSPNGRPMMNGD HVRRSADGLVSSESIGNVSETWQSTPQPNDTGRLSPDPGRLSPSPKSPHRYSSPPLPS STEQPEPANTGLRHRHTLQVPRNPSGRRSSRDHSEDAAYSSGRLSPTGGVRRTSFSLG RRASKTNRSDTFLDEANPDEDAARWAEAIKQRRASKRRRRDDDDDDRVIVGTKVDQNH VNWVTAYNMLTGIRFTVSRINAKMDRELTSADFEAKHKFSFDITGNELTPSAKYDFKF KDYAPWVFRHLRTKFRIDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTI HHSEHKLLRKILPEYYKHVEQNPNTLISQFYGLHRVKMAYGRKIHFVVMNNLFPPHRD IHQTFDLKGSTIGRDLREEDLEKNPRATLKDLNWVRRERHLECGPSKRDFFLAQLERD VELLKRLKIMDYSLLVGIHDLERGNEEKLRDKTLQVFQPGGDREEEANPNMLMRTPSK LENERKARELRMSLKRERPVPLDKATAKMPEEILDERKFHVFYSDDGGFRATHENGQP GEEIYYLGIIDCLTHYGMVKRLEHFFKGLSHDRNQISPVPPEGYGDRFVKFIKGITMS KEEAVRCRESRQLGRTSAERTPSVERTIQAAEKEAAKDVSFTHPRTLSTVRDPTDTNS TGPTSTLPIVDEAGEASSVGGQSQHSRHGAPSASEKKLPPLPNQDHLRPDGKRRAIA AFLA_103290 MPPKKASTGAAKKTASTHASYRDMIKDAILNLKERNGSSRQSIK KYVLANNKIAPASTNAFDSQFNKAIKAGVEKGEFTQPKGPSGPVKLAKKEAAPKPAAK KSTTAAKPKKATATTTKKAEKAEKAEKPKTTTKKTGTTTTTKKSVGRPKANTAKPRKA STTATKKSTKKA AFLA_103300 MLGSNSVIVMEAAIDGIPGTTQLSPLVELMCLGTELMGSTLMLG RVLEPDLPDYSERTLVSFPDITKEINIRCVEKV AFLA_103310 MGIKHLYQVIAENAPDAIKAGDIKNHFGRKVAIDASMSIYSFLI AVRSEGQQLMSDTGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRTA RKAEATEAHEEAKETGTAEDVEKFSRRTVRVTRDHNAECKKLLKLMGIPYIDAPTEAE AQCAVLARAGKVYAAASEDMDTLCFEAPILLRHLTFSEQRKEPILEIHLSRALEGLDM DRKQFIDLCILLGCDYLEPIPKVGPNTALKLIREFGSLEKVVEHMESDPKKKYVIPED WPYQDARELFLNPDVREASHPDCDFKWEAPDIEGLVEFLVKDKGFNEDRVRNGAARLQ KNLKTAQQSRLEGFFKPVARTDEEKANLKRKHDEKLQEQKKRKKEEAKAKKEAKARPR GAG AFLA_103320 MADLLRKAQLPELSYYQAVGKSAETPHKKSGRRGSTVSTDDIHT SRGDVGRDATDGGAKSVDGISHPPSHTTQQRSQIAIDREEKLLVTAPMGSLYEVTQLS DIQERTPERHHTSDRDTDLISRGTVDPQEAEELFCFFDQVLNRYLWDGIALVHKDLTS VRNSSSMLSAAILAVTALHMPQKERLFDTCYTEFAKLASESMLDRHHTLDDLRALCIG AFWLADVSWKLSGYAVRIATERNLHQSYRKATQGSPEHQEQARLWYLLYIAARSCSSC IPSKPGKQNSIASAGLSIDIAYVRALVGRVIEMMVSCSRRASERHLSHHIARGLRKML TGLEEWERRNSYNGQPTGQSFRDPSQNSMFKPIIIPGAQPLGERDTILNHPPPLLGIA PLSAERGSGLESAAAQSKQQPGLSEGSVDPMMADLWGFDEEYFPTGVFDFLQSQMPA AFLA_103330 MNRSLLRAASRRLQSASHVHNAPTASLNASVRGFATAFNWEDPL AASELYTEEELAIQDTARQYCQERLLPRVLDAYRNENYDRKILEEMGELGLLGASIEG YGCAGASTVASGLITKEVERVDSGYRSGMSVQSSLAMTAIYEFGSQELKDRFLPGLAK GKIAGCFGLTEPNHGSDPGSMETVAREHPTKKGYYSLSGTKTWITNSPISDILIVWAK LESTGKIRGFVVERDQCPPGTLETPAIKNKTALTGVYHRARLGIAFGAMGALEDCLSR ARTYALERKQFKGNPLAKYQLIQKKLADAATDAAYGTLAATQVARLKDEGKSTPEMIS MIKRQNCDRALANSRILQEVFGGNATSDEYHIGRHVANLFVVQTYEGQSDIHSLILGR AITGVQADPPSSCSAGPVGEDLFHWQATIMGPGDSPYSGGVFFLAIHFPTDYPFKPPK VNFTTRIYHPNINSNGSICLDILRDQWSPALTISKVLLSICSMLTDPNPDDPLVPEIA HVYKTDRGRYEATAREWTRKYAI AFLA_103340 MPVSHLTLTVSHLPTSTSFFLSCLQPLGYQFIGRHDDYIGFGQN PGEPADFWITEQKPGVPAGAAHVAFPAPSKDAVGQFFIHALKAGGKIHGEPKTRDSES GYYSAAIIDVDGNSIEAVYRPSSSSARSEVSRSALALLENGSVVSKTAPSIVSKATSK ASSVKPESVASSRSEARSYVSKATTAVERAAPSVISREVQPAPSPTYVVHHTTQKTDD GMAAKTIVGTLIGAAAGAAIAYAMSKGDSEPSETTPPPQYTPRDLAQLISPSQAQSPS QELQGFRAIEAPPARSVYSHSEVRPTLTRSVTSKNPRASTIYEGSEFVPRGGGGSVYL DQNGRRSSEGSVYSGSDYPIRAIEYPPSVDSRGYEATLISSFHDKSRAMDNGSASLHD RTSNILLHPIPGPRTDPRALGYMLIT AFLA_103350 MVRFYAWLICSIHRFLIGAPSDAGRATYRTVRQAFPTVTWRRES VFFPSFLASPIGNIMGLLTVFRQDFVFSAWERRASQVDTSSELVCLRTHCELMQ AFLA_103360 MQSEHNEPYANRIQLSGTQSCPPPELPQLVAEQHVPIAANDKET QRLIVVLSHASLETYRASHGGRNGAGRDEKYSLLNSDEHIGVMRKMNRDISEARPDIT HQCLLTLLDSPVNKAGKLQIYIHTAKGVLIEVNPSVRIPRTFKRFAGLMVQLLHRLSI RSTNSQEKLLKVIKNPITDHLPPNCRKVTLSYEAPVVRTRDYIESLGPKESVCIFVGA MAKGHDDFADSFKDDTISISNYSLSASVACSKFCHAAEEVWDIV AFLA_103370 MMKTYGRPVWRVYDDDQSPAAKKRRVQSGNESDEAENSIQYAIR ESSAAVLSSPSRRNSILLSEGTQDDDLSTPPSSPPPRLSSPPANTRKPTFAFLKRKQS ANKETGNSSPLTEVNSNSVRASVDPPKKKAASQQPVLKQMQLDLGHEVRRTCATCGME YVPSNSEDAALHKKFHDMNSTGVDLGKAFMRANASRWVYEATRFDEGYVVIVDRKASP TAKNQAKKVLEVINKELSSPEIQDDVLWSQTEPPTHLRKNGVSEKVDRYKVFLHMKDS RCVGACLTERIWESRPVEKPSSQTNRTDPAVTVRNETHPAIVGISRIWTSGSSRRKGI AMDLLDCVVSNFIYGMEIPKERMAFSQPTESGRALAQSFFGDDEWHVYEES AFLA_103380 MSGLNPFRPRKPENPSIHHSSPTTSTSAKPAFPTLPSSNTTSQN ALFQPNGLAGTPPTSHVPDLDDTMSSDDQSVSDPFHQGIHLSEDDMEDREGSLSSSTW DTPKSRGGDCLQESLDSTGPHTDPYISQPAPAVPTTQSIRQTGRASNEECPRESENDK VSRNTTSRSSYHSGTRTANNCTTSVPQSTSRIDISNQVAAGDYMPTIDAEARPLVSRS GNRERIPPPPPRSHHGKLINPSPGSTPPSPQPAPSKPANRFSFHGSPPESSSSPKPSQ SGVDYITETANSEAKKSSEPLRRSQSQYKRPPTPPLSRRHSQMRRSKTTASKPNPSRL SMPAVGMEGTESPPPSPSSWSLNPSRTRDTRPGPSSEENPRRPSLQHQSAGAVTSTPS TDASVPSSQSTSRTPSMKRASIGNPLPPPPPPRRTRGSSSQSNDSTRPTSLYSEKRPE VHGEYIPHPSNASDILADLSRLQKEVDDLRVHYETRKASH AFLA_103390 MTDEWGGGLENLKVWEASCVPIGVNRHADGKLGAGKEQCVAELK ILSSYY AFLA_103400 MTTPTLINLPPPPSDPVTPSDMGPGTPNSGTTSLSALSTTAIKD GHTGHPLPHGRHAHHSSSASMTSTTTLEAERADRISRLAGLERVVAARTGGGSQSNTA VPMSHAPGYFDTSSTLKERSTVGSASATGSVGARTTWASGSDAFDADKMSEEPDDGTS SVGNLSDEGNASLVGFGEGASTISCPISHPNLNRTSSVGRPNSLGSPSIPRANPIPSS SQQSGDGGMIPVALSPTGSTTPEPVQDARMVDGMTYDPDVVDTTVRTPRLATPLGRDD PSNMQGDRGSGLL AFLA_103410 MQSGQSKGLASWMQPRSMSHCRGLRGRYRLVNVYWSLLEYTDLI LYPTRRPEGNLRCSAYSPCGRYFAWASPEKVTVIDPSVGHIITNIPAENVFELGFSPL GTYLITWQRPSKDANGDAVKNLKVWKIVETAPDSNGDEHTIVGSYVQKSQTGWNLQYT SDERYCARVVTNEVQFYQSDNLSKVWNKLRVEGVIDFAVSPGKSQSVAVFVPERKGQP AAVKVFMVPQFGAPVSQKTFFKGDKVQLKWNASGTTLLVLAQTDVDRSGKSYYGETTL YLLGATGGFDSRVDLDKEGPIHDVTWSPNSREFGVVYGYMPAKTTIFNFRGVPQHSFA LAPRNTISFSPHGRFVLVAGFGNLAGQMDIYDLEKNYFKIATVEASNASVCEWSPDGK YILTATTSPRLRVDNGVRLWHVSGALVYNEEMHELYDVFWRPQSTTQHPLGDPFHPLP TPHPSAVAYLSTRKAPVKPAGAYRPPGARGQLTPLAFKREDQGGATFIRDGANGGALN GLGKPRRREIPGADPVEEYLPPGAAPGGGVVLPPGADQPEKLSKSAARNKKKREAKKL KDGEDGQPARSPESHGDKGHHERSRSKANNSERKNANQANGASPASTKPNNNAAVEAA GTPTAQEKKIRGLLKKIRAIDELKMRLAGGEKLEDTQLKKIQTEESVRKELESLGFNG AFLA_103420 MVQQPKQEKVWASLITNLSYLPGILTLSHSLQTTETAYPFIALY TSTFPAEGLAALHARGIRTQAVPSVQPGQSRVFLQDPRFNETWNKLIVFSLVEYDRIV LLDGDMLVRKNMDELMDVPLDGPGSGLSSEENKQERVFAASHVCACNPLNKPHYPKTW IPKNCAYTSQHSDPVRAQTSGAPAATGVAMLNSGLLVVRPTISAWAEIQARLHMPDRT DKYTFPDQELLSDVFRGRWVVLPYVYNALKTLRWEGVHDDIWRDDEVKNVHYIFANKP WHEDPDDGMDEPSRWWWEVNRQRQQLEVKKGITDGH AFLA_103430 MFSRSALTVIFSCSYQLPHRVYTAKGYPVLAPNGSSIIIYGYEN GLKVIWRGGRPFTSRKPSAPKDQPQEKTNRSNNDIMVIDSDDESSAETQQNEEPSYGF EEDEPEIDPLFPYETVLRQIDIPLGTRVVELAVPRILPETARSSLDPFPPILRKLMVI SAVCADLSTRVVTLPLTPPHPAQFELSSWVQALSISGGVSHQEIPRGVGIALTYQASE PQGDEDMAQSQSGSNGNGPGRWDLLIATHSAESSGLLIIHRIPVVEETDHNEVVYRLS EDDIESKRRYLPAPAQNIAFNPSPYPSPRHSTLLVAFHSGCVKVYSCFSTKPYKASRR SSSPQSDFETSETEGKWLISLYPGFEQSPPGPPRRKTIVNAEWVLGGRAIMVLMADGE WGVWDLEGAGPGTMKGPLQRQSSVQGVTGGSLTAFSVSGRILGPLSGARAETGGPIAE QRPKFAPLTPHTKRVREDTLLKGAMVRSIIPSLCGEISVYQTNSYRDTLPDESILLRH GNQSAVIPSLLSLWRNAVKATGTFDASNRCRVSAIQDITLMGEHLKGIGHLPAASRQT RQAEGGDHDALLITEHRIIILTPRLNEPDESPALLGSVDETPTAETDQLRLRRGELDV EGMDRLLSGMTSSNQTLRMGSPIKRARIFT AFLA_103440 MATVRSLGLHQPSAIPFLVAEGLLNPDPSDDHYAWTSSVDIGPN GPVEDEIVWTKGCVVWSRAGVVQRVYRLDLEKEEIRHALLTNFSVDQLKRTNGNASQA TKEGFFRTSNDPGRSRGLHGQQNGEEPRLEASGVTLNIRGRGAQGGNGSDSTRALVVV LKSQAHIFFLAGNSHVVPLPFEVDSIFATPKGLLFQRKMYEEDNKDTSLCPMAPPNSF MSTLPMTADFRASQSLEVPSSKGKRPSLTVSPAPGTSLRSPRKQPDLPRVFSLMDPYS EMGLVVTNQTSRFLQSSVTSRPSGLDVLGAADEIIYVSPRDELLESNRNLISGPLILV VTVNVNTGLYTIWTARYREDEANPSSKKRGRRETGGTWSKRRSSHFGMATGTTTPGAR PSAARESFGPRGDNWNTPGLSHSQYSTDGKPDEDDLASKLGQDFGDIGVPLKTSRRVS SLLARADLATGQDRITFSDLATGSQSSSMPHGNFRQSIGAASSRGSFGFNPRASLPPG NGSVYSTTTSFADAPVDRLLEELNSESLFEGLDNMDLKESASGLPEEVLLSKVESFSS RFSENFQTPVKSKSARKSKIITVCPSEGANSQNGNSAALALCVVEQAAKFMTVVNLRA DRVSIPKKDPVFSKRMKKRKPPDERSLLVQATSVQHFSGICDACKITDGEISRIMTLS MADDGQHELLLQTPWSRPITVNVPEKLMLYEPYGISATTSVNRPRESGMNRLMTDSFT ITGLDHATIRGRVDLIDSEKRKHRLQIQMEPSNELVKRVFRICRFVLPDSEKAGDGIL TAWWETVKWLRESETTESDLEWTALVVVLFAMAIPFIDSDHSRTPAQRTRRKKALLRS SSGSHVDLESWETMLDQESGSAGVVSSWINNSAWGWIVDQDAEEERSAPSRRKSVKTE QPGSSRLTHRKNSYLLRCAALTREFLQTPKGIAAVGSDGHLPTALSYSQSLRQTALCT ILVGLHLLREEQKLSICESEESRKTLGLLAPVLAQIGGWLGWKSWTWSENAYYGLEIA SINRWQFEDTRISMLDVPQEQFTPPSVFSYLETGWRQSSSPFFTLLNLVTGSEYTPRK GKMWQECFMLTPRTLALDGFLSEVHNFSTPLDRIKLLHRWGFTRSIIETFPEGVSAPL YEAIMRSQIHASTSWSATLLELVDREDLSISMRTHSPCTPSAWQPAVSHEAMRDVHHI GVSALDIDAINSFEASAEADRFSVTKLIFREDKRFIEAARLLNQSKAPVAECVPEPDW NDSDLLEAQKEVVQLVTLRTLSIPTGRAMLAFSGRLPLLTEKLPIPSFSLQCVMKPSN VTISADRTSFSEEKVCWAFFHNGVSTGLAISKNSKGIDTSWILFNKPQELTNRHAGFL LALGLNGHLKSLAKWVAFKYLTPKHTMTSIGLLLGLSASYLGTMDTLITRLLSVHVTR MLPLGAAELNLSPLTQTAGIMGIGLLYCGSQHRRMSEVMISEIENVDQEESSAGREDL RDEGYRLAAGFALGLINLAKGKDLRGMRDMHIVERLLAVAVGTKNVDLAHVLDRATAG ATIALAIIFMKTNDETLAQKINIPDTTVRYDYVRPDLFLLRTLAKHVIMWDKIQPSDE WFVRSLPGIYRRRYRLTGVRRLKSEDMPFFNIIAGLCFTLGLRYAGSAQTAVRDILLS YLDQFIRICRLPAVNYDGKLSRNSVRHCQDVVALSAATVMAGTGDLPLFRRLRSLHGR VDTDTPYGSHMAAHMAIGLLFLGGGSYTLGTSDLAIASLICSLYPVFPTSVLDNKCHL QAFRHLWVLAAEPRCFVPRDIDSGRPISMPITVTNIGGSTRKITAPCLLPELESIAKV EVRSTDYWPLVLDFTLNSALREKFRHGDQSVYLRRKETYNPTGSSAFVSTLSGLSEAQ DVLPSSTSASNHGKGLPPAAWPNIATLLSGTTAPTPPPSPSQSMWEWIFNLKSLQGLD VREKALVLPSSFPAHTRTPSTNVVSYAPWLRQSAVDSKLVVENIVQNLARLHPDEIRD RLWQLRLLFNWVDSCSDERVPVIEKEIETSNDAIPRVSGQSQGLWLRQDFVEEARWKV WGVQTDDYA AFLA_103450 MPDDLRPAFESPLPSSVHFPTPPSQLNPMPNLDPSSPSFLSDLQ AHYFPNITHDPSALSWLQPPSTDSDAPDATSAYHPASNAEAVHPAALRFSFLGTLLSP TTSLALPTDLGLHHHGKDPHAAGYTIPELAILSRSSFPAQRCIAWQVLGRILFRLGKG QFGERGSTLVEGLWSVIEREGVVAGMLAEADGTSNGATRSEKQEQDSARSKGGIGHHA SATAWAVEGVWLWQMGGGGDRGVLKEGAVRSQ AFLA_103460 MISLFPPLLLSFSSSSSSSFPTSSSLHLFLSGVTKSVQESLSPF LLFFSFHVPPLPFYQNLHRFFPPCVSPSPSPSILFPFHFHFISYFSITSPL AFLA_103470 MSTEPAIRTAQDDLESRTSIDQTPRETRPRRSRRKKDEGQDAGR KDTPKPTKSKTKEKEKEKEPETGRQNDKELDKENHKDKESRDKEQDPKESKRGSRRQR DKSSSTSANPSNSSSTHARKKPKLEATPPDQSPSVPATSATAAASPPATTTVTTSTTA VTTAAPAPAPSAAPAAAAAPAPAPVQVPSTPAASPPASSGSIHLLQSHLHQQHRSPLH PSPSLPPSSHPTPPPAALGHPSSYPTMAPTGPPRPQSQPPPPPPTRTSGQNFDPIRSA FDTASPAPTPGPTSTFSPPARPLSPRPTFRASASPAIASIIDPPTTTSPSVYAPRPYA SPNHGASIYSPSPAATPIIAPTPHPPPQPISPYAHRSPYPTPSQLQPSHDSQLSGHSS SQGPPPPPAPPHLSAAPEPPAPAPSNNHRAPSPGPTPMDVDTDQHAAPKAPKKDTKAP PTAPPSNAASPKPSRATKEPPPPLPQGSGLISNALFGVGDAASTSDSDSRRTPSIIIH IPLQGTGNRIVNFARLAEEQYGFAALHPRLAAHKERLARVAAAGAALERNDKSGRGLS AGESADEDLSLEVERDSDLDGDSALGSAAARTNGPEAPDGKKKRRKKKMEEYDRDDPF VDDSELAWQEQAAASKDGFFVYSGPLVPEGEKVQVERADGTIKRGRGRGRGGRSRGPQ STSHQAPLAAAVPISQETGLPVRGPGSRGGSTTRRPRTKPRAEQDKSGGTSSTSQGRG GGTAGRGGSASTRGGKSTSMVELAPRPNLAPTPPGPSPIAGSELAMK AFLA_103480 MADQFKARTLKRKNVKGLALNAAPKPLSNPSDGDAQVPGAIGNT DSNRTDTLEIGLEFRLDLRSEDLITLKELGAGNGGTVSKVMHASTKVVMARKIIRVDA KENVRKQILRELQVGHDCNSPNIVTFYGAFQNEARDIVLCMEYMDCGSLDRISKDFGP VRVDVLGKITESVLAGLVYLYEAHRIMHRDIKPSNILVNSRGNIKLCDFGVATETVNS IADTFVGTSTYMAPERIQGGAYTVRSDVWSVGLTVMELAVGRFPFDASDSSAGDRASA GPMGILDLLQQIVHEPAPKLPKSDAFPPILHEFVAKCLLKKSEERPTPRELYDKDAFL QAAKRTPVDLQEWAISMMERHNRKSYLAPPPPKSLKDEKEESPRRSPAPKPALSKSSR TPHYTPTSGEIPVNMVNEMSSHSRHYHVPPNPSPRPSRSTRSPPTISLEHLSLETKDD DYRSGRRPSRAHLGDPVSALEPPSRQHIASRSASSHNMKSRMPLQTSAMPVRAAPPPS GPLPPASVPGTPWQR AFLA_103490 MSARDLVEGEAMLDDEENEEELADDYDGEGEAHQGAGTANPYDS SEEDDDDDDDEEAARAVREGFIVDEDEELEDRAERRREKRKRRREEREREDEHLDEED LELIGELNPSLQAPVAAESKFKRLKRGHKDRDLRQPSQGIDDIFNSDEEEEAAGDYGR HGHRRHMHDEMDDFIEEDVFSDEELQREREDLEIARPAKKGMTGLGATDAAGLDENAL EDMRAAFGDGNEYLFALEMEDQEEEQEEDEEKHLDLKDVFEPSQLAEKMLTEEDNQIR LLDEPERHQIARKPYRNVVLTEEQFREEAAWISNLMLLKKRIEPELREPFQRSVAKVL EFLVTDDWEVPFIFQHRKDYMIHAVKAPVEGAGEDGDASQYTVRAEKLLNMTDLWDIF DHDLKFKALVEKRNTIQKTYDNLQSLFNVSDSVVEEMLPAAVTMEELQDVQDYIHFQY ASQLRDMTLMNSDVNGETHRRKASSKTFFERVRNGKAYGLVRAFGITADAFAQNALKE GRRQYTEDPAERPEEMADGFVDNDFSNASHVIKAAKSLFAEEIVMSPKMRKVIRQAYY MNGAVDCFRTEKGLRRIDEQHPYYEFKYLRNQQLSDIARRPELYLRMLKAEEEGLVEV KVRFENFDQFRQRLYPDIESDNYSEIADGWNRSRRDVLDMALGKLERLINRSVKENIR QECENHVAKECRETFSQRLDQAPYKPKGMVLGTVPRVLALSTGSGVVGREPIHWAYIE EDGRVLENGKFVDLSIGDRDRNIPDGKDVEAFVELVDRRRPDVIGVSGMSPETRRLYK LLAEVVDKKDLRGAPYTDDHDEEISDRLEVIIVNDEVARLYQHSERAKKDHPSFAPLT HYCVALAKYLQSPLKEYASLGRDIVSIQFKPGQQLVTQELLLKQLETALVDMVNLVGV DINEAVTDSSTANLLPYVCGLGPRKAAHLLKIVNMNGGVVNNRVELLGVNAQYPAMGV KVWNNCASFLYIDFENVDPDADPLDNTRVHPEDYDIARKMAADALELDEEDIKAETDE NGTGAIVRKLFREEAQDRVNDLILEEYAEQLEKNLNQRKRATLETIRAELQQPYEELR KQYVFLSTDDIFTMLTGETSDTLAEGMVVPISIKRVSDDHIDGKLDCGIDALVPESEL TDRYDIPVRALYSPHQTVSAKILFLNRKNFTCNVSLREEQVSRPVSNTQDRLRGEWDE RQEQQDRESLQEKTQSGGRTMRVIKHPLFRPFNSTQAEEFLGSQSRGDVVIRPSSKGH DHLAVTWKVADGIFQHIDVLELDKENEFSVGRTLKVGGRYTYSDLDDLIFNHVKAMAK KVDEMMLHEKYQDGTKDATYSWLETYTKANPKRSAYAFCIDPKHAGYFFLCFKAGENA RLHSWPVKVIPQGYELQRNPYPDMRALCNGFKLLFTNMQAGKRR AFLA_103500 MAAMEELEIHSKSYFVRWVNVKPGHTISWSIQPHRKSLNFGIFK HPGHSAVLGSNNLPPADSHSTDSNENLPTTALNANSRQNASTPIIERLTGIGLKQIQW IGKCEADKIVKGTYDVPANEGGNYALVFDNTFSKQISKTVTLVLLTYPTALPPQSAPV PHAVLPSRGTDSSESGKPLASRIRGNSSAKVLPPSINTDPASVHTGILQKRRRKRHQG WARRFFSLDFTSSTLSYYHDPNSSALRGSIPLNLAAVACNENSREISIDSGTEVWHLR ASNDQEFISWKRALERASSSKTPAAEESHPEPLLRVPSQRVLTNAAEAREWAQVESLV SKISGSRDAVRRLAKDTDPKYLGYGAPERPRGRSPSPHPEANGEESMEARERRPFWKR KASGSSQLGGVKRATMTPSSTQLVVPGPSSGDTASLSGDRKPSSITSHPDQMEEVHDH LMAVLHDLDSAVAEFSTLIAESKHRRHPPGLTTQSRLSMESDVSQEFFDAVDGGSSSP LLKIKGDSDDEGANDFSDATARQAEDKVVVDDAPSDSEDEVSETPTPQGDRYAPLFPA KPKSLTPLPLSKVTRRSNIPAPTVMPPSLIGFLRKNVGKDLSQISMPVSSNEPFSLLQ RAAEVMEYSVLLDHAASASDAVERLLYVTAYALSSLSCNRVKERSIRKPFNPMLGETY ELVREDLGYRFIAEKVSHRPVQLAYQADGKDWSVAQSPMPTQKFWGKSAEIVTEGKMR LTLHTTGEHFSWSNATSFLRNIIAGEKYSEPVGEMSVVNETTGQKTVSAFKAGGMFSG RSEEVVTKTLDSSGRELPLGLTGTWTTSLQMTKNGSATAPVWNAGPLVPNAPKHYGLT VFAATLNEITPIEDHKLPPTDSRLRPDQRALEDGDVDQAEEVKVKLEESQRARRREME SAGQTWNPRWFTRVDDDLVGNEGEVVWRLKSGKEGYWEERSKGNWTGVVPVFET AFLA_103510 MPDLRRQVLESGKTISRKAASREGSRRTSRTNSAQNSHQSSRNA SRHPSDEEDSGNLSDDTALSIGSLDDLTDNPEVDSNNWAQELRDVIQEIGDRKRSSVQ SREECYAAFCRLLKCHYVEEHVRSSLDELLDAFCRSIKLESSVRETTLALRALELLVI TVFEDTNYRNVEPILTRTIRDSTSNLVKAAAIHCLGACAIFGGAGEDGILDQMTFFLD IAASDGQSIDAADDPSSVTAALQEWGVLAVEIEDLEGESEEAIQIFMDQLNSSESPVQ IAAGENIALLYEKSYTPQEDDDDENSEHDTDDESLDDRQGPKLIKRYDAYHNTHELEQ QLQSLATVHNKRISKKDKKNLHSNFVSILTTVEDPRRGPMYNTAIDQETNRHYGSKLT VKIGRQGVMNIDRWWKWIRLNSLRRILQGGFAVHYYQGNRAVLDSLPVMVRQPTPADR GAAKKTVKSRNSRRWAVHEQSDEEY AFLA_103520 MNVLTVRHPAVENFKRMAITILPPVVDHVDICPSDSDMDSMSVD SDGGVDLATGRTSRPSKRPRLVEGTDITSGVVTPGEIVTDDPQWMRGHGTYINPLSTS IIATVAGTVQKTNKLLSVQPLRARYTPEIGDLVVGRIVEVQSRRWKVDVAAPLLAQLP LSAINLPGGILRRRTSADELQIRTFFSEGDLVVAEVQTVHSDGAASLHTRSLKYGKLR NGVFLAVTGTGGSGASIVRSRRQVWTVNTANGGGDVDVILGVNGYIWISKHADDTAAA CSTTDSVSIYSSQNDDIPPQTRREIARLAQCIRVLVQGGVRVDEETVMSAYEASLQVD LEVGDDDDDDDDDRRREGREYLEGNKARRILELVLERN AFLA_103530 MSQDADEAQSVAESLPDAPAGAPTKQSYRSFKKKFAKLKVKFEL GMRESESLIREELRIQDLSKRIQEQNDIYISPDLRYDLNAPGDDLFPPTPKRELSPSH NDPSLASSMLRNAKTDLALGHMKVEHYCDLENSVKRNEVFAPRMRYTSLIRIPHTLPQ PEENQSENIISEHSLGFFTPEHENEYYLATDAKLGDTSALMQLNDIPEKLSFVERERE AALRNPISVYNWLRRNQPHIFLQDNENASEKSASRPSNLRTSKKAALNQSRKDEDLHD DDSILMDIGHGSGGSKGKRKREEDVGNKFKGSSSNRSSRKKKDDGSSNVVKRSSKRTS GVGA AFLA_103540 MSAIGEANHKKRKLPEVSEIEIDVSAPEPASKKALRKAKKKAAE APPEPTTSEQQTEVAADHEENSKKRSDYGIWIGNLAFSITKDDLRKFFTSNCSFTDTT ITRIHLPKSSEKNGKAQNKGFAYVDFSNQKATTEALGLSEQLLSGRRVLIKDAKSFAG RPEKSQQENQKTGVAGAASGNPPSKRIFVGNLGFDATKEIIEEHFGKCGPVAHVQVAT FQDSGKCKGYAWVTFEDLTAAEAAVKGFVMVNEDEEDEEVPGSDSENYKQPKKLKQRR VWVNQIMGRRMRMEFAEDATTRYKKRFGKDGEGKKTQTTDVRGTEPFDDQDTTGRQPQ RSRSAKTRQGRTDYSRYDQETVQKLSGAIVESQGKKTTFD AFLA_103550 MTSPVDVKPSQSGLPRYYRVIMTIYTTNSYLSRWTNSKREKEGG KEKERKERQKFLRGSVELPTRTAIIDAVVAGYVYILFVSHLEELMGTNNIFIRY AFLA_103560 MAPRRRNIGASRRKRRDEEGEDEGSIDGELEDDSLSDGSGISNP DDDDADGEGSDDSDDDGMSTSPQVAANGRRQINGRVPEINQELAPRHSVSPRKHPMTT AVSDTEAMMNGLRILDESSEVMEVHFDDLKGESSHQTERTPSAPPTEPKRDTFLDRKR REQERHIREKDESPVVVPTRGSFFLHDKRTTETVTNGHKPFNKSKSRPYGLIVDGNVR RTSVKPVASEGLWTHDLHDTVAGDEPSVSKPSTTSALTSIIPPKPVPTAPRSSPPNRS FSSTTLIGNVPVVVSLPGMEHPVPYPSVSKKQHTRLPQHRPPLRRDKPVRISLPGQPP RYILPATERSFIFIPRALRPNQQTFRGRGRGGFYGGRRPSLYANSTYTSSITVSRRSS FGKAPSQEGYHSPAGSVLSRHTMVTTENGKPVVRLPPPGRPPGSVGAIPQTTAGPVAA PTTVPQPLPHPQPPNPVFRESRPAPIPMHQPRPQKAVSLADIETPARFPFNPPQPQQE QPFHHQVPVSANGSGYGPDASGHPPPSAHRSLTPSSHVQDRTFHAPSHMPAYQQAFWP ASYPPGAIYYPGSGTEFPPYNSAVGPGPSVPPLPPGQQPPYMVPVSHGSTEQPSLSGT VAHEAGGTVYFYDTTQMPPNSSYAMPAAPGISTVMDMGAPPVPFYYYPYPQAGVYYPA Q AFLA_103570 MSTSVSQASSVNPNTLITVKVLYNDNTRRFKIPLKELEARVLPQ KLRQLLGIPRDVNVTLERLSDSAGCYIHLDSENNAVYKQLYRAAKAKLKLRIKVTEVD SSASRSSLPTEDPSEHQDQVRYNYLQTVLSSPLPEGSAEAVSESPRDAAKPTPTEPDL KQWSNTGVVAPEPHYQDFSLSQDNLSTPVVSHKSPTGVFCIDCNHCGLSIPNEHYHCS ICDDGDYDLCLHCVDSGVTCPNEDHWLIRRIVKDGIVTNSTTETVAPRKLQTDVPKEA PEKVEKKLEFVPEPVYEETPRTSPVAVEAPVHSEARICNACLKEFNETKMVTCLQCKD YDLCITCLLKDAHGHHPAHNFTLLHDGPFCLKNLVLSRCKPGRRYQHAAICDGCEKNV VGVRHKCLTCPDWDYCSECFSHAPDTHPGHRFAPLYEAISEPSQDHEVHYGIFCDGPL CKNKAVPGYITGVRYKCSVCYDLDFCASCEALPTNTHNRTHPMVMLKTPVRNVTVSTL QEDRFGGSTVALGDRAQRSPSTQALNLVEPEASNTDVPVKEESRLSQDSESVKQECSL SREQLIKAEKSTNNAASGYDALFVRDTVPDSTIMLPNKVFRQTWTLYNPGPLAWPAGS DVRFVGGDAMFNVDTNHPLSLDSISAAMESNKLTEPLESGQSAEFTATLKAPSRVGTA ISYWRLKLPNGMPFGHRLWCEIQVREDAPSIKGPSSLEPEGKHGSERTESQMIFPKLE KESPDASAHEAGVVAPVPPSVSNPSEQDVLEDMESLSLGGHETENGFLTDEEYDILDA SDQEYMDAKSRE AFLA_103580 MLVATLYGPVYRRLTVLGVLRKATDEVRLAAQQAFYRIEDTMQC EDLHYYTPTHQIFTACEDSVLPRFKWFPPLGNFEGPVDSTGSIHVIDPRTMKSTRLAF ENFAGPLVTHGIEVLEDPDSPDAVYIFVVNHLPNMVYYHAGPHSQEIPRACSQVELFH HVLGTNTARHVRSIRHPLIVTPNDIIAESPLSFYVTNDHFYRDGFKRHIEDLFPAAKW SNIVHVQLDSLESEQAETGVDAKVALTGLQNNNGLGHGQSKGELLISSATSGIMYRGR TNPETRNISIVDEVHFDSSIDNPSYYTDPYRTSSEDASGYVLAGLLRCIDLAKTHANP NGKDGVMVWYTRPKAAKEEGGVVEWETRLIFEDDGTNIRSSSTALLVPIEPKPQEKKK AWLFVTGFVSESVIAVEVLL AFLA_103590 MDIHTNKMSLDLNLPTALVLIDNQAAFTHPTYWGTSRSNPSYED NILSLIQAFRAAIKNKTEGGNAKEIIHIFHSSTTPNSPLHREDPGNGIQPLDVIMWKC VNSSFIGTDLEAHLRTRGIRQVLFAGLTTDHCVSTTVRMAANLGVVDRYPDGPLTLDP EAGIHNQARVDRGRIILIADATATWAKGGFDAETIHAVSVASLDGEFADIMKTEDVVK ALKQMN AFLA_103600 MSSSLGAHKALVARSYPLFSTPFSPLPQHQFRRRDIYLTSRGHA SSSKTHTRPSSSAQPATSRVPSTSSTATTSPANDVNPPPSTRPADLNLPDPVSRSAAT ADKLKRYIAMGRAYLSFYKTGLKNVYHNYRASLPIRRSLGLPAYLPTSPPPAPPSSNS QSNKSTAFRKAIESVKLSRSSFQLVRRAAYDVRRMIPFTLILIVCGEMTPLAVLALGN AVTPFTCRVPQQLEKDRAQRVARKRAALVAQQAATSGSVTPPAAGSDLELDILVKMYT NLEWIESASAEEILRACAVLNLVKTHTRSSVLLSLYRARLQRYAEYLSLDDQLIRRCG GVRAMEGAEVRTAVEERGGVGVTEGKGGWDAERDERRWLEKWLERR AFLA_103610 MGVLAEVVSRPNPKINIGAVDLSCAFVLCDITQNDHPIIYVSEA FERLTGYTEQEIVGQNCRFLQGPEGVVQKGMKRTFVDDETTSRLRSTIEDRTEIQASL INYRKGGQPFMNLITMIPIRWSSQEYRFYVGFQVDLVETPDAVTRRNPNGTYTINYQR SRLPNYVVPPPDLYRSHPDLTTWFTTDQVSTILKSLNNSTLTYRNYLDRVLVENTDDI IHALSLEGEFLYLSPSCRKVLEYEPIELVGKTLSTVCHPSDIGPVIRDLRACTTTDPV SVVFRIRKKYSGYIWFESHGSWRMGERGRQFMVLVGRPRFVYCLDHIASIGHGSLAET DVWAKLSKSGIVLFMTSKARPVLGRMPDELIGKSLQDLMDSRAEAQKALGVARTGQRV TFSHKIRHKKGHMLPAQTTLHPGDTKEGVRPSFLVAHISFPKPPQGGNDELNSAPPPN RNLAVSKIHRQAVSGVSGVAGQNMLASVKQANPQIQKLPFFTELVPTRGSSWQVELRE LEKQNRTLSDELQKLLTRRKKRKRKQSTASVEKSCAICQTKKTPEWRRGPSGERDLCN SCGLRWAKQVRNAAQVAGRPNAY AFLA_103630 MADAPNKITITICGDGGCEDSYSVTRTVDGVPYFLAITDTAGQE EYRGLWAASNLKSDAFLLVYDITNASSLSALDYFMEMIDIEAEQRGLEVGMPPPVKII AGNKCDLKEGRAVGAKEGLEYARKHGCGFMETSAREMVNIEETFARILHPFSKYSWVG SNLLIVLVRRVVEARQQHYQKDRQAAHVPQTAGSLPAKRSAATDQNKGAALKSFNEGS HSRPFCCGIFSGMERRSQGQQQTDGNKGSVSSKSTAPRLWRRLSCW AFLA_103640 MTARQSSPTSDNSHSDSNVRKRVCKACDRCRLKKSKCDGGNPCG RCRTDNAICVFGERKKAHDKVYPKGYVEMLEQQQAWLVYGLQELYRRTSEGEGWPGEP LKCEANGHPLTHDLLTRLGALDQSKGERFEESTESMQQELWKQNAGHMQRQDSSDGSS ESAQSPVLPTRFSDAFARQPLTPPTFSPPLRQGPTIKTEPQIVPNTPSFIPPMAMHGD VVNPLALQDPQQWPNSLGNFDDMDLMATADYNNLSFDDPVSSPMFNRQIPMNCMSYMD AKNDYEDISQFLNANPPEITSS AFLA_103650 MADEPSVKFTSLGAIIQELNVGGQNIVLGFTKKELYEKYNTPWF GATIGRVANRIKDAKIQSLNNQEYELEKNNDPNALHGGSRGWGRRHFEGPTLLQRNGK DTLLYTYTSPHLDGGYPGTVEIKVFYTASKEDNRSVLSIEYEVELVGDECNETIVNVT NHSYFNLTGGETIEGTSAKLFTQHYLPLDKTGIPFGRIDVHPSKVTEPFTIGPNKEAF DDAFVMDRDVAVIPLDTRERPLQLLAEFHHPESRINLQVHSTEPAFQFYTGQGTNVGA VDGNPSRGPFSGFCIEPSRFVNAINEPDWRHMVVLKKGDKYGSKIVYKTWKDPQ AFLA_103660 MASTYGDFRHLLPSNYKRLITSWLEEDCPSFDYGGFVVGESDGE ARLLGKAKGVVAGVPFVDEVFAQLGCTVEWHVQEGEPIEPIKHCATVRGPIRKILLGE RVALNILARCSGIATKSASLVAALRAHGWSGTLAGTRKTTPGFRVVEKYGILIGGADP HRHDLSSMTMLKDNHVWACANNRVAKDGAGPASTESVAAAIPRAVQAAKVAGGFATKV EVECRSVEEANAAIEAGADVIMLDNFTPDGVREAAKQLKQGWADKKQSFLIEVSGGLN ESNAASYACSDVDIISTSSIHQGVGIVDFSLKVSLR AFLA_103670 MSTGSAQLPSPSFETLNAIESALPPPSSSPLGRSLDTGNELSSL EDEFYATDIMEEGDARREHPLTNSSITGVDGGAHYTQDRTTADAKRDGRSTPAVEQQD LDESSASLNLPPLPDNDDSSLLLLSSDDGDHERLVNQTLMEEKEMRRKLMDMESSFLP EPSTIQIVSRNQHSSADDTYLVGVDERAPEFNKPDNAQSSFAVPDGSSYDMTSTYDGV PIPQTPSLRPEEDMTADSETTPAPPPEWQENNETDLEAVQSSPAAQAAARTVNRNQLS SSEGTRQIGQFFEEPTDGPPVSYLNQTQQSAHQDFRTASRSLSPSQAGPLSGNANYDV EAASRTSSRRGNRPKYLTSRQSVHRLSYSSVTSNNTEVTNSEATLGADYALQSGGAVP GNAGIVHHEQRNNLARSVSLGSMASGISGYSDENLLDKRNPSSTTECGLDTLNEEETP LQSRPGSSQQKHRQNQEEPMAEDTAGLMTPKAKAQDISFPIDTAIAERVKDVQVPSTF VKQFREDYAGRGLSPDKRAGATPAFARSGRSMTLKEQSSTIDRLSKENFDLKMRIHFL NEALNRRSEEGIKEMISENVELKSDKLKLQKDSQTLKRKIRDLEKQLKDQQSDKESMV NHDPEGSDDDGREPAQEEEILFLRERVEVYELEIERLRSESIARESEKRKLAEILKSL NDGRPMGSDVGAREERDMWKDMLEAETAAREQAEEENKRLRDDALRLKSEMSSIIVST RPTQRDRVESMHSYSAVSDRELNRNTNPSSSSSSTLVMELELLKQENAELRKEVSAQT SMLTSRNREKERLYQEIEELKLGQRRDGGRSIAGDSIFDRSASRAHGRPSSRASDGTA PYPGDDAEREDLEVRNGQLRDQVSALKLDNQAVRTELEEYKRELEEYKKELETLDKAY QERGKEVETFDKAYQADMDQAEEEMQKLQQDLQNLEQERDRALLMADEHNAAFQDLRA EAQDELEALGEELDQKTEECQRLGEELKIQDENLRALQAEMRSASEGIIRLEEDAQNN MQRYKAVQQELEDCNREMESFEKSLFEANTKVQRLTVQIESSQNEIAFLREEQDGDKI RIGDLESELKTYKMSLQSEKDKARELEERLTEERHQREVVGSKEKQEVQRIVNELNRE ASAAKEEARKLKKSLSAQEIETNTWRERLMDLENNLRETLGDLTGSRSSLISKIMELQ KNLESTAWELESTRSKLDETESLLRNRDALLESHGLESRKLAELLERERHSRRADKQS FEQALKSHHQASRTITQNNSRITELENARNQDRKRFTNLEQQFKDQLNERNTMLLMIW KRLSAMCGPDWAHSNSLINGNLPSQEVIGNILFWPGFSRNLLLAVKTLENVILGFKNR IKGVERDLTKQYQAVEHTLSLRIKKLDRLEESMMSIRAQQHKLGQSGLSPEMAKLRGE NRLLKAELNLLQSHSRSRGPAGIGPGSPRLESGADLDPTSLVRHNSMVEKPANPNNSR GLTRSSTSGIPQPSHVSSTTTLADGAGAMVHSSRTRHAVGDQGNNEKWIQRLHELEKR LKQEREARLLDRNGARKRLEERDAENQRLRAQLERERVRKDMSTDYSGDNNAGLARGQ NLRMIQGTAHDSGDGYGNHRDDDDPSSSDGEGICVDIEV AFLA_103680 MHASNSPPTTPSAASNYKATRRMTQSRPSVRETFLDEYNVGDNT NNGMDSGDERDPHDLSLSPKHAARTSIVDNMLLSLDQFASSNASVLDDYRLFNSVFES DLYGRCSPDSMTQRRYRGHTFSSSLSSELDYNPDDAAGLYGTQPGRARRSTSSSNYNS NFRRFGSTRTPDGPSSRGQLYDHRSNSGGGTSGVRGTRQGSKGSSSLHMDFGPPLSGN HRADLAPERRSASFDFGTRQTIIPFKETAVDYDPVSLDGVDAAPTPSIPGGPRKYQVS SQGEYPGTLGPQPSRTPVASRRNSVKSARTRSTAAMTGRENGLTQLGAPILEPPPAIP AATFDPPAPSPTISFNKPIFPTPSDPAPTRERPGFFRRVFGSSKNSTPGAENNQLDLV VSQDNDLRDLNGSTTNLKDRRQPLKSSTAGTTTVRQGAHQVVNKKSSFFRRRKRSVTE NVPPPILIPPESGSKPHEVMKPQPSPVSSLRKVMNPYLADASAPGLLHSTESHNRNIG TDKQENKGVDSHAEKPKESFHGAGRVQRPKYSLYPAASSDARDASILGSKIGDEDIPK VNHMDSTSSANPEQLVPRDGIGSRDSGPDSESDRVGGVRNRLTPQDLCVPSLSPVVER FSQKSVSPIESSEETRTVNPGEPEEVKHQSEPRLYDREDEPSPKSLPVDTSGSLKVST SNISNYYTASNTPVISPVESKSVEISEGKVDLTDNVLEDQPGDSEKEQAQKLYDSQDQ VVGNEPAAAWLGDPDRATIRKAYMEFFDWSNMNILAALRSLCNRLILKGETQQVDRVL DAFSIRWCECNPNHGFKASDVVHTICYSLLLLNTDLHLADIEQKMTKGQFVRNTMPTI HRVAFDAAPDGFEALHVAHDKSKVSARESLTSHMDESDRGNMVADKPANAPAKLVNRL SRTDLSVKLSGDPETNTGPLVNVPFNGTDKAWGQQVETVLRDFYTSIQKQRLPLHGAQ AEKEALRTSSNHLLSPNPSGLRRSPSTVSRSGSDIYPRGRSADSRYGTARWSSKNRSR ARLYPSSVMGSSRTSLEEQSSFWSPSASSTWSKQSLGKLTSVSVDSFGSDYMRGDYQQ AIGFANALSQAIIREDSAYSIASTEEVEGTIPLLEDETLQLAGAPWAKEGSLKHKQHL DSADKRAKDRNWNECFAVIQQGWMRLFSFNSSTKSVRHKPKTHTHGGVVVGGGNWTEN AEEVRKFLLRQTLASVLPSPGYSKSRPHVWALSLPNGAVHLFQAGTPEIVHEFVSTAN YWSARLSKEPLVGGVSNMEYGWSDTVINSALISTENNSNRSPPSSSGARPSIQSSIRS SIDQQGGVRPRLPADRVHISDWAPPQQSMVASNLPEADQLKALRMYVKNVEDELQRHN ELRPAMVLAFSPRHPNATKSMANWERKSSYLLRENVKFRTYIETLQRALDLKNKIYAS REESSPSRCG AFLA_103690 MLVMPYLCLVILKCSMTLTGQKGGKKKKKKKKKKKKKKKKKKKK KKKKKKKKKKKKGASGFVPLDINPIEVHLLTACASELLG AFLA_103700 MNPHISVPRQHASPSNFGGTTPASRGSSIRMPRFFKRMFKFPQM DFEMAIWEMTSLLIAPKKVFKSIYYHKQTKNTWHRPDPSFTYLLCFFLLLTALAWGLA YAPSFGAIVRLSLLFIFVHFIGSSLLVSTIGYFVIGRLFGPDGAAASLSGLRGGRGRR RGAAQGLFVQPGEKDQLEFGYCFDVSNRAFFPLYLHLYVAQFLLLPLLTHSPSNLLST FLGNTLYLSALAYYTYITFLGYNALPFLHNTELLLLPILAFAVLWLVSLILGWGIVAQ GGSVEGLFWGA AFLA_103710 MKQEPDDDAAASSQEEGEEKEEEEVSESEEESSKEDAKPGSSSP AAAEMTREERRAAAKAKKQAAIAKRNQVQPGDLPPSDSESEVSGVSEGDEELPSNPNH TAKSRSQLKDSNPDKDMSQLSRREREAIEAQQERERYLKLHAEGKTEEARADLARLAI IRERREAERLRKEAEKEEKAELAKQRAAEIEAKLNAKKKGGSKKK AFLA_103720 MLDRVPNGTSYSQPMVNEEEDDIRQDETASGVGNAPGNRESNED PCRTKEFFKTPTNVSNAGALASKTLSLVRTRESNREIGPPPDGGFLAWFQVALGHFVI FNTWGYINSFGVFQTYYTETLGHPPSDISWVGSIQIFLLFFIGTFSGRATDAGYFKVT LVMGAVLELFCIFMTSLSTKYWQLFLAQGVGQGIGCGLMFCPTIALVPTYFTKRRSIA MGIVASGSATGGLVFPAVVMRLLPRIGYGWTMRILGFISLGTLTPCLLFLKQRLPPRQ SGPLVEWAAFKEPSYALFAIGMFLNFWGIYIGFFYIGSFARNIIGVSQSTSIDVLLVM NGVGLLGRLIPNLMADWYTGPLNLLVPCSLATGVVAYCWAAVNSPGGMYAFSVFYGLA AAGIQSLFPATLSTLTTDLKKAGVRMGMVLSVVAVAALIGSPIAGALIQLNDGQYLYA QMFMGSAVVAGAVTLFAARVAKLGFSWQRS AFLA_103730 MSSEQRTTPAKIPSDQPDPVLVGPGVKVLGEEAYTKASTATPIP GGEKKQSFTTDAPSYFSKTPGEKMSSESSNATPTTPAQAAKDARSRIELLRRLSLRET PKVLEADLRQQHPGLRLSGRIISAAFCIPYKVYYRRESSWELKPRPGTSALFDSLAYL GSEETNWSHTLVGWTGEVEPVPEDTVPLQQIPINTSAKLPAATNGTAKPLNKAAAPVP VDANQRPPSHPLLDGFTVSQDDRSRLDAQLSSGRYGKIAPVWLSAETEIPEDTIFLED QGRWRRYAERELYPLLHYKQHGPTDGRSERNWWADYVRMNRLFADRILKEYQEGDIVW IHDYHLFLLPSMLRQRIPNIYIGFFLHAPFPSSEFMRCLAKRKEVLTGVLGANMIGFQ TFSYSRHFSSCCTRVLGFDSNSAGVDAYGAHVAVDVFPIGIDAKAIQNIAFGASEIEN AVTGIRKLYAGKKIIVGRDRLDSVRGVAQKLQSFEVFLERYPEWRDKVVLIQVTSPTS VEEEKEENKIASQISNLVSTINGRFGSLSFSPVKYYPQYLSQHEYFALLRVADVGLIT TVRDGMNTTSLEYIICQQQSHGPLILSEFSGTAGTLSSAIHINPWDTAGVAGAINQAL TMSPESKKASHQKLYKHVTTNTVSAWSTQYLSRLLTNLSSFDQSVATPALDRAKLLKQ YRKARKRLFMFDYDGTLTPIVKDPQAAIPSDRVLRTIKTLAADSRNAVWIISGRDQAF LDEWMGHIPELGLSAEHGCFIRKPRSDDWENLAERSNMGWQKEVMEIFQHYTERTQGS FIERKRVALTWHYRRADPEYGAFQARECRKHLEETVGKRWDVEVMAGKANLEVRPTFV NKGFIASRLVNEYGTGPGQAPEFIFCSGDDFTDEDMFRALQKFDLPQDHVYSVTVGAS SKQTSASWHLLEPADVIETVTMLNSSSTQDY AFLA_103740 MPRILVSHSQCLDCLVSCATMRFYLSAGLLPSPSSVLRQHAFHS SQSKHQAISGTRFYAKDMAVFKNSLPRTLEAHRSSNRTSLVRKVYDRTPSRDLARPVI PVKEAMALKLPVKLVSPEATAQFVSSGPSTRTARNTRTLEKDPVITSQTIPCDRIRWN VNTEQGRWEQSPWMDYCDAGREWPDSFMQLDAEIRALEEYLLPTPPEQDRVSHIVTQV TSMLRDVIPHTPQVIGSRRTGFAMSHSDLDFILPVPDPARSIDRARRPSPTRPQILSL HSDLLSRVECTLQHCSSFSGRIELSGKCNSILTAVHHETGMRVQFHCGEGLPSSVEYI CDYHAEYPAIRPLYMVIRLILESQDLFGSHKSSIEPDTLVMLLVAFLRMNHGRFQESR SLGKQLLAVLKVYGSEVDLRSTCVSVDPPSFFDAGTVKDAIKRYDAEDLPAHLRGQRA LVNRKKTAAIKRNIPAASRLCLQDPANYMNDLGRTCSRTYELQDVFARAYDRLGICLG AWERRNHGGLKTSLLASVLRANFDDFSKVRAQIT AFLA_103750 MTESTVHPSEMRVPENPSDRLTPGKPEPLALSNGPRLSSPFPSP TGTTGTEYSPSDNREKAEATVEENQRVANIITDENGGKSHVGETDGSWELWDGFPRAK LASLHPRRPNESLDNKQKAMSQESQTSHHGLSSRRPSVQFTGEFIENDPPAEFARSRP SSVAGDDVDADLKGKQSIFGKLKAFAASPSFVSHSRSASAATIGDSRHANHDLTTPGS ERGEFRFPNTLEEEGSDIDADAEESAGEQQGRQQQQQQQQKKKKKQLRRRQENDSTPQ TEPNTPKASGRPSFHLPSSFGPFENYRTNFFPRRNNGDYAQQREGVSEDEGRDRLNRD NAWRRRSAWLANTRALNSGGRPIDSQPNQDERRPSNLRRFTGMAGPSENVEGLGAPWR RHRAERGSSLSAQRWRQIKAGLKLIGQRRKTDNTVDHAKSAELLAELTSGVPAALILA SMFQRDEHGSKRIPILLEQLKVRVTDSRIDSHSGDRHLVFRIELEYGSGMTRMKWIIH RTLRDFANLHLKYKLHFGTQKYIQLRNTEGAHNLPRFPRSAFPYLRGVRGLESDMEDE EDDGGYETAAEATSGNERAGKRQQSRRRSSGNISRRQSTVTNPDTENAAGASGAADAG PSKKESYPERQRKKLESYLQKLIRFLIFKPDSNRLCKFLELSALGVRLAAEGSYHGKE GYLIIQSSKGLDFRRALTPTMVKNRHSPKWFLVRHSYVVCVDSPEAMNIYDVFLIDPF FKLQTQKISIRNQKAKELAKSAKESARHPQHHTLRLENSERKLKLLARNERQLHQFED SIRFMVNNTPWARPNRFESFAPVRRHCFAQWLVDARDHMWMVSRAINQAKDVIYIHDW WLSPELYMRRPAAISQKWRLDRLLQRKAREGVKIFVIMYRNINSAIPIDSEYSKFSLL DLHPNIFVQRSPNQFRQNTFFWAHHEKLCIIDHTLAFVGGIDLCFGRWDTPQHLLTDD KPTGFETSDGPKDADHCQLWPGKDYSNPRVQDFYDLDKPYEEMYDRNVIPRMPWHDIS MHVVGQPARDLTRHFVQRWNYILRQRKPTRPTPFLLPPPDFDAADLEALGLDGTCEVQ ILRSSSAWSTGTSDLTEHSIMNAYVKLIEESDHFVYIENQFFVSTCEIDGRKIENLIG DALVERITRAAKNKEAWRAVIVIPLMPGFQNTVDSEGGTSVRLIMMCQYRSICRGETS IFGRLRALGIEPEDYIQFFSLRAWGKIGPQKQLVTEQLYIHAKCMIVDDRAAIIGSAN INERSMLGSRDSEVASVVRDTDMIWSSMNGRPYLVGRFPHTLRMRLMREHLGIDVDEL MEHSMATEEELRRIQIAEEGSKSPGDHERLDPESLMLEKQDERDMIERRHRIQDEFLS RSEDMHSFNHDVDWEQGDNPNLKSNRKLTADARVTANPEHKKDVDGYGPDHLSAALQA GLGEARDSQLLGDKSEVLVSPIASEGKGTIQQPRHCPQQEANGDNETRKTSFILSDDV DDPGSVVEGLSAARNPALSGHDTESGGNGAVPSSKEKFDVTKHPHPLVADMKHVFIDK DCMRDPVIDVFYLDTWHAVAEKNTKLFRSVFRCMPDSEVKSWKEYKDYADYGERFAEM QNQHSAKAFRPAHARQTGPPGTGASLIAGGLTTKSNLLGAGPNAEGQMMGQKDQSSSE KIDLRQAANTQNHDRMRSDIAQQQKTLSSKDEKMTGLRTLDAPASGNVQNGRHDDNGS SGEDHGKQVSDPPVVDYSEALNRNATAQSRRRRRRATTLGSKREFHASDEVMDKQQAE DLLNQVQGHLILWPYDWLEKEEQGGNWLYTLDQLSPLEIYN AFLA_103760 MADPLKPLDAAPRTNPSPISQKTYPVAGILTTVFGLNELPAQAS EVACLWLLHPRLATQERMTGIATAAITDWNNRIKTSYTNDSQSVKGLIAVAFDQRNHG TRLVDPTGNEAWKQGNPRHAQDMFSIFQGTARDVSLLIDYLPSFVFPQSEYKITQNLV LGVSLGGHAAWSCILHEPRITAGVIIIGCPDYVNLMADRARLSKLPAWTSSNPPGSQF LGSEAFPVSLLDTVRKYDPASLFLSYMDMKKSVEPLRNCTLPEPTEKEKQALQPLLAR CLAGKRILNLSGGIDKLVPYHRGEAFLTWLKQAVSPNGWFSNGAVTLEDVIDESAAHE VTPKMVDEAVRFISDALAANDEDLRKSGFVRESKI AFLA_103770 MPTRFSKTRKARGHVSAGYGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRYFHKTNQQFWKPVINLDKLWSLVPAEQRDAYVNGQKTDTAPVI DLLPLGYSKVLGKGRIPEIPIVVRARYFSRDAERKIKEAGGVVELVA AFLA_103780 MLEYAKRESSRAVSEKRKELQERLAKARHKEEKQKIALENSSGP RKRQKFDVPSRASDAQTDNDFALDDYDSEGEEKSSSRKRTDYSSGLSTGTLELLKRFQ HKSSAHPRQDEDYGDEDIKILYCSRTHSQLTQFASELRRVNMPSSIPKDFSEGVADTD GLQEGVRHLSLGSRKNLCINPRVSSLDNVTAISERCLDMQQPGVAAEQRCPFLPSNEN EAQVLQFRDHVLATVKDIEDIGKLGKDIGICPYYASRSVTKHSEIVTLPYPLLLQRSA RDALDLSIKGHVVVIDEAHNLMDAISNIHSVTITLSELQTSLFQLTTYARKFKTRLKG KNRNYIAQVIRLVTSITDHLKTILETSQAPEGPVQPSDLMSGKGVDQINPYKLCRYLR ESKLARKVDGYSEFSRERADRQADRKPSTPVLFHIQSFLLPLMNLSAEGKLFYIKAQG DIQLKYMLLDPMNQFREIVDDARAVILAGGTMSPMTDYIHHLFPYVPSSRLGTFSYGH VIPPENLIAQVLGKGVTGTEFDFTFETRDSERMIVDLGRTMAALCQVIPDGVVAFFPS YDYLSQVLSIWKRTLAGEKNRTVYDLIEGKKTILHESRDVTISTEELLQEYASIVGSG RGALLLSVVGGKLSEGINFSDRLGRGVLIVGLPFPNIRSAVWQAKIHYVEQKAYKESS GSDANRQLVAKAAGKDFYENSCMRAVNQCIGRAIRHRNDYAAIVLMDRRYDKPAIQGK LPAWIKQSMASSSALRPAGATIGSLSKFFVAQRTSKT AFLA_103790 MNRPPQGRGQPRLGATWYPGGQDDFYMPEVISPSPQRVMPEVPE TMQDNIAHLEHEARSPHRSQYAPVQYDRSHFPERTSSAAVVQGQPITAGYEDTAHYEQ AAVYDTMESPNFSAFPVLRNPPPNVPPTDEQREASLERARMAVLSSNDPEMQLAWAQD ALAYVEVAVQNEARLSLIQPPRPQTPQVEHQLKVDAMNIVNFLADQHHPKAEFIKGMW LEFGKFGYRVDKKEAFRAYSRAAEKGYARAEYRMGMQFESSGEPEKAIRHYEKGVALA DSASFYRLGMMILLGQHGQRQDYQTGLDYIQLAAQSCDENAPQGAYVYGMLLARELPQ VSVPENYLPLDVNAARVNIEKAAYHGFAKAQVKMGAAYELCQLGCDFNPALSLHYNAL AARQGEPEAEMAISKWFLCGHEGVFEKNDELAFTYAQRAAQSGFPTAEFALGYFYEVG IFVQVDIKEARSWYAKAAANGNKDATSRIDSISRSKTLSRRDHEQVAIARIKSRYGSH QRNESMQSASENLEMPDPSRMSLSDNTPPSAPYPDRPPSRARPVYPPGYSVPDPRPSS AFGINPNIRTSAPNYNRAASYGPGPMGYRSPAPVTPTTSGPASPTSATPKLDIGYSAP IESPNSRRPQRLDSTPPDRRPVRTPVSAQGGPVGSPKPVTSPSSATFPQRSESMPPPS APLASSTTPKPSSASASVSQKPAAQPAKSQGGLPGKGPKTFEEMGVPTAQKDNDCIVM AFLA_103800 MVVLDDHILQLQEQLTDLKGYVKRARAELATLRATPLAFDLQKS INQLQVEKETTFAILTQARGTSAREVDEEGRTITKRVWERWQKRVNLRRKVCRDLWRR CLEMVDKDVTREELWVYISLVAIIETAHGNEQEFLGLEGPLILT AFLA_103810 MQVSASRNTPSQPPPSHTPRAHNRLSGDMKNRAPLPVPSGTIQN GHSRNLSGFDMAARSPPNQSNTKHVPCKFFRQGACQAGPACPFLHSTDAAIDYAPCKY FTKGNCKFGAKCALAHILPDGRRVNRPNPGVGMGGSHLNLGGRVNPQAYVNQDSALTN SVLSQQRMNGQEPRYGPQVHSQEEYAATHSPQQPYDAIPTIDAGLASDAGSKYGSPID DMRFPMSPNHRHLTALDAPLPASFDSQGISHAARYGPVAASMPSKFGLELSPPAQRIG APSDALRSLRDTAYGSDLRKPPSFMGSSPPGIPEDGPGPRFLHSQRSVKPRMLSASVP RLTALDDWDDSNFPMEEDYLPINLHDDVLTPQERLRRLSRTDYEPSSSHRDLSGLGMT GTSLSKVGSPLASSPSRFGALFAKQRQKKEEDAHGTSLPQVGSPLRESSLNFGASPSL GPIGSRQTSGDVSPFVSTPSRQQSTSMISQQLSSMSLHPGPARHSSSVGASGRLDRTV SSPVSTSRIDEEQGDLVFSMEEEENNKRNCTSWSTSKAESHDDDSTPTSSSGFQS AFLA_103820 MEKKSEPSQPQPTREELVKSIEDDGKGDSAATAEGVTDEQWRSM MDVVMAIYEYREEDGHDPSKLFQRSVNKRNVPDYYDIIKEPMALSILKQKINKREYTK FSLFVRDCALIPHNAQTYNRPKSQAYEDALVIKDVFVAEFRKLVDQGIISAEVAELPD LGEIPEADPLPEEEEEEEEDDEDDEDEEDSDDDGRRKKKRGPRPGSKREGGKDDGHKS NDPELRKKRGRPPRVDTPMEARIKAVLKGIRKLKGPSGALKVRHFERLPDKATYPDYY VEIKEPIAIDIIKRKSKRKKYNSVDHFMRDMDLMFNNAKIYNQPESQIYKDAVDLQVE SRKLAEIEKKKPDSEYLMEDGRLPLPDGILYKGELWKVGDWVHIQNPNDVTKPIVAQI YRTWQDSEGDKWVNACWYYRPEQTVHHYEKHFYPNEVVKTGQYRDHRIEEVVDRCFVM FFTRYNRGRPRGLPPDKDVYVCEARYNEEKHKLNKIKTWASCLPDEVREKDYEMDLFD VPRRIKKISSPIKHLLKSDAKETDDLPKPTWGAENAPPIVGAVHRRPRDENESPPPEP TPSPPPSLPPQNLPSAPARQASISQTPQRPSVDSQGNTTVAGTTPAPPRSPAAPIPPV QNAPVPVPPATYQQPQLPPTQTYQPAIQRRSSGFVQQTPHPSYQTPAVSHPYAAVQPT PYTPYQTNRIQVPPGTVYNPNAPRPIEVFHLSDAANATIPEDIRDQFHCDNQGHVLFF SSPPLDIVPPLQQKLGHSLKYLAVKEERRKLVEAKKRKEVEERDVQDQRVKRQRADEE ASLAVRIEYLTMKAIGTMADHIGTGTEMIYQMLYHDQAEKARKANSSALEQKVLADRI NKEKTRQIQAQSRSATFVPLKENIMCMDEI AFLA_103830 MRFRIRGPGGQSTVTLDDSATVNELRTQIVDKTGLTAYDVKYGY PDLKHFLLDELQPNQRISDIGFKLDGEQLLVTKREVPPSNEGLTPISQEPPEKPPTSH TSSDAISDDPPEIPSLEHAGTFVLRIMPDDNSCLFRAVGSALMGGMDAMNELRSVVAQ TIQQNPGLYSEAVLEKKPDDYCRWIQNEDSWGGGIELSILSKHFGIEICSIDVQTLRI DRFNEGLPTRCILVYSGIHYDTVALSPSDPPHTHAYAPPEFDTKVFDAADPFVLEKAL ELCKVLQSKHYYTDTAGFRIRCNTCGGVFIGEKGATQHATQTGHYNFGEASQD AFLA_103840 MSTLHPAPTGIFEVQKPGPSRPPRAPAGPRYARDDDAATDSDAS VMEHEDEDLQDEEDVDDSVKEDMKKLEDTFPGISDRFRLVNRIGEGTFSTVYKAEDLL YDHYRNDWDMFQGQQCDSWTSPPSKRRRVEGERGQSLPTKRRKPRYVALKKIYVTSSP FRIQNELELLHDLRGCRSVCPLITAFRYQDQVVAVLPFFPHTDFRIQYRTFLVADMRH YFRSLFTALHSVHKHNILHRDIKPTNFLYNPKIREGVLVDFGLAEREGSEYTGTCLCA NPSYVRRSRLLQSYYSTQCSTSTLSAGYPKNDSRPSRRANRAGTRGFRAPEVLFKCTS QTTKIDMWSAGVILLTLLGRRFPFFNSADDVDATIEMASIFGTRRMKMAAAMHGQIFE TNIPTIGEKGYSWEKLVKWASCVEELTESEKQATRLLAGLMELDPYKRLSARDALQHE FFTDPIEHDVEWGGNPEDSADSVEEDEAEKDDEADEVAMI AFLA_103850 MEDRAQFDINESLKYYLSDPTSVPTADAEPELLECEADPDQLST TLIDNVLNPIVDAVAENPEGLARPSFFDSLQFFLNSKRILTLARILRHCSILPIRSLS KLLDLIVSGLSVEADIVHGDLESDEPDGVQHHKHLLEMYGFLLQWALSAVEVKAAERP AEAAPARRGVGKSGRSKASMRDGHWDWTAQIQISMETMCKVMKLKLSRIFLTTSDRDT FVNLFTRSIYLILESEQRVKSMTIRMHAFKVLCIAVKHHGHAFGAQTSIVQSLTYFEH LSEPMAEFLHILAEQYDYPQLSDEILKELGNKEFNSNDTRGPKSVSAFIVKLSELAPR LIIKQMTLLAKQLDSESYTLRCAVIEVCGNLIADLSRQEERSDNYKTQINAFFDVLEE RFLDINPYCRCRAIQVYMRICDLDQKFPKRRQAVAELAARSLEDKSSNVRRNAIKLLS KLVSTHPFSIMHGGQLSYKEWMARLDAVDAELNSLRPPETPGFDGGEASHVDSELLDD ATQMPDESPSKAPRMTEEEKAAAVKRAAEQAATSELLARLQLTRKYYNEAIRFIEVLH TASGVVTQLLSSRNKSEAIEAMDFFVVLDAYKVETSRSGIRRMLRLIWTKGNSDEGKG VQTHLIDCYKGLFFEAPDSFSPNDAANYVARNMISLTFGSTPAELTCLEQLLSTMMKA GHISDAVIAKLWQVYGVQRKEISKTQRRGAIIVLGMLALADPDVVIKEIEAMLRIGLG SLGMADLVLARYTCIALRRMVPGRQAKSKEVGIPKLTNDHAILTQLAAIVEIVSDNKE WYGVAEQAISAIYALSKHPDVLCSDILKRKTRSVFQPQTQRSSSQGTSDGDEKRPGTA STDNPTTRKPSSAVLSQLLFVVGHIAIKQIVHLELCELDFKRRKAEQEKNKASTAAPQ MNKDPTEGDELDLIGGTTEDDFTDAMAHIRERELLYGDKSLLSNFGPLVTEICANSNI YPDRNLQAAATLCMAKLMCVSAEYCEKNLPLLITVMERSEDPIVRSNAVITLGDMAVC FNHLIDENTDFLYRRLNDDDVSVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDNDK RIADLARMFFTELASKDNAVYNHFVDMFSLLSAERNLEEASLRRIVRFLIGFIEKEKH ARQLADKLAARLPRCETERQWNDVAYALSLLPHKNEEITKIVSGGFNKVVTAST AFLA_103860 MSPATASDAPENTPDDSSKLKTFLSILKKFIGVADIASVRFSLP AHLLEPTPNLEYWNYLDRPETFASIGQSDDPLGRTLEILRFWFTKDLKYIKGKPCKPY NSTLGEFFRATWDVDATLPEVQVHSKPGIATGISAAKDGNAEAVKVCYLTEQTSHHPP VSAFFIDCPQRGVSARGFDQISAKFTGTSIRVAPGQHNLGIFVRIAPRDNEEYQLTHP AAHLGGLLRGALAITVSDTCYVTCPKTRIKVILQYLEDGWIGRAQNRVEGVVFRYDPD MDTTTKIKDVPESDILAKISGSWHGQVYFTLAGTGEPQLLIDIAPLFPATKSVPPEGS QLSNESRKFWSSVTEAIVDKKYSQATRCKQNIEERQRQRAAERQAKNETWQPRFFTDA VTPLGKPELTEDGRKALKGLYNGDYSLEESETKGA AFLA_103870 MDAGFHFIAFVPALGKVWKFDGLERQPQALGPCTPNEDWLGLVK PHLITRMTEYEEDQIEFSILSLVRDPLVDLIGKLAVNIKCLELLNQRLTTQAPAVAHS ELPFASRILENTILGPDKSFDMTRESIDQAIVPVVLERYNLFSAQEIVDFQQKLCNEQ QALRAAIRDEQQTQRADDDYAAGRRYDYGPAIRTWVRFLARKGVIESLIPMGEV AFLA_103880 MPGRVRELSLKNLGQKPQIKDPGWYVLDAISSQFCSGEILEPSS CYLHNPPEPELAVRYEKIFDKCANNPVGLLVDLDFTGSPPFRLRYVVEHSKGIETKTQ LIEGLRTQLDLTPSEAGLYRYRFLDIADTVYAPRPLKDKAPVLEQHVKPPASAHFLGP REVRKACFGEPVSVDVALLGEAPWTLQYELVHNGKKTKYVLETENEVSSIVTEKLVSG GEYNLVLTSVKDRSNCKRILKDSIQIDARPKPPHVSFGEIEKSRKYSALQGSKVDIPL RLSGERPWTLKYKTSHDSLVVEKTLWQENSALTVGHEGRYELLEVTDASCPGSVDQAA KIFEVSWIPRPRITAVDGSPVRAGGHKEKRDVCQGENDNLELRLSGSPPYSIKYEQQR KTTRGSPSVRLQNLRTVLHAASMEMDTSEAGLYTYTFTEIGDNLYDHDPRSNPVVVTQ KVNPLPSARFDAPGRIYGFCKEDVSGEELIPITLDGVPPFTLEISIKHHSKAKPELVS ISNIVSNRHMLPIPRRHLDLGQHVVSIHKVRDARGCQRATENDASSVRVAVSDVPTVI PLESKADYCVGERLSFSLSGHAPFEVFYTFDGVARKATSRTTNFRRIAERPGVFTITA VSDGASGKCKAHKNVTKTIHEMPSVRISRGQTSVVDIHEGGEAELHFEFWGTPPFEFT YIRSSIARRGKRAEILDIKHDISYEHLKTIKTSDEGTYEVVAIKDKFCSVSSQTHLEK SEKPTVP AFLA_103890 MSAHTAKRRKLSPSPQITQRAGQSNASNLNGLLKMARNESSSMD GLIRRKKSDRSAELALASGVYKSNLFKLQLDELLTESRPNYDKHVSKLQDTLHRLKEV IDNIPERPPKPAVEAEKEFRSTHGILVPYPEPRPGRDTKYTVSYSKPTNVNVVGSFVL RTGVRASEPYTVDLAVTMPSSVFQEKDYVNYRFFHKRAYYIACLAAGIKDVENLDFDI KFAQQDGDSLRPLILLEPTDSAKNGSQSMRSQIRIFTAIEDTLFPIVRTLPMKNNVRQ GSPDQPEPRAPTPFYNAALRSEATVAPFHKLLHSTAQICDSFRDACILGRIWLRQRGF GSSFQQGGFGGFEWTVLMSLLFEGGGFNGKPILLKSYSSYQLFKGTIQFLAGRNLLTP LLLFASDISSPTGTPMVYDGKRGLNILYKMSPWSYSLLRHEANITLRMLNESRDDNFD KVFIYKVNEPMLRFDRLITLPISESGTVLRTIHNHNAIYEVLKKALGDRVNLIYLFSH GAEPWSVERKFSRKAASAAIHVGLNLNPENAMRVVDHGPFAEQKEEAETFRSFWGEKA ELRRFRDGTIRESLVWSDQPPSPSIVYQLLVYILRRHFNYEEDEIGYIGDEFDEKLRN SGNGIFLYSNPAFQLVTDAFNSLERSFQRMDEVPLTVRQLALASPLSRYSALRVQSAS GLIRDPVDIVLQFESSSRWPDDLVAIQMTKVAFLIKIGDSLVSSAAASSCKVGLENES NRTLNNAFLDISHTSGVIFRLRIHHDREQLLLERQLKEKGASLQVKQEAAYTLSAYKR LFIQSPRLTQAIRTLCTRFPLLSPTIRLVKHWFNCHLFTGHVNEEVIELVVARVFTQP YPWDTPSSVMVGFLRTLHFLSRWDWQQDPLIVDLCGELDQDTIKAVRTRFSGWRSIDP AMNTVALFVASDIDPDGVTWTHYEMPPKVVAARMSMLAKGAVKLVRENGPTLDVSDLF HTSLAPYDFIINLRLKSLHDRPTSLLKYKNLNELDNKAQTAQLKVVKSFVRDLQACFS PNILFFHGDEHCDVLAGLWNPQTTKPKNWSLKLTYSSSPSILDGTKKEDGEVMINRDA ILNEISRLGHGLVDSIEVFGTE AFLA_103900 MLQDIGGPVPPNTDHAVSVSLPTWKANVGYEEGEPWVMSKMQCG YPRFFVHPTIQELAKEIVQCYGNPNTESAMLFPSAKTAHICRSFILSKSSADDSHSVR VVDFVPSPRTKAESANVTSFLSSVIYPKELGSIAKQVWQHTGNGVSSRRGEFCHSALR DGFLVEKQSTMTETVAQRICKGPRRYQGKDTVNGSFRAGGIHPSPTDSPMVTDGVRDG REHIQFIEERFGRNLNTSLADQAKRAVRRRIAGVLKADVELIEALKKTSGEGRVAGLT ESDVFLFPTGMSSIFNSHQMLLAARGAMKSICFGFPYIDTLKTLEKWGPGCLFYGNGS SEDIDDLEARLDSGEKFLAVFTEFPGNPLLKSPDLKRIRSLSDKYDFAVVVDETVGNF LNINVLPYADIVVSSLTKIFSGDSNVMGGSAVLNPHGRYYSSLKDTFARDYEDNLWAE DAIFLERNSRDFVSRIEKINSTTEEITEMLKGSSLGMLKNVFYPKCNPSRPLYEAFRH SNGGYGGLFSVTFYSTAQAVAFFDHLEVLKGPSLGTNFTLSSPYTLLAHYGELGWASS FGVEFDLVRISVGLEDVSDLRYRFQRALEAVAKVKT AFLA_103910 MKILPGSMYWASVGVLIGIQVTLILGQFIEAIFWVIIELNPNVN IRYLVESSSFL AFLA_103920 MNIHLLMFESPKVFIPWEGRPNLASTRLETNAIDLTSDIYPGLK STDTGDLGLF AFLA_103930 MATCLTAPKRPFLALPSFVPSSCPSITLQTRRHQSSYRRTKQRL RVKPDASFGVSSTQFHDQIIHNPPSSAPSVYHTPTKFLPLDDVRRTLRGASMNNGNSA QLPSVFKTSVEKRYHLNPSDIEEIRRLRLSDPMTWSRWKLAKRFDCSPMFIAMVCEAG PQKKEIQKQVLEAVQSRWGTKRRMAREDRQLRKESWGRDE AFLA_103940 MAPQLEPFFKQVDGLAESFIERLRKAVAIPSVSAQDENRKDVFR MAQFLASELEALGAEVHQRPLGKQPGKEHLDLPPVVIARYGNDKNKRTILVYGHYDVQ PALKEDGWATEPFQLTVDNQGRMYGRGSTDDKGPVLGWLNVIEAHRKAGVELPVNLLC CFEGMEEYGSEGLEEFIQAESKGFFKDADAVCISDNYWLGTEKPCLTYGLRGCNYYSV GVTGPAQDLHSGVFGGSAHEPMTDLVHVMSKLVDTHGNILIPGIMDLVEPLTEEEKAL YPNISYTMDDLHQSLGSKTSIHPTKERTLMARWRYPSLSLHGIEGAYSAPGAKTVIPA KVIGKFSIRTVPNMESEDVNKLVFDYIKAEFAKLNSKNTLDVWLQHDGKWWVASPKHW NFTAAGKAVKQVFGVEPDMTREGGSIPVTLSFEQATGKNVLLLPMGSSTDAAHSINEK LDKRNYIEGVKLLGAYLHYVAEEPMVAA AFLA_103950 MMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFV IPTLERINPKSTKTQALILVPTRELALQTSHVCKTLGKHLGINVMVTTGGTGLMDDII RLNDAVHILVGTPGRVLDLASKGVADLSECPTFVMDEADKLLSPEFTPVIEQLLSFHP KDRQVMLFSATFPLIVKSFKDKHMRNPYEINLMDELTLRGITQYYAFVEEKQKVHCLN TLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQQHRNRVFHDFRNGV CRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDD RFNLYKIEQELGTEIQPIPQNIDKKLYVYESPETIPRPIANASQAQLATSGNQTQNMG ERRHNNHSNGGHYQFGRGRGSYRGGRSQGQRRNMQNEMNKFGTSQNQQQSGKSQPAQV SPN AFLA_103960 MSAMEKDHNRKPSSLRSIIAGSTAGAIEIAITYPAEFAKTRSQL NRKLPDGKKLPWPPFGKQWYAGCTTLIIGNSLKAGIRFVAFDRFKSLLQDENGKISGP RTVIAGFGAGFTESLLAVTPFESIKTQLIDDRKSANPRMRGFLHGSKLIFQERGIRGF FQGFVPTTARQAANSATRFSSYTMLKQLAESYVAPGEKLGTASTFAIGGMAGFITVYV TQPLDTVKTRMQSLEASKNYKNSFVCASRIFKDEGLFTFWSGAVPRLARLILSGGIVF TMYEKTMDALDSLDSKRQYI AFLA_103970 MYTAFCSRRGFRSNVIKLEVGDGPAEDRLNEAVMEVEADGAYEI LRTESGVHRVQRVPATETKGRTHTSAVSVMVLPSFPETGGAMDNALNFDDPNSDYYVD PQEVRSEKMRAGGAGGQHVNKTESAIRLTHMPTGIVVSMQDSRSQHANRKKAWQILRA RLAEARQEAREQKFVELRRGVLGGVARMGRGDKIRTYNYGQSRCTDHRSSITIHNLND VLDGGEGLETVMESFTTVQESSHTRVSGFAIVNPAGILYISFTMRRCMK AFLA_103980 MILLSLESLTVFLAVSSASYGLQPSQISSDTPLSSLIASAKTHL AGGSPRDALLYFDAAVSRDPTNYITVFQRGAAYLSLGKNSQASDDFDRVLQLKPDFES ALLQRARLRANTADWEGALKDLEKAGKKSSLEYNEIQEARDAAALAQNAEKHGDWEAC VNQANVAVLKASASLSLRQTRAHCRFERGDVEEGINDLAHVLHISPSLVGPHLQMSYM LFYSLGDQERGISQIRRCLHFDPDSKPCNALYRKEKKFLKQLRKLQDTMSSRKFSNAI NLLVGVGDESGLLDDLKGEVREAKEAGHIHPAAPNNLYSSLVERTCEAYREFQLANAL VTQAHMPKRASPYCSETLDMNPYSLPALLFQSQLALDEERFNDAINTLNTAKEHHPGS RDVQSLLQKAHVLLKRSKQRDYYKVLGVSRDADDRTIKRAYRQLTKQHHPDKAKSQGV TKEEAEKKMAAINEAYEILSDPELKARYDSGDDPNDPESHRGNPFQGNPFGPGGGQHF FFQQGGPQFKFSGQGFNFPGGFPFR AFLA_103990 MIQGSLNAHLVLWKATKKCISMAWYCSGSTNAELVDNLFKAGLV KNERVKNAMLGVDRAHYAPSRPYSDSPQPIGYGATISAPHMHVHACEYLIDFLRPGSR VLDIGSGSGYLTHVLANLVTDPSIPDELDGHVIGIDHIPELVDLANKNMHKSDQGCKL LDTGKVKFITADGRLGWPEGAPYDAIHVGAAAEKLHPVLIAQLHAPGRMFIPVDTECD GSPHGFGGGQYIWVVDKREDGSVHKEKVFQVSYVPLTDPPKK AFLA_104000 MEADSDTQSDVDLLILDYLLCTSIYGLVYVSGVEAEQANECDLD WHLDAVHAIESVLPHSGLLPDDIGVKVRLFEFANALRCYPDARIASQARETRLSCSRY WSEGKSISLSELAGTFITLCYTVGARLSEATWADTAAQFVMQSAVEEYQKSESPTSLS KHITWAKKISVQTANMNQAFTEYISHLQPPDGTSLNVHMRTVSARFPINKFKYSVFDT LVNIMKVLEPPVLLQLERGQLWGLSRTETKQLKDRVGLK AFLA_104010 MAFRLPFHRLPEQNLRIIPRYAQSPRNISLGTRRNLTSAALRSC VRNTKRPASCIPQVLGANVFRSSFAPLGGYQVRTYADTIVKVPQMAESITEGTLKQFS KQVGDYVERDEEIATIETDKIDVSVNAPEAGTIKELLVNEEDTVTVGQELAKLELGGA PETKTEEATEKPKEPASTEEPKAPEPEQPKSAKDSEKPAASEPGSSKQPQPAASKPDI PDDAKPSPGNREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRKLYK DDVLKKTGVKLGFMSAFSRACVLAMKDIPAVNASIEGPNGGDTIVYRDYVDISVAVAT EKGLVTPVVRNAETMDLVGIEKAIADLGKKARDNKLTIEDMAGGSFTISNGGVFGSLM GTPIINLPQTAVLGLHAIKEKPVAVNGKIEIRPMMYLALTYDHRLLDGREAVTFLVKV KEYIEDPRRMLLG AFLA_104020 MALHPVASLYRRSLKLALDWAVHRQIWRGQAVYIRSLFDANKNV RDPRQQKVLLRETEKLLETWKHPDPYRAPTAPGGNKYERNLPARQLPCTSTMMMPTQY ISSLMLNQMLRAPTKGRIQEDCDKFTWISDRKWTLHNNTTHIAISAQIREEYRVCRKP IFYVGNQPTRWIHGCRIKMRNLSLGICTSLKAKVPKAHFL AFLA_104030 MTGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTPKSGKGPSQEL AFLA_104040 MAPKAAEKKPSTGGKAPAGGKAPAEKKEAGKKTAAAASGDKKKR GKTRKETYSSYIYKVLKQVHPDTGISTRAMSILNSFVNDIFERVATEASKLAAYNKKS TISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK AFLA_104050 MYPYIYIERHHNNHNAQKQKISSTALSLQTHRLHPKMNSVDIGR CRKRIVQYIWDPEPRNDEEPDASIWCLGVEYAPQPQKITANTTPDQDELEAGTSKIDD VTAHGWPEAFVSDFESKIWMTYRSDFPPIPRLDNDEANHPMTLTVRIRTQLMDPQGFT SDTGWGCMIRSGQSLLANAMLTLCLGRDWRRGDKAEEEARLLSLFADHPDAPLSIHRF VKYGAESCGKHPGEWFGPSATARCIEALSAQCGNIAPRVYVTNDTSDVYEDSFLRVAR SGSGSIQPTLILLGTRLGIDNVTPVYWDGLKAVLQLPQSVGIAGGRPSASHYFIGTQG PHFFYLDPHTTRPAVPYSIDGRLLSKTEISTYHTRRLRRIHIQDMDPSMLIGFLVRNE DDWEDWKGRVGSVVGKQIIHVFKGEEATYNQGRRGALDEVEALDDAE AFLA_104060 MQQEARNTEGRNLWRTGSNLRHQGVRFVSASNPQRDENNEGEAR EEDALRPEENNKQPLIGGVESKEDAQELKNESATCNASFFIDLSGESAGHTGLADPIT ALSLSERDSSSEDEIVFHGRRRLEERPRIIVEGHAMKADKTNHHSPKELESPHEARLP APDYRVCPVSDGTSTYELPQRTPPIAKSIEQTKTVMPCTEKVTQEASEIEDDDILADY IANMAEHYCADIQSSLAGAIMHEPEHGAEMQPQNYTAHAAIHDSIRRPGKGKYSRRDI LSECKRKMITPSELMLKLVDDESGVDVTNGAVSLYPLDDAASASNHVPHSPQASDSDE GNNLDTDLDVEGHRALECEVQGHPAISQQNHKNPQYDIFVSATAFADALEIDPYYGLD IMDFNRPSLRKKQRGKHRNPDLVLSDSELELELENAWRNDREKKKGRKQKREELRTQG LLGRGAHDPDLRSKYTNGMGFNDLKMEIRIFLLSSRTSLALPPMTKHRRKLIHDLANA LSLNSQSRGKGSSRFPILHKTSRTPRYTQKTISYIDQIFSKGRFNHGATKSWDQNITK SAKPPRGRPDSSVSYMDGDIVGASAPEIGAENKGRAILERMGWSTGTALGATNNKGIL LPVAHVVKNSKAGLG AFLA_104070 MGQTHSSNSHEGAGSDVNQPEEKKVDYYELLQVERNASGEERAL ELHPDRNYGNVEAATRLFAEIQTAYEVLSDAQERAWYDSHRDVFLGNDGKPEGADYSY DTRMTTSDEILKLFSKFSPRMEFTDAPTGFYGALRETFAQLALEETMACRWENVACVK YPTFGNCNADPEEVVRPFYAAWGSFATKKSSPEKCVPLLRGTRPSCSQLMEKENKRLR EDAIREFNEAVRSLVAFVKKRDPRYKSNTQSESQRQEFLRQSAAAQAARSRAANQAKL RDHVMQDWAKAETLGDESSDTSEDEVEYFECVVCHKTFKSHNQFEAHERSKKHIKAVK QLRWEMRAQNEELGLKGNVSDPEEPHWENSAYSIPQDGPVHNMESSVQQPRDGETRNG IGTDKYTSSDTRVDTKPDEGSLPHTDCHRDSIPDLSEADYVPRECVERRLGSQPTLIQ RTGEMESPDNLSQRFSATEIEDSQTSPPKVGKAKQKRAKKAQRAMNQLQHIKCATCYA IFPSRSQLFIHIHEFDHAQPSNMGDVQRRGQ AFLA_104080 MVLSLENLPEEILHTILCYSHPCSAAALQQTAHRFEHATNEPLL WRFYCQVHFKYWDSKHDILQKLSAPACAVNWKALYVTRHLTECTASYLLDSILAGQTG RIEKFHALINLGYDVKDTLIRNISPELETDDHLARRYYGKVLLTCLHRSIALPVWAKL RNGGNVTLERALGAFDLFIPESGYGSLDEITNKLDEIVGRLSSLYPSIHMSTPREKAR TIAVYLKSNNLTGIQPDREYHCIEHNFLGVALNDPNHNSLPLVSAAIYCYVAQRLGLN ARPCGFPFHVHVIVKPPPGLDINGNMLAPGVCGDPIYMDPFRSDRETPVTNLQSQLNY LGASTVEQSTFLGESRTSEIVLRCSKNILNSVQRMSQYPDVHLEPVDTVSAKYAALWS TMLLSDPSRPAEFRHHLPWLMELFATEFPSDIYLVEQYVVPMFRGLLEYEHILESLHV MRAVDEIPKQVKRRYSGRCDVKYRIGEQWMRRMGIDRLQGGRHQSFYHVIVQDRSVRY VAEENIELLAPNITELPTTLTAIAGRHFKRWDEETRTFVSNIKDEYPDD AFLA_104090 MLVPLQYFSPFELYSPAAINSTLDLCRNFCQTLFESRVHENEPT DSVLRGEDIRFLYHIVSSSHEAKSSGDRNGLFVKRMLVTSGDVVQHTPESKRGRSFER GITRFCCSGLLGTLRHISQAIFNQAEQQTSQLRHSLRGELRNCYFSRRKASSKHFRFR SGIPSAQLQYELVVQFVYYLDENPLFWTDIFDQHRHDSD AFLA_064080 MAPQDWKAPAVADADKPEEKKKIRAYLQSLGYLDISKSPDGGFS KAEDYAAIRKFQHFYGLKDVTGSYNEKTRQAMTEKRCGIPENNVLASFNTGPPKWNKR AIFWKLKNESQHLGYEDAKNQIEEAFAEWQKYFRYPQIKESEDEEEDISIEFTQTHHG DGYPFDGPGNVLAHAFFPLPSSGVPAGDMHFDEAEKWTTTFLRQVALHELGHSLGLSH SSDPNSVMWPWFNGKSKLERDDIARIQALYPDHTLGSTRNIRHNAWSNSVTHIARI AFLA_064190 MGLFNSRKSILQATVLELQQKKKLSNRQIAYTEGLLVQEDREYT QLTRERQELHVDYKRFVVAVEQEAKKDGHPTVFNAKQIPTVNSLPIAPPVDSRAVHEI VGYIDTSTLEVGIRLEVFGAHILNLFGNLNDGVVGRIDLFLAKGERRGMVSL AFLA_064200 MSDLPWSERKRLIVCCDGTWQDSTGDSFKPPTNVTRISRAISRD AVTIENDAKKRISQIVYYQKGVGTGNLLGDKLGGGALGLGLSANVRAAYAFLADNYDD GDEIFFFGYSRGAYTARAVAGLVTRFGLLTPRGMDNFTTLYNEFYGRHHKPASELEDK YKDRKRREKVGFREPLPPYTIKIIGVWDTVAFHNNLASRLFGEKLELPNTVLSPDVEY AFQALALDEDRNAYQPVIWHLPKNHGRQELLQVWFSGHHSDIGGGTEEPRLSDIALAW MVAQCTKNMQLGIDLEYFYDHQSTGIQPWATHLVDVNPTKSIFARFFEKFLGHSPRTP LGYPPDEGNLDITNEYIHQSIEERDFKSWPSAVVKGRGTRPYWVLADGKEIKQIDAIQ VEHDLKGRIRKVNPNEWDDARNTP AFLA_064210 MATAPHVLVVSGVLSGVDNEFFGTHERMYRPVYTRVVLSEDAPQ TFFTWSEGWGGECRLEVTITAQLNQNRHIFVTVNGKFYEGTSEATMDLADEQTQSALV PKSGLPIPFSLQFFNREPFGGDTATISVTFVNVVEDILLIDIRGLWYHRVDAELDSAS EHTFMHLVWKPDISTLTEEQMHDQVKVLHKLSNNETNQMRTGFFELLLHKMPSLRVVE FSMLPFSESVGLDLFDTQLSTSKRDVEYHFQANNAATLFASQETASNFSSLYMSILNM TRPAVDLSVLPKKVNDMILRIGNLLMYNLKHVTDNVKSILDERGYFIVLWSSYKESDN TISQILSGAFFDVLMRGTGEQ AFLA_064220 MSAVDVEDDYNIQEAWERACGAFAQTTKVDLTTSPQFTVDEVLD QIRIKQDEGDEKNKKFKTAKDVIGKTLTLITVLGGIAAQGASMVFAPSSLCFNAVSYL IATGAKYKRIFSSLAELFRRISDVLERCKIYMRLPADAVDISLRKIINEELVCFVDIC ALSIKVLKGNMILTALKVFAFDSDEGVSGQLDRLALLVERESQMRATLGFESQKTSER AVIETRDGTQKVNASVDKLLTFERKRDADNVAQRLLSNIDTNLGTPSETYKAIQALYK CRLNDQISGSGEWLQHDPLYTAWADIRRSQFSILGVAGGEGVGKSFLFAAIVKHLQEL YAEATEDMTCTAISYYIFDQQELKDPSLIKALEVLAWQIAKVDMVYRKELGSVKTAGS NQIGSLCRQLFGKCYKTDSTFFLLFDGIDQMDKQHLKEFMQLIEEWQSTACTWPRFTL RILLIGRTETMNKINAQIADGISVIDVASANRDDMINFINDRMNKMEILGGSSDQVAA LRGDIVQTLTKETKGDFVNVGLLLDEISRKKRPSEIRDILARSGENRSDTIARKIEML SDTLSDDDISDLNYLLTWVVFAEYPLTLGQLEAALFLKSREPSLRPLAETIKDQYSSL LCIEGVHVYLVSSSMKDFFRTKSDSEGTRDHQDLDTVGDVSEAEVRIVKRFLESVCDP ELFNKFGFDEFFKRKMKRKTVRIAVDAETAHLTIVSACLEIICSKASPDLNPLLRYAE NNLGYHLKQADPSLTQPHQKIAIGPQLVKIFTDDEVIDRWWRASSYRLRVLWIYHDDH AEVTLKWLQDSAVTKNISEEERKWVKSLSSKSELDADLLEHIGRVCARKWLQYGPRDI ADVFNAVRGYITKVFHNHPLPGKDQWLTAFQIENRKDPKVERLINDPDAEQVEASQIL DAAQWAQAQIGLDTLGYEENCNLARTLRVFDKYDEAIEHFKLTSTLAQDNWCSQWGLA DCYAGRKEFTTAIEILEATKKGIESGVIGNAEELKDELAEMIRDLAEWNKGAGRSEVT LDIYEKLLQESPHDYDTALALMTLLHKQGNYQRLLDFLQSMKNSTDESSGFDRQIQNF HTHYDRPEYHEALFASVRSDQEFNIVLKSYEAAIDAAKIRVAQGKKASRPEEEWRAQI CQVDLMYHLALLYYDNSAGDLNRVELAINQWLQILHMNASDDWIVADRQARTASELAI VCFEKARQYPDTAAIYLEHLENVVALKLGDDTIHSTYPARLLARYHALHGDEQKIKNV LRRYIKLNLDLLSDDDPLNDWQGYNGLAMHFMFAGHDADALAAWSLITPNDTTENTET PTTSDTSERKIEGPLRDICDGDCGTYWTFANNFFLCKECNYIKFDQRCLDNLRNCTAE GRICNKDHEMLHVPAYDPIERRRIGDGNVKVGEEILSVNEWLQRIRKEWGIQSAEETR RTKHRREIREGGEKIISPLAGKLVEVMVDEGDDVQETQVIMLTLKKIS AFLA_064230 MVDSVFPAPSLVDETFLAPSSSETNDTAMAADGTLAKMNMFQFN SMLKEYSPPRFEDLFQDLDASKAGWEHYRPRLVSEVDLIDAEHYSLFSANTVSPL AFLA_064240 MLSPAVCYHTDEGKNGYTFPNLETPKNGVVQTPVQYLIQTAPLH DFCSHQMVDLRTLLQCAWSRVIAQLDSTTDLKADAIYGTKSKSLLEGFISEVKKSQQS TQLENERILTEKLLLPLNPRGTSSLSWLQNDLLCATGGEYGEQSTGGFELAQCGSWIA ISASFNPLIVSSRWVNDYIEVFRSFLVALVVGDDELSAPENYLTPEDCARIRNWNSAV PPEINASILDVFSEQVKAHPGSTAVSGWDASLTYQELEDCADQLAYQLQSRGVGPGML IPLCFEKSAWTVVAIIAVISTGAAFVLLDASQPEARLRSIVMQTRATLMITSSQKKDL GRRLVPEVVSVQPTKSTKNSERSRALRPVIKPDSLLYVVFTSGSTGQPKGAMISHSNF VSAVHYRRSELYNVTPRVLDFASYSFDISIESTLAPLLLGGCVCVPSDASREADPSDA IKAFNVNQVMLTPSVARLVEPENVPSLRLLHLGGEQISRFDIERWPSTVKLINGYGPA ECTVVSTANTVSPSSPEAHTIGRGLGAVTWVVDPADTGRLVPVGAVGELVIEGPLVGS GYLHDEGRTLAAFIVDPPWLRAFGRRGRLYRTGDLVSYNSNGTLTFIGRKDTQVKVNG QRVELGEIEHNLQQEIYNQGNCVVDVVVDLISVNSNSGKQSILLAFLGLERAAQERFA ASGTPSVQELTSAMWEILEPINLFKVLPRYMVPSIYIPLWRMPLLPSGKINRLKLRSM GESLSVDDLAAFRKPQVATVDTQGRITPQEKLLQSLWGQIFPHCAGSIQPDDNFFSLG GDSLTAMKLVGLIRKHGIENKHSETIRIADILQWPRLADMARCITRVDDKQGDVQDAL FDLLPDHIAPSNARQIAAPQCDVQPEQIVDIYPCTPLQEGLMALSAERSGAYIAQNIF ELSDRTNIDTLRMAWTHIVMSSVIFRTRIVQLDSQTLAQAVVTVPIEWECYDGKLNDF VAIDRHRPMGIGKALMRLTVVTERSEESLLKCFLVWTAHHAVFDDWILNLITSLVGKM YHGRPPSSFHLTPYKRFIRHLQELNRESFSNYWRQELEGTNAAIFPHLPSAVDKPVAD ATANFAFSLNDSKLNVHASLPTILRAAWSILVSRHTQTDDVIFGETLTGRNAPVAGVE EMDGPTLTTIPRRVKVQNEMRVAEFLEEIRRHEINSIPYEGFGLQNIQKLSDDARTAC QFATLLVIQRDPEVSFDSPMINVTEKVLHEDCGEDGKPYASFFTTYPLMVTISIKDNQ INIYASFDSRIIERSQMQVLMHQLEVITRQVIQEPHEVVGGINCLTRKELHQIWSWNR LPWVPVSGSVHDSIAEVSNTRPTAPAVCAWDGSLTYGELDNLSTRLGSYLFKVGVEAE SLVPLCFEKSVWTIVSMLAVIKAGGAFVLLDPTQPKQRLGEIIVRAKANYVLTSPLQY GMVSDLASEFNLTIVLVSKSPLDALTDDATVTDRMPQHLDSDRPLFVTFTSGSTGKPK GVISTHGSYLSGVNYRRSILQLPNLDMRVFDFASYSFDVSTDVILSTLLTGGCVCVPS DFDRKNNIPGAINALRVNAADLTPSVSRLLSPESVPGLKVLKLGGEANTAADHALWLG KTTLVNIYGPSECLVVTAKTVLPGIDPCNIGRGLGANTWVADPTNHDRLAPIGSIGEL LVEGPILGRGYLDDQKQTDAVFIHNPTWLVKGLPGFQPGREGRVYKTGDLVRYNPDGT LHYIGRKDRQLKVRGQRVEPAEIEGAIKRHMQSKLGMTIDVVADLVTSNRDQRKRLIA FLGLNQVLESRGYSEKDHLGDTVLRDIMWEVTAGLEVLLSQTLPPYMVPSVYVPLRHI PLLPSGKTDRRKLQSAAASLSPEDLSFFRERPKAQNRAIATPKEEKLQKIWADALGVK SIQAEDNFFTIGGDSIAAMRLVGLARDQGLLLSVADIFQRPRLYEMAEKAAETGTELL DIPAFSLLPGANPYVIDEREVAAAQCGCSIELIEDIYPCTPLQEGLMALSTKTPGAYI SQNVFQMGNATNTDLMKEAWDYVVRSNPIMRTRVILSARQDLVQVTVQEGIHWRVMTL AFLA_064250 MIENLRKRSTIEVEWRKQPVHMDIDLDQVDNPEAYPITVSVETS KDGSDPGLLWQPMHAERDSIVKETIHAKYVLGCDGARSWIRQRLGVSFIGDLTDSTWG VMDIVPKTSFPDIRKVAVIHSSKGTVMSVPREDKLVRFYIQIDAVNPNAASGLARRDL KVEDLLDAARAIMFPYTMEAAECAWWSAYRVGQRVANEFARHDRIFLAGDSVREYCLE VIRCQ AFLA_064260 MTAPADSTEKSETSETTTLQTTEVSTVDVDPDLPRVLAKVPGTV WVVAFIAAAERFTYWGITTPWQLHAKPPQASEFARCIRPRRSESIHDIQCLHVFLLFN TNSFRDNLGRLSRSLSDIAPEFDKRFRKLPPTGNILPKAGSVLSCAIRGRFQLDAAMP SYQREHFAKEVSWDETFVNEIRRGLVACRVILGFILFFTCLSQASNNLISQAGQMKTY GIPNDTITAMNPIFCVIMGPVIQKGLYPLLNKNNVKFQSITRMATGFIMMSASMAFAA GVQKIIYDTGPCYDRPLTCPGAENGRIPNQVNVFLQTPTYIILAVAEIFSFVTLSEYT YTKAPTDMKAVVQALGQLGAAAGSAIGIAITPLAHDPSLIWMYTGLAVAMFLVAVVFW ILFKKYNAIDREDK AFLA_064270 MTMLSDLPKIPTLDWADFAEGDTDQRLKLAQGLVQGFKRFGFVK LVNHGLSDELIQQLFAEVKRFYRLPDELKQKAAHPPGPNPQRGWSGIGVESTSKLYGE QTERPSGKLKDAKVGTDFSSSKLSRELTHMKEHYDIGPPTDTQFPTRWPDEQDIPGWR AFMESYYARGQSFCLDLMEALEIGLELPKNTLRSMCIPDGSELRLLHYPEIPAAELRT GDTARIWPHTDFGLITLLFQDGVGGLEVEDPLQQGHYIEVAREQPYEMIVNVSATFER WMNGVIKAAVHRVNITPEGKHVEDAVVPERWSAAYFFKAHKMAHAGPLPAFVTPERPA LYDNITALEFQKRRTDLVYTGQQLKVEEAA AFLA_064280 MMTEAQGPVVSIGSATVTYDHPPIISPVSYPKARPTQILDIRKR TSRIDLYHEILAGLRAKDKELPSLLLWNDRGLDLFSEILNSDEYYPRRRETQLLQTHV NEFTRSISSGERLIELGAGNLQKTVSVLRCLEQSRKHVEYCALDVSHAALQASITELK AQLPFASYVTIRGLLGTYNDCASWLKQSGATVRTTFLWLGNSIANFEPEDATSILADF LQTKASPSHSPQMIIAVDGCQDVEQILEAYDMPNKLSQKFVFNGLSHANQILGSEVFR PQHWTFEGKWNPVKSMHESFYVAKKPMSLDIGNERFHVHAGEKIRAITSGKWPKDKVT SICQSAGIKVLKGWTDEEGSYGKRVTQVYSRGSSC AFLA_064290 MTATTTTTSQIFVSEDYNQDPNWYAVDNYTLSHLQPPTRPNHAS LHQTLENSAKRGLEDISAFPTQAKFMALQCQLGGVKHALEVGTLGGYTAIYIASLNPD IRIVSIEIDPKSAEVAKENIAAAGYQDRIEVLVGAAIDLLPILQAKVENGEQERFGFT FIDANKDNGWDYFDYAVKMSRPRASIIVDNVVRAGKLVQEDYIKNDINVRGSRRTVEN VGKDDRVDAVVLQTLSEKSYDGFLMAVVK AFLA_064300 MPAPKSIIIVGSGVFGLSTAHAMSQNNEFASSKITLIDSWNFEP SGPSASAPNPSAANFDTSRIIRSDYSHRTYATLAREAQQKWKADWGADGRYRNQSIVM IGEGHSMKQPMKALESINYVKHAYAQSYERAGRNSDIVHILDSESAVWEALGLGTPDE ASKAGPNASELRGYRNHNCGWAESGATMAWLRQKTIHSDRIDIHIGQVVGLRVCSDSP SESHVNAEPRVCGVILDDGSQLTADLTVLAAGAMTPRLLGSPTLCDVYSETVAYVQLT EMERRELVRREFPLIVNVARKIFAIGPDNQGFLKLARFSWSGYRDVQKFAGVDVGPRS QAAPQEEDGYGACGDLDQTKLSPDVESTLQDYRGFLRELFRSGDGGDLGGLRNIATRP FAQVRRCWYADTVSTDFIVDYHPAYGKSLFIATGGSDHAFKFLPVLGERICELILQSD NGKAGPSESIQELQRLWKFPGGDSHAKL AFLA_064310 MSSGEPTTMTPSPSERTPLLSNGSGGAADDGGTTVTISKPNDGV RRIADSLPLSVWLISTIELCERFAYFGTIAPMQNYIQNPRNDPLRPGGIGKTASTMIY PAV AFLA_064320 MVNQAFMLWCYITPVLGAVVAEQYIGRVKTIIFSSSVYLCGLVT LFLSSLPTAYAMGISLPGLLVSLFLIGIGTGGIKTNVSSLIAEQYTGPKESRRILKSG EEVIVDRDLTIQRIFTTFFLYINIGSFSPLLITIIEKEYGFSAAFSLSAITFSIGFII VLVSRHLYISRDPDSSIIFNACKAFWIAIKHKGNLDYARPSYQTEQAATRRLSWDDSF IDDLRRAIASCKIFILYPIYWAAYSQFLTNFISQAATMETHGVPNDIMTNIDPITVLI LLPVLDRIVFPFLRRQGVPVRHVDRITIGMPDFQPFVQESYQICQRTCFQIISAIELG LGLQAGRLTQCCQPAASEIRLLYYPPTTKNLFDEGLKKRAWPHTDLGIITLLFQDMVG GLEVEDRAAGKPRSFIPVKRVSPNEMIVNTSDSLQRWTNNVIRAGLHQVTAPDAAKLS NGVDMLPARCSSVFFFKAGRDTSVGPLPEFVTEDRPAAFEDMTALQYQQLKTRILHGV EG AFLA_064330 MEPDTTRRSACDRCRGQKLRCVRLPGPAREDSPRSARSVNQPCE RCKRAKVVCYTTKPVSRRLPQSYTRRRSTAYADDVMHSEVDLDEGMIGSNRLRDEPTI KRTPPAIADRLAHDPFPTELWSGLDISHASLDSSAVLSHVPDPGNMVESVAAQRANSN TLPSHQHGWPEDPHGALNYFEERAHDLPDVMSVSSPTDVRLGLDTVDRRPAAATSRTN QAIHSDTVNMHSQAPGSGETSERGLYSRASNTVADAAQLCTTQLSELNMRLMKDIEST TSFRQGMSAASDPNYPASGLGETSPSSSMVKFTNTMLANCQSFLDILQRLRSPTVELR GSSNSECSYGDLEYSSNEYSSSRSQSRNHSTSASSRSKDGRISAGGGLQSVLNSDTIG LSPSLDPIKADSSALDFSAFLSILSCYTHILRAYDALFTEILEMLMESSCIQLDLKIH NLVPEVSLGGFRLSGHGDLQIKCLLHMSFIILEKIESMLGVNAPEKDPYGSNGGLLNN SQLRGLLEALYHQKEFDYIRADGTRAARVKKTMKSIQRILDSI AFLA_064340 MSRDGFPSMIFFDVLGTIVEWRSCIGNELNATARKALQDQDRHL SADVRARVSDMSTSSWQEITEEWHRSYMHFGDTYDSSKPFISVDEYNRLSLERILIKQ RLRDLFNDDDLKHMTLAWHRLDSYSDSVPGLSLLNTKFETSTLSNGNVKLLEDLQQHN SLPFKHITSAEHFGAYKPSPEVYHGAARRFGFRSSQCCLVAAHLEDLQAAKKCGFQTV YLERHLEEAWDSRAIARAKEEGLVDLWVEVGGSGLIEVARHFGIEREF AFLA_064350 MRRLTSGNAMHSYKTTVNPLDRVLPPIQALNMTKPEAQAEYHEH VQKVEQSEQDVIYQTYASQSPEWHRKMTKQLLRKVDFHLLPCLIVMYLLNFLDRNNLS QARLGTLEKDLKMKGTDYNLATSILFVGYLLMQLPSNLLLTRVRPSLFLGITMAIWGV ISACQAATQSFTGLVLSRFFLGFVEAPFFPGAIMLMSSWYTRQELSHRIAWFYAGSSL ANAFGGLIGAGVLGNLDGAHGISGWRWLFIIEGCITVGISLMSILLLPNYPATTSWLD ETEKLYAQWRLIQDAGEADEARSNSLREALWLVFSDKRIYLFILLQHSSLLSQNFQYF FPTIVQTLGYGNIETLLITAPVWIATFMVSLLVTWSSGRTNDRSLHIISLMLVSVVGC VICTATTNIGARFFGMFLMPMGAVSAYQIIIAWVANSFPRPLVKRSAAIATANMIGNT ASIYGSYMWPSSSGPRYIAGGGATAAIAFLVAILALVIRMVHSQMNKRLDLAQESSQS GIRYIL AFLA_064360 MIVRINQREAEGAVGVGNDTEILIEPEKEDVPAGKKGGTNGFLV SLAGKVMTEYLMVRVVADELGRSTPQRVLTFGETKLYVLEGIAFIAAITSGVAVAMVN LVMGNFLTLLSDFSFSDARSMPENLMSAVRTSALYFIYIGIVRLMATYIYASLFTYVA YHLTRNVRQSYLRAALSQEITYYDRGATGSISQQATTNGKLIQSGIAEKLGIAIQATA TFVAAFIVAFVTQWKLTLILIFIVPTLLIVVGMAGGIDATIETKILQIYAHASSYAES VFGGVRTIQAFSLQPRVLAKYDSYLQHAYTQGMRKNKLYGMVFGGQYFVVYAGMGLAF WQGIAMFDRGEIPDLGTVFTVLFSVIMAANTVTQIAPHMVTFSRAATAASELFALIDR QSEINPFDELGYQPDKTTGFIDLYGVRFSYPTRQDVSVLEDFTLNIPAGKVTALVGPS GSGKSTVIGLLERWYNPQAGSICLDGKDIGQLNLKWLRTNIRLVQQEPVLFNASVFEN IANGLVGTQWEAASQEEQMQRVQVAAKLAFAHEFIQTLPQGYHTRIGERGGLLSGGQK QRIAIARSVISEPIVLLLDEATSALDPHAEGIVQKALENASKNRTTIVIAHKLATIRN ADNIVVMSKGKIIEQGRHEELVSRNGIYATLVKAQDLAPANIENDDRLESSKTSDRIS EKENYHVGRIQSLARMRTPKTQQLAALSNPEDHDLYDKTDIIRNIWKLLRGTRDIWLL FAVTIATCIGGAVINPGQALLLGNIMSVFTSSNVVTRGNFISLMFFVMSLGILVIYFV MGWSTNTIAHRTVSQRLSRKMRREILESFLRQDLRFFDRPENTVGALISRLDSYPQAI LELMGFTVAIVFMSVLNILVSSILAIVVSWKLGLVGVLVGLPPMMLGGYVRVRIEADM DDKMDKRLSASASVASETITAIRTVSSLALESTVLRKYVYELDLVIYQMRRPMFHMMI WFSLTQSVEYFVLALGFWWGSKLINDGEISLYQFIVSFMGVYFSGQATALAFSFASSE SNGGSKFACIQLLTANQASNYYFWLHSLHGTIRETGSKREEGPKHGCRSYDFHDVHFS YPLAPDHRVLKGVSLSIQRGDFVAFVGASGCGKSTMISLLERFYDPVSGAITIDTSAP LSSINPLLYRKHVALVQQEPTLFPGTIRENISQGLPDLGVTEAASDEALEEACRAANV WDFILSLPEGLDTPCGTSGSQLSGGQRQRIAIARALVRKPNVVLLDEATSALDTESEK LVQRALVGAASSRDRITIAVAHRLSTVRDAKCIFVFYAGKIVEAGTHSELVARGGMYA KMCETQKLEGAA AFLA_064370 MLIRKRPQAERKATEGHGLEDLEESCSPPLFGPTPSTPSLSSTP HQGTLPYNPTSAGVHEVHDSSSEAEYEGESLLFAHAVFASRFLQNAIDSTTNPELAQE MQAALDGLKTAVHSGNQQSHTLGTFYPHAKAIPSGSTTRNLPLPSMDKVFMCLRMARE CPQVATLWLGDYIRPSQFNDYFIKIVSPGSATEADMIIVHCGLYWLFCECSKAVPDED TKRDYDAQAFLCAANLETVLANLRFHQPTDLDFAYAMGMASLYCLQKNKPSAAWSFIR SASHMVQALGLQHDVPAGTEGPETKAQKRHLFSTIYVTEKMLSLRLGRPSTFRDQDIT LSHFGMERPSGSFLAELAPGWINMASIQGRIYDDIYSPGALRQPPDVRTSRARALAAE LRTVMQQSQDIHNQYGANKGHVLGLDFHEIARRSDRVIGLSMLTLIYRSIPPEKPSMS AFCQECIDAARDTLREHDLCVALITRARGKTVFLEAYINWTITQSPFIPFIILFCHII ETSEAADLQHMKGLVETLESASNSRVHSTCSKQRRLFRALYDVAAQYVEIRSRANGGR GGMSWSVTRQQYADAFSSATSNGLGFSTLDSGGTVGALGTMNPADASGPMASHDKANG DRVGLVDGLVEPMELQNTAFGDIDIEVDYSGAQLWDWFNKNQAIMRMLEDT AFLA_064380 MSIPNRCTVLVVGGGPAGSYAAAALAREGVDTVLLEADVFPRYH IGESMLPSIRHFLRFIDLDSKFDSYGFVNKNGAAFKLNSKPEAYTDFIAAGGPGSHAW NVVRSEADHLMFKHAGENGAQVFDGVKVNSIEFEQIDGLTVDPSLSELGRPVSATWSC KATGEKGSITFEYLIDATGRAGLVSTKYMKNRRYNQGLKNVASWGYWSNAGSYGVGTP REGDPYFEAIEAHDDLADGSGWVWLIPLHNGTTSIGVVMNQEAATAKKRETGATTKDL YLNTIKNTPGVWQLLDKAKLQSDLKSASDWSYNASSYASPYLRIVGDAGCFIDPFFSS GVHLALASGLSAALTIRAAQRGDCDEQAAVSWHSKKVAEGYTRFLLVVMSALKQISDR EKPVLTDFDEDSFNRAFDFFRPIIQGTADVDKNLTQAEIAQTIEFCVQAFQTASTDEQ DAVMTKVAAINSQNGTEGALKELHASLSADERRTLTTIQARQIIRSEDQMNIDNFTID VIDGMVPRLERSSLGLARFVPKAQTSREDGLRATLGLPEKQKSIFSY AFLA_064390 MVNEVGTVYWPYVAALAVILMVAHRARRQHTIPAVGDANDSNLL EALKEGSQRYPESCFQIATKDIPTVIVPLKCLSTIAYAPEEKLSLGREVYERLMGRYT KMVKSDHLAEFVRAGLMKNAGNSVALLQEEAERTVSSQIGHCPDWKSVALFPTMVKLV SLHISRSFIQSPLSRNQEWIDLTLDYAISTVTVAGKMSNTHWALRPFKGHFLPETAEM SRQFTRARELLRPTLEARLQQRDEVPNDLMQWIINNYPDQEDDLTLHTRLQLEAVQAA TYNLAFQVRLVHFFYDLLAHPEYLQPLRDEILSVSESCNGHWTPAALSNLRKCDSFLK ESQRLNPIGIVSVSRFALSPFRLPGGSTVPAGVSVSAPSMMVNLDDSLWTDPTSFDGY RFEKLRTIKGNEQKFQYASTSASELNWGYGTHACPGRHYASNQIKLMIVSLLSRYEFQ FDHEQTDKKAIVERPPNVVDGVRIMPNPQTLVMVRSLGNVNEGCE AFLA_064400 MLFSLGPLTIVYGLVIFVVAKTIYNLYLHPLRSYPGPLLARATR WYYSYYVKIGLLPQKTKELHDQYGPCVRIAPDELSYNTAEAWEDICGHRTGQRTESFE KDLTFFPPAPNGVDSIIVAKDDVHRRFRRLLSHPMSDKALGSQQEIITGYVDQLIHEL RQRSERSEVVDMVRWFNFTSFDILGDLAFGESFGCLGSGLMHPWIELIFTSIKSVMDM QIIRRVPGLFSLILAIAGLQQKQDLQEQFMFCQKKARERYTKETTRPDFMTYILRATE EKGMTPEEIEANAQILIMAGSETTASALSGTLFYLLKTPTAMQKLRQEIHATFQTEAE ITMRSTQSMEYLHAVLQEAMRVYPPVPCTFPRTTPPDGAMVCGRFVPGGYIVGVNQLA AMTSKKNFKDPLKFIPERWCGDERYQEDSRKAYQPFSYGPRNCLGKNLAYAEMRLVLT RLLWNFEFDLLEESKDWHAKQKVWMMWDKGDLKVRLKPLRH AFLA_064410 MSSTTSTTERGERTGCDRCNNLQYQCVYAISRVGKVPGVRARGN KAVRTTTEALQRPATASTLPDADSTGEFQTDQRSENDPLSRSDFGEQDAAHDALSPKS HSALFPDWTEASDKILNAYETADLFILPSQLMSSDQDPSRSRGHSLQAPSHSGHSIAD SHTAAMPDGGLFCPFNKPTTPIPALPDLDLHIQDFHPMDVPVSPLDNGPPVKRRPYSD ASCGHSGHSSKGYMSSTFPYSELLSQIGCQTDCGRQPHHYNYRSWTVLICNRIVEFLE HRIQGGVVALDIVTLFECGVKQGSPGDSDRASIGGRDLSALGDDLTGGNVLPNLRFGL FQINQDEQLALRSYLLQRELQRCLQVLTNLRDAIPLEPNPCTALEARVKKLCSAIADS H AFLA_064420 MERWPEPRPSQRYMGLILDGARAADFPASYIAQIRHKHPCYQPP STTWERIGATLFLGFWTPVLTLLSLLTHAAARAGPGDDGHVPEGVRALVRFAMFTMWW VHDLIWSRIWGRGDGLFPGAMQLNG AFLA_064430 MDQPSPSAEDSQPERQSTGTRGTRFWAVFVSLCFASFVASLDIT AITTALPTVTRELDGGENYVWIANSYTLASAVVQPLIGQISNIVGRRNPMIILMCLFA LGSGICGGATSTGMMIAGRTVQGLGAGGILLLLEVIVCDLVPLRERAQYVGIALSTCA LGISLGPLVGGALVQHATWRWVFYINLPCAGVALVALVLCLNVQHKREVSWGRALARV DWVGNTIFIAAICAIMYALVIGGSVHPWSSYQVLVPLVLGAFGWVLFHIFEASPYCLE PTMPPRLFRNRTSMTAYVLAFLAAMLMQWVVYFLTLFFQTVKGQSTTMSGVDVIPFTG FMIPSAIVGGAIMSKTGVYRPLHWAGFALLSICMGVFSTWDAGTPRAEWVILQCLVGL GHGLLLTSVLPAIQAALPESDNAAATSAYAFLRSFGFVWGVEIPAVVFNGQVDRFISR VHDATVRNKLAHGGAYSLAGTSFLSQLGDEADAVRSIYTDSLRTVWQVGMAFALLGFA LVVVEKHIELRTTLETDFGLEGSENRAATSVEGVETGPVSKAQ AFLA_064440 MFLAAGLLPDPDVPFSPSLSHSHAWIAGIIMEALQLAMFCNQQS PVSVLSRVEYLQLGLVMVRLVLFVAMVALYFQPMYAWSRIQLDETEPLLGEVDSKPVR DAQHGGWLDYVVGFSTLFPFLWPSDSRRLQLRAIFCFVLLVIQRVVNILVPHQLGIVV AHLGSGTIPYQKIAIYIALRALQGQQGVIGSIRALLWIPVSQSTYRRLTSSAFEHVLS LSLEFHLGKRIGEVMSALSKGSALNTFLDGLVFQLFPMVADLWIAALYFLIQFDAFYS LIVITVTWLYLFVTIYMAKYRGRARREMVNREREMEAAKTDALMSYETVHHNSAVPHE INRFNRLVQAFQKAEYFVFFSLNLLNATQNLLFTAGVAIVCLLCAYQISADMQQVAMF VTLLTYLAQLQAPLNFFGSFYTQVQNNLVDAERMLALFKEKPLVQDRDGAIDLNTCAG RVEFTHVNFAYDERRPALQDVSFTVEPGTSTAIVGESGSGKSTILKLLFRFYDVAAGS VRFDGVDARDMTIASLRSHLGVVPQDTILFNDTLLYNLLYARPQATMEEVYAACRAAS IHDRIMSFPDGYETKVGERGLRLSGGEKQRIAIARTFLRSPQILLLDEATASLDSQTE RQIQGALDNIAKGRTTITIAHRLSTITKANQIIVLHQGRIVEKGTHEELLAANGMYSQ MWAKQTKAKEKKDSNATLVEVA AFLA_064450 MYTSTPLLEYSFVLIGDAQHLSYPNEFAGDADLIKALAAFVNEY FHPHIPVEPDHIATAPGAATCLNTFLYNLCEPGEGILVPAPFWSMYHILISKSFAWTD ISLADGFDWLFTARSSAVPVMVHVERSADTLTAKLIPALEKAYEESEIPIRGLLLTNP QNPYGQCYPRSVMEDCIRFCHSKGIHYISDEVYALSNFENPELPDAPPFVSALQIDVK GIGCDLSRVHTFWSTSKDFGSSGFRVGCSITQANEAMHVALALASNTESSSLSAVAST ALLTSPRLPELLQLNAQRLQEAYCLMTNFLKKHQIEYIPANSAPFLFARVAPQAQTWE DEKAVIAQLKEAGVNVSGGKAYHVNEDQKGWARLTFALEPSRAEEAIKRMETVLGKQ AFLA_064460 MSSTAFTSSLSNWDLYPTNGTITPHLLLVGAQILFLSGPHFHGR RTLATTTILSLAAIAQYNRFTNNPGVANLFALAWPHWLSAVEKIVFASPGGPEADLWR VDHVPREAMSWPVFGWRKVKWAVTLLLNLRGIRWSFQVKNVPKMPERMTRGQFLRWRL GELVWVLLMTDLVSQMMLRFFFTDAAGAVGNLDSKYITIRDARWGWSLLKALTFGLGP YFFINMQYLVVSILAVAMGISRPEDWPPLFGKLKEATTVRNFWGTFWHQMLRKSLSTI TGAFVDVVGIRRGTNASSYTQLWLAFTISGMMHALSQLLMPRPGNVTTSEIAVGIFLF FPWQALVITTEDFVIWLWKQWYGSYQPRWAPVVGYLWVIVTFWIALPWPGDSLCHLKM GEVPPLPFTVVAPLVQMIPVP AFLA_064470 MNLYNLWASHYHLLHSVYSSAKPIMSLLSSAWAAVTVGLCVAIA LLSGRSAHGFPRMGKSRLWTWITWQKPTRFDLPRYAKAGYEQFNKALGKPFLTKVFGH DYVVLPSKYFDDIKRASPQSLSFFQALSDGLNMEASVGHLYASTTEIDVVVKHLNPRL TQLTPLLCDEAEYAIEREVGALPDWKKFNVSNLIAAIVHRTTNRILVGKKLCRNEEYL AITTKFSRSLFISGIFWNFVRLGPLRKLVAWLTIGLHLRDRNAAAKVLLPHVLARRQE KESGVDVATKYPDALQWTIDTAPSFPGDDEPLHQVYHMLHLTFAASSASGVGVTQCLL NVLAYPEYLEPLREEISTVVARHGGWTDKALSQMSLLDSFIRETMRLHPAGSLTVART VMDDHFRFHDGLTLPKGTNIIAPALAIHYDPDNYEDAHRFDGFRFARYRQKQGENHRW LASTIDQKFLQFGYGNHACPGRFYAIRKIKLVLAKLIMDYDFKWAQPRPVHDRPEDFA IEAQLVAAPDAEILIRSRNLSN AFLA_064480 MASESSIPLYDCLIIGGGIAGLSSALSLVRTLHTAVVFDEGIHR NDQAPHLATVPTWDSQDPKRFRDAAKLNILSKYSTVEFANVKLEKVNQLTDGPYKGYF CVWDTKQRQWLGRKVILAMGVEDLLPTIDGFAECWTKGIFHCLVHRGYEERGSASGGV LAIDGDATFFAARHLAFQARNLTDHVVIYTHGNDELAQEVESQLGPCGFRAESRRIEK LVQHPERAQMEVHFEDGQSETVGFIVHRPRTSIRGPFAEQLGVEMTPEGHIKTQFPFN ETTVSGVFVAGDAGSQFKIGTQAVVMGAFAAGGVQMQVNAEKWSQPLNSVVSQ AFLA_064490 MTSLAHYPAQMSFIAPRLASDEPPFYRSAADEGFEVCNFTQQPH NVSVHDARPLRDSFQLDTHGFAFAVDPDGNRPEILETIRSGDKEAVREVYYPLVEQII KRQTGASRVVIFDHTVRRREVSLAGKNPNGREQPASTVHCDQSPLGARRRVYQHLPEE ADRLLKGRAQIVNVWRPLRGPVQDWPLAVMDCSTLAQAHIHPTKLYRNRFELRGETVS ISHDESQRWYYLDGQQTDECTLIKIWDSKEGISGHMCAHCAFQHPNTPEDAPLRESVE VRCLVFYENQE AFLA_064500 MAAPLFDCLIVGGGPAGLAAALGLCRAIRTAVVFDSKSYRNPTE HMHNVSTWDHANPHDYRLAARKELTEGRYNTVTLADVALRKIWKLDSGEFEATDAVGK VWKGRKLILATGVKDEIPELPGYADCWPKSIYHCLFCHGFEERGAPSVGVLAIGPVAN PKPAEHLSRLAHNLAKTVTIYTNGNEELAAQLRPSIEKDQWLTLDNRVIKQLHKTDGI PVRVELDDGTTKEEGFLVHAMKTTPRLDFEHNLNLELSAQGTELVASPPFSETTTPGC FATGDCGMAIKAASMSMSNGSLAAVGVVSQLAFDEKAK AFLA_064510 MCDSSQSTADVLIIGGGPAGSNAAWELGQAHHRVILFNASIDRL RDPDVNTASTRPALDLLLHSRRKTPDVFRPFRQEIGKESSEVSVHNQRITQVQRLSNG FFQAEDDVGHVWTAKVLVLADGAEEILPDIDGYDTCWEQQRILTHPAEDEPRSLISSC LAVLAVGDLAELTMALHTVWQARQFAASVRVYTHGDEDLARALETRISPDARIAIQTT PIQSLQPGSDSPSQVVVHLADGSSIVESHVYHRPASQLQGPFARQLNLELTESGAIRI SARVPYMTSLDGVYAGGDCASLGQRTLFKALAMGQGLAAAVAARLERGNWGNAVEEQD AFLA_064520 MDAPLTDAERTALQTSLEALNRQVEATRNILRSNSQKALLQTLH TDQELPDPALEALAGKTINLLHETQQLLEPGHLVLADHFLGYVSTKCLCAAVELKLVD ILADADEAGMTVDELADASGAHPDRLQQVLRVLRNDDIFDYDAVSNRYRNNRVSALLH SEHWTQWHNWVDLYGNEFYDIARGIPRSIRREEARWAAQINFDTHDDMFTYFQAQGWL PRLHRTLGGGAIAQAPGIVADYPWHEIGSRTVLDVGGGGGGFLASLLREYPQMRGGIL DLPRPYFDLRERVPRENLIAGDFLKAVPAFEIYTMKWVLHDWKDPDVLTILRCIRASL IPGPDSRLVILESNLSDGQMGRLSRYGDINMMMTANGQERSEEQWRALAAASGWEVSR IYPMRRAWVCAIDLRPSASESGDRKHS AFLA_064530 MSSNTISSDPNGAVVMGDMEYDGRVILYIIKADETSYINYIKPL ILAEEIQFPHVLSVIDTRDEWFYSIHPERMVPSLKDQDPVTGEKVIVFESTACLQYLV DRFDTDGTWSGRTVAEKGAVLSWTAYQTAALGPTAKYWLYFKRGYPTRANPVQLPRTI EKLHANTLRQWDILEKRLKEPGQQYIALKDRPTLADLSYFPFAMPWMFTFLGVDIKDW PHIQRWSERMLSRPAVARVLQRAPTLGH AFLA_064540 MDCFSLDGQMLWILVAVVVIVGISSPFTRRITIEILSTILDRYL RSRFPIFSVDGSRTLPTCPYKWPNGQGDVAKFLQGIENRDLWEKEHGQIYRIWSGMKS EVVLTQPSHLQAVFRDSNKHSKAENNNSGYLMSELLGQCVGLVSRERWRTLRAVTEIP FQHDKMPSYLELIQRHTRHHFDRLLASGDLRQERIHPAQDLKMLPFWVVAEIFYGECD AEMKTELQQLCVLREDLFKRMIQGGIVRWQWSKYLPTATNRALAEFQRRWRAFNQRAY DRACQQQRILPIVLMIEAVREGSTSVEQIYQTIDEALFANLDVTTGGISWNLVFFAAH SDIQERVRQEVLSATDHDAYLLSSSTLLAACISESARLKPLAAFTVPQSAPTDRIIGG YNIPAGTNLVVDTYALNIRNGFWDADSQCYRPDRFLEHRATELRYQYWRFGFGPRQCM GRYVADLVIRTLLAHLVAHYELGWVEPDPGKNSTWQRDLESWITIPDLQLRCVQRRND AFLA_064550 MAMINTDSLTMAMDKKGDLEWMPPRPEPVHWRNRQRSLAYSVTL TLVALFFTFALRPEAFPSFLVRKDLHKSPLEQVLTRVPLTGMFSTPLCDSDSDQCGLD GHNDFAIWTRAFYQNHIYRANFTDHDELYGQVDFPRLRKGRLGAQFWSVYVECARNPN EPGVQYEIVRDTFQQIDLVHRMINHFPDFLVPASSVADVHHNFYHSPGRISSLLGIEG LHQIGGSASVLRMYHELGVRYASLTHTCHNEYADSEAPEEPRHGGLSTAGEAIVAEMN RMGMIVDLSHTSLATQRAVFNVTRAPVMYSHSSAYALCPHSRNVPDDLLQMLKENDGI VMISLYPEYTNCQDADAASLADVADHIQYVGNLIGYRHVGLGSDFDGMSHGPKGLEDV SKYPDLIQELLDRGVSVDDLVGVTGGNVLRVLGDVEHVARSLADTLPLEDDVKPFFE AFLA_064560 MPLSGWPKGGYEVRLWYVHVPERYFIYMAADWNPRHAPINIGYP SRTTMNPSSQLLVQQLAAVLEVDTAELDLNSGFVHNGGNSLSAVEFVSRSKSLGVSLS IASILSSTNLRALFTDLLSSESNLIPIPDPSDDSDDLSNPSSSTGGSPRVATPISSNV STAAEDDYLTQGSVLTQYATQSLSEMQASLLHGSLKSPGTNIIYHYETYQTDVIPVLK RAWKTVIEGEPIFHSSLLDGSARNQEYFTWSEVTVETEAEYREQLQTLWLKSVSSSFK VVHWKRSPPASSQSTVIWAVHHALVDGYSAMLLFCKVRRAIKGLPIVPGPSFSDVEKR IRVWRQEHKSQGDEYWAGHAAQLDQAQGELLLPAPTPEGTSSAITESEEVYVAPSVSN TQLHCVAKRLGVTLSTCYYAAWSLVLSLYADSASVVFGAVLAGRNLPLEGVDEVVGPL VNTLPLCLTLSRQQSAQDFLKNLFSRMVELAEYQWTTPDNGYTRNFSSAMAMQVPGPE CKDGVSPIEPPYTRQTTDVPLSINILTDGAARFVYHTSQYSRADIVRLGKYFQRALQL LLRPHRPIEECLQGLLGCVDLQTLMGFGNCSSSLTTTVAIKEDLVTLFESAVSRNPMD VAVQKGNCHLTYQELDTHAGRVAATLRGYIQDGDVVCLHADRSVNWIVGIMGILKAGG VYCALDKALPQEARETIFSASGSRLFLVPSLSDQSFCPTDCDRLLVVEDLVKDDNVPI THRDSPRPQTDAYLCFTSGSTGKPKGVMCLHQGLVAFQRDLEVRLFAQPGRRVAQIMS VAFDGSIHEIFSALSYGAALVLQSGDDPFAHLSDVDSAILTPSMARVLNPADFERLST VYLVGEPVTQDVCDRWSEQKTLYNMYGPTEGTCGATIKQLHPRQRVTIGPPNPSTRIY IMNQHQELVPPGVIGEIYIAGVQVARHYIGMPEQTAQRFVADPIIRIGERMYKTGDRG YWSEDGEVVCLGRTDRQIKLRGFRLDLDDLETRMIRAFPAVTAVALTRQGNHLIAAIL PASTDVDAFSARVAQVLPPYATPRKILALDEFPTTKAGKRDYLAIAKLSAQAPVSTGR TLTSPMEKLVGDAFRDILQLGKDVALHTHSSFRELGGHSLLQLLLATRISQGVNRQVP LYVVAQHDRIDHLAAAIDSGLGLQQLVTTDPMGLGESAIAPIEREWWHKYQINESTSS FNVNFMAKIDDCLVDRARLVHACNEVMARHRVLRSRYIFSRAAGRVVRQYSPLAPRVQ AVKTVNPWVEVNRPFSLSRSAPIRAVVSDSYFILTISHIVADLTTLQILLREISSHYQ GGSLPSIPHTYMNSTLWYEKPTSCDLDFWSDCLGQLPDTTHLLGHGGYRRGYRGRSAL CEVPPTTYQSLRHFLRQSSITAQQLSLATIALCLDDPSVPMPTETDIVLGIPYINRKS QEDLDVVGLFLEPLPVRISFGQETHNHEKASYLDTVQRSVRSSVGHAVHWDQLLEHLQ VSTTPPDHPLFDVVVTFHSQSHSNGLELSAPGLRTCYTYAEGAKFRLLCEFSTLSEDR LLLRLEYDTDCFTEENIQLLQARIPLALSLLVQNVPYDMIRQTLACPPETQPVKVLKP DVVFGTPLSDI AFLA_064570 MTTVIRYGTRLEHLHEAVKLSPHGQTALSVWINDDIRPLPPSRR TWSTMTFIGWWSVWQLSLTNWQLGGSLVASSLSVWQTMVAVVLGRTIAAIVAILIGYI GAEWHIGFPVYSRAIWGVFGAFFPTLLRIGLTVVGFAFQSYTGGLCVTAILSGIFPTF FRMSNTLPASAHVTTQQIIGWAIFNIISIPVLYRRPERSEKLMIGMNIMSFAALLGIM IWSLSHAHGAGDLIHQPSQLQTSDSLGFGIMQGITTVVGTLSIALSRSPQMDFSRFAR KPSDQVFGQWFTFIIIGSIMPLFGCLTSSATQAIYGEALWNPPTILAMWLQRDYSSTS RAAAVFAGIGLVSSQLALNVVDNGYSVGMDLSGLLPKYINIRRGCYVGLILGMALCPW ELLASATTFVSVISSFSIFMAPFCGIHISDYWFIRQRRLKLSDLYHARPKGIYFYTMG FNWRGVLPWLVGWVPLLPGFMHSINPAIKVSVGADHLYALGFPYGLLSSMAIHTLVNK CFPPPGIGEIDRDDTYGTFTVEEAAKLGVNKDSTEEDSDRSLRRESREVLETKV AFLA_064580 MSKRIRLGIVGLSADPSHCTNYIHKIPLMTTPLKEKYEITAVSM SSPEKAEAAAIAHGLPRERGYHSVESLAKDPDVDLVVVSVKVPRRAELAMAAIEAGKD VYVEWPFASNLAAAEALAQRARERQVKSMVGLPTRLAPQVLKMKEILRSGSLGRILAT NLLVTDDLFLKFHADKRHSHDKTNGANIVTIAGGHLLDAMAFLLGEFTTLHAHTSMLF PKPVLCDTDGNLKTGQFNDSPDTFTLHGKIGISEVPVSVCMYSHPPTTPNLFQWVITG EKGSLKMEGPSLMIHAIPPKLMMTSFGSETVCWEEISLENTIVSGAEYQAWLDNDTER IVTLDEAVVRYRMVDAILRSAESGQCTSYRYD AFLA_064590 MLKQDHWTQWHRWASVCSKQFYQMAQGLPRAMSAGVTPELSGSP AQVHYDTDESMFSYLERNGTMVQLRECMGAAAIAQTPGMITGYPWAELSNHTLFDLGG GDGSLIAGLLRAIPTLQGGIMDTPRVLPFLQEAFHHPSSKYADVALRIPPERVIAGDF LQEVIPSEAYVMRWCLHDWNDEQACQILRNIRRSIINSPVSRLIVLESVLADGRWGRM SRLGDINVMVTAEHGQERTETQWRQLAACTGWKVVSITQLPGAWPSAIDMRPVERPEN V AFLA_064600 MDSDSETAPLLSERVSTDNPPIHNEAPSQHHVTASQFLPVSLLA SLGMAATVATTIYAYADLLCTDPTACEDTEQSAYAAVVAIANGIAHTVAILILGPLQH LASKYLKAGLFMWIICRAASVVCLVLGVALRSVPIAVSGRVFEGLASDNLLHFNLNTI YVSVASPTRKEASRPIAASLALYMLGTAVAPIAVTVFRSYTASFTTSLVIFALTMAYL VIFVRQPVSHGTGTLSNESAQPGWHKSPLGVLLSPLRPFYTNRKVIPYGLSLLLYTAV QGHLFPVIMVFASIRFHFGTFENGLIVSTAAICAAFQISLQIYVAPMLVRLSHRFGWG AQDASRVRHQDHNAVVGALLLQMVALSAITQVRSPVQLYLAVALSSAGLAVPAFFKAH FVSFMPDAPQAISALTFMESVGGLLSPFVLGAWQAVIPGTSVFLLAVALLGTTLCLFL VAGLFHSSGRV AFLA_064610 MELQSEPWFTTDLDMTPSLATTALKMVQPKEWLMRPLIKALKAS LGDEGNHDNDGSQSISVIATSRNPSRTPDLVAEIEGKGGKWVQLDVESPQSGNVISEL EKGGEQIDILVNNAGGSIYAPIETSTEEEIRAQMETMYFGPLRLIRTVLPYMRQRRSG IIVNMSSGASLDGIPTMGVYGGAKAGLDALTKVLAKEVAPFNIRTLTVVLGTFNTNML NSVVLGKTPLPDDYKGTFTEQVQGLLLNGKIKPNGDKDKAMQALYQVVVGEGVGEGHQ EEKLLALGSDMTPRLKGTQDYLGHALEVFGSVTNGVDLDK AFLA_064620 MKLTQFASILTAGFVAAAQALDESPIPGYGIQEPSWEVETTPGG PKVILNGTVQQVHAQLLEINPNYDDDFATVLDKRDATVFGKRDDIKCNNFPQARRGDI EAGIKHLRGVSGQPSNGPGPGNCGRVSCSWGTAIWWCNDNTFTKVLPSFNNIADGAQV ILNNCQRGGVKLSGQDFHSDNWNVIVRGDSC AFLA_064630 MGEGDITLTLQKYDLKSRGATPTSRAIVPKTFREAALQHLRWYL VQNKRLPKEYVNQRFCDKDGADVPDDTTFDVYTKLNKESEEDSFNVFFIAPGEQESIW TGMDDGTAAFLKEGLDLKTSQQMAFLNPSLNKLTSSIKKDDWKASAGKADTISRARYT AFALKERSIPDYDVTFEAPKDIPGNTKKPEIKFRIPRFQICDSSRVEVFETKSAIADS MASNAFSQTTVEASAGGGAFGVSVGVKAGATTSGSSSFAQSSSKDESRMHVAYLFPRV EIFLDVDDLEVTEECKKYLDKIRDPKAKKEDADTFFQKFGHVFVPHVQLGGRLHSVES TTSIAGATTEEKASALKAAASASVSGWGFQASVSASHETTSNSKTEKSHSSSNHSITW HADGGDTLLCNNPPAWCPTVHSFYNWRVMNQIGMVDIIELIGKIKGYEDIPLRIQEIY FANVQFKIIKHDDHPAAQPYLGMVSNEELLERPEAGGGNFSRRAMRHVLTKSHSREEH LDSSLEVLALKNQLVWEGSNGTTARFKFGTLYPMRFSVWNRQTDERALYAVRRTTTAE HYQNENVLYAGMNEEPNVLVRFSKLKNEKKPNGVSFQMDFDAATRADKIGAHDWVRMD FYDIQTKENVGWLQNNGGIAKLNELGDLQMDASVFKFMYL AFLA_064640 MPLLDADLDQAKQVYEANVWGLLRLVQACSDLLITSKGRIINMS SVGAVVNTPWIGVYSSSKAAVTQLSETLRLELAPWGVTVVCLMAGTITTAFHANEPEV VLLPTSRYAAIRQTISDWATGRAGPKGCSADEFAASTVDDVLGSTGGLVWKGPNSAAV QFVSRWCPTWLLVRLSPFSWSTVCLTHCIYLLAVLTGAAGSHYE AFLA_064650 MRLLNHLLPVVPLLQTASSSGPVAIIARPSPANETRVVLGDTFT GDAYIDASHADNETWIGNVLFTPSARTHWHTHEKGQLLRVIAGSGWVCNKGASPRHLR VGDTAWCPRGVTHWHGAEEGSFLVHEAIAYGATEWLGAVGNEEYGQAGCVDC AFLA_064660 MTDPFSVGAGVIGVLSLGITVCQGLAAYYGPFKQFDSETKALVQ KAEGLTSTLKQLEALLKRLWDPLSSPPDEAHLVTQRIKDCQVELEGLSHSLAKCRGCD ATTVIKKSDWYYARKALYPFKRGTLISLTGTVSDLQKNLDTALLILNLALSKRLEDQE TLTLSVSKSIAAGAADISTTLTRIEQGQQQIFQAIQSFQQAGVTNPRSLPPATLRSLC DQQQIINRHYRSGIQSGHVAKTNPTSCTCPQETSQRWKFVLKVRSHRFGCPLYFQREA TTIFHGRYVFCNRVLGFSIQFSLNAVSGAGGFTILPSFDFRRVVPNDAPAFRLIGDFT SMLTKRSSGVSPDSVLLQLQYLFEKGEATPNDILSDGTNLLQVS AFLA_064670 MEETRWRHYYLREWHAGFMAHSTDPHAKGRRSEEHYLRQPCQRP SQAFDCYSLCRELRSWKANWSFGFIDNDSPDFQQKLQVSLRNVGDIFCATPSKKPLFP ASDVANKGSRRPLISAIGSWQSDMIELDLELLRFAVQPNAGYDPLTGKDDGVILVDDR DFALMNSGELLLKVARTQWTSLSWIYPFSLLATEDAISPRHQAMGIVIQARLVVMEGL LCYLPDNNVKRLLQRICQNFRRGELLFECINSITLQALNGTKPIQSISGTGAEFHSCV DDPKTLELLHPGLKLVESIRLAETPGVEMFPFGFRALMYLRSWIPGVRDAAEFPRFQF GEIRELCNE AFLA_064680 MMFSQPFLFAILSAGAAWATQAPLGSASGNGCFSLSSGNHRTAY DTCCSGGSTSGKGSVNGVEFTYSCGKWATGSNKSPIKGVDARECAKHCAVDSDCLATS WTPRGQCFLVTTQGYSTASGSNFLLIEKTGGIVDEPEPEGDCEKPVEAAKAQCEEEGA AKCEVEKAALSQTEKVECEKKITEKCNDATAAVEASKAQCEQEKAILGHAAKAECDKK IAETVSVAETSKAQCEAQKAAVVRIEKVEYERRTAEKCKTQTSSAVERLKAQFERTKA DITNDMKNKCEEEKSNQAKEWEVAKTKLEAEKAELQKALDALKETSVGSGTASHEHDG SDVAKRLTEVGPTPQYNICPQFGGREITTTTSSGNTARWRVNCNMFVKGHWYVDRQCP PGSIVQILRERQENREYKGLFWNHVNICHDVIPTPGTAGMYLIKTPNFPNHAFIERID AFLA_064690 MVKANGLLALLVGISAYHVEARGSSRGKTKYTLDNILPLADPSS GLVKCCPEGTEFDGQACVLGVPSCPEDFIRKDNKCVSKFKPICPDGSEYDGNLCVSDG LPTCPPPTTLKGNSCVAGPPTCPSGLEYNGKVCQSKQLPACPTDYTFNGKTCVSVKKP QCPEGLKLGDGKCISVGSPVCPAGAEYNENLGLCASVVEPGCDEGSQLKNGECVSDTP PSCPEGGNFNPTTAKCTSAEKPTCPPGSELDLHSQKCVLSEEADCPPGSVLSTDLASG VARCCPNGMAWNGDVCLFESDSSGKCPPGLTQVGKYCHKQATKIPQCPPGAKLDGSRC VLTELPECPPGHILEGSTCVMIEKPECPPGLTLSGGNCISTIEISCPAGTRFEKGVCT SVTPPTCEDGFVFDGTNCVSTTHIPICPEEGHTLDGEECLIPLMPTCPEDTAFDGTRC VSTISPSCPSGSVHNGQGDCLTTSVPQCPPGSTLLGGGCVVGVPACPKGTVWDSKQCI SPKDPECPPDHKWSNGKCLNLVTVECEPGYALVNGECVSDSKPECAPGTTFNGQECVG DVPNCPEGLVFDGEDCAHPEEPACPAGMKFNGKKCVAEKPPSCQSGTTFDKKTKECVA VEPPQCPPGQVFNGKNCALASGDCMSFEYCPVMGGLSNGGLTTGGPSTSAEECPEGTV YDKYTGMCADW AFLA_064700 MATPEAPPPLHLPPPGSLIFGPLLVAVQPSCCAVHIVEQEPISG LTIPVPYNIIHVYHKDPGEKVDNV AFLA_064710 MNEKDNAAEEIEEAGSKPLQALGLVNGGDAESVQQWTNEEEKKL VRTIDWRVFPMLCTIFGLSLLDRTNISSAYIAGLGTDIQLSQGPRYNIALLVFFIGYG LFEIPSNLVIRRIGARAWLSFLIISWGACVLGMGFVRSWQSLTVCRALLGIFEAGLFP GAVFIIGSWYRQYETATRISLFYMAALIASGFGPILAYVFSLIRVGDGIYAQGWRWIF IVEGIATIVAGIAAAFFVVEFPDKARWLTARQKQIAIARLSVDKQEKEYKHPSFREAM VMIWDWKVIVYSIQYFIAASSVYSIAYFKTIILREGMGFSYALSQILSSPPYVFAVIM SLVMAWLSDKYKIRWAILVVQSLSAVVGLLITLYSGPPGVRYFGLFIAVFGTQANIPG TLSYGQSQIPTVEKRGVIAAAMISVGAAGGIAGSTIFRTQDAPVSALLALYSFPKLAL MLFLQRYLPGMWATIAMQMLYTVVTVIFSFYLKRQNRLVDENKRGDLEGVPGFRYAP AFLA_064720 MFGLPRFFGFRGRALNLAISSLGSLDFLLFGYDQGVTGGLLDLP SFIKYFPDINDKAEGIDQALKSQRSLNQGIAVASYNLGCFVGAILTIFIGNPLGRRKT IFIGCCTMATGALLQSTAFHLPHFIVGRIITGIGNGMNTSTVPTWQSESSKAHDRGKL VMIEGMLITGGITLSYWINYGFSFIPNSEVAWRFPLAFQILFAVVIFISIMNLPESPR WLVMQGRNDEALEILEYLNEKPRDDPYIINEFRSIQETVKEMSKGSYRSLFDMSEYRE FHRVALAYVNQMFQQISGINLITYYAPSLYAEIGLGEGNLPKLLAACNGTEYLMAAFI PIFIIEKAGRRPLMLFGAAGMSISMAVLAGTNYRLTHLGDSQAGIGQAVFLFVFNTFF AIGWLGMTWLYPAEIVPLRIRAPTNALATSANWIFNFMVVMITPVSFTNIGYKTYVIF AVINAFMFPCVYFFFPETRYRSLEEMDNIFKKSTNVFNAVTISIKEPYRYDKHGQLKP EYLEEAIRRESVTAPAPVKPFESDDSTTEVKA AFLA_064730 MKTFPEADVIWWNASSYYVRCPFCEEVHHHGINWKTNRLRISHC EKMETYLCCFPIGDQGQIAYEIDKRRGRCTNICVSPDSDTEDDDVDHLAIELAQKATI AAQRADTYADIDEDSKEIVTIDPGHGIESFEQKRIFEPIDDCVNGDTRAVERYLETSS EAQLFIRGRDYDGKTTLISAAAKPSSEMVSLLIKHGAEVNAVDKRGRSALMEAALFGR AENVKVLLQNGADKNTRDSDNRMAIDFARDHYKNRRERYERTGGNLISSSNRLPGRHE DTFKRDIDRQDIVRLFSGENRKSKIVFGSPPTLSGSKSYSFTPSPRQDSLVLHGPIEE YPISSSYKTVARLERGGKFPSIGAMSGWAHGSVQSLRVDGRQWTDDVFYISNVAGHAL ASHSCDQGKDGRYNACHAEKQLIAYFIDRHVFLPRDADPDSELEKRIECIEDELQEFL SGTEIGRKVASLRKRKEDLKHQIFDGDEKLVGKHDEIKALNLELKSVETALNLLIADS QARPLLKLESQLKVLNKRLDRHADLTEMASAPPPASLVEAVILISSRPCQDCIVFTDK VNKRFGLSIELFAAL AFLA_064740 MRSSIALLAATAGTTLASSNNYTEWMASSWLSKSVPVSRNYAYG VLYRGIELAHNKTNNAEYLDFIESQLSGVVSDSGELIDYNLTDKISLDDLRIGTNFLA AWAATSQEKFKLGADTLRRQIDITPRNEGGGLWHRDPTYPNQMWLDGIYMSTNFYALY TAWFDADNSTAWDDIMLQFDLIEEHCLREDGLLVHGFDYSKAAVWADPETGAAPLVWN RALGWYFMSLLDILDYFPKSHPGWETNLGRFQKLAQALKQAQDESGGWYLIMNDQYPS DPRNYIESSGSAMFTYGFLKGIRNGFLKESEYSQVADKGYKLLVDRFVSKNDNGTLNW EGTVEVGSLSSNGSFEVSLIITYLFPWCRMMLRARGRLCMRAMNWRPFNTG AFLA_064750 MPAKLIDRYDHVPVTKENLDWAELVTLDLSQYDQPGGKEDLVKQ LDHAVRHVGFFYVKNFNISQDEIDRQFALGREFYALPLEEKLKYHSASDLEKGEYNGY RPAGHRALGNGVKDNVQVYNIPKFDGYHQRQQPPILGDHLEEIEAFSRKCHTEVVEKL LRLFAILLELPDEDQLVKDHQYDVKGEDHLRYMHYAARGAEENKIVGGMYVPGHTDLG TVTLLFRQPVAALQILNSQGQWKWVRPQDGTITVNTCDALTALTGGLIKSSIHRVHVP PADQAHVDRLGVLYFARPNNHVVLDPIQNSPLLNRLGLTQNVFTELGQHLTTEQWVKV RQTQQQRRTRDAKISEDGKYTYQPKDLEIIPGLHAKVYN AFLA_064760 MSPSDQITQVTGLDKNYELTLKPTIGDPQQSQGVTRMEAVYREA KSDRKTLWLIGVSVLVCAWAYSLDSSTTSYYSVDASSYFKQHSSVLSTLSIATSIISA VSKPFIAKISDITSRPYTYVLVLFFYVLGYIIVATCRTIAGYVVGEVFVAIGSSGLDL TNDIIVADLTPLEWRGFASSMLSTPFIINTWFAGKIVDAIDSKGQWRWGYGMFAIIMP VALGPAVATLIYLDRKAKKNGIVNIASSNAARRAAGNLSEREGRDIPHGTVSARAAGP SEPWMRSARRILDEIDALGLVLLGFGWSLLLLPFSLKTYADGGWRNQSLIAMMIVGGL LLIAYVIYEVKWAPVPSAPRRLVFNKTFTMAIVIDSFYMLAGSVRGLYWDSYVYIAKP WSYQSWVYYGNTLTLALCIAGPFVGLLQRWTHRYKAIQIAGLVIKIIGMGIMLEGSMA TANTGAMVMAMILVGFGGSMSVVGSRVASQASVPHQDVALAISLLALWSKIGRAIGSA IVAVIWADQMPKQLRKYLPSNATEADVKKLFGSPTSIRKLYGFDDPMRVGAVLAYRHA LYYCLATALGLAFIPLIASLFQHNYFLGKSQNAVTNVGNDGLPLAETRRSELEPPKNK KEAFLRFWAGK AFLA_064770 MNLSPSELDSFYRFFPISGMAHCANADGPSAIGQGTGTFAGNNP QDNVLLAMVQWVEEGVAPDFVRGAKLNGSTVEYRRKHCKYPKRNRYVGPGSYTDENAW ECV AFLA_064780 MSTTKNAKGNRVEYHYWDYSFEWTDEHRPASEFESWIHSCDGLA DKCNQILNDLPAPVDDEGGNVSKRDRYALLKGNREKDPKLEELWNQINTVPEWVDWAQ IQRGQDVYWRYMLPIMNSLTYNSLLGGMGAIRVGETLSRTGGFSATVVRRRLLETAQH AFQVNSSVDSMKPGGAGHLACVRVRLLHSTVRLKIMSLVGRDPSYYDVQKYGLPINDL DAFATINTYSSTVIWLGLPRQGIHLSNQEMEDYIALWRLVAWYMGAPAEPFESAAKAK VWSESLLINEFAPTDTGRILAKNIVIGMENTAPTYASKEFMDALARLLNGDQLSDELH IPQTSLYYRMLMWGYCLSVKLQAKVLPNIAFIEKYVFESRRRMMWKGLMDEKHGLGKE TIFDFKFVPSLNRTTHEGKRKSYMFKRPGLEVLSYMGLLTAFGSVAALSTALYLAAAK VLLGSQVVPDLSYLIRA AFLA_064790 MWERLTLQMSHAEPAICHAVVAVSALQQLVETTGIPVLPEDMTN RYHRFALGQYNRAVTHLISRMNSNDPSVKNMALMCCLLFVVFELTRGRYDLAIVHLQN GVKLLGADAQRPNYSSLYHAHPSLALERQIDPSFAAAIMHLDLQSAHFGVSKLHDGLD LEMFAHQVESAPAIDDFRTIQDAWIVRDRIFIQFCMFGSLCESFSADEISANYSVLCT EQRKQQAQLAGFAAALDRFEEASLRHRCLTPKEQRAMAILRMHHAALSVVTDICLIKC SETIRSISTERFNNVVDQAKSITTSLKEIAPRSTPRRPTLLMETGTIAPLFFVIAKCD NPGVRQRALKVLKSWPHREGLWDSQLAATLARQMMFAEAR AFLA_064800 MAGGMASQGISAKRAELAGGRVGWRGLVSSKKTFGIALFASLGG LVYGYNQGMFAQILTMRSFIDATQGYAEHTGTAQGMLTSILELGAWLGTLANGYLADA LGRRVTVVVAVVVFCVGVIVQACTTNPDFVYAGRFVTGLGVGNLSMIVPLYNAELQAP PEIRGSLVAVQQLAITFGIMVSFWIGYGTNFIGGTGEGQSIAAWEIPVCIQILPALVL AVGMMLFMPQSPRHLMNQGREEECLQTVARLRDASVDDMLVRIEFLEMKALRMFEEET ARKKYPQYQDGSFKSRFMIGVHDYMSLITDKSLFKRTTVACLIMVFQQWNGINAINYY APQIFKDLELGGTTTSLLATGVAGIFEFVFTIPAVLWVDNIGRKKILIAGGIGMAVCH FIVAGIIGSYQHTFAEHKAPGWVAVVFVWIFIINFAYSWGPVAWIVVSEVYPLSMRAK GVSIGGSSNWLNNFAVGLSTSPFLSASNYGTFIFFGCITTIGVFWVWFLVPETKGRTL EEMDELFGSGGMAAEDEARKRRIEREIGLLALLGEEPEHPTDEKVVEKPTHVDNVSE AFLA_064810 MRFLLPFAIGLTPLASALSINKPGANSTYAAGSTVTVNWSTVDT DPTEISLYLWNFVSWPPSYVPLARNVPTADQSYSVQIPCDTNPEWGYQISAINGTNVY IIYAQGDRFTVSDPVNGTSCSDPVTPPPASTCGPTNAVSTVYVTVSPTGSSSRLIHHS SLGLPSSHLPQSTHALPAPSSTVTASSKYVKPGIVPKTIGWCSDYSHPVTLDKVPTPT AVPAGPNDAGHDTSSAVVTAAPSVVTGEAKVVTITTTVSVPAAPGDEQCLFV AFLA_064820 MQLPQAIAILTLVASASAHAIRREEDKPKADFSRTCDKISVPKG GNHLEAECTRSNGEVLKSSLDLNFCIQHTYGGMEFHEDGHFYGNPGCTGCQVLKDSPN MLQCVCGTSQVGAFKKAELDLDIMVWNNDGLLQCYSRRADSV AFLA_064830 MAEHPSFTLAALLPVGGVAGYLRTRSAPSLIAGVGLGISYACSG YLIKQNKDYGTELALGNSLVLLGAGVSRTIKTRAKSPIPMALGATGLLASYYYQKKVR EFRYGV AFLA_064840 MKNIFVATLGLFAAISSALPYTTPVNDNPISALQARATTCSAKA TDNLIFKVSMKTFQKARKAKNPSKCNWSSDNCSKSPDKPDGYNFIPSCQRHDFGYRNT KKQKRFTKAMKKRIDDNFKKDLYKYCSQFSGWSSWKGVECRRLADVYYTAVRHFGKRD EALEFDPEVEFEKRDEVADVQPDEFDNFDGSEVDPDIEGQVIPEVLEDDGVDVENLDD IENL AFLA_064850 MVVVGLLALDWASRWAACFRTQLAGIGGFLLPRSSTAQCWPWHC GQFQKVQMVVRWGRHRSPISTGMSSRLALYSSALALRFSRTNKPSLPDQMRIRLTVLY LQDVRGTLTLTASLYFMPAPVCGLLMNAAIGLSLPYLKSSIAVPAGCLLSGIAPLLLA TLCGVDGPGYWRGVFQAMALNSLGADLVYTIAKLVITDSFPAKTQALAGGVFNMLAQV GKSVGIATSALIARQITSQMDHAESATAMLKGYEAGWWYNCGLGFVSVAVSFGGMRSV KVIEIKRD AFLA_064860 MPRLLPISAATLALAQLTYGWGNLGHETVAYIAQSFVASPTESF CQDILGDDSTSYLANVATWADTYKYTDAGEFSKPYHFIDAQDNPPQSCGVDYDRDCGS AGCSISAIQNYVSYFRVYNNIGCSSYLDQYSPGISQWLGGVECPEIRGSCSSRPLTGL IRFPNMSQIIGDTHQPLHDENLEAGGNGIDVTYDGETTNLHHIWDTNMPEEAAGGYSL SVAKTYADLLTERIKTGTYSSKKDSWTEGIDIKDPVSTSMIWAADANTYVCSTVLDDG LAYINSTDLSGEYYDKSQPVFEELIAKAGYRLAAWLDLIASQSA AFLA_064870 MIPQMKKQHVLLTHANGFAASHILSNLLERGYAVTATVRSQEKA AAIIRSHPSWEGRVKFVIVPDFTKSQPFDEVFKSAEVPFAFVIHTASPVTLQAEDMQK LVIETAVLSVTEILGSAHRHGGMDLKRFVLLGSAVIVLNSFEDPARPGPPYTEEEWNP VRIWHRQFPAALECGDGVLGYMVSKVQAERAAWEFMKMNSPAFELTLMNPHIITGPMI HPISGMSSINATNYFVIAKFIDSVHKDELKDMRFPFYHFVDVRDVARSHVDALTNPAA AGRRVYLISELTTPQLVLNIIRKHFPSLRERVPEGNPAQTLPDGVHPTGWDTRISQDI LAKGAVDGQWGYISLEKSVIDTVQFMIDNNLI AFLA_064880 MDGGQHADADLAVITSGRHIPYDIRAGNLRQAMQIFISCDLRLG RYVRQ AFLA_064890 MHLAPGGNQNCKKWTQGLSACIELLEELQAGNKIFRIHLILSLV KRIRSSYRVCRISWLMFLAHRNCVLRQYTIVRKRFKD AFLA_064900 MQFRSVIALVAFATAVTAAPCDSCDGGDSGDSGDSGKCSPNQEL KCCTGLTQGLNLGILPALCLPLLANCNNQAACCEAKGGLLNCLTIQL AFLA_064910 MKPYYVLLTMFVDFSVAAPRTESVDNLNEGMRPSLVSGAVAVLA RQYLSGRRDTLSLQMQDGWRRIPSQLYR AFLA_064920 MKLSIVLLGTVLGTALADVPTIVAKVGAEWRIVTVGSKFFYSNN GTQFFIRGIAYQRDMKYTDPLADPAACERDVPYFTKLRTNVIRTYAVDPSKNHDECMK KLADAGIYLISDLSSPTESIERENPKWDLDLYKRYTDVIDAFAKYDNVIGFFSGNEVA NAKNNTAAIAFVRAAVRDMKAYIKQKKYRDSLAIGYSTDDDQWMRADVANYLVCGDKD SQIDMFGYNIYEWCGKSSFKESGYEERTKEFSNYPVPAFFSEYGCNNPRPRPFNDVPV LYSDQMNDVWSGGIVYMYFQEDNDYGLVTLDKSKISTLSDFNSLSSQIQKATATGVKS ADYRPTASARTCPKVGDDWQANAKDLPPTPNADLCKCMEEGLTCVVKDSVSEQEYGKL FGMICGNEGVCDGLARDAIKGNFGAYGMCSARQQLSFVLNQYYQQQSKENQASACDFK GAASTKKASKPTGTCSSLLGQAGSAGTGTVSSKPTGSGSGGSSSSTSDSAAGLMIAPR SVQAGAWQIGAYLVTAMVTGAGMIVL AFLA_064930 METPLADLAIIGAGWHGLAAAKTALALDPSVNLVVLDSAASVGG VWAEERLYAELRTNNRLGSYEYGDFPMRDIIPGLVKPGEHMAGRAMHEYLKAYAAHFG IRDKIKLNCKVDSVEYCERGDGGGKEWVIKCTTTTEPGHEKSNTIRTRKLILATGLTS QPRIPTFSGQQSFGAPLFHAKDFVRYQDTLFAKPSYDNTGDQHEGAARDDHTPVTVLG GSKSAWDTVYACASKGHRVNWVIRPSGTGPAWVSPAAVFSPINLLLESLPVVRALGWF SPCAWVSHPIRNFLHGTWLGSIIVSLFWASLEWDMVRVNRYSDHEETAKLRPWFKPIW IGTAVSIINFPGDIFRLIRRGLVKVHIADIERLEPFQVVLSNGGDMLDTRTLILCTGW KVSPGIRFLPDGTEQEMGFPWAADPIDQELVRQARRDIYTRLPMLHSGPERRTYHTKG AEGQTHTNETIRHPFRLARFIVPPGLWDDHSIAFLGTVTTFNTPLIAEVQALWALVYL NHGSELHHLHQDKESIINETALHTEFCALRSPAGHGVRTADFVFEIMPYLDLLLGDLG LKSARKGSWWKNLFVPHQPKDYAGLVEEWKGRRSISQDGRKVKVT AFLA_064940 MSGLLKEAFMLTPLNRNQHSMAHWTSTSQEYAPQGGKAQPTTPA RLDPEAKTFTNPVFEAVSPGGYRRVFSLGYLILCTLVYLLCLFFVNILARKRLSLDPD VA AFLA_064950 MSFDSTEEKTRGFSHPIYSSHKFKMSQQQQHYPSHNAPAPPPYT GQYQPMPPNPQYGYSPQPYASHPQPMMGNPQYPPQPHHTQSAMRSLKIEFSSWTSRHL AINDVGQGSLLYTVDLHNRNPQMEFKNVATNNTIATVHMRSLKPEMDIKLHGRDIHLR VHRSMKPETTYHSIAFPTMSFTWKTTSAWKFLSFECVDQNKVTVARFTPASSCSMRKF GQLDILLPQATSGAAMDELMLTGVSFMYYQYLSHTRNTTVAVTA AFLA_064960 MPGVPSGRACEGCRRQKKKCDEKQPTCGRCLRLNIPCIGSGQRR FKFQQEYTIPVMVKKGKIKGQSPKDQTSSSSDEERTEVSRVSPHPSSALTVLTQAFVQ AIHPSTDIRWNLAWMYGGFLRDVPARLGTNEALDTAADAVICMHREFCTSRKVSVKGL NKYGRALNTLHTYLDDPVKAASTDTLCAVTILLLCQGFLPGQGKVQSGHAEGAAQILK ARKNFRPRDDFEAKLLLTLRGPVLFEGLFVSSIDLSGEEYESLVESDLDAGTPDGHMM RCLARVPGIRDRIASTMPGDAEFESLRHETRALYESYQPILTALQARTTSIETPLATG SMYRMCTLLHAQYQRMYGLGLTVAIILNCLARALDPDDPTLPVESTYFAQEIVLLSDT QVAFRPLGSFYMLVCLLTARVGTADKIIRATVEKALDDYQRDFDGGCAAETIAEFEEK VRHVSVFSANEEDNAVSRLEYTTLVEV AFLA_064970 MAWSIALPREVFTSPILSVTAPTTVGMLVGYLVNRAGGTKQTYK SLQKPAFYPPAWLFAPMWTVLYGVMGYAAHHATVAGYSALTSSTAAQSLGTNWETLYT TQLALNYLWMPLFFGIRRPAWALADILLLGGNVAALMQTWWKTDRTAFWLMVPYAGWL GFATYLNAGVGVLNKWTIGEKPKDQ AFLA_064980 MNHRSPDVQRQEIDRVLQLKRKQREAKACYPCRQRKVKCDSGHP CRTCQKRGHPHICAYDVSKDSSRHSFPRSGRVDPVHASPSHRPAGIASPLTPSPSALN GAETERAQPLDGPSPSGAAPRSGSTSRDRSSDYVFSGKNSVVSILRLQDPDGSIAREA GSVLGLQNTYEYYPFLDIKTPTDRWCALLDILPQRTEILKFFHHYRLSAHPFNPILVD IDGVESAICTYLESVASGELSNPNKISERWSSDKSIGHISLLLATLASGAHFSDLENP QRSEVCQDLARRSFQALRLANFLFRPSLDIVQAMLILGNMLQNNGQSDAAWALLGTTV RLAQALGLHTERGTSHLPESVRSKAKKLWSMTVWQDCLLSLCYDRPPVVSISGWQQSI GPTPALALSFTDVMHFLCQLGLDIAKVQEPEHWDLTRATELLSALDNVYSRAQPHLLS REHCHCLQEHQEHLALRMHMSFCVSVLCRPTIRSSPSRLDDPHYSRLRLRAKDSLVSA SNAFLDFQTLSIVPLRTWSMVHTVLSSTLLLCIWQETRNDNECRDLQQRVITVFSTAD PARKDTISGSDDGQWLSARHIRALVALRNAVRNAPLNAAGQDGNGSQGEGQTTYPPLN PTVNELVAQDPMFMPGFDLGGGLTTGDGN AFLA_064990 MAAINEVDHSFRAFVEALKADDDLVEINTEIDSNLEAAAITRLV CETDDKAPLFNNLKGMGKNGLFRILGAPGSLRKSKRDRYGRLARHLALPPTASMKEIL DKMLSASQLPPIDPKIVETGPVKDNSLEGDEIDLTALPVPMVHKSDGGKYLQTYGMHV VQSPDGKWTNWSIARAMVKDKNHLTGLVIEPQHIWQIHQMWKKEGKDVPWALCFGVPP AAIMASSMPIPDGVTEAGYVGAMTGRALELVKCDTNHLYVPANAEIVLEGTLSITETA DEGPFGEMHGYVFPGDSHKCPVYKVNKITYRTDAILPMSACGRLTDETHTMIGSLAAA EIRKICQLAGLPITDTFSPFEAQVTWVALKVDTAKLRQMKLAPKELQKWVGDVVFNHK AGYTIHRLVLVGDDIDPYEWKDVMWAFATRCRPNADEMFFEDVRGFPLIPYMGHGTGS PTKGGKVVSDALMPTEYTTGADWEAADFEHSYPEEIKAKVRANWEALGFRKQD AFLA_065000 MLSSFLPSGTNTSNSGHHSPDNASETQSTTQSAPLEHTSTAMPP VPTKGRRKRIVVAMTGATGSILGIKVLIALRRLNIETHLVISKWAEATIKYETDYHPR NVRALADYVHNINDMAAPISSGSFKTDGMIVVPCSMKTLAAINSGFCEDLISRTADVM LKERRKLVLVARETPLSDIHLRNMLSVSQAGAIIFPPVPAYYIKAASVDELVDQSVGR MLDLFDLDTADFARWEGWKKDN AFLA_065010 MQLSKIFQTIALLGASVPALAWNRIDKDNAALLVIDHQVGLAQL VRDYSTNDFRNNMLAHAAIGNVFDLPTVLTTSSDTGPNGLLLKEIRDMHPNATLVQRQ GEVNAWDNEEFRAAVRATGKKQLIIGGIVTEVCTAFLALSLVDEGYEVFANTEASGTF DARLAEDANRRMEKAGVTLMGIFGIVTDLMRDWRNTPGLTEVLPMLDKYVSITLWLLL QSTNVDLLQVPIRLRTGCPPPCWCY AFLA_065020 MSAQGSFQGAGQAFQGFFIAEDRQILASGSFNPPLVQYGAPNLT LAYGNLAELSGNYQVDPGPSYVGPTDVNITAEDDNGNTVSLHGVLVPPAPSREQVSGF IRFSLDHNSQLPRYAAYYRWSSHYIPGGHGSDILTTWIILAILHSTTATVELNTGNQG PLGLVWTVSAYISLFASDGSWSSRV AFLA_065030 MGESTPPSDSEFTICWVCTLLKEYIASRQVLDDIYDETTPASKG ICNYYTLGRIGGHKVVICCCLPADQSAMVSVPRVMEYMQKRFTSMRFVFNVGIAGGAP SSKYDVRLGDVIIGTRVVQHRFRKGTSDGYIFAGHSLSPPRALLHAVTALKTRLFYGL DLSESFENAYTRSPTIEATFRRPEARTDRLYKSQFVHTNGCDCLKECPRQSSEIIERQ ARQDHRIEVHDGVIALVEKDIKDAVARDQLTSELDALCFDRETSELCDSVSCIPIRGI CSYSDSHGNEQWNGYAAAAAAVCARELLLTIPPVQLTSMESVNEPEQWAFNFPDFTQL LEKVPSRIATTMHSALIGICVLLAIFGQLIWSFYVWMLSVAADIRSPAFNPPVKAAQL VEGHTQKLEGAPIHINIYVDQQAVSHARRQSEANWIDSPHQCPTEFSKVQWNGAGRDK VSGSTAELLQGVRALIGKEISRNISISIPHDIGDPLSPIDSSCDPLERVPIERTESVS SASKPPVPPRSKKPRGYISRRNTQIPVPNSMAKRNKLSAENENHKLPESGEEVPEIVA LINEFRGRASV AFLA_065040 MILKGFSPLHEDLSTSAGISKLRVAILELLATLVLSQPMDIDRK TNQAVSCIVEFRLQQKPGGGKGYTSFRGKVQEAKTYIIYPSPEYLAILDAPEYLLRGT ILLQKPEDLAVHLGPDIPPKYGGNGRSLSELNFIEPLQLDVEGQGNRQDEPEGESKGD SSTEEKKNHQQCTTSPEEPELCLIYSEDIGPPTIILDPEDLKDAEDLLPDKMGACLAW ADTDMLVKFSHGVRLAEVGALHLASKRTTIAAPKLLSAYILDGTRYIIMSYEYGTPFE QYWDNASETEHQRILAQLTDYVQQMRAIEGNFIGGLDYSPCRDGVFEGGYGGHTKYSY GPYESESFNEGMVQALRDRLPAKLLESENDPQSNFWASEYILQQIVRGSKGHKIVFTH SDLHEGNMPVRSDSTVVLLDWGLSGVWPEYWESYRAIFNPPWRTSWDRMVERFIPPYY PYYVEYDVMKKMFGTIWY AFLA_065050 MRFFGGVIATFVVCSSLADAFCHDSISCMVGGDNVCNNVCVRQG NPNGGRCLPRDGCPGNDICACYPQSKRSDEVIDGDASIREVLKDFGIDGGAEKELNAR EKRSISCNFPDPFGGLICENHCAYIGKPGGQCSDQKVCTCN AFLA_065060 MKAVGGNVTLSDGGKLLLERLQSQSETPLWVLAWGGTNVLAQVL YKIHQNYSSEDAAAMRSKLRVYAISDQDDTGPWIRRNYPDIFYISSTHGWNQYGMATW IAISGETYYNKDEGGPNSTTVTHEWLRDNIQIGPYGSVAYPDFKFIMEGDTPTFLYLI QNGLGDSENPGYGSWGGRYTKVDPSTAVDYNHYSDAADRVVGCNNKTFSSNYATIWRW RDAYQNDFAARMQWTLPANSSMANHHPVVSVNGSKELAAFKLTAAAGSTINLDTAGTY DPDGDKLSYNWFQYEEPGSDDWNVAGQVPALNLTTVQNGQQVQVKIPASEDSCNGKGD NPSGCWLLHLVLEVKDNGIHPLTTYRRVLIQTTNQTIST AFLA_065070 MVSFNIARIRTPDEPPNCAWAHIGGSQDWVDAWCDGKGCSTENT GCFYLLRQYDEVDNAEASRTETYLFFDFGEGKPPVLGQQLPITRDMGRRHGRIAIDKC DVEHVGGPHCQAYGGYLGHRISAPEMRGCRTFQSLVPRLDSHIQEPDDLDIERRSKVI LTGIDDASLPERDDSNHTPTPVDWLPARHAVSNGRIVNPFVDDYNISDAEFAFHPWCF GTYMQLSRLRLGYIEVDRLPSFFQNIGRYPRDFYYSPGSDVEEAWFVDMWSCNAGSEW LAANPYHVPKLRELLDRAMTTDASFNLQAGVFNSQAALRNTVNGPAVTSDNFCRLPQE IRNMILSYLNSRDIATLRLVSRTFYQLPVFLWYRLLKEEMPWLWEIWSDESPYFWATV TGEDIKNNGHRVLDSHTSHPTIVSHTIDVQEHLSQWTLPKPPMDGQIGICCTLISSAT GRN AFLA_065080 MASPSASDPLRCHLTYEPVPLKFGTSGRRGKVVDLTQLEIYTNV LAEIRYLQSLDQQEGGIKRGDDFYFAYDLRPSSTSYVEEGRGGLSQAVEQALKDEGMH PINLGAIPTPALTYYALKHGKGSIMVTGSHIPFDRNGYKLNTSKGELLKKDEQPINNN VQVTREELLSQPYAESLFNQQGMLRSAPSNLPPVAPEGRAAYIQRYTDFFRGETLKGK KLLVYQHSAVGRDVLVEILEKLGAEVVTAGRSDTFVPIDTEAIDQAQLDTVQNLYDST GQQFDAVVSTDGDSDRPLILAPEGGKLRFFGGDLLGMTVAEFLGADSVVVPISTNDAM DRGSLASVTEPKTKIGSPYVIAGMQHSLSKGRRRVCGWEANGGFLTGSDIERNNNILT ALPTRDAVLPLLCALFAAGNRGITLPELFATLPKRASRAALIRNFPRATSLKIIDRFS PPESTIQEVSYQADHVVAYNGSRAQLEVTESHAKKLEQIRQELETVFSPEYGFSSIAR LNYTDGVRIIFASGDVAHFRPSGNADELRIYAVADTQERADAIASQGVAEPNGLLRSL ERTV AFLA_065090 MAKARKTVRWLLPNHRKSIPDEWTTDPAEIQLDLIWKGENSQGQ IMARRFGLSNPQVVMTSKRETGTPQCMFQSGKRCYIWNEMDDMVWQITKPVGVMAILR TMVTKGEKALKVKEVEPAEDYNDEDDNE AFLA_065110 MPRVTSSSQIVDISPSGSIVSELEEQRNEMSDAIHADEKKIEPT PTRQDAFGDETHAEVKYKVLKWWQCGLLMVAETISLGILSLPAAIANLGFVPAIIILI SLGLIASYTGYVIGQFKWRYPHISSMADAGEMLMGPLGRELLFVGQMLFLVFLMASHI LTFTVAMNTLTNHGTCSIVFGIVGLIVSLLMSLPRTLAKMSWLSLASFISIFSAVMIA MIAIGIQKPGAVTTAVNHPSLVIGVTSALNIALSYASHNAFFNIIAELKDPKDFPKAL SLLQCIDISLYLVCGVVIYRYAGEGVESPALGSAGPMVGKIAYGIALPTILIAGVING HIACKSIYTRVFAGTDRMHKRDFVAVGSWIGIAVALWVVAWVIASAIPVFSDLLSLMT ALFASWFSFGLPGAFWLYMNKNLWFSSPKKILLTLFNTLCICIGIVMVSETNLYQCPV TFISLTIFSVDLVCTALARLFMTIPVAKAFHVRTLPSAFSSYCTSILGSLYFRIILFE NMSVENFMIFTTMCILLLSGQWASGVTRRMQPRNIVSKITVLVQIIQ AFLA_065120 MIFDEGTLIKLSCNGTTPSVVILDYGRNVEGYATFHVSKRSGDT SAFEMTYSETRALLDSDMGDGPIPFAAAMDTYRINRYNITEHKTYTNRLIQGGLRYQK LNLSSAGEVELSGIGFKPTVSSTPIAALPGSFSCSDPVLNRIWQVGARTTQLTEIPAN SLPDFWVITDEGAFIESLAPQPLNADFATSMTAYDLEFSVRPIMNGFGFTVLSDTIAS GVYIFVNAANSSISAYAGSTERSKPIASAKLTSNITLNQWHTVHSTVKMADISVRIDG VPVFSFSQSAAFYGSFGLGASLGHSALFTNVSLGVSGKKMYNSPLNDSSVLQDFLLGT NPLPVSVDGSRRDRIAYMGDLDIATATSFASTYGREYINGTIELLGSFQMPPGFFVPT AKVQQAPRATEINANITGLIGYSFSIVSAMARFYEQTGDTDFLSHWAPKTARMLDWAH SQTLPNGLFNISNPALGGDWNHYDPALDGVVSKFNLIYAYALKQWLPFMDNAGLNTTL YAERLDNLQNAINTHLWSHTLQAYYVSDSHKDFLSQEANALAVLADTATYGNRTSATV LSTLSRELYVPSGALAFSNKSVASGWAQKISPYASGYHLKAAFHANDSVNANYLLHSV WGPMSDPLHTNYTGCMWEVIAADGTPGLGSGTSLCHVWSSGPTADLSRYVLGVQPVTP GFKEWKIVPQSLDLNWAKGAYPVPGGRIYVDWSFDSSDLLHMNVTAPNGTKGTVYLPT PLRKTLNKYNATGFLSNEKGSFTVQGGETFSIHQTN AFLA_065130 MISEYIADDGRVDVTVVAKQAGLNDFLPSNLPTETRPLPDVPLS AITPLNIVIQIVGSRGDVQPFIALGTRLQKNGHRVRLATHGNFRDFVHKAGIEFYPIG GNPEELMSFMVKNPGIIPKMSTIAGGEIGRKRQMIAEMLDGCWRSCVEPDPETKIPFV ADAIIANPPSFAHIHCAQALGVPLHMMFTMPWSPTKEFPHPLANVKGSGTDASLRNYM SYSMVELLTWSGLADIINRWRVKALNLEELSPRTAAGLMEAMQIPHTYCWSPALIPKP LDWPSYIDVCGFFFRDEPLYTSPEIQEFINRGSMPVYIGFGSIVMDDSAAMTAMIQGA CQELGIRAIVSKGWSKLGQGCNDPNILFIDDCPHEWLFKHVAAVVHHGGAGTTACGLL NGCPTAIVPFFGDQPFWGKMVAAAGAGPSPIDHKVLTVKLLSEAVAFCLTRNAQQAAA SIAARMKSEDGVSNAAASFHRHIPWKDVRCDLLPSEAAAWLVDKKRGLKLSHKAMVIL SQHKQIDMQHLKPYRAKEMRIEKIYTDPFSSTSAAVLNTMTDFTVGLGKLSTSPTKSM TQMPSSLVKGTLVDAPTALAEGLRNVPRLYGERPERPVPIEGWKSGMTHAGKSLYTGF ADGLTGFVTKPYREAKTDGAAGFAKGFAKGSVELFSKPGAAMFGLMAYPAMGIYKSIK KGNLNPTERKIVEAQIELGDYMLQSFPASPAEIEMVLSRFNTLS AFLA_065140 MVRSVIDSVLEDVSKQLHELNHYTWSNPELAYEEHKAHDAICDF LEGQGFTVTRHAYGVDTSFDCISGTEGRLINLNAEYDALPDIGHACGHNLIATSSIAA FLALSQLQKKSGIKGRIQLLGTPAEENGGGKAKLIDAGAFQGVDISLMAHRGPENLGG PTGDGVAGVLMNARKELHVEYFGKNAHAGGNPWDGVNALDALVQAYNGISTLRKQILP EERIHGAFLDVPKVANVIPAYTNSYWQVRSPTLQGLNKLIAQVRQCIEGAAVVTGCTA KIDEDGLYADIILNETLCERFTRPMAAYGKKFVQKLDQVLTGSSDVGGFCKATISILL NRVTNILQGNVSYVVPTLQAMFAISTSNGSFPHHPDFTACAGTDEAHDAAVLTGKGLA LLGWNMLTDDTLYTSARVQCEGQIPKEAAH AFLA_065150 MGTEKEPHNDDEKAVYATQETEQDLSTGECTDFQVSPEAERRLL RKLDLVMLPTMGICYMLQYMDKLALSQATLLNLRQDLGLIGNQYTWCSVVFYFGYLAG SIPTSYLMVRLPLGKYVSTSVFLWGGILMCHAACQNYGGLVTARFFLGVGEAAVAPGF GLITGMFYKREEQPARQAAWFIGNCIANIIGGVVAWGIGNSASGLQSWRLLFLVLGGI TSGYALILFFILPDSPAKASFLSESERTIAVQRTLQNKTGSAAVTEEFLWDQVIDAIL DPQAWLLILYTFCVNLANGGLTSFSTIIIAGFGYSNFESLLLQMPMGLCQLIFLILTA LIATYIRSSRIMSMILNVVVSVIGVVLIYTLDDSQKVVKLVGLCFVATFAANIPLSLS IITSNVAGTTKRSMLSVSLFIAYCVGNIVGPQFYYASQEPVYSVCALAISDYIAVYSS TISGRTRDGIGDME AFLA_065160 MKVYASPDNDDESVTSFSLKNFTIACPIDLALVEIDDESPDYQR VRTLMGHVPGDYSIRQLFLDFNTAQTNHPDIGDSDFGVWTNDDWILKDMDVPTPPRGA QPTENYWRPDGWELGKSRVLDAEVLENVQMLFQKSIDTKLKEANAGQIGFTAVLNSGA QDPTFKPTALRFQTYPWSDATGPVDPGFEGTGRLNYLLYLEMTDSKPLPGGDDEYLNV TGNWTDGTDPSQEGIAEFASYVLARQRFLDEWFLPRLSKINRMMSASMTVTSHHWQVS GFDQMYYHWVYFIASFLIGDNVKGYDQPEDADRNFSLSFHESIPSTILDFQISSGHSL TPLDGRALCWYAYKRPTAWDDRRGNCDFVATCTNCSRFSIMPGTNDMALEGFTELYYG YHGEYTAFYKPVVIDRHDKVIISWKIEFTLKDVTDGGLAISVGDPTVKSDWKKQELRY DSYAAEISQRISDAKENLENLVHELKNGLQGEDKFFFPVS AFLA_065170 MRKVRQDNEGVQYEFNLNACCDIPETAIIQAFDFHQDADRTLYL ALAYQHDDQDTSAHLMLSQPFQPSLLQEGEIIPKLKGEEKKLGPVKRIFMTPMPTVIQ SSAEYPLVLLTHENFDQLTSWGDDITEVIVEPNLTGWFTSNALSTPVNVARVLDIATA TNFHGQGIFVLYETSSGESRVYGQFLKPDDQSSDGTIFRFQTEVQCPSDTQGLSTARD SRKNSALLLGSSSGLHYLDANRAVDKNATPELISREDEIQGCKSLKIVQDDNLLSIWF TSGIDQLGYIRATVTELADKALQRPVLLMTAGESTSFAPLIIKPCSRRGDVAWQTLVS NDYYGNLTLLEQATDQGLWRAKPYYSNDETEIYPVESFSMTITAFDKDHSPLRDSSVH ISAASAVTGLLNGRAVTLTGSGFWYKADSEGMLNLIIPTKGIASQSLTIDSIQNADGD TLVTERTLLDPNRKVMNRLEEKLKSFRSIEDLKDARTEDGELLFNPNDMPSDEDLQNG LQSFHLLCEAYNQLPADGSSPEIRPEGWFDWAAEAWNWVKDRFRDARDWFVDTAGKVW KFVCNIAGEAFEFVLDTIEKIGEVLTWIWNKLKVAFEWLIKFIGFLFNWGDILKTKNQ ISSLLTTGCDLVANKLGDAAGGVDQFFARLIENIDDLGVEQDVEVSPGTGSDRSESST VRDAQLSTSVNWAPERMKNGGLKSSNAVKSENDPTDDAEKTWADIFEPALLGIMDAAI EVGDSIKDLWTSKGSISASSFMDIGKNLLKLAVETIRRLVVAIIQSFEKLVHEVKDIG NKPIKVPVFSALYKLIARHELTIFDAISLLVAIPTTIFTKLIIGQTPPIIDNLDAALL DKLLFDSGSVPPQVQLDFNTFTTSLTVTTTMVKTVTNLIKYFYTLATKGSGGALKTMT ASRFILLFSVSLDMFSTLNSFPTDASLPGLEYRQWISYLSLIRGGANIFASFVSADAK GSGTARDKVLLVLDLATCLTIFGLYQAVGAAEIEAGSSWEDYNLESTITGIQGSVLNG VSGIGYFIAYMFQEEVPVSGVGLAILEAATGGLAALEGIKWKIDHDRQKRCLLIPAAY AFLA_065180 MGVTEIKTPAEFQEKVIDSNEPVVVDFFATWCGPCRMITPAIER LSNENQGVKFYKVDVDGLNTVAADLGIAAMPTFVFFKDGQQIKELTIRGANPGGVQNS VKALLA AFLA_065190 MVSFSSLLLAVSAVSGALAAPGDSTLVELAKRAITSSETGTNNG YYYSFWTNGGGDVEYTNGNGGQYSVKWTNCDNFVAGKGWNPGSAKTVTYSGEWESNSN SYVSLYGWTQNPLVEYYIVDKYGDYDPSTGATELGTVESDGGTYKIYKTTRENAPSIE GTSTFNQYWSVRQSGRVGGTITAQNHFDAWANVGLQLGTHNYMILATEGYKSSGSATI TVE AFLA_065200 MELIERCVYNPPTPPKRTREKPMKVLALGMSRSGTESLSRALRI LGYDHVFHGFEMWESTPMLWRSWTMLGRRKWGNAGTAGGRSNITREDFDNLFGHCEAI TDQPGTLFAPELISAYPEAKVILNRRDVDTWYPSLCTVLRPITTGVFYHVLPWFNADL YWEAQYVQCCLKPFFHGSWERHGKWVYEQHSATIRGSVRSDRFLEWTVEDGWEPLCR AFLA_065210 MQLWKHDFRGRTLIMAITMASCQAFLLLGFDQGVMSGLVGADNR FGRDFNNPDANMQGNITALYDIGCVIGSIVSYFIGERMGRRTMLMLGGFIMVIGTIIL ATSNTVAQLIVGRIVTGVGNGMNSSTAPVYQSECSPASYRGTLLTLQGTVTILGVVIA YWMDYGTSFYESSFQWRFPLSFQAVFAILLILQVIGLPETPRWLVQHDRHEEARAVVA AIEDRPLDDALVSKTILDIQVGLEEEQKGGPFSFKELFTWGEVQNLRRMLITISIELG QQFTGSNMINYYGPVMFQETMGMDRNMAMILGGCIQCTYLVGSAIPVFLMDRFGRRTL LIICSAGLCLCFVMVSILLSLNRMDCAYGATAFIFIFQIFYGVGWLPVPWFYPSEINT TRVRTRMSAIASGWNWMAVFAVVKITPIAFDNIKWKTFVIFAVLNAAFIPMVYFFYPE TKGLELEDIPLLFTKGGITGGVYSSKGGRTVMPGQHAQETRVNEKVEGVVQQVEDVS AFLA_065220 MSFNIARNTLIRTRPLLRTSTLTRTYQIQAHPEAYSSKNQEFFI AASFPDDFESPTLTEKRGVPTESWDELHATLSEASVKADRGEVKMQQLTSREELERML QPDKMDPKIDEM AFLA_065230 MHLTSLIVTAGVVAAAPSFSRRGAASHKPNVTNIQVGPRPYFLV DDMDEGPLKSKLESCAETPIRHAPQFSIAHRGGPLQIPEHSRQGMMAAARMGAGIIEC DVAFTKDRELVCRHSQCDLHTTTNILTIPELAAKCTVPFQPATDSTPAKAKCCTSDIT LAEFKSLCAKMDSSNSSATTPEEYQYGGPAWRTELYDTCGTPVAHHEYIELIESLGLQ FSPELKTPQVTMPFQGNYTQEMYAQQLINDYKRHHISPSRVWPQSFLDKDIFYWIQHE PAFGKQAIYLDERTDTEEGYKAAVASLPELAEKGVKIMAPAIYALLNATEDGELVPSE YAVAAKEAGFDIITWSLERFPPLAQAAAEKDYYVQSFTSAVGKDGDMYRIVDALAQKV GVRGIFSDWPATVTYYASCMGLN AFLA_065240 MYRDENMGEVLWGTLSQGQFHTEAGYKEREQNNPGGKGRHPQMA REVFLSIALAYVRHKAPYVFPVVGGRKVEHLRGNIAALDLSLSVEEMAQTEAAYPFDH GFPHTFLSGTLFDGSTPRTPDGPGACG AFLA_065250 MPLPAHPHPRSPLDRHRQLAPSASVRVSPLCLGAMKFGDAWKDS LGECPKETAFKILDYFVSQGGNFIDTANNYQNEESDKWIGE AFLA_065260 MAQYEASLRKDYALGSPRVDQLLTLIQFNVFRALVDNTSMLRFT MDWLEEEEAISPWCTSGFESEISLCPTSLRPTLLQKEIPHHPWIDLFPIPQMRDNLLQ RYGDFDETALCNDLVDFYDVSNDETGLIVWRTPWHPTGWEVSETFLRKWSWVVRGCDD LANSTNYWRGLRGEEPLVFDTGL AFLA_065270 MMLSTTLILLLATIQRIEAATTHRVEFDSNGINLVGNLFLPDGV DLTATNASLPAVVVGHPWTGVKEQTAGLYAESLAEYGFATLAFDAAYQGESGGLPRYL EDPHQRTEDVKNAVSYLTTLSGLVDPERIAGLGICASGGYVSYAAQTDKRIKALATVS AFDVGQYHQEPWGGGEVNYTALNDLFAAASERRTREAATGDVELVFSAPMSPEEVTPD LPLMFREAYDYYRTARGMHPRAPNVYVTRSEELMATYDSFDNMRLVSPRPVLIIYGSR ADTGYFSQRAYANALEPKEEFVVPNATHFDLYDHTNATVPRLVDFLRESI AFLA_065280 MPYLITGIPKDPKHPLPIRKDIDDWYLEQTSAGSNRIQLTLFVE ALTVIQNRPLNDQLSYFRLAGIHGAPWTEWDGVPGGQKDSKGNPTGFCVHNNYTFPTW HRVYVTLYEQVIYEAMLDFIKQNVPQNGKADWENEAKQWRLPYWDFARFARHGHDNTQ ADELRLPILVTMPMVKVVVPGQPGKQLSKPNPLYRFQMQTLMGTLERPYAITSQKTEE HGWSFDLPFDKCQSTTKYGLLENYNADVWADGGQNWLRANLALNEHPWYQNLDGWDSV PTLQDMTFRLLTTGGLNWGEFSSTRYDDKKEEAQPKNNEQTPKNWMNLEAIHNNVHNW VGGFMFSRPGRHDLKLWGAGHMSSVPVAAFDPIFWLHHCNIDRLTAIWQTVNSGSWFN DDKSKVSKDDDLRPFHRFCEKTRKVVFFRSDDVKDWRSLNYDYAITKDASRIRKEISD LYGQRTKEVYKDFGEEDYILSIRYSRYALGGKPFQINIFFGDVDGKDFYDARSQNFVG SVFNFSGSLEDSNCDKCAQQEQEGVLSVSQLPARLAVHYYKKQNKGEVPTPRYVVVNS QGKAEAEVKVEVALHKTEGTFYDAPARGGSDDYRRVADGKRAEVDDAYRA AFLA_065290 MNTNLPVKILELDPPPLSELSQVIGERLSRHFGHVAANVVPCPD LRQPPFHLAGEGLCGNERIGDVGGPPHLRPSPRLDKKYSLPSIMKMIEMRQQSFVLGA AAGPFHVIGQNSELMPNLARGQDGEWQNETHYAKVNEEGQCHLDKVPDDSKDFGLMAN LFASDGLPGDVIHIRVKHRTGDMNFTEAIQDALKAEYGERPISLGGIFLVRSGTTKLH VMTDFSPDPCPGPDHEWFKYYDSSAPLICLTVFHSVDSESWDLRMEHTHCFSTHGMGG HYHYDTTAEVVEYEAWLNTAKVIYRIDQPGQ AFLA_065300 MPENRSVKAALALIEDPTKILGMTVGPHADVKPGQYIPKAEAQS HPTLSFDAASPSATYMVVSLDIDAPFPSFGVLGPILHWIQPGLKARNGQLEVTEPFVA NYIGPAPPPGSSPHRYIFFLYEQPEGFDGKRYAPPNGQNLSNWYRMRYDLDAWEKEIG LGKVLAVNYFTSN AFLA_065310 MILMLQVSCSLTPHVCFIQFAPPTPSTSMYAMPAAKSPVRRRHT RVFELRPSRSGIRLQYLENRVRELEAREETETSANIRAQSTPWRQEQHHHASPTRLPQ QGVHSLGPTRTIHPVDVQSPLSRTAQTDDTSGASPAVSSSSRITRDQPLAHEVGLLSL TNASDPKYLGPSSGVTFARLIYESVPQSQGLPLAYSRQNDQDQGLNDPGQGPVLCEAL QVDLPSMAECQQYAEMYFAASTFYPFISQDVFYTLLGQVFHLSKTSTWESRLPVKLAL AQVFLVLSLGARSLEIKLSGTFGSRELFTTGMSYGTQIKLHDSIEGVQILLLLVQHSF YSPEGLNAWYLLHTILASCLDLGLQRRDNSSKENESPYQRNIRHLRSAIFWSAYSMDR TLTTILGRPLTLRDEAIDREFPGFDSNDEVEEAATYWDRNPNSQEAAPISGRAPTSYI ACIYSLRFDRIVAEIKLMLYRVSRSPSRFPWPADVGAWQREAQRACVALLQEVQDQQP GRLQSGSSPLSGVAVQRLELKYHQCIMLLYRPSPQMPRPSLDAVQECFTSAMEIIAIY ADLHRFLNMECSWLSAHSIFVASITVLYCVWTYPVVRGTTPMDVCLKRAELALQLLSF LGQTWTVAQEAGQKLAKLIKSTSEAYDVLAGAPVDPQSLDNNVWTGNDSTGFAQRPNA DRTYSNQEGSATNAPPADGKSFLIDELGILRDLFDLGWLDDVPDGNQSFFGLQTDMA AFLA_065320 MPRMRYTVPCMLICDIGKVCLLLFHDFARLPPCNCFPVLIVQSL IGLSNMGSDNSTYPASNLTWQILNHANENNYAVGAYNCYNNDGIMAVIRAAERKRSPA IIQLFPWTMYFQGPEFIRYVVRAAHAAAVPIAVHLDHCIKSEDVELALSLPLDSIMVD ASTLDEEANIRYCKEIVDRAGALNITIEAEMGRIEGGEDGLPTVDMEAVMTRPEDAET FVRRTGVHFLAPSFGNIHGGYPAGGAEKAWDLERYVQNYFFLRRPLAIYALELFHIHA DRLTFVCLAAIGKLVSGITPLALHGTHPVSDELFQRTITCGVRKINLNRTVRDDYTDF VAKKAGSLELTALKVQAVEIYAKSIERMMDILGSSGRY AFLA_065330 MASARYYADPTAAGKFASELLVKAGLSPEDAKSMADCLVMADVR GVDTHGLARLPQYLDRVSNGRVKANPEFKLTEKTPVVAQLDGDNGFGFVVATRAMEEA VKRAEIYGIGMVTVNHSNHFGMAATYVIQALEKNMISLVFTNSAKQMPPFGGKETLLG ISPFAAGAPSNNEVPYILDMAPSVVAKGKIRRAARRGESIPLGWALDADGNPTTDANI ALNGSMAPIGGPKGSGIAILMDVMSGVLTGAAFGGEVGDQYKDTKPQNVGHCFIAIKP DIFMTTDQFKARMDTLVQRVHGVTPAPGFNEVLFPGEPEHRLGMQRRKEGIPYADAEK QMFLEAAKQYGVSELPLSESPLSLS AFLA_065340 MAAKCATPLRSLHPQRFGRIVSRRLYSNHRPTVAVLFQDIDPPV INGVRKPRKPGGYQDSGADIAYTLQSKGINITTPDPSPQVFNHEGWCFSDTEEGIVSA VNNGATHLWANTILFDSHPLQQSQKLTPYASDLYVVGQPPGLVENCDDKAFLNDKLRS LGGYTLPRSWLVTDSHNLDEFVGSMKSFPVVGKPVRGRGSHGVKLCHSPAQLKQHIEA LLGESPLIMVEEYLSGEEATITVMPPSPERPEHWSMLPVTRFNHADGIAPYNGSVAVT ANSRVVTNDEMKDPAYGKVMEECQGVAKLIGATAPIRIDVRRFREGSEFALFDINMKP NMTGPGRPGREDQASLTAMAAAAIGWDYGTLLQKILASAQRLSAFRDYRSPF AFLA_065350 MFKFRPEVTQEHKDTFVRELKKLKELDCVKGHRLVVGGPSVTDP IERTKGFEIALLSFHENLGELEKYQASKEHHWVTSTYMFPYKEDLVRFDFEVAPEDEY MWHFLPVKGMNGANGVNGHETQ AFLA_065360 MSDKVKHSLQHDEEAVPTEKGMSNRVAAAQQMSAEEFAAAEKSL KRKLDARLLLSVWIMFVMNYLDRNNIGAAKVAGIAKSLNMTSEQYATAVAILFAGYVL MQLPSNIFLAQMRPSWYLPGVMTIWGMLSALVGVTHNAGGLYALRFLLGFVEAAFYPG ALFLISSWYRRDEMGVRSAFLFSGSQLGSAFSGLIAAGIESGMNGVRGLESWRWIFII EGSATVFIAICAAFILPDWPSNTRWLSPTERAVAEWRLIADAGQVDEDDGCWSYGFKR ALADWRLYVFALTFLCIQVSSATSNFFPSVVQTLGFNRVNTLLLTVPPYIVALLISIG NNWSADRLQNSSFHIIWPLALAIVGFVIAAATLNTGARYFAMIAMVAGGHGSNAVLLA WVQKTMLRPRIKRASAVAFVNAVGNLSQIFSSYLYPDDSAPRYVLAMSVNAAFSLAVI ILTLFMRTVLLRANKRLARGETTVEQEMKGGSQAMVPGVTEEERATRREDFRFIA AFLA_065370 MSSDPKDIIARLQQWGACDVADGLSKLKYPNGGFLEGLTMYSPQ FQAGETKIVGQAMTVKFVPKSDVSSPKVQGNYIDKIPEGAVVFISQPLPHVNAVYGGL MTLRAQALNAAGVVIDGRVRDLNEHRALNFPLFAKSVGTTAGGEVCRPSEVNVPVRLN SENQDAWINPGDYIIADLNGVVRLPQELAEQVLDAIPAIADADAKCAEAIKAGRSVED AFREFRGR AFLA_065380 MGSITTEHKSSFPKKPVPSGAWDTHHHIFEPDRFPFAEGRHFTP ARASLEDLQKFEKSIGVDHVCIAHGLSYGPDCKSLLYYLKQFQGQARGICVLDLDTVS NELLDEYHAAGVRSVRLDFFRHKAMDNVQIQAELIEATAQRLATWGKPGWSIQIQQPH LEFWPRLRDVVDRSPVPVVVDHCALIAGSSYRVNDYVTNIQDGSYLAEGERIGLAALC ETLRNGNLWMKISAPYRCSNLAPGYDDLRWLVRRFVDANARRVVWGSDWPHTQRHKDR VGKSSSSEEAFLQIDDKAWIESLSKWMSEDEWQLLWVENPATLYDYHE AFLA_065390 MRIGVLKGNGIGPEITAATIRVIEATGIQPEWDFIPIADEAVRL YGHALPPQVIQRIKDVKFCIKAPLLAEKLHGRISCTQTDGSVVTYPSINNAIRRELNL FVNPRPIRGYVGISGRHEKMDMVIMREITEDTYIGWEKPLEDGAAAEAIKRVTRSASW KVSQYAFEYARKHGRKKVSCLHKANVLHETDGLFLRTFQEVARLYPDIVGDDMMIDAA CYSVVRDPCWFDVVVTVNQYGDIFSDLAAGLAGSLGLAPGANIGDSASTFEAAHGAAP DIAGTGAANPIALILSGAELLAHAGYGKGSEAIREAVTRVIEAGRTLTPDLGGRSTTE QVADAISVEVRAILGH AFLA_065400 MSSVSQLIEPRQQVAYEKRQAYSDDIDSVTVQMHVADSYYAGTD YRIFFAFGDAESTFGGVWSQISTIWEFSDKGVQQYNNILKVFDGPSRGDRAEVKLDLK KAFQKDRVSVNDLSNVSIAVLPPHNPSIDTQWSAVLQGGFKIQVTAHHVGLGRDLQNK QFNGLNEWLTPKLQWTPGTGQIVWKGELGLDKWLDQDQKPLSIDEPSSGVGSCPRFIG KGGTIGKRQNDDENTPPEWDVWGAFEEAKKHLEANTQVGRNRYPHQFNNREALQIPEE FRNRRLQEFPILRGQIYSGQAPGAMRVILAQVGNNWLLAGVMRHPTGRGNAFELCQEW GNAYMAQCWPNGLDTLQHLEYPGCDRA AFLA_065410 MSLGLRVTNIHDEMDQMEQNIVRAVGRALADSNAESARVAADTI DRLIRMKYIEERGNGNAEDLETFLWQLWDIVIQGAREILHDHPLQDRLVELVSALTEI PPVTVELWESKTCLWTDLPLLGPSMREAWISPTHNNSKPTSDEVVEWINLNAFAARLL NLHAISWTEFAVWELRAALEEPCEGQALECNVVVAMVWIKHAGHILYALAVNTGEDTD ILEAANGLFYEGRSMLCLERWEFWKRRFGELGGHLEGNAYHVAFEARKEMEAIERSHK G AFLA_065420 MGGFHVYCAICGSTFSSRSFISIDSDDEMGDHTYSGEVIGDSDL GWLDDLRALGFNPDAVGERKVLSMPTQVKVVFDIPIKLFLRSNIKKIQTFPLVQTVNR RIASTPICSGMMGIRNIYLFSLFISCATRRFSFDALRMKQ AFLA_065430 MKLSGSSALLLLGFGLLGHASPLVHVQGKNCTVKPLGHGQDDVP NILHAVEKCGQTPGGRISLPAPYTYRINQRMTTHLESSTLEVGGMLLFSDDITYWVNN SYRIDFQNQSTAWRITGHDYVVDGGPERGGIDGNGQLWYTWAKGGSNVFGRPMPLHVL NSTRAVLRNIAIRQPQFWAVLVESSSHVELDNFYVNATNSDPNATEDTVWIQNTDGID TYRSDHVTITNWVYEGGDDAVAFKPNSTNIHVENVTVYGGPGIAFGSLGQYPDRYDIV ENITVKNANVTGKSCIPQYIVLTNLIQDRCQLRCPSERRWKRPWLCAQCDCRGYHIQR CTVTDIHRHMVSNAQRTATHRLTEPSLSYLFDQNVTQYCDTSTFRFDDLHFRNISGNG LATPTNYTGRNITFAVSMICSKEAPCTDITFEDVDIKLPESYSGKSVLCENAGVQGLE CNS AFLA_065440 MYISKGLFLLSTPFALGGLAAAGGCGDADACVGTEYCTTATFTT PTATTITTCVPTPTCLGVYSTKCRPTDPKWPGCSEDLGVCLADENCCYKNKCIDGLCR RVKTEKRAVLF AFLA_065450 MRFISASSLLLALAPTLNAVPVEVAGSAQGLDVTLSQVGNTRIK AVVKNTGSEDVTFVHLNFFKDAAPVQKVSLFRNGMLPNLNLATTEVQFQGIKQRLITE GLSDDALTTLAPGATIEDEFDIASTSDLSEGGTITINSNGLVPITTDNKVTGYIPFTS NELSIDVDAAEAASVTQAVKILERRTKVTSCSGSRLSALQTALRNTVSLARAAATAAQ SGSSSRFQEYFKTTSSSTRSTVAARLNAVANEAASTSSGSTTYYCSDVYGYCSSNVLA YTLPSYNIIANCDLYYSYLPALTSTCHAQDQATTTLHEFTHAPGVYSPGTDDLGYGYS AATALSASQALLNADTYALFANGTYSSLLSFVNPLLTPDNSCQPQLLDARTCNRQFGR STSCKVYWKAVE AFLA_065460 MSASLSLQVTITPPIIPAFSEPPTVPIQVSVHNPSDTPITVLNW GTPLDPSANVLSIFELRDTTENQPVTLPTIKISRRMPPSVDDLVEIPAGSSVEKEVTL PHVPLTMGHEYSVQAKGNWHSVWEGPRENVTAEKLERLGDAQRGKFSSEVVPLRIE AFLA_065470 MTSDAKILLTGATGFIGGSVLTALLQSSASSLQSGPITCLMRGA DRAALLTSTYGERVKPTVYGGLDDLETTTAVAAQHDVVINTTLGYHSASTKALLRGLA QRKAQTGRDVWLIHTSGTSNIGDKPISKPVGVREFDDLVDAIYAHEKALEAAEPYAQR TTELGVIDTGLELGVKTLVIMSPIIYGNGTGLFNRNSIHTGYMKAMLQIGHAVVVGDG TGLWDHVHIEDLANLYRLVVLDILERQGKSLPTGKKGIIFSANGRHSWLEYSQLVADA CYERGLILEKKVTHLSLEEAVATLIPHLGFAKEVFAEEDLKSAAEMFSSNEMTVATIA RNLGWNPVKGDEAWTQAFRDDVDSIIKSKG AFLA_065480 MLSINHTRGCPRENLFVDSPVAGQATHVKKISFATKPNTANASL FRVQPAIESLVERRSEPRRRFLNLYFEKFNPYWLLIHRGSFDEDIEAPFLVQAMIVIG MWMSDEPNARSAAIDLHNTLASAIGQQREAWDVSAKEDIDGASWPIPTYQGILLHIIF ALVNAGAGNLGIDLKPSLSRTNTDLLNSLVGSCKRLDMLYYPSILARYSQRDSRPYIW LGIEETKRFNLALYRVYRAASVVGKRADDADTHARLTARDLRFPFPTHTRLWKTMSMA EWGSAAGRGVFDHLLDDTMEEMWISRAHEALGIDWELEYTPQD AFLA_065490 MAAVEGQVLEAVPWDEMLGAIGYPEEDRDRLVQAIGLDQFNDTG THGLFNRSRQRSTKFVIQPDPSNIKRVQSLIKQARIRTRARFHIGGRVNRQHNRLCYL PVEILCRVMDYLSPDDVEAVQIAMKYYLGDAYWRTRVPISLFPEVKSIWHETLDWQFL SLELEWLWETDDLAFRRYVLDRLDEMQASLRQLANE AFLA_065500 MSDRRNDSHSSFSSDDLLEGTDSPICAASDTTYDTYDANATTNY GVQGAKRLNTEKRTATDMSIHEYEAPSGTKIKKTNFENGRGRTIYNPSTGAYDEILDY GTDDAEHHHREKLSADGIYQLRDVDLHRDGTRHVHREYNNPIAGITTSVEGTMSGRAF EAGF AFLA_065510 MYGLKHIAFLGLALAMATGSAAGTATVGFQTADSSFHVDNIPLK TCFDVHAEDVTSVYVSEYCRVFMGRGCTHRQVLLTPGEHESEISIPVIDSVWCENRGP FPMS AFLA_065520 MSTIPSTQTLMADYKGQPLPELGTLIVLDGLPVVDKAHRPTLIR FLLRKLDSPGPTDTRKVYMPVDSQQRTIGSAIIRYLDASQAEDTITRLNGSHLDSNHQ MTLEKIEVSERYRHMQNMVTGIEQQLGLVFPIDMETELFQEG AFLA_065530 MSSMEKTTSQGHTPGKASSEFFEKSEANIQSVDATSLLSDQHRD YLLQRHGTLDLDPIPSMDPADPYNWPLWKKVTNLGLVAFHACMGTFTAAAIIPAYEAI SEDLGVTLQRVSYLTSLQIAILGGAPLFWKPLSHRFGRRPIFLLSLILSCVCNIGCAK SPDYASMAACRALVSFFLCPAMAIGSAVVTETFFRRERARYMGIWTVMVTLGVPVGPF IFGFVAQRVGYRWIYWVLAITNACQFFLYIFFGPETRYIGTEVQSGGSPFKREYLSFG RIDPTPFRFSEFVHPLSLFTNIPVLLASVAYAMVFLFASVLNSVEVPQLLQSKFGLNA EQLGLQFLGLIIGSLLGEQLGGFMSDMWMNARARRIGHKPAPEYRLWLSYIGYLLTIA GSVVFLVCTEQATEGTWTVVPVVGTGIAAFGNQVITTVLTTYAVDTYPQDAGSIGVFI NFVRSTWGFIGPFWYVNVGCVYKSLANNVRFPDMFETVGIAKSSGVVAALVMGVSFLP TVYLQWQGKRWHAVAEQ AFLA_065540 MHSKLPRFSILLQTALTLLLTVTHTLAYRPISNKTLTHLPRPNT DFNIHNGTLLSPILQTRVPGSPGSEATRSHFTNFFAKTLPHWKIEFQNSTAKSDTNEI PIINIIATRDPPGIPAGNISRLTLVAHYDSMNSPEGFIGAIDSAAPCAIIMHAVRSID AALSRKWGTLPTVQKAEGIQVIFTDGEEAIYPDWMEILFGARSLAAEWENTRSSSYGN PAGYLAADETNKLLVLSFRGSADLANWVANLNFGLEDASDLCSGCEVHSGFWKAWSEI ADTITSKVESALSDHSDYSLVLTGHSYGAALAALAATALRNSGHSVELYNYGQPRLGN EALATYITDQNKGGNYRVTHTNDIVPKLPPTLLGYHHFSPEYYISSADEATVTTTDVT EVTGIDATGGNDGTDGTSIDAHRWYFIYISECS AFLA_065550 MENVQLTRYSRQWELSQATVQRAVVGNLFTIGACGLFVVPLAHY FGRLPVTLFFQCVMVGTCAWSAAATSFPSYLAARIINGFFCSVGQGGALMWIKDLFFF HEHPKVINYVEFSIIMSPYLGPLITSFIVSGVSWRWAFWLCTIMSGQSKDWKHKSLVQ CLALPVIAITKIPVLTILVYYFLNFAWVIGVNTTIGIWLTNIYGFSTRGIGYFYFFGI VGVLVGWFAGHFLHDGVGQYYIKRHNGRLDPEARLIITYPATIICCISLIILGLAFQY HWHYMVIAVFAATQCIGVMIVTTAINAYLLDSYPEGSGVVGAWVTASRNWAGFMATYI QIDWVTRLGPAKALGIQAAITFASVFCMIFLQVYGKRLRQWQGRMVFSWEGKK AFLA_065560 MYGLGDHQSLLSAHNIVQTNLWSWMAQIVAILCLVISRIAVIAF LLSLQGRTSSIGRIVLYTVGAVQGIINVIEVALILKQCDPTEKLWNPAIAGTCDRVLI CSQVGFLQGSIGAAADLFLAFYPVYIIGRLQQMKLSTKIGLCLIMSGGLIAGIAGINK TIAIASITHDDLTYGIYKLNTWVLTEMWFIIIFGSIPVLRPFFVRFTQDIKSAAGYGH SRSRTNPSDYLCSGRNNRESWMQLDDRSHSTYVTPVSTYAKTDSYLQRGENGDCTFGQ QQSGRQILMTRHTSVESERC AFLA_065570 MAVSRELAEVQRLVAAEAAGDENAHGELLRAIRQLQLAVETPVE TTSRFNFQIMQNISIRVAIEKQFLHIIAARDGAPITASEIASKTGENLLLIVRVMRVL TAIGLCDEVGNETYAANEKTHFKILPGSIAAEKHHFDLDFGMGGRLVEYMRGPGIQQF VDEPGAITLFKYAHGTDVIFGLLEKNPEQKQAFDDYMASRRVANLPQWFEIYPAAEKF SDAHQDPNATLMVDVGGGPGQELIRFKERHPDIPGRLVLQDLPLTLQRIEKLPDGIEP MEYDFFTPQPVKGARAYFLRDVLHNWSDAKSTQILSRIVEAMDPEYSTLLIDDYVLPD TGAELRAAEMDILMWLHTAGLERTVSQWESLFRTVGLELVKIWHSVRGNESVLETRVQ RK AFLA_065580 MEPLSALGLATAVIQITQFTGQLIRGTSHASQAADGLLLTNANI KDVAGTLLSLTEQLVFPPSFVTLSGEERLLHEICLECRKVSESVLDRLGKLQRQQPLN RWDQVRQTFGQLLGQGETNALATKLSNIREQLNTALLICLRNQLSEVFSRQTDPGALR FLSQIQQVWNAGKPWYSELLLAIHRNDWDAHNQHDLIDFAAALDNGTEGDRESDFCVA ILTLLKFRGLPDRHEAIPVAHKNTFEWTFHNQAEFPKWLLRGQNEIPFWITGKPGSGK STLMKFLYNEPRTRGYLKRWAGSQPLIMGGFFFWNSGTVNQMSQRGLLQSLLFQVLHE HRQYIRQVFSERWQRYNHLRRGLHDWTIAELQQALEMIISEELFRFAFFLDGLDEFDG NPQVLVEFVGRIAEKDNVKLCVASRPWNLFQDAFEQSPSLRLEQLTYGDIRLYVSERC RQNRRFVNLLQREPDRARDLETAVVDKASGVFLWVYLVVGSLLAGIQNGDSIADLRKR LAALPSDLEQLFEKLLNTVDAFYFESLCHLMQLVRHAYQPLNLLTFYYTDEAVENALT DPIRPLGPDQVIDFHEEARRRLNSRSKGLLEAPSSPKGTIQYLHRTAKDFLHSPKVWV RITAGSNARFDANYTLASAYLRHLKTLKSSRMGTEEFWIPAIGCLEHSVRAMLQSATG EQHNQVLLLMELDRSSRAIWTATTPGDQCALNGRVPRRAERWPCTLGIDYTFDALCVR LKILPFVRYQLEQNHPGQAAYVALLLAALGCTPLPPHFAAAHTLLEVRTQDPDTVALI LSSCPNPDWIRDILCEFKKNCNNKELQAVVSAYLRNTPKVDSRLGPKAWVQGFTKKRG MM AFLA_065590 MTTETSFLPSVLITGCSAGGIGSALAETFHERGLHVFATARSTS KMAHLEKLPNITLLELDVTDPKSIESAVEVVTAKTGGKLNYLINNSGQSLVLPALDTS IEDAKRLFDVNLWGVVAVTQAFSPLILATKGTIVNVASLAAFFRSPWLSFYNASKAAV DAYTHTIRQELAPFGVKVVTVTAGTVQTNIFRPVEEISLPPDSIYKAASKQMVGIANG KLIQGAMAPAEFAKRVADDVLGGATGVIWRGTMATIGRIMYTILPTWLMVSWCPLFRD LGSTVLTSGCLGSFDIPWFRFG AFLA_065600 MTALHIQPYIAEMPSPGKPLINVGTIGHTDHGKTTLTAAITAKF GTTCMADDQTDNTLKEIAHVEYETKARRYRHIDWADQAEYTKNMLSGAAQMDGVILVV SAEDGLMPQTREQILVARQAGVSYILAFINKCDMVDDTDQLELVELEVRDLLNSHGFQ GDNMPIIKDSARQALEGGLDESDLGERAIVHLVEALDAYIPVSVPAVDRPFLMPVEDV FSVAGRGTIVTGRVERGMIRVGDDVEIVGFGAVVRTTCKGVEIFRKSLDQGRVGETVG VLLRAIRPEDVIRGHVLAKPAQIRMCTDFTAHMYVLRKEEGGRHTPFFNHYQAQFLIR MTEMTGSIMLPEGMVMIVPGDSPSITVKLIAPTAMEEGLRFTIRESGRTVASGVITSI LE AFLA_065610 MPATVQPLTPPAGSDINFGAVIDNVDLENITDETFSTIHSALYN HQVVIFKNQHHLTPKAQYHLTQRFDPSSTQYGHGKTIDAKRSILHPDLKTVPHQPQVQ IIGHGSIDSYEGLSNFQLKHPHHKTFHRDAIPPEEDYDFTRFYRWHIDAALYEYYPPK VTTLLAVKVPKGRRQTLRYDDGSDETLDVPLGTTAFVNGERMFEMLSDEDKEFVLGSR VEYAPHPYIWMSPARSLPTGLGLYSEGLELSESELPPIDQSKIMILPMVWKNPVTGKP ALQIHPSAVRKIHQKDGSVIDDLARVREIVYRLQRPAISPKHVYVHDWEEGDLVLFHN RGVLHSVVGAFGDDEVRLFRQCNLAAGEAPVGMSD AFLA_065620 MTHSALVETRFPTPVAMGLSRPSPHSSSGETICSTDVDVERKDA LPTQEIEYLYLELETPLPTPQITLPPGPNQSPAPECPDMKQYISPFLWPKWRKTMMTW ISCGVTALAGYSAGEVSPASTELTAKWGISGVVYNLSITIFCIGFALAPMVLAPFSEL NGRRPIFVVSGVVFTACILACGGTHLFAGLLVARFFQGVGASTFSTMVGGVISDIYHA EDRNTPMALFSGAALFGTGLAPLLCSVIVYHTTWRWIYYSHAIVSAVFVVIIFFFFKE TRGSVILSRKAQALNKYYEALEDAGHFGVIMADESGEKQLTKRIRWKVKSDEQRASLG QMISISLYRPFHMLFTEPVVFFFSLWAAFSWAVLYLQFGSVPLIFQTNHGFNVEQSGA VFTSMCVAVIIATLISIYQERVVSRFVKLPNTPEKRLYFACVQAVLMPAGLFWFGWSS YPSVHWIAPALAVGCATMGILSIYLAVFNYLADTYHRFASSAIAAQSCCRNLLGGVFP LVTHALFTNLGYPAASSLLGGIGAALTLVPWVLSFYGAKIRAKSKLASELAH AFLA_065630 MSVAVQTLVQPDIQYHPDYEKYTARKARRQATEQLSKTLPDGFP QKLDSPLVWEGKDVEKRDDWIYRLNDAHREEIDAALKSFQAQNLSLGNINQDTFPLPT LRPTLRSLSNEIHNGRGFFVLRGLDIDRYTREENIIIYAGVSSHIGNIRGRQEDRRYT PGGGSVVLSHIKDLTRTSAANAIGAPSNTADKQVFHTDSGDIISLLCLHPAAEGGESQ ISSSWLVYNILAKERPDLIRTLSEPWPVDGFNDPEKPYTTRPLLYHQKATDTTPERVL IQYARRYFTGFLAQPRSTNIPPISEAQAEALDALHFLAEEHSAALDFQKGDVQYINNL SIFHARKGFRDEPDKERHLLRLWLRDPENAWATPEPLRERWENVYGNVKVEEQIFPLE PKLRKTVGR AFLA_065640 MTSKAADKQTTLVQTVPASAIEDAELSFLFSKDEHNQDFWTAMR LHWPAVGWGLFMNLATILKGIDGGVVKGLVGLDAFKETYGYNHNGTYMIAARWLSAFN YANLLGAIVGALCSGAAYNRFGPRIMIAMCSCLSIAFIFIQFFSHTPAQLFVGQLVNG AVIAFYPICASAYVGEVTPLVLRGFAATMTNLAFSIGSLIASGILKGTQSMESELSYK IPIATQWALPCIMLFLVSFCPDPPYWLCRKGRYDAARASLRRLATPSIDVSRKLAHIR ETLRLEEEFQGDRPHYRECFRGPNFRRLMICVMAYSMQAFTGNVFFINYAVHFMELAG LDSSDAFSMNLGLTAIGLLGTCISWFLLSYVGRRTMYLFGCSSLALLLFIIGAVDLAP RETNTVWAQCGLMLVCTFVYDLSLGPFCYVLLAEVSSAALRGFTIALATVACFVWSVV FAVAIPYAMNEDEADWKGKIGFLFSGLSVLCVIYVYWCMPETKGRTFEELDILFERKV PSRKFKYYKIDIDIDGRRLEE AFLA_065650 MKKDDDPAGRDLIMVANYNIRVEVHPPGSKFRPDNEEGIKVLYG RVTDIDGEWKPLGNKKFPKRYSLTTKDKYLSVSETTGAVILRLKHNGELKRQWCPQEK VPVKNLFDMNDVGLGTLDLKFIQVKDTWWGADFEDAHFFNMTGFHFRFLENKQNIAHM QFWIAGPNVDCRLHDHSDNSFKELHTCLSQGSSEHNETCQGGMWAPKEIYYNEPLDEI KRLRDKCSRDRHKGCQGACLEDYLEHCPLQPLQEHGRIWHADHYGQTVYRKNKTVSYP GHTWIAGPGPYVDVWMALEFDGKLQL AFLA_065660 MRWSGLNSGKIEFLDNPRNTSADPNAWTVYQVAKLNTPVAVVPM DITRNGLMDIVVCHDFGDTMIQANMQGGHISWFENPGRDKLEQDVKWTQHYIGRWPAM HRLQAGYFTQRTFPELIGAPVVHGREDKTTPVPIIRFQAPEKPLDAKEWRRDIVDDQH FTIIHEISARRAKGPAGLDSLLVSSREGVSWLYYDDGRWKREQIGTGEQRLPDQLDDS ISPGSGDHWGTGSADIGKIGGDTFAYVAAMEPFHSTAITVYIKDNHPIYGRTWRRHVL DKYGTPTQLQHWGDGPGHYITCADVDGDGNDEILVSLFGPLNRDDDGKPTDGIYCYKP IDLEHGVFAKWHIAKESSGRSAVG AFLA_065670 MVDTEYDALIVGSGPIGATYAKILADAGKVVLMVETGTQESKIA GEHKKNAINYQKDIDAFVHVIKVISSRISTFECISLTFDLLLFQGSLHYTSVPTNKAA VPTLAPISWKANGQIFNGQNPRQDPNVNLDANVILTWTSWTCATPRQKEKVERSDIFS GDEWDSLYKEAEKLIGTSKTVLNDSIRQELVMEILNDEYGKRSAEPLPLAAKKNGSTA YITWSSSSTILDAMNCKDKFTLWPEHHCEKFVVEETDNGPQVTKAKIRKLATDELITV KAKVFIACGGPILTPQLLFNSDFVPTKPNRDPRTQIPLEDDEEGIAPPPDIPEYLKLP ALGRYLTEQSMCFCQIVLKKEWIEAVANPKKNPYQSDGVKRKKWEKLKEGWKERVQKH MKRFNDPIPFPFDDLDPQVTLPLDYHHPWHTQIHRDAFSYGAAPPAIDKRTIVDLRFF GTVEPDWKNYVTFETDIRDAYGMPQPTFRYKLNDEDRKRSHQMMKDMEEAAGALGGYL PGSEPQFLAPGLALHVCGTTRAQKKEKECDPDPKETSCCDENSKIWGIHNLYVGGLNV IPGANGSNPTLTAMCFAIKSAKSILEGNS AFLA_065680 MSGMGILVGLRVDMQSKMTKKLEGLSNGDRKGAMTASKQWGKII CHWELEFKFGQVPGRHITQQSQDGVN AFLA_065690 MAPQSKSSFTITHLTTATAILNIDGINFLTDPYFGAEEGTAYDE TAELINADLSPLGLTSPPPPPHLVNRKGPSVRLHDLPPIDAVLLSHEDHIDNLDPEGR KLLDGRRVFTTMDGAKNLSPRPGVIGLRPWQTVTSVIGGKEFRITGTPCKHFPVGEVT GFVLETDSFGNNAESGMPNAIYFSGDTVYIDELQEIGKRWHITASLFNLGNATFTFPV GTFQITMDGKQAVRLMRDIGTEVMIPIHFESWDHFQEDREGLEKVFTEEGVIDQIRWT EPGVPKRIY AFLA_065700 MALFLAKGNSSTDISLRHQLSADDSYTLVTLVCTCRASGMFHYP KMIEQHSPATPLAMIWVNVEEIKRFGLALYKVCRLSNLAVTAKRSGNTRQDLLGLADL NFCIPDSDRIWGAPAVMDEQDRQLLIALVERRDNSDQKAWISNATRILCDDQVDFEWA AFLA_065710 MQDQAYFNLGSHQRPITTSSKDAQLWFNRGLVWAYSFNVGEAER CFERAVKYDTDCAMGLWGIAYSAGPNYKKAWRYFDPKDLRDSIQRANDAIARAAELSN QVTPAEKALVKAIAARFPPTDNIPNDLSPYDRAYADAMRPVYREFGTDPDIAALFADA LMCITPRGLWDLDTGEPTGPHTLEARKVIETGLASTVGRAHPALCHLHIHIMEMSPNP ELALPAADRLRYLVPDGSHMLHMPTHIDAAVGDYRRAIDSNSEEIMADDKYFAQESGT ISYIGYRVHYICAKLYAAMMCGRFTDAMAAAKKLEEVITDDLLSIASPPVADSIESFL GSKAHVLIRFGRWEEILRLELPANRQLKSATTAIILYSRGLAYSALGRIEEAETTQRE FELARAAVPKSRLNSIPCRQVDVLQVSSAMLHGELEYRKGNHEIAFTSLREAIRREDA LPYSDPPPWMQPVRHALGGLLLEQNRVEEAEVLFREDLGFAEEFPRRRAKLNNVWGLH GLRECLVRLGKTKDLAFVEPAHAIAVASADVPITASCYCRLSAVNVTRCCSAKSGCCE AFLA_065720 MSLDITTFYNVINNELTTTAETRHGINPANQQPNPEVPVSTAAD LDKAVSAARQAFKSWSRTSVEERRKALSAFADAIEANKDSLAKLLTQEQGKPLDQASG EVDNAVIWARAIPKIEVPETVIEENEERKVIQRHIPIGVGGAIVPWNFPIMLAVGKII PAVYTGNTIIVKPSPFTPYCNLKLGELAARCFPPGVVQVLSGGDDLGPMITEHPGIDK ISFTGSSLTGRRVMASCAKTLKRVTLELGGNDPSIICEDVDIDAIIPKVGVLSFLCSS QICMMIKRLYVHEKIYDEFRDKLVAFVKNLKLGEGTEPDVFFGPLQNSMQFGKARNLI EHIASEGLNSVLGGSIPDSKGFFVPPTIVDNPPENSRVVQEEPFAPILPILKWSEEAD VIARANDTEYGLGASVWTNDFERGQRIARQLEAGNVWVNTHFMVQPNVPFGGHKSSGI GSEWGVTGLTGWCNTQALYFNKKA AFLA_065730 MLYTHATIITVDSNRRIIEDGAIRVENDLIADIGKTAALKTKYT DDEEYDLTGRIIIPGLISTHMHTAQTLLRGAADDLELVSWLCERIWVLQGNFTAEDGY AAARLSIGEMLKSGTTCFLESMFADRYGFDGLARAVEESGIRGCLGKIVMDIAKYAKD DAWAMHPGLVEDRETSLLGTVKMWEKWNGKANDRIRVWFGARTPGGVSDTLYREMTSI SREKGIPITMHCAEVRADREFFSSVNHTPMSYCDSVGLLSPSTVLVHMVHLDDDDIKR LAGSGTHVAHCPTSNAKLASGICRVPDLQRAGVNIGLGTDGAPCNNTCDLLQEMKLAG IIHKSLSYDPTAVPAESVLEMATINGARALGLEERIGSLEVGKKADFVAIDTRRIHLQ PWFNPVSAVVYTATGRDVDIVVVDGKMLVRNGELLTMDEEEIVREAQRRSEEVVARAG LKDRVKARWPVE AFLA_065740 MAAAQLIDGLSGFASGKGHIQKTVITGQKALWGRRANVSGFSSL PSMLLMIGAPLLVLLISVALTHYDGSIARVLDDLYSHGALPFLMRYCPSLTTQGIIAY ISWLVFQALLYQVLPGRIVSGPPTPGGYSLPYKVNGLWSWFASLAVFIGLVKAGRLDP TFVAVHQGELIIIANIYGFLITAASVAKSHLLTQNTRDIRFSGSIIHDFLSGVELNPR LGKYWDLKLFQIGRVGMNSWVLVSGVTYTSIREGIYTEAFPVLLNWYPDSTTVPLPSD GPMALTLRSELGEATARLMIAGGHENEIVLLTAEDTIRPSEVVDIINQTTGREVKFER ASPEGYIRIYGENDRGGKPKAFFQQTLTWYEGIEKGELATTHSLMRELLGREPTKPRD AIQGLLLENPNYTWHQNYVN AFLA_065750 MSDFTTIVGLSVVAILASLLFTQRPKLDPREPPLVSSTIPLVGH LISFLIYGIGYFAAESGKHSLPIFTMSILKQRVYIIASPDLLHSVRQNRSTMSFNPLF TAMAQRAGGIQKPGLQLLREEELGGQGLAKKTVEVMRPALLGDKLDHLNEQMIHVLKH IVDQVASSPTLSFDLYEWCSDTLTVASTDAIYGPLNPYKSEAIRKAFWDFESNLSLLL VDTLPWLTCRKAWKGRERLVQAFIQFYQADGHISASSLAYSRWKAQQEAGASLEDIAR LEILTGIGILSNTVPSCFWLLFDILSRPELLSAIQDEIHQNALSIDSTGTHTLDLADI RGKCPTLLSSFQETLRTRSNSGQVRVIYQDTLLNDRWLLKAGSTLLIPAPSINKNNST WGSDAGDFDSQRFTKIAHQTHKKSKASGFLSFGLSPHICAGRHFATGEILALVALLLV RYDIRPIQGSWTEPKTNAKAVAASLPPAAEKFMVTAVERPEYKGLEWRTTVTPGKGTY GLIIGLDIYLQLSSVGEEMRRG AFLA_065760 MDEFTISAGSLCLLLLVVGFSIQSIYRLLFHPLRKFPGPKLAAI SHLYEFYYDVICNGSYLFKIEQLHQKYGPVVRINPRELHINDPYYYEQIYAGSSRIRE KDPRFIGVFTTPLPMVATVGHEHHRVRRGLLSSYFSRRALKKAELIIDQKVDRLLVRF HSAFKCHAVLPLQRVFAALAADIVSEYCYGASQGYLEQKVFQNQMIDAVNYVMSMCHI NKSIPIIPKLLRCVPVGLMEKLGLQMADVIGVRNLIRREAAKSLDKEWLSHDTNVLSK NVFDAIAAADVAPQEKTLRRLEEEGAALFGAGIETTARALTVAMFHLISDETMIRKLR DELKQVMLSPASRPTWAELEQLPYLTGVVNESLRLSFGLVARSPRVSPIESLAYGEYV IPPGTPVSQSAYFVHMNPQVFPEPESFNPERWIKAAEKGQYLSRFLVAFSKGSRQCLG MNLAYAELYLTLARIVRLVDMKLVGTTIDNIRVGRDLGHPAPKAGNFEVKVEVMGIAS KS AFLA_065770 MPQRRYARMPPRLDGSSAQPAFQCKYPGCSMTYQRKEHLNRHMA NHEQGERFSCPHCDSTLARNDLLRRHIRKYHPEREPPQSRARQACGACHARKERCDGG YPCNRCQRRGITCPQPQEVAHGKNRPQETQTSLNPDIVNSVPGESRWIAQDFIDIYFQ NFHPTWPFLHRGTFELSKEPCILLQSMLMIGLWIKGDQAARDSAMNFHRKLLSAIEAQ RSQWYISNSTPRSSNDTPWPMATYQSILLQLIFAVLVAKQEAPLDLNLRFQLPNTKYQ LLTSLVETCRELGLFSYPNMLAKHHSSAPIALVWVNVEEIKRFGLALYKICRLCTRSA LAGTTDSDSSDMRSELLTLSDLDFCMPDSDEMWNAPSSIGAESIRSAIFHQACRDNRD TDNWISQTSGKLYDSRVGLDWI AFLA_065780 MGFSRDSEATDIFVNEPELTRSYTADAEGCTAQALEPAISKLPS LENSSGYEVYWEPEDPENPRLWPLWYKSVSIVTMSLGATVVSLFSTLYTSGIPGLEDE FHISKIIGLLGVFTYLLGMGLGTIITAPLSEVVGRRPVYLVSMTIFLLLIIPSALARN IEAILISRFFGGLFGSAIMGNSPASVNDIVSDQHRALAFSIWSIGPTNGPVYGPIIGG FVFQYLGWRWTNWIVLIIGGAVLALMCLIKETYPPVILRKRAAKIRKETGDPKWWTRY DGGDDLSKRLKIGLSRPFIMLVTEPICIFWNSYIAIAYGILYLCFVAYPIAFQTERGW SPGIGGLSFIGIGSGVLITIACEPIFRKAINSHRKDPETGEVPPEAIASVVILGAILL AVGQLWFAWTCTPNVHWIVPILSGVPFGSGNACIFIYATSYMARSYGIYTASALAGNM FFRSIMGACLPLAGPSMYSALGLNWASTLLGLVETVCISIPVVFYFYGRRIRKASPMI QAMERMQAAT AFLA_065790 MAPTLNITHIGTATAILEINGVNFLTDPFFSPAGTTWDLGIAVL KVTEDPALRLNQLPVIDAVLLSHEDHPDNLDDLGRQLLDGRRVFTTVDGAKNLAPRPA VHGMKPWEEIDSIIAGKKFKIIATPTKHVPGDECTGFIITGEDFGHHHDGLPNAIYFT GDTVYIEELDSIADQYHVCAAVMNLGNAHAPNKEDPNGPLMQITMGGKDGARLFRALK ADVLVPMHYESWGHFTQFGDELRQAFEDEGISDKVCWLKGGEEVSVL AFLA_065800 MSSNTSPPQVRDTVNRLIHNLVNIKDETGRFLMPLADGRIIDTK SWHGWEWTHGIGLYGIWKYYEQTGDPELLKIIEDWFAARFAEGGTTKNINTMAVFLTL AYVYEKTGNITYLPWLDAWAEWAMHDLPRTRYGGLQHATYLTDNYQQLWDDTLMMTVM PLAKIGKLLNRPEYIAEAKRQFLLHIKYLFDTKTGLFYHGWTFEDGGHNFAQARWARG NSWITIVIPEFIELLELEPTDPIRVHLIDTLEAQCEALQRLQNESGSWHTLLDHPDSY VESSATAGFAYGILKAVRKRYISAEYKPVAEKAIAAVVGHVDGDGELQNTSFGTGMGD TLQFYKDIPLTSMPYGQAMAIMALGEHLRGFL AFLA_065810 MTDARPPSAGEPQVHQATSPNPEMNDLKKDISHVERVLSPNDKD AVDYSRVDNELQDYANRGRVEIDEATSRQLRRKIDRRVLVIMIITYFLQALDKGTMSF ASIMGIREDTHLRGQEYSWLTTCIYIAVLIVEYPTNWILQRVPIAKYLGINICLWGAT LALHAACHNFAGLVTVRTFLGIFEAVCQPSFVILSGMWYKREEQADTVTYWYMMNGGQ QIVGGLLAYCFGLIGKDKAIHSWQALFISYGCLSVLWGLFVLWWMPDSPMRAKCFTEE EKHLMVERVRANQTGMQNKKFRSYQMWEAFCDPQMWCYCAIQVFTTLPTSGLGSFANI IITGFNFTELQTQLLAMVLGFYIIIVLMSSAWLVKKTQQNLLVMLGFMIPSYVGTIVL MTVENKNLATKAGLLISYYITLSFWSAQGLCLSMVSRNIAGATKKSTVVAATFVSWAV GNAIGPQVFLSNDAPRYFIAFGVHLGCYTAMCLAVIFLRFYLMAQNKKKDRMLQEAGV NPAMADNLDHAFEDRTDRENLHFRYIY AFLA_065820 MSTDTPPDSPQSFTTALPPSSLMAVHKDRFEAEFGREKRSDRAH RAHVYEDTQWIEDDLEDRIQLIGSSLNRDMNRLNLLLQKDRSDARQISDWQAHIDHLE RELKASNAERDALRIALEESQNRSTQEKDIARHHETLQKQLSEMQNEVQTLNKKAESQ AAMLTKKDSLLQKHTKASNLKVQKEKTQLEEALEKAKRRAADAQKKARIAESERDEAQ KTLEETRNKLVSSRYKRSIVEEQRKALEKQVKELKDELSKKKDKKRRYFW AFLA_065830 MSLTEIHLRPAVSQDLPSIAEVAAQSMLDDELFAFLCPRRREFY SDYRQSFLRRLRAKLISPGWVVIVAVGNSGTRSVDADSWPILGYCVWERIGDTADEST KRWKETSNDSWWTRVQELFGNVSEHFVTRLYPDRSVDASRLARYNALTVECFPYNDFP EIWFLSTLAVHPAYQRQGIGRRLIEWGLKQATWDGTPVGLEASAKGTHLYQSLGFQIV NEVPLVEGVALTAMLWRPSFRVAHP AFLA_065840 MGDSLSQVPEGLVGGYQGGLAVKILMVVFSSIALYNAIELIILI FLVFNQYAGLYFWAMLLSNVLGVIPHAVGFLLEFFAKGPLWFAVTLATIGFYFMVPGQ SIVLYSRLHLVVQNPKVLRQVRYMIIFNTIVLLIPTTILTYTTIYVRTEPIIRGYNVM ERMQLAWFCAQEMLISCIYIWETTNLLRLRPDKDPGRRKIMYELITINVIIVLLDVAL LVLEYVGFYALQTTLKAAVYSVKLKLEFAVLRKLVLLVNTRPSDTSSTDHEEYPNFVD PEQLTGDITHAAPVRARTRSRYPWSAISMDSLDRSERRGYSSETTRPP AFLA_065850 MESNADPTPAVKQGSREGACEIAQLVQEVPAADISAKYGSENEV SLGVAERVYPIRSMVFVDPSSTTASSDDPISPVRGARQYSIIDSRTWDQLQSQRKSDT EGIAPSIEAAQLLPTEAPGSGSETLVQSPATPTNASLGSPAENNGTSHLVTTRFKHVE TDDGHAVLTGRTVDSFRACEDEPIHIPGAIQTFGAMLALREESEGKLVVRVVSENSES ILGYSPHSLFALENFCDILDEDQTETLLDHVDFIRDEAYDPSADGPEVFIMSVTNPAG QAVRLWCAIHVNSANPGLIICEFELEDDRINPISGSSGPSPRCSDTLGVEFTPEQFAE STINISQPLRLLRNARRRKGEAAAMEVFSIVSQVQEQMNRAETLDLLLNTTVGIVKEL TGFHRILIYQFDSQCNGVVVAELVDPRVTVDLYKGLHFPASDIPKQARDLYRINRVRL LYDREQVTARLVCRTTEDLERPLDMTHAYLRAMSPIHLKYLANMEVRASMSISINGPN ELWGLISCHSYDTGMRVSFPLRKMCRLIGETLARNIERVSYASRLQARKLINTVPTDA NPSGYIVASSDDLLKLFDADFGALSIRDETKILGGSTHQEMLALLEYLRMRRINSVLA SHNIRKDFTDLKYPPGFKCISGLLYVPLSADGTDFMAFFRRGRLTEIKWGGNPYEQKE KIGRLEPRQSFQIWKETVLDQSREWTDSDVETAAVLCLVYGKFIKVWRQNEEAMHGSQ LTKLLLANSAHEVRTPLNAVVNYLEIALEGALDKETRDNLTKSYSASKSLIYVINDLL DLTNTEKGQDLIKDEPFDLEATFKEATGMFESEAERKGINYTVLPHPGIPQKVIGDQR RVRQAMSNLISNAIQHTSGGDITVELWRQPGRSEDGSATIQIAVLDTGSGISQARLET LFQELEQVSAESDNRGLGDGQDTLREVVDETEKQVLGLGLATVSRVVRNMQGQLSVRS EEGKGSRFQITLNFPLPADAASAKDESEIPVHNVVPTAPLQSKEEFVLVDTRSEGRSN GGSDTNSESGKATQKPATSQPSLDETSKLNPETDGLPTQALAPVDQPSETKTESTSPI KEPPSESDPSPLPDTFCVLVAEDDPINSKIIQKRLTKLRHTVRLTVNGEECASAYRAD PAQFDAVLMDIQMPIVDGIGSTKMIRQFEQETPPESLSRISRLNGRIPVFAVSASLFE KDAEKYISAGFDGWIMKPINFERLNTLLAGLRDDDVRNSTTYQPGKWENGGWFQGR AFLA_065860 MIEDYLHKGCHALVEGVNPAYGYQPSLAAGIVFCVLFGTSMVAH TAQSFWSRKWWCLLFAIGCLTEVLGWAARTWSAECPYQTDAFLMQISTLIIGPTFFTA GIYVLLGQLIALLGPESSILRPSWYLWIFCTCDIVSLVVQAVGGGMASSAASEVDGDT APGTNTMVAGIVFQMAAITAFAFCGIDFLFRCRRPQLRARFTPRMRLLVLATTFSVVC IYVRSIYRTIELLEGWSGYLITREWFFIGLDGIPMVLAVVVFNIFHPYWLLPREKEAR KAESWVSLTRPEEGHPRPGSLILLSPWIDLSLSSPVVDILEKKDFMSRSFLRRMGREL LRSDPGLSSLFGDFASRSMERGSWGRILPCKTWVSAGGDEIFVDDIVRFVDCTREDDV EVELRVEPGKCHSWQSGEAFLSARRFLDISIQCEGVELMPGLVGVAGVIAGFV AFLA_065870 MQQTNISDIELSGLSTSWRKLFLFITALPRILAHLPLSALFILF DLVIHNPTHPETASNLALLEVAGGHFSRLEYATGGSLPSSVLAEFAYIARTFVRTYRV EGNDGSTIAMPTNVNTDLPRRQDDSLPSAASFLENENTEAGAGSCSE AFLA_065880 MRAIQFLAFLACLSTVSAFSGRHAAHHGLLQIPPSFAYGDIHMY PALHPEHDPNYLRHLTPEDSQDLYYSQEGHRREYRSFFIASQPTFEDNCVTVLAELTD EQEEIQEAKLNWGTYQRPDIAKRQRILGHVKVAKADPQMQMRNLMASDRLNGTRNITS GGMSDLTKDAAAVKNFVGTDSINTDIPYEYEIGLDYLSDSEYDQFVKRGLFSWIVEGI NAIIKAVVNLIEKTRQAIETAVKIIVAIAETGFALSKPGAAVQMECKRCGAKANFSFG GELAFSISKGIYRAEVSFINHEDFVFDAIYGITVDAKAFKEGSAKGGFQTTIEKELFA LPFYAIKIPKIITIGPQAVVNTAASFYVDVHGEFRAGATDFPSRAGK AFLA_065890 MEPQRNKASGFTPHIEPIFELKGKVVATADLALPVGVEVALDIL GGTWKKSVGVAKGWNATQVEPTTTLFNNVAATFGGQENLASNTTFNLTKLGPIIYEDE HFQSKSSKDDKNKLRKLLKTNGFPLIQGAGQTSTLVSGKDGRIYLANNSAEYDISAPW GDLEVDKNIFSYDVFGRLIWFDAERIASGNDKRSTTALPYSI AFLA_065900 MACRWIGVNLPILSAKCQAAKWLINLRAPLLPKILLGNGFPGCY QTQETSSRSGGEPQEVLQSVRI AFLA_065910 MAQSAFPASRGFLLGPTRGPRRTAHGRRADCKSSRHMYKVPATH ELQHMSHPTALHHAELERLESAVHAFPPQPIASFLLSVLIKHATDTFFYVDQAAFASE IHHFYTDPASPLRSDSSFVCLAMAAFALASQWTTLEKPEGHQTTIGLERSDLGRVFFD HARMLIPDIIERPCLRSVQAPFVLGVYLMPASAIGSSYVYLGLALRKALALDLHQDVD DQKLNEREIEVRRRLWWSLYSLERCTTVKLNRPRSISVAAITTPIPSGLPALDRSQKF DNLSFQLAYMRLVQILDHISDPELGSTGDELALLAKYESDLKEWKRSLPLEFKLQDTD PHDSRYRAIFHLYLNYYYAWITIGKTSLISVVRAKLRSCATGSQPAQVSKVARNLSRS CAKAARKLLGLFETVSTSHKITRFSFTDFQGCSIATIVTLLDGIPERDSGYEARVASG LEHLRQMAIGNATAKMGVRFVEAIQLITNEAREKVTQSAYAAGRTSQGNSGISSTAEY SQWALWLAQQEQSQGFSERTSSLSVVPETMTPLSQVGQWPPTHSAAGLRPPWAAYERQ MFSPTYVSMQNLAQEPQVYPEEQDADYEFLPALHHDEQTFLMELTGLGVLDVSGLSDP LE AFLA_065920 MTQTTQVPGTDIKPWLQPAPQSYVFTNANIVDVEAGTILENSSL VISEGKIQSISQGEHQPTDLPVIDCQGRYICPGLFDAHVHLCAVPGFTDLSKAFGNPN DVHLLRQPYTAAQMLHRGFTSIRDCGGAQLALKEAIEDGVFPGPRIFLSGHALSQFGG HGDLRNSHEHGQCCGGVHNQNSLGRMCNGVPECMAAVREEIRCGADFIKIMGSGGVAS PTDKLEHLQFTDAEIQAMVECAANAGTFVTAHAYTVKAIRHCIDNGVRGIEHGNFVDK PTAQLMAEKGVYLTPTLITYAQMASDRWKGYLSPESQTKNSQVLESGLGALKIASDAG VTMCYGSDLLGPLGAAQTQEFQLRSQVLSPLEVLRSATINPARMMSCDDSLGQIKEGF EADLLVLSKNPLENVTIFDKPDEHVLAVMKAGRVYKSRWAALPEDGATPVRL AFLA_065930 MDIPKSPCADIKDEERRVGELVNLPASYSDEEEKAVVRKIDMII LPFLCFVFFLQYLDKQSLSYAGVFDLMGDLNLTSSQYSWCSSIFYVGQLVSEYPFIYL MSRLPLTKFVGVTVIVWGGMCMCLAAPKTYNGFAAVRFLLGFSEGAVSPAFVTITSIW YRQKEHTTRTALWISMNGLAQVIGCLLMYGIGKNTALSIAPWRVLFLICGAMTSAAGV CFLVLMPSGPKDAWFLNAREKEVLRQRMAQDHEGGDKTSFSIPQLKEALTDPKAWLVF WFGVLVTMQSPVLTFASLVIESLGYSKLDTMLYTAPSGAVQMALLWIGVALAAILPRQ RTLVALMLIIPPLVGTVFLFKLDLSAEWGMIAMSWLASCITASMSILLSLSASNVKGN TKRAIVNTMFFIGYCAGCIGSPQLWTNRPRYTEGVITSIVTWCLLFVAVIVYRLLCMQ DNKQREAKAGASVDMSGDMLEENGLHAADMTDKNDPSFRYAL AFLA_065940 MAIDHTTLFVPEAKFQECLNFYLSALKPLGYEVRHQYGEFVVGL GSINEDLDSYKRADFWVFGTKTVPERAAHIAFTSHDHASVDAFHAAAIEAGGKDNGLP GLREFYHPKYYAAFVLDPAGNNIEVVDHGVSLDA AFLA_065950 MCQEIHGAKCSQIFKGTPNIRPRVIDVERRCLTFAEENDQWVCL SYVWGKAKTLRLLKENIQTFSQPGALSPEVLPNITEDALQVTKGLGERYLWVDSLCIV QDDDQDKAQFISRMDSIYTLATVVIISSTCTDANTPLAGVKPGSRRQEQEPFKIRDVT LVQSLDPSLGVKVDLRTGRAAGYLGETIWDTRAWTLQERFLASRSLVFTAEQVFWECE EAFWCEDSFREIPNISPDPHRTSLCAGELNLSWNSDIVTFDHFYRVLLEDYSGRALSF DSDGLNAFLGIIGALERSTAERFFWGMPTAFLESALAWGHRSHALRRRHGVQTLSQDQ SQFPSWSWVGWTSDGQTKLANQNLTMEALGLRFYRVSDDGSTMTEMRQNANFNSEVDL LVEGSKLPNRSSRPHEVSMKDLPTNPSISPSSLLCFWTASAHLTVTSRHSVDDASDSS TWEATLSQGSDRFIQVSWSHTAPSLKPGDSVELVAVAQNRGNWDAGHIDNGAIGVMLI SWDSKGDIASREGFAWIAIRDWTSLREREWKLVVLG AFLA_065960 MSTPGAQEVLFRTGIAAVNSTNHLRVYFQDSHGSIRESLYESGW ANGTAKNVIAKAKLGTPLAATSKELKNIRVYSLTEDNVLQEAAYDSGSGWYNGALAGA KFTVAPYSRIGSVFLAGTNALQLRIYAQKTDNTIQEYMWNGDGWKEGTNLGVALPGTG IGVTCWRYTDYDGPSIRVWFQTDNLKLVQRAYDPHTGWYKELTTIFDKAPPRCAIAAT NFNPGKSSIYMRIYFVNSDNTIWQVCWDHGQGYHDKRTITPVIQGSEIAIISWEGPEL RLYFQNGTYVSAISEWTWGKAHGSQLGRRALPPAE AFLA_065970 MIIELLSTLDIETRSLLSIHQQLKKENKSTPVRLRDKPTINDFA LHSAICVQAIGTMYTNCLGNSNGLYGDIIILKIASYVINSMSSAMILRKKELVNAEKA V AFLA_065980 MVSLWPFKGEDNSPASFERALETLSGKITRANTRLDTHRQNARR FKALWTLYTTFAYLLYSIILALVLGWQNFGVVEYAAIAGGPVVIYAVRTAGSKYFEYR INSNQRYLDDLQKQRDETIEKLKVATKYNSTQQLLEKYGGVPKRTKSKGGDDKRKSES KRKSSNPQQQQPPVQRTGLPPPPTANIRRPTPVQSPGAPSPDFPAPPSPYPPQPQIQQ QPVPPPGPAFDEPGFAPNAFPSAPQYIEQSHWYDRLMDVLLGEDETQPKNRIVLICSS CRLVNGQAPPGIKSLEELGRWRCGSCGAWNGVESEAKKVLDGIRNEPQPADGAWEPVS KTDAENQSSVSDATDEGVMVATSEDDQVESHDSDADTADKEPEQVKEEQPEPETKTRP VRRSNRKKA AFLA_065990 MAQLNGSNGTGLLYKPRQYQYEMFEASLKENIIVAMDTGTGKTQ IALLRIAHQLEGGGPQKLTWFLTPTVALCLQQYEVIRSHLPAVRACTITGLDKVERWK SQYIWDELLKDKQVVVSTHAVLFEALTHGFVRISQLGLLIFDEAHHCMRRHPANMIML DFYHPTLRKHGRDSVPCILGLTASPVVRSKSQEMKTLESNLDSICKTPQVHKQELTTY AHRPELLPIIYKAIDEGPGGRALQALEHAWDTADIDGDPDAIPQNGSLLNGSGEYKAL MVRKTLCNEQIKRFVDRSRHIFAELGEWAADYYICTSVEQLRTTIRDQSLTMDWEDEE RAYLSNFLSKLPVAEVQANLADPNNFTMSPKLAALINFLDKFDDPEFSGLIFVKQRVT VSVLARLLSLHPQTRDRFRCAAYVGMSVGSCRQDMVGDWHNAKKQRGTMDDFRSGRKN LIVTTSVLEEGIDVTACRVVVCFDKPANLKSFIQRRGRARQQKSTYAIMFSTADEHGD LRRWQILEQAMVEAYQDEERRLREAEAQEAVDENVPEMITVEATGAVITPDSVVTHLY HFCAVLPEERYVDNRPEFSFEKDRQGLIKGTVILPSCVHPKVRRIQGKLWWKSERAAV KETAFQAYRALYEFGLLNDHLLPLTKNPEMRPTDHTTLPSLLDVSEQYDPWTDWANSW SCPDVHQMRIALESNGHPADGLIMKLIGPTNLPPLAPITLFWDRDTRLTLSFDVPERI TTVTDNCIANMRTVTALYIQAPRSRNLLNNDDFVTLFGPDLPSTELADWLLRNAGYET AHEAYSRGTMPGTMGIIRDLSRYDEPFFCHRWIESETGLIEIECRPIPRRRNFLHPPA LDNGQADAIVESEHGSAKVHMVAAESCTVDKLPVSTAIFGLFIPHIVDRLESTLIANR LCATILCDVGFADIQHVITAIMAPSAQGVTNYQRYEFLGDSILKYIVSCQLFFQNLNW PEGFLTEGRTTIVQNPRLTRAALDAGLDSFIITKALTPRRWIAPLISTRVGAAPVKRQ MSAKVLADVIEALIGAAYLDGGHSKAQICTHCFLPEVNRQPLDIPSLITQTEHGRTAR QIIDEFLGDAILDMVIVPIIFQYSNKISPGDMTLIKHAVVNANLLGFFCMEFSIEQDK TKVEKTPDGRFAVKSETQHVELWRFMRFNSLDLQTSRDAALDRHRRLRNKILTSLYHG PSYPWQSLSQLYADKFFSDIVESVLGAIYVDSGGDLSACERFLEQIGLLSYVRRVLLD GINVTHPRNIAQRLSKGDALFNLRRVSDEKGRSMYRCTVTMNDAQIVLVEGCQCGEEA EVRAANETIEFLQRRQEVV AFLA_066000 MQPTLAPAPHPSMQTSAQDHADQVLHDQLLAAHQHLSHPQQPRP QPPAAQPPHMQPNTTSPRDQNNIDPAISGAAMLSGPPQTPPQPEPTGQESPKTYGKRP LSTSKRAAQNRAAQRAFRQRKESYIRKLEEQVKEFDTMSEAFKALQAENYQLREYIIN LQSRLLESQGEVPELPGNIDLSQPRTDLNVPQPGAGPATTSSSAPAPPSGAQQAQPPQ GGASNDDMNSLNRIAVAGLGMRKHPNEEANYLGNNFTGRRTRPDETQADSEVTKTEQA PHGLPMVS AFLA_066010 MSNNSEPPGATASGPAITDDNDARNDKLRLRCRMLEMEGRSIVQ QADLHGYVGANSADTPVQDVAKSIALVDAPKLGAVTPEVKEALVGHLNKEHRLDVWAF FEDSPLDLQMLSRADKAIVVVREEATRSQPRCLGNV AFLA_066020 MTIRKLEGEGSKLFQPLDISNGKIKLSHRVVHAPMTRNRGVPVN ATSTPENPNRTWYPGDLMVEYYRQRATPGGLIISEGIPPSLESNGMPGVPGLFTEEQI AGWKRVVDAVHEQGGYIFAQLWHAGRATIPQMTGSPAVSASATAWDSPTECYSHPPVG STEPVRYADHPPIELTVPHIKQTIQDYCQAAESAMKIGFDGVELHGGNGYLPEQFLSS NINKRTDEYGGSPEKRCKFVLELMDELAKTVGEENLAIRLSPFGLFNQARGEQRVETW TFLCQTLKQAHPKLSYVSFIEPRYEQIFSYEEKDDFLRSWGLLDVDLTSFRKIFGDTP FFSGGGWNQTNSWGVLEEERYDALLYGRYFTSNPDLVQRLKKGIPFKPYERSRFYGPF EDNAVCYVDYPPAEVQ AFLA_066030 MTETLPGSSLNTINLVTQCLCIPIVTIFVATRFGIRFWYKQFVV VEDVFCFLAWILFMAYCGIAIVVGRYGGGVNYLEVPADMQVAFRKFCYVATVFYCPMS LFVKYALLSILIRIFSPYRGRIMFIYVLLGCLTIYYIIAEIVKIRMCDPVPAYWTGEP ANCLDQRAALIADSVISVVTDIMILVLPLPLTWSLQMSRSKKLRVIGMLSAGGLATAF SVYRLILVLKDGSSPNATVMFMCVILSGNAEGGVGLICACLPTMNILINKIRKAGYSY GSNKYYRDESSMHLSKMKGANAKGFSTIGSKTSRTEPEFGTDQSHLISYAGAVDMNAT GDGGIHKTVDVSQTVEVLSGEASSSHSDDHHMRF AFLA_066040 METYFSQDLMTKMEDDRHSDTYGGHSWYTGLLRDLEGEHSHRKK PYTEG AFLA_066050 MQIARSEMYGKAPSLPQAAKDNLELFDRFLRACDTITTTILARL SDLFNLIPGSRFEDKHRPGGKSRSTLTLFRYPKQETQDNKVGHNKHTDIGTLTLLFSE QWGLQVLSPETSEWNFVAPMQDHAVVNVGDSLRFLSGNVLKSCVHRVAPPNVSGRQEE HRYSIAYFLRAEDVVQYKDNYYNFILINYYATNTDSISYHSDDERFLGPNPSIASLSL GAKRDFLLKHKPGVEAGKPLKFPLASGDMVVMRGETQGNWLHSIPKRAGEGGGRINVT FRRALVPGGTENYYRYNVGDGGVWRWDEREGRMVSVDGEK AFLA_066060 MIFEPASRVPLPTTDVISYIFSDPPYDHDQPIYIDASDSSRSIS YNQAKVIVRKLIAGLRAWGVQKGDCVAIHSFNDIYYSMLVLAINGAGGVYTGTNPSYT PMELGHHIRASHAKFIISEPEIIAPIQAAMKETGIPESNLLVFDVQGQTVPAGLKSWK GLFSAGEEDWVRFDDLKTCEETAAARLFSSGTTGLPKATTLTHRNFIAQHELVFEIEK RPYQIRRLMALPMFHAAAAPSTHWSPLKGGHVVHVMRRFDLVGFITNVEKYQITDLAV VPPIAVALVMSPQVQERPYLKSVRVASCGAAPLSKEVQEKLRVMLADGAPCTQVWGMT ETCCIATRFGAYEQDDTGSVGRLIPNVEAKLVDDDGNNISAYGVRGEICVRGPTVTPG YFENAAANASSFDQDGWYHTGDIAYCDKDTQKWYIVDRKKELIKVRGFQVAPPELEAV LLSHPLIVDAAVIGLSGVLPDSELPRAYVTRRPGAGDKLTEKEVQDYLGQRLAKYKAL TGGVRFMDAIPKNASGKILKRVLREEAQKEVKAGFRPKL AFLA_066070 MPGIRGSRYPTIRHEGTDNKALALTSSDLRAHPSASPESSNKTN AGSLCCKTRHISSIAYSLQLRLWIDHFPGFGRLPVRVRAENYSTEGTKRDPSVASRQS SATADTNRTPSSSTSKFTWTKRNNFNTPVAGDLSHTYGGRSYVTFKVPGGIVASDLNW TLVVSGIAELLKAQEVSGCAELSS AFLA_066080 MNGNVCKTRVPVGAPVVADIDGQLWTLVFKGYYAIIGETEAQKS SWLVNVAKVLAGVKIARKNTRRPLEGTELGQIKARVGAAVRV AFLA_066090 MAAAAKGKQPTKPLAWIDVDHPPEKDYDPEPVAGCFNTNRAALL EKAIDSGVLVPVLLFRFLDEDRRSRN AFLA_066100 MLIAVVPASPKTGQAAIRALLNSTQTTTPITVKGVYRDLSRVPE EFLSNPHFQASKGDVSDATSLDLTGVDAVLAITPPRYDGSDFLACARTASENTRLAIQ KSGSVKRLVLLSSMGAEHESGTGEIMTNHIAETILKDAAPEVVICRCAYFMENWAMGV QTAKSEQPHLYSYITPADFEVPMVAVKDMGQAFATYLLKPDIPERPHIVDVHGPRSYT PKDVQKAFEEAVGKEVELRLVERKDLSQFFAGFLPKNVAEAFTEMTDAFLPGGIMAPA NAENSSSDRVWRGKTELREAIRELCEGSGDQSFTSRIPKAGRRLKQVFSALIREKENE SRLW AFLA_066110 MHCAQSPVYKRPILRQQHALAVLPSATASRPVKNLLSGLMTEPI PSVLEKGGKAEESNRSSGEYNDLENVPKEDDTTLVDWDGPDDPANPFNWSTPKKARQL VFMAFNTFVSPLASSMFAPGVQYVMRDFHTTDQMLGSFVVSVYILGYMLGPFLIAPLS EIYGRVPLYHACNVIFLVFTIACAVAQTLPQLIVFRLFAGIAGVCPITIGSGTVADMV PVEKRAGIMAIWALGPILGPVVGPIAGGFLAESVGWRWVFWVLAIATGVMTIGCLLSY RESYAPVLLRRKTERLRKETGNPNLRSARGDTKITKELFITALSRPMKLLFRSPIVFL LSLFAAVTYGYLYLMFTTLTPIFRNTYGFSSGLAGLAYLGFGIGSILGLGICGVVSNR IAVSHTARGCFKPESRLPPMLFGSWAIPAGLFWYGWSAQAHTHWIVPILGTGVFAIGL MVVFMASNTYLVDSYLRHAASVTAAATVLRSLIGAVLPLAGPSMYDALGLGWGNSLLA FIALAMCACPLLFWKYGEFIRTHPRFQIAL AFLA_066120 MQFSLSFAAFIALSGQTLAQSATGSYVITESDNILTAKGTSPAV FSPFNGSYDQIWSFNGVGFGTVVIQSQDSPDYLNCDEDGSPCTTSTEP AFLA_066130 MANGLALQVIPGMHTGKGNRSIWLKIEEALASGNLSLTIRTTRP VDPVFSTFETAEVSRLV AFLA_066140 MPLSTLSNGPEHSASRIQSFYPERFTSPVSALPRLAYISALSVS YPIQDSLPTRTIQATISFVQLRVYYTYFINAMKNFVSMHAILLACSAGAGLAAITQGI SEGTYSRIVEMATISQAAYANLCNIPSTITSAGKIYNAETDINGWVLRDDSRQEIITV FRGTGSDTNLQLDTNYTQAPFDTLPQCSGCAVHGGYYVGWISVKDQVEGLVQQQASQY PDYSLVITGHSLGASMAAITAAQLSATYNNITVYTFGEPRTGNQAYASYVDETFQATN PDATKFYRVTHTNDGIPNLPPTSQGYVHHGTEYWSVEPHGPQNMYLCLGDEVQCCEAQ GGQGVNDAHVTYFGMASGACTW AFLA_066150 MVHLKSLAGILLYTSLCIASSQQAPASINNAFVAKGKKYFGTCA DQGTLSDGTNSGIIKADFGQLTPENSMKWDATEPSQGKFSFSGADYLVNYAATNNKLI RGHTLVWHSQLPSWVQGITDKNTLTSVLKNHITTVMNRYKGKVYAWDVVNEIFNEDGT LRSSVFYNVLGEDFVRIAFETARAADPQAKLYINDYNLDSANYGKTTGLANHVKKWIA QGIPIDGIGKLWRPVWKSQSVLELLFGVFLTRTLGVQPKAHCFSMAITNRNPLTTPFL TPCKCVFPAIIGV AFLA_066160 MSTQTEVFTGTEGSYLLPHHVTEADRLQRQHRHFAAASDEAYFG FTLPPSTGRPLRILDSGCADGVWLHDMAKRYPEHSWDLHGVDIASHLFNDGVDIDFRY HDIRQPFPSDWNWEGSFDIIHQRLLVWALKKEEWPQVVRNLQALLKPGGTIQLVECQW LRPEFWDTHPQQRLLGMVQVWATEGNGMDIHLADKLEPLLKELGFEDVTTVRYPLPYG AKVKDPANRDRSAELWVESFRHLARLMGGKYFFCSLHGWTLTGVDEGIPGVAKTPEEY FAFLDRLVVEMKEKGYIPELRLVSGRKA AFLA_066170 MANTSLSEASIYSCGPPGICQPESAITPPDRRRGSPACWSIPAG VYTARELVRRFAPLLDTVVHHLGPDPPNTKPARIVLLDNVAANLSTDTRESSLPLYDL SDISRREVRDQARRIGQVLVQWAREYTDEPYDPDLYLRGPGDGHLLLQSSLALMYARC TRPHHMQLLSEYMRQMVVLRDALLPFQNFYAVPIPVDGRARGIRHLEAPRERFLTTVF AGHITQASVVSLARAVLAPDLPVTMTGGYGFQYTHGLILPAILAGGVVPYHLLRYVPS KMDSSAVEVLFDYHFPDYHEAPRGDIPAGPPTILTRYPIDLPNQKEELQTAGIGLQAG SSTSAIRGLELRLEFKSGDTARVDVGQIARGLRHSYEAREETRDSTTNHTVFLHSASE ILIQPGWGLSTAETAGFHVIPVDDPTIALALLGKLYPRNVILLPNDEQLGQTDDVGED SEAKFVIWGGFKRGGLKGVF AFLA_066180 MYRSHDATVRHDKVYALLGLCADDPKASALEPTMASLGVMPSRR ALLTSLEGSARCKHGLTRI AFLA_066190 MIFESKLPLPPVPSCDAFNYIFHHGRRNYSTDRVLYRVDGTDET LTFEELEQKSRQFADAIREYYDIMPNDVVAILAKDKIQYPIAYYGILAAGAVVALIPV QKEMSETDVAARLEQAKAKLVITDSELLRLTEVASMLAGCISVMTLDANDQNWPCVDS LLPMGNPDAQIFELKTPQEVDQHNAFINRTSGSTGTMKSVLTSHAHYIATMEATVGTI PADTNPDRDVWVSPLSLGFFINAKLHMGLNILLGIPVVLMKHTLDETTVDVVARHHIT FLFITPPIAARLARADLRHIDVSSVKWLLTAGAPMHENLRRTVSRQFGGVHLTLEWAT SETMLLAMQVDECSKQPGSSGTLVNGIQAKVINVETGRECSYGEEGEILVRNAIARFK GYKDNEVANRDFDSEGWFHTGDYGYLDQNCNVYIVDRIKELLKVGEGYGSHVSAAEIE AILFEHPAVSSVVVVGVRNTETQVDEPTAFVILKTEYRSRTAQVTRDIERYARAKLTG LRRLTGGVHCISSYPTTGFKINRRALKSMVPSKMPKMPVVPSCLLPTMSAISAMRMV AFLA_066200 MVAFEEDPMSFKLGMHSVEETMSTISSPEGPATTSQLPENGVGP QSGDFRCGICNKTYSRRDLRDRHRRRCIKTAGQERLSKRKSCEICAQKKLRCSMTRPA CARCVQMGTACHYPPTSLPPRIADPQDTPPTSSASVASSRSGQSTNSPNVMDRNGISD TSSAMALDDVPHSGPNGSHPLSVTGQQCNTTTPTHFDPMSATGWNPFGTTSMDSMVRA LDGSLFSPGGSLPWGDDYTPLTDPMSVGNTFAGAMDESTNPGSSYFLPLHEISKPVGP LDAPQPGALTANSYNANSPYNVPSLSSSSSDSHYRDSSSRGEENDPTQGLLRGDHSPR LTLGYHFVPKVGPFAMRDYDETYRDLFTVLRDYPGMILEREFWSPFVHHRLYRCSMGG MAEPMGIALACVSAHASSVESSYGFVDRMINEERDKLVRNFHKHVDTPETCLAAVHAV CLYQILGLFGDNFLPAAIVRPQVPKEINDKRREENERAAELHSSFLMKMARRLYKMHQ EKLLTHHNDETDWNRWKYAESLRRNFFFVNMINILGAKARLLNEQYFEPLGDDIVLQL PLPATEHMWRCCDEEEWAIAREHAMRRPANSPPVARTLRELLEQDKAGTLDASTLLPV TRLIFACAKVAPKGDSLGDL AFLA_066210 MTHHIHDTWTPQSWSRKPVVAQDVEYDDPKALESVINTLSTLPA LVNPMKIEIARKSFAAAARGKAFIIQGGDCAESFDDVHPHAIQQKVKLLQEQSHLLGP GLNLPIITVGRIAGQYAKPRSSPLETLEDGTLTHTFRGHNINGPGVEERRPDPYRLLL GDFYSRTTLEMIRHTQSTPSTTGKTFVPAPSSFPLTPEETDVTPLETPTGTIFTSHEA LHLPYESAMTRDRYNTSASFIWIGERTRQLDGGHVEYIRGLRNPIGVKIGPNTGSSTL IALLNTICPEPHLLENIGRVTIITRLGADKVTTVLPPLIKAVQEAGHTPVWMCDPCHG NTQTSEAGLKTRHVGCMLLEAIGTYQAHRENHSLLGGLHLEQTGDFVTECQDDDTLDT ENNLSSNYHTLCDPRLSHVQALSLVRQFVDFVRSWERKEKLGY AFLA_066220 MKPAETEVVNIMAEQVVVCPKLSTNEDEAMRAFDNGRDLDVRDI DDATNARLLKVIDKNLLPLLCLIYGLNFVDKTTLSYASVMGLQTDLNLQGNEYQWLGS IFYFGYILVEYPSSYLMQRFPLAKYSSFNIILWGVTLTCFAAVNNFAGAATVRFFLGV FEAVVTPGFTTLTSRWYTKHEQGRRVGFWFSCNGVANVVGGLIAYGISRGVEQHGASI QPWRILFLSFGLFTIAVGMAFLYYVPDNQWNCRFLSPADRVLAVQRIRGNQQGIGNRH FKMYQFKEALTDPITWAFAFFGIAVNIPFGGITTFFSQIIRNSGYTSQQSLLYATPGG AFQTIVVILNGIISDRLQQRIYVSFVGMIIGLLGAILLAVLPLSNSPGRLAAYFLTQA SPTAFIALLSFISTNVAGATKKTTVGAIYMVAYCVGNIIGPQTFQQSDAPRYIPAEIG ICCCWGACLLDLFLIRYLYCKRNAEKARIRSAPGYEKAEKSEFKDLTDRENPELVYVV AFLA_066230 MSTPIFPVIDYSRIISSNPMIAAQEKDKLFQSFKDVGFIYLKGF NFPPEFIDTLFSHLHKFFSLPEEQKLAIEGGEKQAFRGWFAPARTAKNPEKADQKEAF GLGNDKDETRPNRWPSNWPEFRRDFTTFYEECYKMHLELLRALTEKVGLDRESLIPSV KAKDCYSALLHYYETSLESFDTRVRSAPHTDFGTLTLLFNDSNGGLQVKNQEGQWVDA PPMRGHAIVNVGDLLSRWFNGQLKSTQHRVIQPPAETRETENGTTTVIPSRYAIAWFG HPNRDAVVEPLKECVTAEWTQKFKAVVAGKHVKERMARLLEHGYLPEKWTDDMHRKPI AV AFLA_066240 MVQKPETTLRPEVSSESLTQQVPKKFNLTSESLSSKPPTAAHYP ISTTDEDSLKRFARHGGPDLTDIRGYCSPTNTSNTKSESVFGTTGSQD AFLA_066250 MPPKRVRKTVSTTHKPPSPPLSEKNASVADENADVEPPEAQDKG SMGSPRSPRHDEGNEPPVMMDEDEEMPEESGNTIQHARPEYAVGAGGYNMLKSYKGQV YSGMAVGGSHTWNYDQGVWKETKEEPDLWRIDYQTNKRRARKAPEGSGAPVGTEYHWL IVAHQFVKKTDANTYETNLTGSKYKLAYKSASSNSWSVPTVKKQRDREVELLEDAKQR VQGLPPVLASEKVKVEKSEKGQQKLDSMFTKAASGVSKKRKLAEDSGG AFLA_066260 MRDMPSRFIEILDPEDSHFRMSDADVRLEDVLADQEALASRPRS STQSSTKAGLDKDRIYREGPSSPQQRWKRLSTILVPARRGSN AFLA_066270 MSAEQNVANTEAEKDVQNVLAELKGEAETNGAEKKEEPSTEDAE EARIVAAAAQLGEKSAKAEEDKERENRGRGPRRNNAKFDPSSQKETDDPVEIRKQVEF YFSDSNLPMDKFLLSKVGGSQNRAVPLELLHSFKRMRRFQPFSAIVEALKTSEVLDLV DNETAVRRKTPLPENVTEAHDPNVVKVFEDKAMDRSIYAKGFGEEGPTTQLEIEEFFA PHGPVNAIRLRRANDKTFKGSVFVEFESEEKQKAFLALAPKPQWKGQDLIIKSKREYC DEKVRDIEAGRIKPSGHRPRGRGGFRGRGRGRQNDNRDWRERRAEDQKRGFNGDKKEE SKEPREIQKDARGVPVVQSTADAGQKRAREDEANGDHPAKKVDAKE AFLA_066280 MVGQIPGLRSLKTNPPLPISVPRAKGFDMGLVAVLDKKEDVAVY AAHPAHLEVHKLREELCEDTLAYDLEFEE AFLA_066290 MFKKKPTIKNLSPLRSSDRRKIADQIISDYKIEIPSSAPAGEDS TPSNPAPNLSSIRNALLPDNSLSARFTTTAGPQLREVQGTVYVGTHPGGEERILWFKL EHGPGADGRLYPTVYTLWNNPNLVPLLYTPEMVMRKLHGGADLMTPGLANEPPFPKRA VEDAVVAVASLDRHTVPLFVGVCEIDVSALGEVQGTKGHAVRGLHWEGDELWAWSSSS RPGQPAPEYLPGWDEEELVGDEEVEAIEEKVDELALNEAASPQPAGNEEPVEAAEAPL DEPVPIEEKEPSTKEIDEAFTKAFIYSLYKLKQDNPSAPNHGLSLPVQPSFLISNMIT PYLPIFSAQQAQYYQIKKTSWKNVKKFIKYLDKQQLVKSKDRSGQETIILDVDFNDRL VEQFVPYRLPSKSALENAKKPAAGANKKPEATGGDPAVGQTLTVQTLYRPTQKLTPTI FPALSSTNPQNYYKYSDVSNHLDQYLQSQNPPIIDQQNRRIISLNPFLANTIFTTSSS EDKSTIARGKTTRDGLLKRIVEDQSLMAAHYAILRAGQTLADVKPKPGATPKVTVILE RRTGSKTVTKVSNLEVFGIIPSLLAEELQKKCASSTSVAQANGAPKGVMEVLVQGDQR RALETALVRRGLKTQWIDVVDKTKKKK AFLA_066300 MSIAKIAGIVLGSAALVAAHGHVSGAVVDGQYYPGYDISYHYMP DPPKVIGWSTDATDNGFVDGSSYADADIICHKNAKNGAISAEIAAGKQVELQWTAWPE SHKGPVITYLANCNGDCATVDKTQLEFFKIDEKGLISGSDNTWATDNLIASNNSWTVT IPSSIAAGNYVMRHEIIGLHSAGQKDGAQNYPQCLNFKVTGGGSDKPEGTLGTALYKD TDPGIQINIYQTLTSYTIPGPALYSGSSSSGSSGSGSSSAAPSATASASASASATAAP VQTSTATAYQTSTAVASVTVTGSAPAQTHVQATSSSAAASTPTASSGASTGSGSGSSS SDLTGYFNSLSADELLNVIKQTLSWLVTDKIHARDISA AFLA_066310 MGPAEAASSPTFPALDPIVVDNEMDNPYQDTNLDFSDSARLQMS SENAGRDFDDLFAHSTSSRTVTESGSVCLSPSELSLKRPYQDQDTLRQPNLVKADSPA ESPEASSRSSSSESPRDHLRNASVASSASAIQSESHIMPFGYTSEDWLSQELGSVKEE SLFGFDPSAIASMDGAFPDLESSNKAMDAAFDFESAASSPSPLKIESTPQPQKSFRTQ FRSTSASKRSASPQFHSTGSPYLRHNAKEPSPFTVSALPSQGKSPMNQWAGRSPSSLL EESFGGINMNASSPLNSNLNFVPNNFTNFGLSFAPSPPQSNMKSETTQRHVLTVHPTS LKSRVETQIPIRLTLFPLPSGVKKIRLPSHTISKPKFLAPASADRAPDTVELHTSLVC TSAMQDQEKLKKAFARARGEPRYRSSSSSPHPVDDVQEDDKPLDGGEVKICSGCIQRE RKRAFRKKQRKPEEDELFQKDEEKRVIVFNTNEIKDWTEPSKNALPNYGDIPNPAVPA GAMQVELPMRIACYCRHQNEKLGFQVIFTVKDYKDNVIAQAITNSIMITDDHKTHAPP APPAPGPSPSLPDGTQLPGVGVFPSGPVLDNGKSSASSQPSSATDLQGLQQRFNTQYQ LNSGSFAMPQNSSTSSTSRNLSRQTSPNDFQGPMSKRRKHSNSGRLPSELTMTKLDNA QPSTGVSGTPALNNEPPFSAPRGFASPVERPFVTASAMSGQFPNSPPTPNSGDSNPFF NPNSQQQQSLDSFIQQQLMSAPNSAQPSRPGTPGPSTRNNFQEQNLSLPLGPNTSTPM WPPLTNAGNRLPSVIHKLVPAEGSITGGTEVTLLGSGFYPGMEVVFGDTLATTTTFWG DKCLNCLTPPALQPGLVAVVFKHEHPTFGQVQTPQPLIPKQQQFFRYVDDRELQMYRL ALGILGQKLGSQADAFQTAQQIMGSDPKSVFGLQKDFQGGSGGGHQRQVPGLESQGKL GDMDSKMLTYLEFVDLDDSPRPPKYNSRCATGQTLLHFAASLGLTRFVAGLLARGANP DVQDNTGNTPMHLAALNGHAHIVNRLRLAGANVNNRSIRGFTPADIASTLPAHQAALV PAQHRRSRSVGSLASSRRRHSSSASLHSLWESSSGSFDEAIDDSSDLDEDDSDDLEFT VSRRSSMHHDVPAPLPNVDQASAPEDARPFSPPAALVAWRNQLQTQINQFQQSVANAF PNLPALPPMPALPDYQAHPMMRRITNLVPHRPSTARSAKEGWWDLLTGNSAPNATDLP SYEELYPQQDEQGGEDVTRMKKSSMLQAATDAVLDQHFEAQASAQASTSRTVTAKQEN EDLKDIRIGKRVISREQQKHLREQQAQRMKGLGSDRNLYFIWIPLLVLVICAWARSYV PGIWQGFSSGVEFVKTRYAQRALDLGA AFLA_066320 MDPSPQAGSSSVTVEYTDPSGLFPLVQPVLANKLPLKNLHWKSP TRPVRSIESLRIGFVPAQNEANERKSSGDSAVPHRRHQIPGLRQTPYLKIFLLRCDDN DTYKSTSRKALREWIKSHASMSQSTTSQEKHDACEWLILHVVQDGDGAEKAIPSSKWG RATTTVLEKVKADFNGTSKSAVDRVAQLRLPKQGTTQKPPDLADQLEDLIEKIKNGIL ASFDLRVAQYEEDIKEKDSQRSLPGWNFCTFFILKEGLARGFENVGLFDDALLGYDEL SIGLDTAIDEQLEGSGEPHGSTFLMHTEDWQKKAKAALESPAAPKDEEDEEPTPIPEL DVNDFPIDSNKKAYREMILGSNISIFDFRIYIFSRQLTLLLRAAKAPSLLNKEPDAGQ TRANTIKKPENLMLLSEICERATEFISFSARNLRCDLESGLADVDNAGKAEVINNLVS SWAYAAASQILSQTSTPTLTLPESSLHAITSPADTARDFRPELPRRSSSLVSPPKTRP GRSNTDILSPDALSSVHSGLGQGIAKLALAPAPKTGSEQLASARGELLLMARRVMEEI AGRCGWREKWDDLGLLFDSESAGNSDMTEVSLDDGISQSAEKPQTTHYLSGIELPRLK AALRSRKSFRSHFEELTDDMYRHHITANRTYSAQMALADMALVRFRQSDYGAAASYFH QITPFYGSKQWTILEGVMLEMYARCLKELQRSEEYVRMSLRLLAKFASHKQSCLSTRQ KTLDASSIFAEEELVSQYVEELFEASDALQKEVTAPLTDFFADLHVKPAIIHYKDKDG FQLQLSLRFLLGKRIEVDSMKIRLVGTEGSQSNEHWLELSSKTTIKSSSTKILVDSSM TLQGNTTLTGLKCEPVASFSHPGVGIIQSSP AFLA_066330 MSSTAIPKRMALNRNPGTDSSVPSVSVSPFDSPRHSPSSTSLSS LASESENKGKMLDTYGNEFKIPDYTIKQIRDAIPAHCYERKALTSLYYVFRDIAMLGS IFYVFHNYVTPETVPSFPARVALWSLYTVVQGLIATGVWVLAHECGHQAFSPSKVLND TVGWICHSALLVPYFSWKISHGKHHKATGNIARDMVFVPKTREEYASRIGKTIHDLNE LMEETPIATVTNLILQQLFGWPMYLLTNVTGHNNHERQPEGRGKGKRNGYFGGVNHFN PSSPLYEAKDAKLIVLSDLGLAITGSVLYYIGSTYGWLNLLVWYGIPYLWVNHWLVAI TYLQHTDPTLPHYQPEVWNFARGAAATIDRDFGFVGRHILHGIIETHVLHHYVSTIPF YHADEASEAIQKVMGSHYRTEAHTGWTGFFKALFTSARVCHWVEPTEGARGESEGVLF YRNTNGIGVPPAKLSK AFLA_066340 MSSRVFPLGRTILSIDLTATHMDLLSVLPDFHTKPYAHILPPLE RSKISTVDLITLDTLEIAKRAHVPPADVRRLCASITKALHHDIGFEHIEESASAEPSS SINDDPPITLGPTTKLDLSQWSAISTLDTALDELLGGGIPTGYVTEVTGESGSGKTQF LLSLLLAVQLPSPKGLGKNAVYISTEAPLSTPRLSQLIDSNPYLSTLPRDRAPTLENI LSINAMDLESQDHILNYQLPVAIQRYNVGLVVIDSITSNYRAEHTSHNILGLSTRSGE LTKLGQMLRNLAVQEDIAIVVANQVSDRFDAMDNPSVFPRIGGGAGNGSPSTQPQQIP SAREPGTASPLPRVRATESGNVELSQYNAMLPSSPSHFPSSPYTTEEDPQRQHFDGSY LVGNPVRSEILSLLHQQRFFTGWGDSPQSFLPSSYPGFQRPAEKTPALGLVWSTQIAC RIALKKERQTAMDPLLPESTPIPSTAKLLPEPEVPGSLGEREKPEPDPPTETVTEGKD AEAKASSETVPVPAPISENKPSNSQLPPPSSQTPERLVRRTMKLVFAPWTAGSVNEQN QIQDEVSFTIWKGGLKSCE AFLA_066350 MATAGIERRVEIKIASSSEDFMCGWLYSSQKFTVSNLGPAIVLA HGLGGTKELKLDVYADSFNQMGYTCVVFDYRCTGGSEGLPRGLIDWHQQQEDWKSAIK YTRQLENVDPNQVGLFGTSFSGGHVIQLATTDRKLNAAISQCPFTSGWQSTLCTGSAA TPRLAGIGLLDILFGSERKPITVPLTGRPGEAALMNAPDMLSTFPLLIPKGHPFQERV PARLPLKLPLLRPGSYASRVECPILFGICGKDSVAPADTTLAYAKTAPKGVIKWYDVG YFDIYYGQPFELAIQDYKQFLREYLLIERQGET AFLA_066360 MRGPLTVQGLTRSKNPAPGQENVKKYLSALINTSNDESNKTDEQ KWGSK AFLA_066370 MGTYFSSSEERAEQAIHDMGENTRFEIDALRCLTQAGCSSSPAL LGWKRETQSNTDWVPGGYIEYILMERMPGVRPPPYWQPMAQEERDRLLKAFKEAYLVH LDEGTRNLIWDDKAGKCYIIDWEDSLETTAEDTWEDRLYSNYLLQWD AFLA_066380 MTGNQEAKIARRRAKNREAQRRHREATKAKLAELERPRPQVDSK NPFLPSSPYQAAWGLNETPSESPPASLDFQDFDFALPTNEENSVLDPHQWYSLGESLP PNASGDYSGTTASSVEETSRRHRAKSTSLNPPHARSESSGRSALHLAAASGNIEFVRA LLTHNADMNATDALGRTPLHACAAAGNTADHVSVAQMLIENGASPTLKDHTGMGPLHI AAERGNDRVLEALIRIGVDVNDSIVSEKQSVHIPLGT AFLA_066390 MDCHNHPHELPHHGVDVIDIRSSCGTATGKSMKEEILDSIRGGG DKHCVVEESGGLIEWSRSIPTGILYEGCGIDLYENITRDPSYYLYRDELSIFQEYSHE IAMLLLGTAATRKGTKRVDLQYEQLQSRIEQLLREKPDLVNSRLEGQPHSGVSVRGVC GSYYDTIRFLRDGGLVDNSSGTPIYLHNTQFRKCLMWLGSSFTNLKPVQAAKFLRQFT QEGVLQTGDFMLIGIDRCREVEKVTAAYSDTSERWQQYIQNGLYTAAHTVGDASLAED WTYVARWDAEEGRHLRFVRSDRHRTVLPDIEISAGEHVLLAQSYKYTRQDALAVFDLS GLTVRYEWVNQPDDCSLFLLEKTGR AFLA_066400 MSNQLNHSTPIIIVGAGVFGLSSAWWLARAGYHNIKVLDRWPVP SPSSAGYDRNKIIRTEYPDDIYSILSQEAIQLWRDPLWKDVFHPTGWIYGTDGSQDQD REKTFLTAVSNTHALGDPSKIVELPNWDAAFRLYPGLAFAHDRRQAQVGIYTDGLSTP KGKKPTFRGIYNGNAGWVESTNAMLILKRECERLGVEFVAGESGAVVEFVRDPANPAQ VVGVRTANGTIWRAEKVIVAVGAYSETLLGFQGQLHASGYCVSHIRMTEEQYQRYKDL PVLNIARRGYCFPPNEDRIFKICNLDITVVNRERWPEPSCDWGVRSLPRDQTYHPTDS QPRVGREKTLEFARYILPEFGDAEIESSKVCWDVETHDDNWIVGYHDSAPDSLFIATG GSGYTFKNLTNIGKYVVQALEGQLDPEWKDLWRWRPDRVGVFPDREARNARFKFDLRD CDGWKHIAPRL AFLA_066410 MAPWTEQCEPQLGPDSIIDSDPQDYRHAEIILNAGYSQVRLAVQ YRASVTCHWYRTIDIILEGNPHTFYPGLCLASTEEKKAFVGEKGPDGKVTQYDQISAA NPAIALVRLAASRSNTQSGLKFILPLNSGYLRRADILEERFRGCDQVECVNGFAVSTE QRLNACNEVGRLSDILSSACGGIIVREPQTGTTIDSVLSQVESEIRRRLSFQWLSSEP IRPKRLAVVQARKEHHTIERFYMAARSLNISLIAVDSPGHWLEADDGPSASLREEFIP FDMTVDETFSIRLYHALKDHNLDGIITSNDRHLVGVAEAAEMLGLPTMPSKGLKVATD KYATRAAEEADALVPASFQVQSLEELDGLFIRPNAPALTFPLIVKPCLGWSSDCVTRV TTIAELRDAVHRASTRHLGSMFTSTGVVIEPYIDGPEVDTNIILLDGECLFFEISDDF PCTGDAPDANAQTSNFQETQIMYPTALPEAEQWTLRDSIQNSLIRLGLKTGVFHVEAR VRNSVASYTTDESGLFDLRVGSDKSDLQAEQPSCWLIEINSRPPGGFSDWATAYIHGV CYHAQAILAAVGDQERFKALATPFQQQGAATACPEPQFHCMLVYLSPERSGILANSPC EDTRAQAPDLMKHVLRSQCWYRRGEKVSGPQDPSLGWLATYLVFAMGEDFGREEVLHL GNRVRKEFRVEIE AFLA_066420 MASQAKESQVESSSPSLTVTEKQTGDIVPINHTGDETSCRPTNQ ELKELVHVADNVPYPVWLVILVGSAERFVFYGASTCLQNYLQNSPNDLVPGALGMGQS NATAVNYAFMVLVNFAPVPLAVVADGWLGRYKLILLSTVIYLVGSLILFTTALPSALH QAGASAAGLGVSLVLIALGIGGVKASIYPFIADQYPHHEPFIRELPSGERVVVDRSLT IQYMYNWYFWFINVASLSGIATTFMEKYSSFWSAFLLCFCFLWVGLVLMSVFKNKYHK APPAGSVVPKVLRVIWLGIRGGMSLSAAQPAVQQEKYGRQVPWDDEFLQGVRNALIAC QICLAFPVVWLCWGQTYNNLISQAGQMETYGIPNDVMPNFNPIICIIAGPLIQQCLFP FLNRRKIPFRPIARISVGFFLMGASLAWATGLQAFIYRAGPCPDHPLACPDSKNGTIP QHINVFLQVPCYVLMAIGEIFCVTTGSEFCYSKAPRSMKSIVQALFVGTASISYALGI AISPAAKDPYMTIFYGCLTGVQLAITAGFWLMFRKLDKDIIV AFLA_066430 MSSAIGKKALLLGAGFVCEPAVQALSEAGVQVTVACRTLSVAQA LAANYKSTTAIALDVANEPENLRAAISQTDIVISLIPYVHHALVISAAIQYSKPVVTT SYISPALWALDDQAKAAGITVLNEIGLDPGIDHLYAVKTIDEVHKAGGQVKAFTSYCG ALPAPEHSDNPLGYKFSWSPRGGLLALLNSAQWYRDNDIATVDGKDLMAAASPQRIFP GFNLVGYPNRDSVGFRDFYNIPEAHTVFRGTLRYAGFPEIIRALVSIGYFSQERMAAL ERSGTTWVQLTASLLGLSESSSPKDVQNAVRRKIEAFVTDKDDVDRALSGLRWIGLFD PTPVVGHGTPLDTLCAVLETRMAYQPGERDMIVLQHIFDIEHADGSVEKRSSTLVEYG EPLGPGYRSAMAKLVGLPCAVGVLAVLEGRIPATGMVAPWSSAEIASLLRDELKDKFG IELKERVIT AFLA_066440 MATLNSLKEALGQKAVTTPSSSRQQLSDTQYSAGFDIFAGGSEY QDFIIPQLPQLLAPLFNSRLHVSVLEIGPGPKSVLGYLPHSLRKKVRRYAAFEPNELF ATKVEKWLCTSLEAEFPLPCLASPPGIHRLPFVLNSNINSDASTSTNISDERFDLVLF CHSMYGMKPKDKFIEQALEMLVEAPQGGMVVVFHRDGTLSLNGLVCHRTACFPTGAIR VLDEDKVLDNFASFVAGFVMEDTEADKATRLEWRKVCRALGRREEAYPDHLLFSSPSV MAAFTQHATTLPELTAQVPLVKDKTVKNREAFHHGSASIVRPTEVQHVQQCVQWARKH EVGLTVVGGGHSGQCLWPNVVSVDMSAFDHIHILPAGKDGGESSSDSVVIAGAGCKTG DIVRKTMAAGLTVPLGARPSVGAGLWLQGGIGHLARLYGLACDAIIGAVVVSVDSGEA LCIGHVPSQHRPAGAVRPKNESDLLWAIKGAGSNFGIVVSITFKAYVAPVHLIRSWVI PLSDSLEARRRLSDLDNLIASKLPRNCSADAYLYWEFGQLHLGITMFEASTTRLISDT STPTPPPVDVDTILGLDGKFDVVDGIGLFDAEMYMSQMHGGHGGCKTSAFKRCVFLKN IGAVNVADILTTAVGTRPTPLCYLHLLHGGGAVSQVASGATAFGCRDWDYACVITGVW PRDQDGTEIAHAVERWVYNVARDLLPLSSGVYGADLGPDPRDAILAAKAFGPNRPRLA RLKHCSDPHNVLAYACPLPRVSMKQRLIILVTGDSCAGKDYCADIWVSALLAYNHKDL TARAVSISDATKREYATATGADLNRLLSDRAYKEQHRPALTAFFQDQVRHRPRLPEEH FLNVVDSAADVDVLLITGMRDEAPVATFSHLVPDARLLEVRVQAGEEMRRARGGCHGS DDDSNDNKNNDNGRLNLTALDHHPDLIFHNDTTGDKAAKAYPTFHAWASSSAMYSISP SSQAG AFLA_066450 MTMIANQVRISFTRIPAAKAKPGNVVSLCLDEHMKISREARMAA SIRSILEDIGEDPNRDGLLKTPERYAKALLFFTKGYEESAYDIGKDAIFNINHNEIVL VRDIEVFSMCEHHLIPFVGKVHIAYIPNGRVLGLSKLARIAEIYARRLQVQERLTKQI SQAIEELLQPQGVAVVMESAHMCMVMRGVQKSSAMTTTSCRTGVFKTDKEAEEELHFL LKLNQD AFLA_066460 MAPKSFLEFRLEVVSVPFTVFSAKKFPGLATSTSLSRVIAEQGC RVRIRRDVRMRRRGEKRTDDYDYDEERVYRSSDRISTPDTHGYAGTPVERPRSTSTST VDPSFPYGVDAQRRSSGATEYGFQGAQPYQRPLPPAPGPAPAAVSTPAPPAPPAPPSH NPGYQSHLSFGSTQTQYPAPQLPPTPQTASTLAAPYSPHPSYSHARNPSTSAEYETPG YSYPPSRMSTERSSYPKNGLPPLRLEPPKPLNMPSGEPRSSDPNAYHSVAQSAAPRSQ TPSSSLVPSLPPLKALSGDYPNNLSQSSSSTSQSPSHDLGAGKKFFWDTGASLSKRSY EDSFGHDDRPLYNGMRPDTESYPRRLSDASRNFYNETRDEMAYKRANGRMATKISPAL Q AFLA_066470 MTLGAEVRPSLTIKSDSSEAPFSPPLALFTPLINSTRPTLADDS HQLRAQMSTDDTQADRQLHQTLESACRRRDPITTHGSRKHSRTDDYNDYDDDEYSSDY SSDVSGRFDDADEEYAISAHPASSPRSTHSAKRRRSNDWPVEELLPPPPLKETGSTGS RWRSPFHSRNNSRTDHGSPRNASGRHGRQAGPSGRGRRSRFIEGMMNDSVSEKPPSIF LRDGKSANGQEGPTHRSSGIFRFGKAIASAFNPFGGWGSVAVWKGSPSAEANKEPVDD DIARVEKAYAELKKAGYRGAVKGEYMAGAGSQSSNNLADQTWKSIQEKMDYKAPTGRH SRQNSGEVHESGSSLRNSFQEIRRAKSSLGITSSFIPLGRRSEDTEQPQLRKQKSKRE KLLRRVSTLEEKLEKARRELQELMGDDAPPVPERSQCQESTHPRKFVPGALPTLPSER LLNSHDPVSPISPTSDSAPMSLLENIQRTMQSQDPTQMTTIEPESTIKTPAKSPSLRT TQSLTVDSPSLKRKSPDPESASAANTPKSQKEGATNHTEEGNQSDSSRRSKLPKTFRG DSPGSVERKQTPRHREENSTRRSPSEERGRRRRSSQPLRSHSKRSPSARRRASNSRNR GTPSLRLKKGRADLRSASTQAMDIDNHDKENQHASQSRQDQQQSDSVDLNQTDPSPNS SPAKRKDQRFTYNYIPPVPPLPKNIAATAAKVDRRLAKEIGRRERNRKSKAQGKTNGT EDGFSWPDDIF AFLA_066480 MASTSKRLSKIADHLSTSPASPSPNPNFNMTTQLPDNLPWDPNC TQFPSRKELPNIPGAPEGAAWVWGKDDQIGRLNLLTPARVKAAAAEVKTGEMFRLDLP VNVPETPAFGRETFQHSIKTIAKDIAYDDTYTLNTQSGTQWDGFRHFGHIDSKLFYNG TTSTDIEPGPNSTTKCGIHHWATHGIATRALLLDYRHYAKTHNISYDPYTRHPITMSS LHACAKTQGLDLRPASQGGDVRVGDILLIRSGFVERYNELSPAERQAGATRAHEDLTW AGVNQEEEILDWLHDCYFAGVAGDSPTFEAWPPEKEGGFIHQNILALWGMPLGEMWDL EGVARRCRELGRWTFFLTSAPANVVGGVGSHANATAIL AFLA_066490 MSRSSHRDDFFQTTAALDEQKRKDAKSKNTNGDPIRLQSKILAV EADPLNPGAVFVAQSGGTVRKIILETGETAALYKGPTAPITSICFSPDGRLLFAGCWD KYVWCWDVASKEVKQKYDGHTDFVRAVVTTRLQGKDVLVSGGADAQILVFDIASGERL SVMKGHAKGIQGLVVDPVSLDSDSQELVVFSSGSDREIRRFDIASGSKDLTGTDAILV HDTNVYKLFFDRDADLWTASADKSAKCLVREEGWKPNLTLTHPDFVRDVVVYEQGGWV VTACRDEEVRVWNRSTGQLYHTFSGHFEEVTGLVLLGSTLVSVSIDATIRRWSLRPED LQVAVERAKNTSIDEEPEPNPGSMLTEEEERELAELLEDD AFLA_066500 MTEVARQPSVPDGASSSTLRRYVHVPETKHERMSFAVHGKTSSS IKRRSPQHRPGFFYVTNFGLSPEEIDRQFAIGQELFELPESEKLKHRADLEAGNYNGY RPLGAIEILPGLRDNIELYNVFKFIPRYARSHPEVILRHYEEIERFHRFIYDHIVTKL FRLIAILLELPEDYLVNGHPYDGPSDCHLRYMIYRARSAEENARHQNLWSRGHTDFGS LTLLFRQPVAALQVKTPEGAWKYVKPYPNSITVNIADALQFWTNGYLKSSVHRVIAPP PDQAHIDRLGVLYFVRPGDGLDLKTVDSPLLRRLGLKKDEGDEAQVSAGEWVRARVRR NWDKPPKANDENIELGGVKTTIFHE AFLA_066510 MGNAPSSPAHQCLLSAVGNDSSLVAFPSQPFYESAAANPYNLNW PVYPAVVASPKTSEQVADIVKCAVEYDYKVQAKSGGRSYANFGLGGVDGEVAIDMKNF RQFSLDDSTYIATVGPGLRLSDMTQKLGAEGRAMPYGEVPEIGVGGHFTIGGLGTYSR LWGSALDNIVEAEVVLANSSIVRASKDSYPDVFFAIRGAAASFGIVTEFKVKTYPSLS ETVQIKYEFSIGSSAERANLYMAWQELCAQKNLTRKFDTRMVVTQGTMIILAQFHGTK EEYEQLGFDKALPASNAGNVVVLTDPLASVGYDIEKLATGIVGGTPINFYEKSLSFET DKLPSNSTAQELFHYLDTADKGTATWFVVISIAGGATNDVPVDATAYAQRNVMFYVES FGINLLGRVSQTTVDFLDGINNLVNETVPGSDRNVYPGFVDPFLPNAQEAYWGPNLPK LQEIKAAIDPNDVFHNPQSVRPAGKGT AFLA_066520 MPELALFVARASSEEEGWQDYLRNSLLYTRNVETGRPVVLVING WDGLSTNPIVLVDLFEAWINEVDITLRVFAGNPRRFFEVSVVHAIEAIRGDYEDDDEA PVLPSDTKIFVDKVHAYYLMGITRNSSPPSAAVPRGESRLNGLSEARSSPSEIGDGGY SPSMEDPL AFLA_066530 MALANHGASIPDLIAIGDEQENVTDWQRRCGINKDSQIRLVKLT HMRYQHPDLDQITTFLQDFGMTVAKRTEDEVWYRGYGEDQYVYYARKGTKEFLGGTFV VESYGDLEIASQSPTASDIQELSDVPGSGFLVTLTDPEGFPVNLMFGKKPAEKGAIPE KLTINYEEEKPRIRKSQRFTPGPAAVHKLGHFGLCTGKFEELIHFYTTTFNIVPTDFL YVEKDGQRKNVALFAHIDRGDDYVDHHSFFMSTNASSHVHHCSFEVHDFDTQKLGHQW LTDKKYKSVWGVGRHILGSQIFDYWWDTTGNMIEHYTDGDLVNNQTPIGYGPAGDESL AIWGPEVPA AFLA_066540 MKGTLQIRGSDCPLFDISVCFHYQAPSTSPQNLTFTTHSGNLTD ALLGVVQQEIGKGLNLIRNYRFIVDALEHSKLPKTDFGTTSCTFRIVHE AFLA_066550 MAETHSKNRTMRSVVFDGQPFEVYVRDIPKAKVVRRTDAVVQVT SAAICGSDLHNYHGVFGSDQVPYSIGHEAMGIVKEVGADVASVKIGDRVIIPDFPDDV GLDLEPTINPAIALYGEGHQFGDLGGCQAEFVRVPLADKSLIVLGKEFDGIKDEDLVL LSDIFPTAWAGVTWSGFEAGDTIAIFGAGPVGLLAAYSAILRGASRVYSIDSVEDRLE LAASIGAIPINFTKGEPSAQILARESGGVQRTVDCVGEECVNENLKPDQSFVTTQAIK CTSVGGGLAVIGVHFAQPSSQGVQRGSTISPSTTFPITLFWEKNMTIRGGAVDSKLYV EPLLELVKSGRAKPGFVFSSIIDIEEAPKAYQRFSDHLETKVMIRFS AFLA_066560 MRIDTMWDSLRDHATAGATVLFPQNSSVIPQKPNFATADQGYGL SEASPVTHAQPWPEWHESIGSVGKLLPKMEAKYMTTPDDGSDPREVQTGEVGELYLRG PNIFLGYHKNPSATADSISKDGWFRTGDVGYQDFKGNFFITDRVKELIEYKGFQVAPA ELRGILVGHAAVNDVAVIQLTSKMDVRKVVILTVCVTLGLNTDLYPTEIARLESSRGI YPTFSPFQGFREISKRTMLASTLGALLDMCIFHGWGAIASPT AFLA_066570 MFGWNFLYLLNSPNGTIEFWRGAACTSAQQAFVYMEIAMSFIEA AVQLGSPENLETAPGTVGGLNQFISAARLSNNAPGLYDSRYLSLFFGNKTDGTFREPK PLGKLSAYQLNKLNKKKEDDKKNVAMVKMLHEPYWS AFLA_066580 MRPQTIQTFLDYHHSSAFASGEITAGTLKFCRIAPDRQPVQIED VRGRKEEFRLDEHGFQFLRHESPSFASFRDEDLSTVQQESVEILQRLTGASSVQIFST LIRNQTDKELFKLIDSSEPDSSVVPFNMPSRRVHVDQSEAGAYLKLKDSMAPDQVERV LQGRWAIINVWRPLKPVPCDPLAVADARSVPDEDLFEVHVGKPQNVQATFYNPKTSRQ GSGTLLGKYGPGHKWYYMSDMTQYDILLLKIFDSKDDGKTARRTPHAAFIDPQTSEVQ EARESLEIRCLVCFGDGIPG AFLA_066590 MILDVARTKRFFRRLRPRAKDQDNTPKCQPKKAFPDGLKLLWEP KDGTIDIVFVHGLTGDRDATWTAPGAEEPWPKTLLPSKLPTARIFTFGYDAYVADWRG VVSQSLIANHARIC AFLA_066600 MELPGNNSSLLLSSYASVQHLSIMADSDVSHQITRLIEVTEGIV EAYNPIKDLRGLPEAFQEVNNLLPFIRQILQDANRPRRRILSVNDAEALKTALYSCSE KANRLLEIFKKIAKKTNGQYSSSVYRAIVIQHGKHRVETLADGILQDLGALVAHHIFS ADMQRQVELLENARETLAKVSPSLTDSDPAEQPRAVAQYGDYSRQYNLLGEGGQRIAD GHYFEARGNQNFGMFPPKSFMESSEIAAGQ AFLA_066610 MIWKSIIDDVVSTLHASATQVFHGYVVYHCSSSRYRLSELNLPN IRADRVGNGVLLNVDGWTSRPAGGIDSFEYSSSE AFLA_066620 MRFRTIIRDFGPPKEVVAYESYKPQVPGLGQVRIRMLLACINPS DLVTISGAYRSRIPLPFMPGFEGVGVIESVGAGVSELHVGQRVLPLGSAGAWQDMKVT EERWCFPVPPDLTDQQAAMAYINPMSAWMMVRQYAPNPSTVVAVNAATSAIGQMIIRM LNRAGIRPIALIRRPDGKRQLSDQLDLSAVICTSETGLRRKLSELSGGRGLAVAWDAV GGTEGDDLVRSLAPGGTLVHYGLLSGIPLSYRLREECPDARIELYRLRDWIHTAKRHE LQRALDDIFELVRDGTAASKVAAVFPLSDIRQALECEATPGRQGKVLLSMSNAMEGTH YD AFLA_066630 MENDLPSTRNSSDGWPRQSGRIRVTRACDRCKKRKVRCNGQQPC RVCTEASAACSYNASYSRGRRPAVRLTRSRPLAQLTASPLTPRVVNQTASHPGNSDVL EEPPGVVSQERGSMPLGEPISRTSPEPAQTDLQGHYVGPSSGISFLSRVQKRLEQSVS FPRSLSVFNFGDTPLPYHHTDSSTGDPSPSYLDPTFCLLLNRDDTTRLVHRYFDFAVP VDRFVHRPTIEQWMNEFYETRGVMRDQDAAPAQIAVIFMIFAIAQEHTSPKPSTVEAD TSVRYFRAANQQLAKEQGPVRLASVQARLCQCLWLLSQSRINHCWSLFGTVARLIFAL GLHRNRHASSSSITRLEIECRRRTFWSAYCLDNYISTALGRPRTFNDKDIDQKLPSCV EDEEVQDSMDGTASYASQGLSTMFGPVISDFEWLTNITRRLLREQNERREQIDESISE CLHAAMEIVTVVDAIIQAKQLFRCFWFTPYFAFSASVILYVYTIQHSKEPGDVYGPFF AAAERCQQQIMNIAEEGSLTSRYCLVLEELHAEAVRQITPVQPSVDQQTQTHYAMEMR SGDIENLTSNVGDFATEFAMAAPNLVGLGPLDDFHVSPSASLEDLTGWDQFESMVSAH EIEKVFWYQSANQDYRQVFSGFNNL AFLA_066640 MSLQGKVYAVTGGASGIGFATAKLISERGGTVCIADVNADCLGN VESYFSAKSPSVEFMITQVDVSNKQQVENWIADIKTKYHRLDGAANIAGVIGKDHGIK TVAELEDDEWNKIISVNLTGTMYCLRAELNHIVDGGSIVNMASIHATTELGVANHGAY AASKHGVLGLTRVAAKENGHREVRVNAVAPGPIYTPMMQGFYDRIERPSDAPFDDPIA FKRQGTPEEVAKVVVFLLGPESSFVSGSCYPVDGAWV AFLA_066650 MSALSGIDIALWDMKGLKLGVPIYHLLGGKVRDKIRVYAWIGGD RPDDVQVQAQARKQQGFHAVKMNGTGDTAWLDSPSVLHEVVGRVKAVKAMGIDVAIDF HGRVHKPMAKRLARALEPHEPLFVEEPLLVEHIGGIKQVSELTIVPIALGERLYSRWD VRPFLESNCVDILQPDICHIGGISEMRRIAAMCETYDVGVAPHCPLGPIALAACVHAN ATMANFAIQEMGIGMHYNNTGQDITSYITNPEVWAVRDGHIDVLSGPGLGIEINEAEV RQMSQNTKAWPTPEFRGPCGELREW AFLA_066660 MTTENGIGESGLSTIEAPITWKAYLVCVSAAFGGIFFGYDIGWM SGVLGMPYVIQQYTDMEYDFNAGTPVDSSHSFAIPSSDKSLMTSILSLGTFLGAIVAG DLADLWGRRITILIGCAIFSCGVILQISSSGQLALMTIGRLVAGLGVGFESSVIILYM SEVAPRKIRGAVVSAYQFSITIGLLVANCVVYATQGRNDTGSYRIPIGVQFLWDIILA GALFFLPESPRYLVKKGNIQKATEALCFIREQPDDSEYIRDELAEIVANHEYESLVSP DKGYVSSWLACFKGPISKPNSNIRRTIVGAGIQGMQQLSGMNFIFYYGTTFFQQLGTI SNPFLISLITTLVNVLTTPLAFWTIERFGRRPLLIYGGLGMFLMQYIIGAVGTALPDN ETAVKGMIAVICFQIFFYATTWGPAAWVVVGETFSLPIRARGVAISTASCWFWNCVLA VITPYMTGDEEGAVNLGPKVFFFWGSLCLLGALFAYFLVPEMKGLSLEQVDLMLAETS PRKSAQWAPRTTFAQEMAHVEKTEVTHIEHTDHHEV AFLA_066670 MQTMLKAITAAVAVSSLSTASASSTCKLASRADPLQYVGVDWSS VLVEERGGVVYKNPQGTEMPLENILVEAGVNMVRQRVWTVDGDYGIQYNLELAQRANK AGLLFGLNLHYSDTWTSPDQQAIPTGWPQDIESLITQLYNYTSEEMEITSGLLWPTGY YTNPENLARLLQTAASAVKNSSLGGHTKVLTHLAHGWNSELQHWFYDLVLNTGYLTID DWDVIAVSFYPFWGEGATMDALTQSLNSLATQYRKEVQVVETNWPTVCSNPEYPFPQD QLDIPLSSAGQITYLQRLADTLKAIPAATGLNYWEPAWIDNAVLGSSCESNVMFDPSG QAYDSVSIFGSLY AFLA_066680 MRLWHIVSSAVAIAGLATAQDWPLHDNGLNQVVQWDHYSVIVNG ERLFLWSGELHLWRIPVPELWRDILEKIKAAGFNGIGLYEHWGWHAPNNETLDFETGA HNFAQAFEIAKELGLYIIYRPGPYSNAEANGGGFPGWLTTGEYGALRDDSEKYTRAWT RYSGAVAEYVRPYLITNGGPIILWQIENEYGVQWLDPDLKTPNHSAINYMELLEEKHR GWDIDVPFTANNPSMWTRSWSKDYGNVGGEVDLYGLDHYPVSRTQPSFLMEFQGGSFN PWDGPEGGCKDNMGPAWVNLFFRHNLAQKVTAVNVYMLYGGTNWGNIGFPEVGTSYDY SAPIHETRLIGDKYNEAKLFGLFMRVARDFSKVERVGNSTQYATDQDIFTTELRNPDT GSAFYVTRHEYSPSTELTKFRLHVSTDIGNLTVPTKGSITINGTESKVLVTDFPIGSS GKKITYTTLEILTVADLGDRQVVVFWAPEGEEGEFLLKDAKSGKVMTGNADNKTVTTT RYGVVTSVGAGNEKTVIDYNHDVQAVVVDRQSAYKFWAPTLNNNPLAWENSTVLVHGP HLVRTAEIDGDTIYITGDWDEETDIEIWAPKNVKNVFFNGSKLKITKSKYGTLVGSLP APEVMADSLLAELPPLTNWKVAENLPERLVDYDDSKWTDANHMTTPHFVPPDTYPVLF ADEYGYQAGNILWRGRFNASEESMPSGAYLRVIGGLASGFSAYVNGEFLGSWLGSMAN KTGELEVSFKDVKLNTGDDNVLFVIQDTMGKEQRDAAPDPRGILNATLIAADGSPTNF TSWKVAGNAGGNHLLEPIRGTYNEGGLHAERLGWHLPGFDDNDWESGAPADGFTGADA RFYRTVVPLNIPEGYDASLAFQLSTEKKAKLRAQLYVNGYQFAKTLPYISNETTFPVF PGILDYNGNNTIGLSVWAMDEAGGRVDVAWKVMGVHRSAFDPLFDGEYLRPGWKDRSQ YA AFLA_066690 MTISTQAPIHLTFVGGGHLAQAIISGILSSTNPWALKCNIAVTA RRAEHVQELQSRYPQLLVTDNNLDKRIWQDARRSHRTSTQDSTTSPILFICTRPADVP TVSKQLAPTLESLDPSVRPTVVTMCPGITVSQLQDWLPTGTAIVRSMPNTPVEVRQGA TGLFASEDATVRVNHVKTVLEEVSPLVTIVPEESMLDVVAAVSGSGPAHFFFVIESMV AAAESMGLPREAAEPLVIQSCLGAGYLASASSKSVADLRKEVCVPGGSTEKAISHLDQ NGVQTLFKVAIQKSLDANLKMQFC AFLA_066700 MEHHTWALFVDKLDYKAVFGLLLTIIIAVVTTQILHVYTKCCPG IPSIPFHISVYDAYRRVSEIGFHNSRLRPVLETHGAVNIWNSGQWAVLVTKPEYVVRI LRNERVVAKGGFYGKVPHSTLAGLFGENIIDSHGELWKQFTGVMKPGIQRPHSISSLK VASSKLIATFKREQQHAPSDRGVVINDIIERWAIDVFGESFFDVDFGALNGGTVRAQD ALLAILWNLGGHLIHHFPMFERIGWPLRPTRPHCFSMIRELEEALIDITEKLKYPETP PDRFEKLIYRLKRARDDGLMTNFHYRSNLKMMFFAGHENVKFAFIATLWELSQNPQMQ EKLYREIAAHIASSSDGDDLKSLPYLTAVLAETLRLYPPVSQLINRKTLEPVYLGNGI TIPQGMWVGWTAYGVHTDPNTWGPTAHEYQPERWGDDVHAIQRAISQQQVRGSYIPFN AWTRSCIGSEFALLQLRVTLYEIVRHFEITSAPDYRYSIKVVSSNLCT AFLA_066710 MFPSLDFSRFHDPSQREEFCRQFVSTLKEYGFAKLINHGISCAQ IDLAFAAARRFFQLPLEQKLKSPHPATAHPHRGFSPVGLENIGAVSDYGSAAGSPYLK DMKVIESYDIGSEYDPLYKNIWPPKGVDDAFQPTFTAFFEAGYRAELTILKALSIGLG LPEHKLGQLHADQTNELRITHYPAVARGEFAHSTRIATHTDFGTITLLFQDAVGGLQM EVPPHSGQFADIESGGPYECILNVGDCLQKWTGLHSARHRVHLPDRSKEEQINGIVPE RFSIAYFAKPDRAAILRPLLLEGVPEEKYLTANEFQHMRIAGTY AFLA_066720 MKSHILGLDQIWSWNAEVPKTVPGCVHDLIATVAVHHPDALAVC AWDGDFTYSQLNALSHRVAQRLIALKIPRQSSIPLLFSKSRWTCVAMLAVIQAGCAAV ALDATQPDTRLRSIVQQTQPRVVISSPMHTTRASGLTDALILQLDDAFFDNEIVEPTD ELPVAFPSDIVYISFTSGTTGLPKGACISHANVRSAVHYQGKKLGFDSKSRVFDFAPY SFDVAWSNFLHTLCAGGCICIANEEDMLNDLSAAIDAFRATLINVTPTVLRTINPIPT TLETVLLSGEMPYRDNITRWADKVKLLNTYGPTECTFKCAFSLLSPHFEGRPDIGRGV GHCTWIVDVNDSNRLATPGATGELFLEGPLVGQGYLGDPEKSAAAFINDPPWLLEGSS NVPGRRGRLYRTGDLVKYKSDGRLMFMGRKDATQLKIRGQRVEIGDVEHHVRACLQED ISVIADVVMPRGSDTTSLALFVQTQPDNREWVKREIDKLEGKLREVLPSFMIPTVYLP VDVIPVASTGKADRRRLREMGNALDWNQIVKLQSTIVSAVDYREPTTDTERQLRHIWA QVLELDVNRISTGDSFLRLGGDSIAAMYLVAEARKEGLSLTVADVFRFPILNELATKV KNVVQSEVVLPFSLLTGRRSKKDLCKEAATLCGVEVGEIEDIYPCTALQQGMLAISAR EASADYTSRTVFDLPEDINMTQLERAWTDTVAAMPILRTRIVELRGEGLVQVVVKTPM TLLRYECIRDLMNTSSQASHLGRPLCRAGIIQDEFPRLLLMIHHSIYDGWTTRLILDT VEMAFRETRAFSAPVPFQLFVKHVAAMDTAKAIEFWRDQLIGSDATAFPSSQYSPRKK LDFNHVISGVQWPRTSATPSSVVRSALAILLASLTNKDDVKYGATVSGRQAPVLDIER IAGPTIATVPVRVKLNWDQTVEDLLQQIQRQMVETTEYEQFGLQQIRRIDEDIEAAAQ FELLLVIQPAHHGKNAHSQGGPVLVAKDGQSDSIGIYNSYAMMIICQLEESGAELKIN FDSGAIEEDEVQRLARQFEHVLRQMCSEQFAQVKLRDLSPMTSEDLLDIWAWNRNLPA AATELVTSLIEQRAATQPGKIAICAWDNEHTYRQLQERSTALACRMRERGVAPGSIVV LSFEKSSWLPITMIAVLQLGCIVLPVSVPTSSQRASQIINALQPTLVITSNASHLCPF TGMVPVTTHDELMKAHIENSPGLPLPELRASDPAFLLFTSGSTGTPKPIQWSHETLSS NIAAAQTCFGIDSNSRAFQFAGYDFDVSTVETLSVLVAGGCVCIPSESERTNRLSESI AHYNANWICLTPSAAESITPKDVPLLKKITFAGERLQQRTASQWSEYLDVVYNWYGPA EACVATSYKFDPRNWQSGIIGRSQAACTWLVDPKNPNILAPVGAIAELLIEGPIVASY AGSCGAALNEKQFISPSWLHQGHWKVSGRDGTLYRTGDLVKYTSGGSLLFVGRKQDSQ RKLRGQRVDLENIEGLVQGFLKSRNEVKTVAEIFTPSLSDKDSLALFFSSRGMASKPA LPVDELEPYLATVLPAYMIPKLYIPLVTIPVGKTGKTDRRRLRQIGSSFTTEQLAAMQ PSRQKARQPSGENEKQLQGLWAEVFGVAVDSIYATDNFFRLGGDSIMAMRLVALARND GAALTVSDIFEAPTLENMSKRLVKGTKYIEEVPPFSLLSPRVGKEDSRRYAARQCRIP EEQVLDIYPCTALQEGLLALGEKDPGQYISRSVLEIQSTVNPDRLRQAWVSTLQKLPI LRTRIIDLPGQGLVQVLLDNVPWRFGTDIATYVREDEQEPMGMGTQLCRAAIVEGSFI LTIHHCTYDGASLKMVLDEFEHQYLGQCGAEFTPFRNFIQYLQKTDPHDSTEFWKGQL SNAELQQFPVLPSSTYIPQANEEMDHPISVEWPRAGMTPSTILRSAWAILEAQYVASN NVVFGVTTSGRQANMAGIERCSGPTIATVPIAASIDWDQTIQTFLGQIQQQSINMIPH EQCGLQNIQRAVENTDSVLFQTLLVIQPVAEGKSLQDDSLLFKARHYSSNLNTRGTDP FNVYPLMLICELIGSGLKLHISFDNHILDHRQIRRIASQFETVLRQLCTTDPGTTKLK DVQTASNFDITQFWGNNSKAPAEPQASVPDQISLVAGKQPDSIAIDAWDGQLSYRELD ELSTDLAHRLLGLGIVKGSTVALSMEKSKWVPIMQLAILKSGAVCLLQSVAVPEHRVG TVLKTLDVVLAVASPSRVGVMKHFSKCLTIEEVLESPILYNPLPSPGMADPAIVLISS GSTGEPKQILWSHRTLSANIQGAGEVFSMSSLSRLFQFASYDFDVATVETLSTVAYGG CLCIPSESERLSDMPRAINRFKANVLHCTPSTGRLLSPEAVPTLSTLVQAGENLTKED AKRWSGKCDVINWYGPAECSLASVSPATLPSWYTGVIAGPSELIGSQYLPLCWLVDPY NHHRLTPFGAIGEIALEGPGCAVAYIGNPRLTKRMFCENPTFLCHGQRGNERGRMRRI YRTGDLARYDSNGNLVFIGRKDAQLKIRGQLVAPEEVEHHIRQCVDIADFPVVVDGII EKGGIHLTLVAFIVTEEVGSITNGLNEKLQKSLPRYAIPSYYIPVPAIPTGPTGKVDR KKLREIGSAFTPRVQSEIQQRKPTTPAEVKLQSLWAIALGIEVSNISANDSFLRLGNS IEAMRFVGLARDQGILLTVGQVFEKPVLAEMATVIQSTTTDMVEKASPFTLLDKSVDI QLARQQVASLCGISEESIEDIFPCTPLQEGLLSLTTKHDGDYTGRNILELRSSVDIGR FKAAWEQTVARIPILRTRIVDLPGQGLVQVVIEETNVWTEAKGAEDYIEKEKQIPIAL GSPLMRCSLFTTSSGENSRFFFALTMHHSIYDGVSTGLVLETLDSLYHHTTSRELSTF QPFVQYINRQDKKAESDFWASQFAGLEASQFPTLPYSAYEPHPSSNLEHSIRDIRWRG DGITPSTTVRLVFALMCSRYSDSSDVVFGTVVNGRNAPVQGIDRLAAPTIATLPIRVK LKDHENIRAMLIGLQNQATQMIPYEQSGLSRIQKINDEARQACQFQSFLMIQPPETKM DEGGLFSPQESSPQSERDRYRGFNSYAFSVGCTLLENGIQLQFTFDSKVDQVAANDLE MITTQDLNQIWQWNSHRYESINRCIHNLISDTAKSQPTATAISAWDGELTYKALDYLS TQLAHQLVDLGVGRGMIIPLYFEKCLFSFVAFLGVVKAGAAGLFLDPALPASRLHAIV QQVKPILMLVSPSNETLGCSMVEHVIVVSHDSMRLASEGRGKTTPLPSVHPSDLLYAV FTSGSTGTPKGVLIQHRHFCSAIVHQQPVFNLGPSTRMYDFSAPSFDVTYGAVLPTLV AGGTVCIPSDEERKSHLSESLRRFGATDTLLTPSIARWLDPSRVPTLRNIYLGGESPT HDDLALWTPHVPTVNCYGPAECSVGTLYWKVPSPIPSKIPIGKGYGVSTWVVDPQSSE RLSPLGTVGELYLEGPLVGQGYFMDEEKTASAFIESPSWLHRGSPNGRVPGRDGLLYK TGDLVKYDPVDGTLVFIGRKNTQVKLRGQRIELGEIEHNLQQVLIDMSIEAAVVAEVA TPEVTGRAALVAFIESDPTRISEIAGDLENEMAARVPMYMVPVTFVPINPMPLTPSGK TDRRRLREIVSQFTLEQLGGGRQSNGRPPATERECLLQKWWTSVIGVPANQIFIDSSF IRLGGDSISAMRLASLARSQGISLTVQNILNQPRLCDMVQAMAPLDANRDDADQVEVT PFSLLRHPEDKEMTLDYIAQQCDISKSEIQDVFPCTGVQKSLLSMTAKSHTSYIARFA LRLAKNIDIPRLQRAWEKVSQTKAPILRYRIVDTPTEGLVQVEVNEPLKWETGDTVSS YIQQDRRSMGLSTSLTRLAIVGNAAEHDTYCLLTQHHAIYDGYSLNLLIQEVSRVYAG LIDPTPVAPFQAFVKHIMAIDQEKAREYWKNQFANSEAVPFPPLPYDDYHPKADSTVR RDFVGFHWPKRNATASTIIRAAWSILTARYTDTDDVVFGALVTGRQGPLQGLDRMIAP LINAVPVRVKLDPEQDVESFLNSIQQQSIDMIAYEQSELLDTRRINTDTEQGSRFNTL LVVQPTQQSGDSRIIDGPFDQRKIVSANDDLDDYNPNAVMILCQLTEDNSLTMEVSFD SRVVDVEQMERIASQFEHVLRQLAMLTTDTVESIEVVSPEDIKQLWQWNAAVPHASER CVHELIDDTVKQQPESPAICSWDGQLSYRELDILSTSLASQLVALGAGAGTIIPLCFE KSMWHSVAALGVMKAGAACVAMDSTQPESRLRSIVEQVHPNFLLTSSKNYDLARSLSD ATLLIVDRYHLLDSPVVHSTAPLPQAHPSDTIYVVFTSGSTGTPKGVVTTHRNFASAA KHQQEILNIRSTSRVFDFVSYNFDVSWSNHLQTLICGGCLCIPSESERRNDIPGAFNR MKCDYVYFTPSVARSLDPSSMPGIKCLAMGGEPIQRSEVVRWTQAETIIGIYGPAECA QALSFVRLDSNCHNSHVGLPYGANMWLAQPGCPDRLAAIGAIGELLIEGPTVSKGYFG DLEKTTAAYIKDPSWLLQGTPGHPGRSGTLYKTGDLLRYNSDGSFDFIGRKDGMIKLR GQRIELAEVEYHVRVCLEDASVYDGVAAEIIRPQNSNPLLAVFVSLTDRLGKSENPSA FTELVESLEQKLIHRLPQYMIPGAYIPVEQIPMTTTNKTDRRALRDLGNAQSLERLAE LQSHGKKHREPSTEMEKNLQVLWSSVLGVEPASVSADSNFLRIGGESIAAMRLVAAAR LQGLSLTVAQIFKAPRLSQMALLVTQKAEEDEASQPQPAFSFLKTNDHKTFLQDHVEP FLYEDTGIVKDVIPCTDFQKCAVIDALQDPPGRLPIWIFGLPHNVDFARLEWACKALV NHFDILRVVFIQADGRFWQVLLDGFKPIYDTLDVDDDVESFTHTLCEEDLKRSRQLGQ SFIRFVAIRHQGGKHRLVFRIAHAQFDGYTWSTMIQTLAALYYQQSLPMQPTFRQFIA FNERKKEQSLSYWTSRLRKSCHPTWSPANCSDTVYSTSDRMTVTTSFPMPNVQRHEGI SSATFFHAACAIALSQQFGRKDVVFGRLVTGRSMLPGSLQNVVGPTMTEVPIVVSISP NDTIVTVANQLQAQFLDDSLHESAGMEEIIRNCTDWPEQVVDFGWRTAFQQADEMEFT FLDSGSTITVHEHDLLPRRRPEVYATPRNGRLHLEFEGNRQLISADIVREVFARIQSV LGEV AFLA_066730 MPVPDTFLAATVNEPYAQHVVSNRSLQPLESGEVAIKITATAIN PVDWKIRDYNFFIKEYPAVLGSDAAGEIVAVGADVSNFAVGDRVFFQGIIGRYDSSTF QQYCKMPAALVSKTPSNISDDQAAGISLATVAVVTAFYDKSGQGLTPPWDPNGPQVGN GKAIVIIGGASSVGQYAIQLAKLSGFESIVTNASSQNYELLKKLGAHVVLDRAHSSPE DFKAAIGGLPLEFVFDAISVKATQALGVEIVQATKGADKIVTVQGADSDAIALGQSKE PKVAVKQVLGLGSSPALRYLSEPLVKHLGGEDGYIAKNLFVPNRVHLVKGGLNTVEQA LAKNKEGVSGEKVVFRPNEGANQA AFLA_066740 MTADEESPFLQSPPTPGSPVSDLERRRRSDRANKLVIYGSFVGV FLASADESLVISTWSSIASQFNCLSQGSWLLVAYNFGYCVSLPVYGTLSDIYGRKNVL LWAYFLFALGCLACGASGSLIQLILARVLAGISGGGMVALVSIIITDLMPSNEVALFR GYANVVNVAGRSLGAPVGGFLIATLGWRWAFLGQLPLIIICILVAYYGLPSSLNQSKA DSDQDDSRSRASDLDYGGIISLATAILILLFLIQSLSTTDDQPGLPYVLAPAFAIAIA VFVLTEAYWARKPLIPLSLLKCSLGGYCVGQLLLITGRSALSSNMVPYFVRIEKVTDF LASFTYVVTAVGVSVGGLISGAIIKR AFLA_066750 MINNEVRLTCRRGSLARRCRRLLDFFQCRLAQSQWWSVSSHKAN LNKQANIRIVALGNWEAAYEKASAFVSGLTTDQKLALITGSNVESTNGNFTPLYFLDG DMGLQDFYYVSAFSLSSALAMTWDRDAIYEQAKAVGSEFYNKGVQVVAGPTSQPLGRT PWGGRGVEGFGPDPYLNGLATGLTTKGYVDAGVIPGGKHFLLYEQETNRTSSFGSSGE GSPYSSNADDKTIHETYLWPFYDAVKNGAGAVMCAMTKVNGTMACENSDLLMKMLKTE LGFPGMVWPDMNGQNSAKGSALGGEDYGSSSIWSTSTMESFLSNGTLSEARLNDMAIR NLIGYYYVNLDNGRQPTRQTTDVYVDVRANHSKLIRENGAKSMALLKNEGVLPLSKPR VMSIFGAHAGPIMGGPNSNVDVMGSGPTYQGHLATGSGSGMASMPYLITPYGALTNKA AQDGTVLRWVLNDTYSSGGGSSLVPSSTSSTAVEPSFENFATGSDICLVFINALAGEG ADRTELYNADQDAMVNTVADNCNNTVAVVNTVGPRLLDQWIEHDNVTAVLYGSLLGQE SGNSIVDLLYGDVNPSGRLVHTIAKNESDYNVGLCYTAQCNFTEGVYLDYRYFDAHNI TPRYPFGHGLSYTTFHYSSLAIKAPSSITKAPKGNLTVGGPSDLWDVVGTVSARIANN GTLSGAEVPQLYLGFPDSADQPVRQLRGFDRVELSAGQEAVVTFNLRRRDISYWNVKT QQWMVAGGKYTVFVGGSSRDLRLNGTFFLWVGS AFLA_066760 MKLIWPTSLLFTALVAAEPYLLFSSGTSEYQLASNTTAPAVWVA QNEPVGVLRAAHDLANDFGRVLGVNGTVNVFDTDISESPGKAVIITGTVGQSTLIDQL VSDGKLDVSLIEGKWESYVSQVVENPFPNVTWSLVVAGSDRRGTIYGLYDISEQMGVS PWYWWADVPVKTKTGIWVAPEGTFQKSPSVKYRGFFINDESPALSGWVAENFGTKFNS AFYRHIFELCLRLKGNYLWPAMWGKMFYVDDVENGQLAHEYGIIMGTSHHEPMARSEQ EQKTYLDGEWNWNENQANITTFFQQGIDRAKHWDTIWTMGMRGEGDVASPTLTAADLE ELIHAQQKLLVDSFNASDPASIPQTWVLYKEVSDYYAAGMEVPESVTLLWTDDNSGNL IRVPIANETSRVAGAGVYYHFDYVGSPRSYKWINTIQLVKTWEQMHLAYQKSARQIWI ANVGDIKGLEVPLTHFMDMAYDMDRFTSTDSTTKWLKRWAAREFNERIADRTADILNH YGTLVARRKYELLSQLPFAFSTIYYDEAEANLAQWESLLAQTQSVYSSLDQATRTPFF EMVLHPVLAGKTVVDLYTKTAFNALYHQQGRISTNRLAQKVHDLFTEDSAITERYHGV NGGKWRPVMDQVHIGYSSWDDPVDNTNVMPALSYIAAPQGAGGIGIAVQGSAASYPEQ KTLRLLSMDPYMPPSESRYIDIFARKNTTVTYSISSNTSYVTVSNASGSVSASSNISD VRSTITVDWASAEPGLSHTELQVRAGDGFTAALVLSVNNTFVPTNFTGFVESNGVISI EASHYTSAETKSNVSYVEIPHYGRTLSAVMPWPVTMGTQHPNTGPALRYSLYTTTASP SARLIISLGASHNHDPTRLIKFAYSLDGSVPVTVRPVSTVPPYKEGQAWQQAVIENGW TSVIELPGEVGTGEHELSLWLLEPGVVLQKIVLDMGGYQDSALGPPESMRVGV AFLA_066770 MGTNSGNTTNTSVATCCLALIGALGKKVSFPNSQPYNNSINTYF SQQNSNLHPLCIVSPTTAQDVSTAIKIINSTPETPNFAIRSGGHAPFTGASNIANGIT LDLRGLNSIKVSPDRTTASIGVGATWGDVYPHLDQLGLSVAGGRAGQVGVGGLTTGGG ISYFSPRYGWTCDTVTNFEVVLANGTIVNANEHENPELLIALRGGSNNFGVVTRVDLK TFEQGPIWGGTVYHSVDTYQEQLEAFAGVNSAEGYDEYASLITSFGYSAQGKAVVNSI VYTKAEENPVVYRPFMAIPKLYSTVRIAGLHEIAMEQGSFSKVDKRSTLPMLTAVYQH WDSSLESVEGIPGMVWAISLEPLPPAIYARNASRNALGLTDASGSLVVTLLSATWDDE SDDEEVDKAARELFDNIDNDARKLGVYEPFVYLNYAAPWQDPISSYKSKNVQLLKRVS QDVDPKRVFQTNVPGGFKLPK AFLA_066780 MTQMCVLKLVLRSPSRWVVAYLQEFWSIFPPRYPTKEDLKEPEC VLVNGVFQWKHALANGHQEENFSVPVKVAVAANGVRSSQAHGAVAVGTPPAKITDYKA VKAPYNYINTLPSKNIRETFIDALNSWLEVPAASSTSIKSIIGMLHHSSLMLDDIEDN SVPRRGSPTTHTLFGVGQTINSANYTFVCAFEELQKLQSPNAIGVFIEQLKNLHCGQG LDLYWKYNTHVPTADEYMTMIDHKTGGPFRLCVRLMQGESSGKTEHIDARRFVTLLGR YFQIRDDYQNLTSAEDLDEGKSSWPLIDCLTGSDPEQTMIKGILQHKGVGEMPMAMKR LILGKMRKGGALDSTFLLLQDMQEDILKELELLEAEFGSENPILELVLRRLCL AFLA_066790 MAELTVGYVSGIIAAAVFLVIGHLPEENTIVSWSVVSRLIHSSY WPTILNSDTAASTGVSRAINACNWLQLGALGLVAITGIVTPLGLYDTIGPDDTPTNIP FRYASDTSAFGKATRSRDGYRSLRVCYADEDPNTDEGCPGAPPNINDIEDPAEAYSIW PSSVDLFTSGKVPSTVSSLFDIQWRSFRSTTNVALGVNGSAFSEGYYRQISQFIMEEG IIAVEGLIVDTKAGRIGFRNHTVPVDVPTGARWTEDILFIEPEARCVNTNLTVDYRYN PSSETYDSFEDTRDPVLVDHGGFSNLPHAVYEIDTANFQNNVALYERAYSAAWRHNMA LMQFFNVTTNGSDGLAPFAYMNSEVGKKFNGTAELDNLEIERIERSSKPQLWGVERLA NHTIRNVRPLWGIVSPEVGTRDDISTVERDHLWLPGYPDTSVSDLYTGQANMPGSQFY MDRLLSLFGVRNMEKSTSRYTGLNDLALYSQWLELSSSSSGVEHMLKLMWTDMAANTV VGTRGWHSPKNGERLSKRDSGEVNVPVTLWSQHIEYRLPYAIPAFILLALCIALGAWS IWLLILRRVGLKQMRTYLARTSPGRILGHALHEDQGNILASTDVWLQQIGLRKVTLPG RNEDSEIPLLDVQRTLTHEDGGGDKSSK AFLA_066800 MMMRRRLMALLENLRWDDREEPSLSFLLLTVLKNNSALDSAPSA GENLVSMRSTAQRFATVDGGITRGADIVKAIALGARAVGLGRPFLYGVAFGEAGASKA IRILKDEIETTMAVLGLTSLDGLDSSYLSCHTNLPTYRLVPPLSLLTL AFLA_066810 MAMVHVRSSTNGLTLNLSNAWWSTDEDVYALVTEYFAPEPHGRW QDFRVDQSPSATASPWLEVLPLLLDRSRSTSRGASLLSASLKTLGYSIASKGGNGSTR SQWEISRAEYYSKAVHCLKHDLNEGTGVCDESAAAIMCLSMAELLIPTSRDGWIAHVR GIGRMMELCGPRTFNKPISHQLFLGFRPMILIEACQSRQDTFLSADEWRVIPFLSHAA SPLQILLGHGSILPSLLQKSQSLQLLSGAEKDSMAGDILTALIVTLQELDAWEQSMQS TVSGPLFWSSAASATSPGTVQTTKSCIWFPSLSMATALTYLWAFRAVCFSQVAQVLSF DQALASRTDWIWTCLDISGTQNCREKALAFHTMICQSIPFFMQDKMKFYGAASVTLPL MVTQTVLFS AFLA_066820 MYVTDIARPVPIPGQVLVKIRAFGLNRMDILQREGLYPLPSYAP ETMGVEFSGVIEQLGDEATAMESGFKCGDEVFGLAYGAYSGRTGAYAEYIVVSIKTLF HKPAQLSWEEAAGIPETWMTATQALLLIGEFQSGQSVLWHAGASSVSIAGIQLAKARG ASAIYATAGSPEKVHFLEQELGVTKAFNYKTDKWAAELQKLTTGVNLVVDFIGAPYFQ NNLDIAARDGRIILLGLMGGAKLPEGVNIAPLLYKRLRLEASGLRSRDLEYQKTLRDM LVDYALPKFCDRSFKVHIEKVFQFEDIVEAHQLLEKNQTKGKIICMIGSQ AFLA_066830 MADGLRSPVPANASEGLMKYACTVCKRRKVKCDRREPCSTCVKD HTDYSLKVQDAESILPESSGGISYQIPRARIEGELELDEGALLFGAASTPNLSGLHPN PVHIFKLWQTFLENVNPLTKIIHVPSLQQHILNASGNLDSMPSELEALMFTIYCAAIR SLSDEEVLQGFGKSRTALLAQYQQASQSALIKAGLLKTSNMVVLQAFVIFILSVRGEY NPHTLWSLSGIAVRIAQRIGLHRDGSRLGLSIFETEMRRRLWWQITVVDAAISRMSGS TSSLYPLADTRIPLNVNDSDLDVKMKETPPESSSATEMIFCLIRYELGQWLERQSRSK PAGFDGYWESISGGSIPIEEKDRMIEELEDAIERKFTVHCDPSIPLHLMTMIVAWSVP LILRLAAHHPRVYHEKGELPTQAEKDLVFKTCLSVLEYGNILLTTEEMRKYLWHVDSQ FPWDSLIYILDELRHRAIGDETAKAWHLIDVTCSRQYRQPGPRARSPLHFALANLAVK AWTAHVAECEHRHMSTIPQPNIIPTFIELTQQKILYSLSASTRAATSSSQDQDQRSIP ARAATLDASPFSAVPQLREVVLQSTNPVEDNAHFSSSVGLGPAESSPFDWAQWDASLQ EYQQRSNSCGYF AFLA_066840 MGSLSDVRVEPIAIVGSACRFPGGANSPSKLWDLLHSPRDVLMD FPPSRLRLSNFYHKDGEHHGSTNVINKSYLLAEDPNVFDAAFFNINGLEAQAMDPQHR ILLETVYEALERGGCSLDDIQGTKTSVFVGVMNADYYDIQLRDSETMARYNATGTARS IISNRISYFFDLKGASMTIDTACSSSLVALHQAVLSLQNREAEASIVAGANLLLDPTM YIAESNLHMLSPEARSRMWDKDANGYARGEGFAAVYLKPLSAALRDGDEIECIIRGTG VNSDGRTKGITMPSSVAQTELIRDTYRRAGLDPSVDRPQFVECHGTGTAAGDPVEARA VHDAFFPPSSKRDNERPLYAGSIKTIIGHLEGCAGLAGVLKASLALQNRIIPPNMHFN DLSPSVKPFYGMIQIPKQPMPWPESTTFRASVNSFGFGGTNAHVILEGYYKGGECLNG GCQQARLDSFVGPMLFSGNTQTTLRAMIKEYFDYLSANPSLDLEGLARALADRRSMFP VRAFFSGSNREALLKYMGQALISSEGSDIGTRSLASSDTPGLLGIFTGQGAQWATMGK ALIHSCLLFRVSIENCEESLSTLPDPPSWSLMQELLADDKESRIGQAAFSQPLCTALQ IALVDLCSASAITFDAVVGHSSGEIAAAYAAGILSAKDAIRIAYYRGLYAKLASGPDG QPGAMMAVGLSMEGAMSFIAECGLYGKVCLAASNSPSSVTLSGDKDAILQAKGFLDER KVFARQLQVDTAYHSHHMLSCADAYLKSLEACNIKPSLPRAGCVWVSSVRGDIEILEK GDFTSLNSQYWVDNMVKPVLFSQAVECSLWAGGPFDMVLELGPHPALKGPATQTLKSA LGTSLPYAGIMRRATNEVEAFSGAIGYVWSHLTDYHIDFASYRESFFKEADRAPATLK DLPSYPWQHDKAYWKESRISRQFRLRHSPLHELLGRRAPDDSDSEMRWRNVLRLSELE WIRGHEFQGQALFPAMGYIAMALEAVTIATKGQQISLVDIEDFHVLQAVVLEEEHPGV EIVFSLKQTGDCKWDFNCYTCSDEWKDLTKTSTGRLILFKGEGSASELPSRPKKRANL SPLDREMFYRKLSSLGLSYHGLFKPQSSFQRSQSYATGSASWPLDQLGQEYVVHPAVM DVALHSIFVAFASPVSHELWATYLPVAIDRLSFNPNISLYGTDGALTIEIDTFITESS SSTMKGDVYLLSPDATPSILIEGVRLQSFTEAKALNDRLLFSKIVWGADIAHGFSSHA VECANKDQFELCDAMERTSLFFLQRAFAELAPCEIEQSEPQFRHLHTAFLKVIEQIKG GLHKSIHSEWLGDSWNDVNSLRRSFESSIDLEMMHAIGQSLPDVIRGRRPLLEVMMRD NLLNRFYTDGRLFVPLNLYVAKTVKAMIHKNPHMKILEIGAGTGATTKAILDTIGDTF DSYTYTDISPGFFAQAQERFALHRQQMRFQTLDIERDTVEQGFERHSYDLVVAANVLH ATSHLQETMGHVRSLLRPGGYLLIVEVTGETLQLMYVMGGFPGWWLGVDDGRTDGPGI PAVQWEGLLQMTGFSGIEATVSDLPSDGKHSCSALVSQAIDDKLELFRDPLPRVGDVP IRDPILILGGGTLPVAKLVTGVRKLLRPFRWNIQHAPSVDHLTVPLEGSRSVICLSEL DNPLLSEPLTDTRLSKLQAVLGSATNVLWITRDRLTDYPHSNMINGLGRTLQFELPDI NIQFLDIRSGISIGADQVVTKFLQLCLVNSPEYLQDDVPWKIEPELSFDGEEWQIPRI IPYKALNDRYNATRRQIMKPLDASRQPVELACFDGRIIIREGKRVTGTSTGSVRLRTI LTTRLVSSRLASFFLCMGVEADNGRTAVAITTRMGSLMDIPSTDAWFLPQRSQCDPAL LYFIAGQVLAVALSFASPRSGSVILYEPTEALAEAIILSRSWVQEQPYFITSRSGTLQ KGWTYIHPRVSHNIARDVLPGDTAALIDCSDDCPHWAVPTTVGKLIPLASCVVEYLTR TPSTFSSIIEHAYSESLLAALPSTAQLSASILSVEDSAGQSSSLLSYPNIVNFDCNNA VLATVAPLDCTGLFSSAKTYWMIGLNSELGLSICRWMIVQGARHIAITSRSGKVDAPW LDEIQSMNGNIKVYPMDVADREAVHSVHQEILRTMPPIAGVCNGAMVLSDKLFMNMKA EDMNKVLKPKVDGSIYLDELFCTTQLDFFILFSSLASVVGNGGQCNYHAANMFMTSLV SQRRSRGLAASVIDIGLVVDVGYVARAGQSLIDHLVNLFYTPLSESDIHKLFAEAVMA SPVDSGLCPDIIMGVEPVHDISASLKKPPWYNNPIFSHLRLGTEASSQDSDQSNSTSA SIRDQVSSASSVEEGTDVLLRCFAAKLEAMLSLATNSVNVNMPLLDIGVDSLLAVEIR QWFLTKLYVDIPVLKVLSGDTVVEICAEAIQKFAQMSPSAFQGTSSAASKIKQATASP PEIGREEAQSTSRAGILPTDQDNDNSSDSESQRKSGASSSSGSGTRTPTSIDEYFETN VNMLSRSGPMSYAQSRLWFQQQLVKDPTALNIVVRFDVKGYLDVDRLAAAVTATVNRH DALHSAYFAHLDTQEPLQGVIEAPGDIFQHVKVHDDNAASAIFLEMQNRHWDLERGDV FKVTLLTFPTNVQSLIIAYHHIVLDGFSWHVYLRDLSMSYQQQALPPVGPQALDFALI EAQETKNEEYNAQLEFWREELSPVPETFPLLPFSSSKVRQGMQSFQSTTATRELHFDI LARAKAASQRLRVTPFHFHLAIAQVLLYKLTNIGDLCIGVTDVNRTNRKFAETVGFFL NLVPLRLRVKPTDSFTEVLHRTSKKALSAMEHSGVPIDVVLRELNIRRSSGHSPLFQV VFNYRVGDMLQVPFGGGRLELHSSIEARSPYDVVFNVTQCPSGASYLQVTSRDALYAP EVSGVICDMYIRLLEDFAGDTSMQIQDGLLNDKSEPGIGLGPRLEFGWPRTMSELFSQ RAATDANSIAVKDCRGAVSYAELQQRVADITQDILGCNPPPNARVAVCIHPSRDTIAA MLATLAAGCVYVPIDITLPEARRRAILDSCRPSVILCDSTSADSIDQFAPQECRKVDL GYSPTRATTTAMPEPVADDPAFLLYSSGSTGIPKGILLPQKGYMNYLASKGHHLCLGR EVVLQQSSVGFDMSIAQIGNALAHGGTVVVVPQSVRGDPVATAQLMLQEKVTFMIGTP SEYLMLLQHGGDYLRQYRDWRHACLGGESVTEPLKREFRRLSPNCPNVTDCYGPTEIS AATSFNTLDLHRGAANEYSTVGRPIPNSTIYILGANGDIVPPGLVGEICIGGVGVALG YWNLPDLEKQKFIHDPFASSADRRRGWTRLYKTGDRGRLGPDGGLIFMGRLDGDTQIK LRGLRIDLEEVANSLLQVAAGLLSETVVSVRGDPEFLVAHAVPARGQKVTNSDLESFK RSLPLPQYMCPAAIVLLDRLPTTPNGKVDRKALQDKPLPTEPDSSFPTEALSLAEGEL RLVWQDVLQQTAQTGRIDSRTDFFMAGGNSLLLVKLQGAIKNAYGLSIALKDLYRCST LGRMATLIDAEKKNQPIFEKIDWEDETRVPGSLARGQRSREPKKTDLHIALTGSTGFL GMEILKALLEQPTVSKVHCLAVDAQHGQSLPKSHKIVIYPGSLNVSALGLSTREVDFL KSTVDALIHAGANGHCLNNYFSLRMPNLGSTRFLTELALSRGVPLHYVSSNRVTLLSG DVALPPGSMSAFPPPETGSDGFTASKWASERYLENVAEATGLDVCIHRPCALTGDQAP SEDALNAILRFSVLMKVVPQFPNVRGFFDFEKVGVVATNIVKKALQSVQVTRVHATSV ASFAHHSSGVKVPIDKIQDRMQDLYGGVFGRLALADWVQRARTLGIEPLVASYLEAME SRGEEMAFPFLGMPSD AFLA_066850 MDESAKPMTILWKRNEYRRYDVETDMTTRPAMVGPVKEQRTGRL VAYMSPRLLRTVAAETENAPQDDGRRSSGSPPRRLSGTGGRGAGVLGKTSEGRLGSGC LGLQ AFLA_066860 MSNGVSVRVLAVQQALETEFSLVEASGNPSSVGSCVARVWLPPK NEATWLLKRYAEDVTYLHHILHLPSVRQQMEDLYKQLSLGLRIEPCHVALILSIFAST AYTLTPLTGGDAVFTNEQTAVKCAFLWSKMALDVLEHSSRSTPGSIEDIQATIILSFV IFNFEGFTMRFRALSASALTMARDLSLHRLDARPDRLPGPHAPLDSDIGREIKRRVWW HMVSTDWILALSGGPQEGTYLMHPAHMRVNYPRNLDDRDLDRYNPQYSRPLSQPTAMT YTLLRIQLADICRSAIDALPPPFSDWGEVNYDRFISLDQRFEAFIRSLPVFFRLDEAS RHQSRDVEHQYPQIIVQRYILWSTLQGRRSKLNQPFLTRVSMNPRYQYSRKVCLQSAR CVIELKALMDHDMASLASAHVRLATFLHNYFLATAVLVMDLCLNKEGGSSEDRRQEIV DACRVLQEAEATSPMASRFLKSLMDILQKYQIQVLPATTVPDVRPLSANTGASGVPDP NLSDRDLVNPSQILPSTYDPLENANIDDLWQNFINLDQNCSPGSWDHLFSALDSRIV AFLA_066870 MQRRRRRQLPVSCQLCRSMKLKCSRDQPCSNCVSRGVTCERAYR MEPTTSSIASPITTSPVQAQTTYLQPGWPANPSAGTGTGSNTEILSRLQRLEDIILRT HGAAAPRPSTEPSYISEGEGDSKWLEGVGTRDTSVVSL AFLA_066880 MSATVDNTEANASPPKEFTLRSTIALIGAFMALFCTLGFQNAFG VFQAFYHATILRDHSEFDIAWIGSLLTFMIFFFAAPAGVLVDRVGPTHSLTRHLQPLL TFGAIATILATFMISLCKELYQFLLAQGILLGIGNAFLLCPAMATVTRLFDHHRGAAN GIMIAGSSIGGIIWPIMLDQLLNKDGVSFGWTFRIVGFVVLPLCLFMVATIRPAPKTP HDSDREGIELSHGESESDHKAQGAEGPAAIIKNPTFLILCAGLSVATFGLFSPLFFIS TYATDQGLSVSLAFYLVSMLNGASMVGRVSTGFLADRYGNFNLCFLTIASSGLIAMCW TKATNTVGIIFFALAYGYTSGVSPSDLKKNPPGPNTAVAGHVQSPNPVCRPALDARVE GGCRRHSLCRASNSVSIVLFSRIARSCRLTHSYSGLVGTPISGRLLKHGYLALSMYSG AALLAGAGLVLIARLRQNTKLMAKV AFLA_066890 MASPMKSDFLAGSHMKLPKIGIAAAVAVVASIVIYLALSSFFVG TDEFKNDENQSIKEYPDNTRFMRFTHGKQLSKAGEDLAGSEPYLVRNGKSKELVIFAP EHLQEFHRKDANSHYKPENMNMGDYAGQLLGQCVGQLGGTKWKLARSHMDPEFSYRAS RSMMKRFSQEIDSWVSHLSENPTRRSTQKDVFVQDVKKRCKDLSLRSIAISIYGETFS EENYAFLSTMNELHEKIIFVAFLNKRVMSKWYNKLPTAEKRLMDSFQTQWKAFNLAQI KLAREKKLSCPAEKIYVGVEAGDMSLPEFLQSLDEMLFTNIDITGSILALIFQHLAKD QAMQKKLRAEISAHRAQPGYTVGDYISKQNTLLHFSLLESIRVTPAMYFTLPECNASP KRIGGFHIPAHTPTIVDVNRLNKNESIWGTEADAFRPERFFGLDPARYRFGFVRWGIG RDKCLGKNMAEVILKLAILAVTDKYTLHVPPALPGQGEKSEAGFTINRDVEVEFRPAI AFLA_066900 MDGSSRPLPQTAQRKRRRPVLACDRCRRRKIRCDRKVPCSHCLR TGYASTCTYLANSNSSFPEEDLPGLDTTDPAMDMATSHPNHGHDRVPTDSGIGHSPPA QVEPIPSEGEMYGDFWDPLFVIHNTISTRKHRDPASTPASLGHVPRHTPAQAPTEVQV LIQRVRQLEQKVFSQQEPESSLAAPHIQQRHPQARRTVCQANLLGKSHWLVGFAQFDT IVHTLNNRLQDNDVEFRALFVKCQRLSRAIQQQYSLRASSLQALDGSLPSQEACDVCV NAYCRTFESVLRILHVPTFRQVYNEMWTGSQPTSRLFLLKLQLVIAIGARVVNQSDML GTPDMASRCVAWIQEAQQWLHAPGESLQASVDGIQLYCLVLLTRIVCAVDASLVYVST GALLQKAQQLGLHRDPSHFPAMPVFQAEIRRRLWCTVCELVLQSSTDSGTPPLISCDE FDCRPPANLNDTNLGTTSEPEPSNILTQTSFSILLMRCLPVRVQVAKVLNSVQLDPSY SEVLRLGEELTAACHYNTQMIKSMSPDHEQPTLFQLELLNLLTYRFLLCLHQPFAMKA RKNLAYYFSRRVCLETAIQILAGHPTTPSGQNVNDYLNVKRYSSGLFRDPYLLASVTV GHEILCVTEGDWFSCQPVSPLTNNLSSTPNKSQQVPRTVLEEYVGLSECRLKDGAQID IKTYVLLSCIIAQIKAAETGSPQDDAVLSAAVDSLEKCHIVLVDRYKSLAQSRESPQP LQVYGGSNVPCRLGKGSNDILDINETGEGEAWSDFDVSQVCVMCHSRYDLTSDCIQAE WSLQVEYPDLDWNLLNTWSACVPGSDSGAS AFLA_066910 MVSLREIRAHNAGLRDAWAGHRHVSLFVGATKGIGLATIMELIQ RIDEPTVYIVCRSTAQFAQRIAELQRLNRRAKLVALYGQISLLSEVDRICNLVLRKES QLDLLFMSPGYLPNGHPSYTPEGLEELASLAYYCRLRFTVNLLPLLERTAKTNYPDEP SNRRPRVFSVLNGGNERALPFVPEDLQSEKSYTMLNHVAHTTLMNTLALEHLAHKKPS VDFVHESPGKVQTDIVASFLQSPERTRSRLVLWRWLKGMLMLVLQAVLLPVFYVVAMP LAESGERRLYEATVDLSQQWQKQLQSPPYNGIVAAPGFYRMKHTSDIVMDDTVLQAYR ALGMPERAWEHTMAVFRSVLDKGSGKK AFLA_066920 MKHRRYKLDDSNLLLSSPCAVASCWYSENPATLSPPRPRHTMPA SVHFEISATQRAIKIKGPGQASVEKGCPVPACQPEDILVRVVCVALNPVDWKSADLSP SLDATWGTDFSGEVVVVGEACQSRFALGDSVCGAAFGNNPEDATQGAFAEYVAIPGEL VYKIPPDISFEQAATVGTGLATAGLTLYQTLGLSWPDQPVQDAQYVLVYGGGTASGCF MIQLLRLSGYIPVTTCSAKSFDRVKQLGAAEAFDYHSPGCGSEIREYTENSIKYAVDC ITNIDSMKCCYTAIGSSGGQYVALDPFPIRGHTRRNVKARWIIGYTIYGRPINWKHPF KRDAQPQDREFAKRWYPVAQRLLDEGKLQLHPLQVETGGLPGVIEGANRSRKHQVTGV KLVYCV AFLA_066930 MFTLTEYFIAACVWLVLYKVGNLLWNRHHYFKQQKARGCGEIKH YRHRDPILGLDFVYTLSKAFKEHRWLPWQQELFAAQGVKTFQANFLGSRAIYTSESEN MKAMSTTYWREFGLEPLRRGSGAADPVAGPGVSTVDGPMWDFSRNIIKPYFTRDGYSN LARLEVFVNRLLDLVPTDGSTFDMQPLLQRWFLDTSSEFLFGKTVDSLTHPENVKVAK AMVDTMRGIRVRLTMSKLMFLHRDPVWMENVKIVRDFVDERIDASLTQLQDVKSGKGT SCTENQPDGRTDLLWDMVQQLQDKEALRGQIMAVFIPSNDTTSILISNAIYALARHPH VYQTLREEVLALGDQEITFEKLRGLRYLRYVINETHRLYPNGIQMVRIALEDTTLPVG GGPDQSQPIFIQKGDIVHANRYLMHRDPDNWGPDAEVFRPERWGDVRPLWKFVPFGGG PRICPAHVLVDTEASYVLLRFVQRFRTLEPRDERPYKAIMRIGPSNLHGVNVAVKTA AFLA_066940 METVAAIKTLIQQLAQSTDQFGRAEINDALRELQYSLETPFDTV MRMSLDTCQVAVARIGSDLGLFKHLSQCASPQSAEELADHLGCGRELMSRLLRYMASV RMVQQTDDIKYISSNITQTLAVPGLEAGMRHAFENLWPVLMALPDFLAERKYPDIVDA KDTAFQKAFNTDQDCFHWLATQPTRIANFKVLLTDERTPNFLSTFPLEKELGSWSAEP EKALFVDIGGGMGHACIRLREKYPNQPGRVILQDLPPVLQAAQATLPLSGIESMPHNF HTPQPVQGAKFYFLRLILRDFPDHQALEILQNIVPAMDAESRIVIDDGVPPEKGARWA ETGTDICIMSALGSKERTQRQWEELAAKAGLQLQALYQYTWPVVNAAMVFSLQ AFLA_066950 MAPPKSAIIIGGSISGLLQALQLKRAGTDVLILEQDPSPTRASH ESGVSIGPSVLALLKKYDATGTPPAIPAGFLSVAWQTRPRVLNTAWHHDMSNWGSLYL ILRANVDGFASDVVPYPPPGRKGDGTAEYKAGRRVVGVEFDRGDGAMNVLHVEAGASR GSRQGMEVKRERAEMVIAADGVHSTIRRFLQVPTTWTYAGYIAWRGTVREDQLSPETL RYFSDRLNFTLLAGSYFITYIIPSETGSVEPGRRLVNWVWYYPVPEGSVAMDTIFTDI NGVLHNSTVPGDLLDPKVWSVQKRRYISDGTTPHLAEVVSQTRRPFVTKVGEVEASAA SYFDGRLVLVGDAFATFRSHLGLASEQAARHCLQMDRVWSGEISQAARDREARLYAAK LLLLNRLMGFLGLGWWWSALKTGLAYACLLITCRVVDV AFLA_066960 MQRGGTRILQPITGGSIQGAGFNGTIDGGLSAPIHLDARSESGE TQITKLPWIYVYGHADDGSPFFIEEAGIGSVGKQNTRVVIQVGGVYARLQEAFLVGQP GREPGGKGARVECWVVPLEGGYCS AFLA_066970 MLIALTCKLFAWGLYTVFALGYRNVRVGRHVVVLNRDEITIMQM YFFISYHLYATVLATTKWSILAMYYRMFPTRFMRWSTLAIGLVVTAWWLACIFVSVFG CHPIRRNWDPFVEGWCIDNVKGFIGKAVPNFTTDFVMLALPIMEVRKLHMKTTQKIAL GTVFLTGGLGCAASIVRFAQIRELSKDNADPSYILPDNMLWTMVEPCVGIIAACLPPL RPLVTAIKDTATFRKTSVPWTLDEPYTQTYYGFDPATSTWVSKSPSALGSSSKNDNDS LATTAIALYTWNIDFMVPFAAARMRPALAHLYQLTRLLPLHVAPVIFLQECTPSDLET IAATPWVQANFHLTDIDTTNWATTQYGTTVLVGKHLPITSVFRVHYSHTRMDRDALFV DVSTELEEKRIRLCNTHLESMALDPPYRPPQMQLVAQYMHHDGVHAALVAGDFNAIQP FDRTLHVDNNLKDAFLELGGAEDTEEGYTWGQQATTKQRAQFGCSRMDKVYFRGSVKL LKFEKFGEGILAEGDEERRQIVELGFEKPWVTDHLGVMAVVEVLPSTKGQL AFLA_066980 MYCNILLDCTGRACLLCLPTFTCAWLDISRTLEAIYSKFIDTMP ESIAIIGYGFRFPGGCDTPSKLWSLIKSPRDIASNPPSSRFDIDPFYHPVSNHHGTTN ATKSYWLDDSPRINIAEFDAAFFNIQSREADAMDPQQRVLLEVIYDALCAAGQPMEQL RGSDTAIYVGMMCDDWHMMLSRDWQALPRYTATGVERGIMANRVSYFFDWHGPSMTID TACSSSMVALDLAVQALRSGKSKVAVAAGSNLILSPAMYVSESNLGMLSTSGRCAMWD IAADGYARGEGVAAVIIKTLSQALADNDPIQCIIRETAVNQDGRKPGLTMPSSRAQAA LISECYRRAGLDPANNLNDRPQYCHAHGTGTQAGDPQEAEAIAFALFPVGSRAAREAH KLLVGSVKTVIGHTEGTAGLASIISTALALKSKVIPPNLHFHTPNPSVAPFLDQLEIP TTAVAWPVATGQVRRASVNSFGFGGTNAHCILEEYISTGEQSANSTPHTLFTPLIFSA ASRTALKQMVLEAHTYLRDNPGVNMRDFAYTLQHRRSTLPYRTAVAAPNTQDAIDTLA NVGLEDSGVRHGTSRQPPRILGIFTGQGAQWPRMGARLIETSPFIVTRIAELDAALQS LPNPDHRPGWTIHDQIVASPESSRLTEAAVSQPLCLAVQIIIVDILRAAGISLCGVVG HSSGEIGAAYAAGFLSATDAIRIAYLRGMHANKAASPNSRVSCGAMIAVGIPAAEAKR FCKAQFAGRLEIAAENCASSVTLSGDEDAALEAIQFFHMQGVFARLLKINTAYHSCHM APCASPYLASLDACGIEPCQQGNTKHPVWFSTVFEGQTMTASSVANQYWVDNMCKPVL FAGGLARAVEQIGPFDLVIEIGPHPALKGPASSLLNCAREMPYISLLRRGTDDVHALC SALGYIWAQLGPNSVQFAEVDMLLSSTTRSPVMLSDLPPYPFDHGRNYWHHSRLSDHF RPRTQIHLSNPILGFRCLEAATPGSFQWRNILQPTEIGWLSGHQLQGQIVFPAMGYVS MSVEAIQLVLADIRPNDSIRLFRLTDLEINRAIVFNTDDAAIETIFSLFLIAKTEYSV RAEWRCYSSTSSSSMTLNAKGCVSAQFGSPSAEALPFKTTESFGLVSVKDADFYSNLS HLGYNYAPPFRGVSSIRRKPKYSVSTVVDQSASRWEDNLTLHPGMLDSALQTIFAAWS YPGDTQLWSLHAPVSVASIVINPYFSALGPGGKQRYLCSETLIHWKKPTDVLADVAMR TADAEYAFLEFDGVKLIPISPAASEDDRAIFSRFKYASAVPDTQLVSTSGRLLMPRDG CPLSRDTGEFDLVGSPSKRVSACPIDDCAGRIVLAISHRYPQADICEICGETGATPSA VLKALDGRYGSYTFTDVSCDFAKSAKERFHRETRPMSFKAFDVERDPQSQGFPECSYD VIIALNIRSGLGGVGGPLSNIRRLLKPGGFLIASGGTSVKSPAVEMAAIGTMSEWSSG TRSARSGGPLLTLAQWDTVLKMTGFSGIDTASTEIKASQRADIIVSQAVDYRISWLRD PLSFEQMPPGVRIGTLAIIGGTTWPVHKLVQDILKLVAGRFRDTLAFDAIEEYAVSEL ARSVAGPGGVSILSLTDLDRPYLEEMTGEKFEALKACTSARTLLWVTCGSLEARPYSY MMTGITRTIKSENPAMHVQMYDLDPNLASCIQPTTAVDLANSLLQQITLHSWGNDASL LWTAEPEVYIRDGRQLIPRLVPDHEKNDRYNSQYKSLLTTVDPSNARLELAAGDGGMP ELRQLSPLQLLPGPSTECRTLRVTHSVLQAVFVGSAGFLRVCIGIDMSAGETVLALST CETSPTRVPAPWCIPFATPPTPVALVDVAANLIATRIFSFTCWNGALMIYGSDAVLQR AIQSRASDNNVHVVFTTTNACQKHMQSPLSVRGKPTVPNNTTLFVNFVEGVIPEVVEC LPPACLRLDKAALVSHTAEKEVDAAEIAHVSDLLQQAVSSIPSTSLSMECIPLSEASS CDPLQKPLSVVDWNATAAVSARVQPIDTGTLFRADRTYLFVGMASELGQSLAGWMIAH GARHIVLSSRTPKLQPKFVEEMHDKYSANVTAIALDITSRTSLYSVHAILQATLPPIA GILNGAMVLQDALFTSMTHEQFDAATMPKVQGTVLLDELFYSDTSLDFFIVASSISSV IGWSGQANYSAANQFMTALVTQRRNRGVAGSTMNIPAILGIGHAARSGTFDFAYFQSL GHVNIGEEDLHTLFAEAILSGRPCPLRASYGTTQVVMGIDYITAAANLNSPLTHRRDV KFAHFITPDTQAGRAHLANEAHPTKQLRVQLQSHIPREPTTTYTVVCNSFVKHLKRVL RLPPDHLIDESIPLNEQGVDSLVAMDIRAWFLSELEVDSPTLLIMGGGSVSDLVWMAV ERVHGDDREECASGDQTGFSNKLSTPSPRSPSSLERGSEK AFLA_066990 MAASSGLHAHLHHGATDAGPKLVNRLRDSRSPYVRAHMNNPVAW QLWDAEAINLARRYNRLVFLSIGYSACHWCHVMEKESFMSPEVATILNESFIPIKVDR EERPDIDDIYMNYVQATTGSGGWPLNVFLTPDLEPVFGGTYWPGPNSSTLLGNETIGF VDILEKLREVWQTQQQRCLDSAKEITKQLREFAEEGTHSYQGDKEADEDLDIELLEEA YQHFVSRYDSVHGGFSRAPKFPTPANLSFLLRLGAYPNAVSDIVGREECEKATAMAVH TLISMARGGIRDHIGHGFARYSVTADWSLPHFEKMLYDQAQLLDVYVDAFKITHNPEL LGAVYDLATYLTTAPIQSPTGAFHSSEDADSLPSPKDTEKREGAFYVWTLKELTQVLG QRDAGVCARHWGVHPDGNISPENDPHDEFMNQNVLSVKVTPSKLAREFGLGEEEVVRI IRSAKQRLREYRERTRVRPDLDDKIIVAWNGLVIGALAKCSALFERIESSKAVQCREA AAKAISFIKNNLFDKATGQLWRIYRDGGRGDTPGFADDYAYLISGLLDMYEATFDDSY LQFAEQLQKYLNENFLAYVGSTPAGYYSTPSNMTSDMPGPLLRLKTGTESATPSVNGV IARNLLRLANLLEDEDYRLLCRQTCHSFAVEILQHPFLFVGLLDAIAGLEAGSRNFTG VLSTTLLPQTGPGSSDSLSSGSDEPTSVRELIVQRLRAEAGQAISTSTTTVSLIDIRP SHVGDFVGNQSFWLRTRNKLYKDLKPTEPAKNYILVCEGGSCKMVDL AFLA_067000 MRSSLLMGALCAAGAMANPLDKRAYTTDWTVVTVTTTITAPVPP AATTSSSTYVPVQEPVASVEPAPAPVEQSSSAVFVEVPATSSAPAPAEPTAEVQPTTA AAAADQGSAWTSAWTSAWTSSWTSSAAQPTTLASTTSSASGATATNAYQSTVLYNHNV HRSNHSASSLEWDASLEASAQTLAARCVYQHDTFSSTINGGGYGQNIGYGVSSEKIGE MITNLMYNNEMGYFEALYGEANPSMDNFDAWGHFSQIVWKGTTHVGCATVTCNSLGNV DSSVAVPFTVCNYSPAGNYAGEYADNVLRPLGQAMYVVS AFLA_067010 MSYSSVAVRHFTAPKPLLDAMATPRSQPTMETKIKPTSVDGVNG QGVASEQPKKKGSTFANQDSLPKLPIPDLESTCKKYIEALSALQTPREQEETKASVQD FLKSDGPILQEKLKNYASSKTSYIEQFWYDSYLNYDSPVVLNLNPFFLLEDDPTPARN HQVTRAASLVVSALSFVRAVRREELEPDTVRGTPLCMYQYSRLFGTARLPTENGCVIS QDPHAKHIVVMCRGQFYWFDVLDENNDLIMTEKDIALNLQVIIGDAEQTPIQDAAKGA LGVLSTENRKVWSGLRDILTKDEGSNNAECLNIVDTALFVLCLDYTEPSNTSDLCANM LCGTSEVVKGVQVGTCTNRWYDKLQIIVCQNGSAGINFEHTGVDGHTVLRFASDVYTD TILRFAKTINGQAPTLWASNSPDPAKRDPRSFGNVSTTPRKLEWDMLPELSIALRFAE SHLADLLKQHEFRVLEFEGFGKNFITSMGFSPDAFVQMAFQAAYYGLYGRLENTYEPA MTKFFLHGRTEAIRTVTNECANFVQTFWGENPAEQKVEALKKATEKHTATTKECSKGQ GQDRHLYALYCLWQRSFEEGSSSSGNSVVSSSNGYSSPVENGSTIDSPKSPLSDDGVS STTSYGLRAIRPAPPTPALFSDPGWDKINNTILSTSNCGNPCLRHFGFGPTSADGFGI GYIIKDDSISFCASSKHRQTARFLYTLESYLFEIRKLLRATNRTTASPRTSRAREMEI IAERLQGDHRRGRLVRGDPGAVRRGADTPTTDSGEIEDDGMVYQTGSSMPECYCMHSK A AFLA_067020 MDQFRDFQSFINKVEKYGMRSGILKVIPPKEWTDSLPALDEAVK KIRVKNPIMQEFHGSHGTYTQANIERQRSYNLPQWKGLCEESSHQPPARRGERRRNQE RITRAPPSSRAQTARPDSQKRRPGPGRPPKRSNQVKVKEEPAEDTLDKIKPEGPPTPV SPESNPVEAKTEELSDGESLPAPKPKGRQPKSVTSRRKHNKGDAIDYVDEEAFKDFDY RIHDNEEYTQERCEELETAYWKSLMFNNPLYGADMPGSLFDENITTSWNVARLPNLLD VLGQKVPGVNTAYLYLGMWKATFAWHLEDVDLYSINYIHFGAPKQWYSISQEDAPKFE QAMKSIWPSDAKNCDQFLRHKTYLVSPSLLKSQYGITVNRLVHYEGEFVITYPYGYHS GYNLGYNCAESVNFATEKWLDYGRVAKKCHCESDSVWIDVDEIERKLRGEATPEYYPE FESDLDEFEGASDLLTPPRSVPEKSNRGRKRKHDGDTTKAKRMRVNVHVPRKIPCVLC PNDLDYEDLLPTEDGKNHAHRRCALYTEETSILRDETGKEVVCDIDKIPKARMGLKCL FCREVRGACFQCNFGKCTRSYHATCALLAGVQVEQGEIAVIADDGIHYSIPSVDLKCK YHRQKKPSWMTGGDSPDFDRKLIESAQRMVAGDLVQFQADKEINGAVVLENRPAERTL LVKVLPRG AFLA_067030 MTLTPVPRFRDVIELPYRWMLVVRRSNFSPLAPGTKPLPAHLAR KPEARKELESALPVVGNPFGDGRSPYQWAEFETVDTTNHRFAPPPVQVNLDKGDQIWY YLGQSSTECRAQYTHNPSVPVHNPRSNFLDSVKSLGAVMARIPSYPHRHLPQYATAPP HHLSPAAAAAATAAAAASRPSLLQRPTLAPPPRTPSSAAPPASTAMPSAYRSLPTQSA RHAPYPQIAKTHQSHHLSQQQHSPQQSQQQQQQQHSHHLPANTFANVRELIARRRLAQ ITDHANVFAGYTIVSPELVVETLLGPMGSVPPPTGLEKLELAMAQQRVQPRAADGTLL PLQPLNMRSEEVTRLLQMLRFSLVSHRDRLDVLQKKETENNKQESAHKGSVAAVKLPR KFAYLEQQQEQSPTVYQSPYNMPSGFSEYAQKTFGLTPSEPELPKPSLANDYFASLSP EDQEKILKTCGSFVQRAIERSASHSRQSSASNLRLASALAQQTENPTIDITTVEDMPL SGLDFPLHADSPCSSFSRPHLRFQSPNDYNAHGPETHHDHHDLFGDQQANTRFWQHGP WAAGDGNTPNEETRPFFGPHERLKHDYASSDISLGRGPGSLHSVDMAGFGMDATDDLC NVLSP AFLA_067040 MAAPFGLSTTLYITLTDGQCVSIIWGWVLVTLISIGIAASLAEI CAVYPTAGGVYYWSAMLSTKEWAPMMSFVDGWLTLVGNWTVTLSITFSGGQLILSAIS LWNEDFVANAWQTILMFWAVIWFCAMVNIFFSRWLDIINKVCIFWTAASVVIILITLL TMADHRNDGAYVFGHYDASQSGWPSGWAFFVGLLQAAYTLTGYGMVAAMCEEVQNPHR EVPKAIVLSVVAAGITGVVYLVPILFVLPDVKTLLNVASGQPIGLIFKTVTGSAGGGF GLLFLILGILMFAGIGALTAASRCTYAFARDGAIPGFRMWRKVNDRLDVPVYAILLST VIDCLLGLIYFGSTAAFNSFTGVATICLSTSYGVPILINVIRGRQAVKESTFSLGRFG YAINIITICWIVLSVVLFCMPVSLPVDASSMNYASVVFAGFAAISITWYVGYARKHFT GPPVTGDDVADVIPGKAVDAENAYPDEAAMGEKK AFLA_067050 MFVTDRVFVHALNTVKRIPRTGTARPPASERLKLYGLYKQSMEG DVEGVMDRPVGNTADVYAECEKWDAWFAQRGLSRTEAKRRYISTLIETMHRYASQTPE ARELVSELEFVWDQVKMNTSVSSASSGSPVQAVAPPLSHSQPSYASIGGRLARSDYEH LVATARGDSRLRVLSPVSQPEEVFQRRRGSLGNRGQDEDEEEEEEYAEAQDNLDEDDD AHDNDDGSYNHATTEDGSQHDVDEAVLRSGRGKKPPDVDSRRWRRRVEQALTKMTAEI AAVREQMEARAVAHRRRNSVWAWLKWLVWVTLRQVIFDLAILGMLLIWMRIRGDRRLE GKLKVGWAEVKTRLSKLKGLRRVSKVHKCP AFLA_067060 MAAREPQFNQQVLVDTTPMPADIPSVQEVGATSAPLTSAAYFIG DRCKAFNDDYMKCKQEANGRGEFDCLKEGRKVTRCAASVIKDINTHCLKQFTAHWECL ENNNHHLWECRKPEMELNKCVFDKLGLKKTIPGAPEGQTPVHLRPKQIYAQFPGPQY AFLA_067070 MSLFGNQTQTQQSGGLFGSSTTANKPSPFGGAATGGSLFGSNTT GTGTQQSSGGLFGQTQNQGATGAAPASGGLFGSSTATSQPQQSGGLFGNTTTQNQPQT GGLFGNTATQQKPAGGLFGGLGQSTQQQQPQQQQTGGGLFGGALGQQKPQGSLFGGTL GQTTQQQQAPQQGGGLFGGGLGQTQQQPQQQQSLFGGTLLGGQQQQGQQQQQQPQLGQ SVQQPGSSLWSPGRAVTGVHRTVPTQIAIVKDKWDTASRNSPFRAYLYNHVGEEAAPF YQPGPDDDESKWEEALRKRPAPGYVPVIVRGFFELGKRAQRQKDFLTMMQTRLHEINN CLTELLSRHDLKISVRIADCRRKHLVLSKRCLALAAKTQVLRNRGYAMDDAEEELKKK LALLERSVFDPSLNGREEEIWARMLAIREHSKRLQLEMEKAGPGAAAQADDELDEQTM KTAKKILDDYHTQIKHLQKELESVRKDFDEAEKLQGRVDH AFLA_067080 MNGNADCMGQAGEQEAQQTIRSAITGGILLYLYELTRAAPFAID FVKKFL AFLA_067090 MSASPEIKPVEEDPVQAPTPGAGDDEAQGVEETAAADLDAADEK DNGSDDESILSEVDEAQFEDFDPENVDVEDRPQLAIDEDNLKLIGRHKRKRTEDGERS TRKREGRREKKSRRMRELEEGGDDGDGKAKKRERKRREPTPEDDETLDPATRRRRALD RAMDEALKKPTKRRFRKADGIDLEQMADAEIEDMRKRMTHAAQMDAISRREGKPAMHK LKMLPEVVSLLNRNQYVNSLVDPEINLLEAVKFFLEPLDDGSLPAYNIQRDLMTSLAK LPINKEALVASGIGKVIVFYTRSKRPEAGIKRMAERLLAEWTRPILQRSDDYSKRVYQ EAEFDPRKVQRTTQSAQATAAEARARELLPPRLANRARAEITHTSYTVVPRPTMVQES KFARPLGASGEDRFRKMRARQIAASKGSRR AFLA_067100 MKLLTKEEEDAHYRAVLKGGTIGTVLGLIGGYAGVLAASRRYHT IRNLTLPMKAFLVTSSGTFVGIIAADNSSRNFEVERNADQQWYQNREQRLREESLQGM SFMERSLAWARKEKYTIVTATWVASMIGSFVLVGRNPYLSGQQKIVQARVYAQGLTLA VLVASAAFEISDQRKGKGILKKKLEEKEAADGKSGVVVEEEPVRHQQNEGQGDLWKDM VAAEEERLKKKHQSVWEHPELHKEGQQQQQQQAKEVKEEKTQQ AFLA_067110 MSDTISRGKSNALETLKAPLSEQETIVLSAAPSPHDDGYATPTG EELESLRRVAGSVKWTAYTIAFVELCERFSYYGTTAVFVNFIQQPLPPNSSTGAGHAG QSGALGMGQRVSTGLTTLNVFWCYVTAIIGAWVADEFWGRLKTIQVAIAFAMVGHIVL IIASLPQVIVHPNGALGCFIVGLVLFGIGVGGFKSNVAPLIAEQHKETRHYIKRLPKT GERVIVDPAQTITRIFLYFYFMINVGSLLGQIVMVYAEKYVGFWLSFVLPTIMFALCP LVLYVCRKNYEVTPPTGSVVGRAFKLWAFALKGRWSWNPIRFVQNCRASDFWENVKPS NVQRKPVWMTFDDKWVDEVRRALKACAVFLWYPLYWLAYGQMTNNLTSQAATMELHGV PNDIIMNLNPVTLIIFIPIMDQVVYPGIQRLGVQFTPLKRMYAGYMLAAISMVSAAVI QHYIYQTGKCGKYPGEKSCKTPAPVNVWVQAVPYVLIAFSEIFTSITGYEYAFTKAPK NMKSLVQSIYLFMHAFSSAIQQGLTLLSTDPLLVWNYGFVAVLSFIGGNLFWITHHKL DKEEDELNSLEASSYLGRGPRAQSEKTDV AFLA_067120 MSMIPECNKEGLELLECFYLVIFRLRRHHMFDVASVGMDQHREL QATINPDEGDGTMHSRRS AFLA_067130 MSTTYVLPPLQAPLATGCSPRIRRVLKAPQRPIALRREYMTEAK SLLTFRPQGDPKSAIGYSIQDDDGIVLFTASGRKFNDRPCREFRDSSGLPLFEIYRKS FRNSWSISLPGSSSAKIATVSPRRTSGISGWGDFTITFDNVAAFESKRKEDKELSLEV QSRGNVLALYDIVDGDRKVAEVRESIQHNEKLALMKRFPSSKHGYRPVLDIIITAHVD QSLIAAVAVIISDTVFSANV AFLA_067140 MKIWRQRASRPVRVLLYLLALVLLLWIVLPYDHPIRLSARFNVT AFGTALTSYLGGRWWFSERSTFPIVLSDDVAVLMKSGFGTKDRIAAWLEAHEHDKFNN LLLVGDFATPSGQLFNYNGRRLPVSDLVAWMLEKGYLSAELAHPRLMKHSNLSTAISN GDVDIAKELSKSSGGNWTL AFLA_067150 MSQHVLLLGATGQTGNSILNGLLEHGEYEVAALVRPSSAGTPKV KAVAERDVKIIAADITGPVDDLASILRDFDVVISAIDALSMHAQENLVTAAKQAGVKR FVPCAFITVCPPGGVFRLRDEKEAIYQHIRKLHLPYTIIDVGFWHQISFPTVPSGRVD YASMYAPNTTIHAGGNAPNLLTDLRDIGPFVARIIADPRTLNRSVYTWSDVLTQNEIF DMMEEMSGEKIERTYVFTERSAKQDAF AFLA_067160 MEGKQVHTGSFNLNLQEFCIPRLNVYGDNISANDPNTRRATRTY SLAIIEMTDNRQNEDSNARKADIGIVLTRAEIVRLSNAGQKSKDKRVALDPGLQAMMA AGDSAKQNRTIKQYGKEDRMKQREGEQDCTKLLPKETGELKGQGSERGADP AFLA_067170 MMDQPSHIIDPDGEVIILLRNTTLQGPTVEEAVEEAVEVPVDVA VDVAAEEPVRAQIETDLVRESLDEACFRIQVSAKHLILASPVFKKILTGGWKESVTYL QKGSVEITAESWDIEALLILLRAIHGQQYHVPQKLTFEMLAKVAVLVDFYDCKEAVYI WTTIWIDALEEKIPKIYSRDLLLWLWISWVFQLQAQFKESTSTVMSWSDGWIDDFGFP VPFKVMGKGKQILKVLYL AFLA_067180 MVLKYIFWLWMAATAVVPKEEADKPNFIVILTDDQDQQLDSMKY MPKVKKLLTDEGVYFNHHYATVALCCPARASLWTGKAAHNTNVTNLRPPYGGYPKFVE EGWISKWLPVYMQKSGYKTYFTGKLMNNHNANNYMNGLKEMGLDGHDFMIEPRTYQYT NTTIQHNFEKPRSYPGVYATDLLANKSMAWMDDAAKEKKPFFLAINPVNPHNNYQWGK GWTKPVPANRHEGTFPDAKVPRSVSFNPDRPSGAAWVHELPQLSDAAIKDNDLYYRRR LQALQAVDDLVETTIGTLKRHKMLDNTYIIYTSDNGFHISQHRLMPGKRCPYEEDVNV PMIIRGPGIPKGKTADIVTSHLDIAPTIVEWAGGKGPGDFDGSVIPKEGTTIPDEPWE HVEVEHWGAVSDKRDIPLSGKVNTYKAIRVIGDTYNLFYSVWCEGDHEAYDMSADPHQ MNNLYNSTEKILGVSMKKLEHRLDALTLVLKTCKAKTCQSPWEALHPDGKVKTLVDAL DSKYDEFYNKQNRVKFNECSRGYIVSNEYPIKYHIYGNHSSVDARDVEAFGHYGMF AFLA_067190 MASGTVDSAIAELLSTFNELNSHVVEELSEEPSPLEFMRFVARN TPFVVRGGASSWKACQEWNSAYLLKALKDQTVNVAVTPYGNADAPTRHPDHESPVFAK PHYEDQPFDTFLEYVVRHETDPNFPQDAEVRYAQTQNDNLRDEYMSLYSDVQKDIPFA RIALDKAPDAVNLWIGNSKSVTAMHKDNYENIYVQVLGRKHFVLFPALCYPFVNEKPL QPATYVRTEDGLVLQMDENDEPVPFPIWDPDRPSENTTPFSQYAQPLRVTLNPGDMLY LPAMWYHKVSQSCTEEDEGFVLAVNYWYDMEFSGPLFPSSAFIRDISLANTSQATQRS DV AFLA_067200 MLTVSVVDHSRSPRPSMLLNSYLFLTLLLDIARVRTLFLSSDHG SEIVYSSIFCASVGLKTTILLLEACQKTRWVTWDATKHSPEETSGIFSLSVFFWLNKL FFAGYRHIFTIESLYPLDSTFSAQAQHEEFAKRMDYTKLKGDKFGLLKVLVRTLWVQL LLPIPPRAALIAFYICQPLFIESLVTYLSHSEPDPNVGYGLIGAAILIYSGIGTSYAL YWYCHHRLRTMVRSILVTETFKAATRARLGSGDDSAALTLMSTDMERIKMGLRCVHET WASMIQAGLAAWMLYRQLGAVFIAPVGVVVVSFVGLGILINFTGDSQRSWMSGVQKRV GLTATVIASMKSLKISGLAGPVAEYVQQLRVDELAAGARYRRIMIIAAIFAFLPQLIS PPLTFAFAQSTLNASTMFTSLSFLTLLTQPLSQLFQSIPDFVSGLACLSRIQAFLELE PRQDYRQSLAETQSFGMEKSSKQLDLKHTDCIFIQDANFGWKADKFILNNINTRVPAS SLTMVIGPVGSGKSTFCKALLGEIPFSQGSVVTSTSPRHVGFCEQTAFLWNGTIRENI VGFTPFDRKRYDQVIEATSLRFDLATLSQGDQTNIGSDGVALSGGQKQRLSLARALYL PTDLLILDDVLSGLDADTEEQVFRQVFGPNGLLRRRGSTVVLCTHSVRHLPTADYIIA LENGSIAEQGAFVDLSTRAGYVHRLEVRLKQEGEDTTADDEPGACYEHKGQTGARKNL EPAITVNSTQGPTPIAPAVAAARQVGDATVYRLYLKSMGWFVAACGLFFAALWGLLTN YPTIWLTYWSDATESVHPAHSNSYYAGIYALLQICAIIALLLLGITLFIVSVKKAGAN LHQQALRTLIRATLSFFTNTDTGVVTNLFSQDLNLIDTELPEATLNTLFCASQSIGQA AVMLTSSVYLAISYPILGALLYFVQKFYLRTSRQLRLLDLEAKSPLYTHFLDTLKGIA TLRAFGFIPDDIHKNARLVDSSQRAAYLLLMIQEWLNLVLNLVVMVIAAVLTTLAVRL HSNSAFAGASLYSLMTFGESLSGIVIYYTRLETSIGAIARLKTFNETVTSEDRDGPGE EDTVPDTNWPDRGLVELRSVSARYKSTTVSESESLSVVSGATNEPPLALKNITLTIHP GEKVAICGRTGSGKSSFLALLLKLLDPLPSTVNNHNQEPPVLIDNIPLHRIHRATLRQ RLIAVPQDAVFLPDGSSFRANLDPTNTATPAECQRVLEAVRLWGFVQERAEGLHAPVT AGTLSAGQRQLFSLGRAVLRAMIRQRSTSPSPEEEGYDKQDQGGILLLDEVSSSVDRE TERMMQEIIRAEFRHYTIIAVSHRLEMIMDFDRVVVMDRGEVVEVGNPAALKERGAGS RFGELVAAAGA AFLA_067210 MATAVFTLFPNLPPELRNQIWRDTLPDKVRQALYFYKRGCWRTR RLTEDDEDYSHDNDQLNICHEFRHDLLDPMKFEVPLLFVNSEARGVALTWMQGQGLKI RFCEDRQNPIVIRSFDPDHDTLYISLKTWDDFSNEPYEIVFPPDHGSGYYNCAPPAFT RIAVPEAFFQHDIPLSDLFHFYCSLEKVFIIPDAQSDIQSEMNDLKMQRRWELQSTQG PMLFWNNDRDCFEWKHNAGDSNNAMNSVIEKACDGLDEALEFYEMKSFEVRAVYAVRK AFLA_067220 MVTWMKEQDNIDVHFGFDVNMGYFLIVYDMRLAAYIPDGTEFDD VRYAVSADGTGAYFTAYTGTHRQGRRVSVETMRKLWRAYGVYEEAMRGLAMTDLENIH GIEDRM AFLA_067230 MRFLLTPWPPVEQERKEKNMSALMKWSKLGRNMRSMRWVLVDWG SQVCINGVTCSKLDCGSYVQIVVYRIAVTTMKADFHHGIDSLY AFLA_067240 MATPTHALSVNIYGRGDATLGDGPSHMGIAVYKIGSLTCSMHHI RNPSDTDFIYDPRTQPLEDDPVLRGRCELTTFTSVEQKNQAESLLTNFGCDDTNIPEF GVGNCQDWVAGAIQMLENAGFVPPGEGQFWEEMINLSAAAMQDRCERTGRAWILGPEQ VLEGEADARFVDKGELKPVGRLMENSALRERMLALVGNLPVSDSMGVNEGLAGERPFY VSSPFFSRAREVPGSEGASFPVGTVEGRTPSAS AFLA_067250 MYRFLINFGCGEFAIEINNGDQIVSDLIEVADDKLIPKNSTIKS EFQLDVNSVPFDSDARIASVFGEDENATFRTLTLSLTEDSYQECLKRLSTSDDLILAV DLDDIVLWDCMPYDDGKICDILSVVLPSVNPTYHEWLLEELENWSDGPIEVSLIFSSI LEYLKKSEL AFLA_067260 MDLSDVEGQMKDISSHEIEHVNNEAASFTEEEEKALVRKVDLTL LPTIWVMYLLSYLDRTNIGNAKISGMEVDLELTSNQYSIALVVFFIGYVVFEVPSKYD NSIISTQPSA AFLA_067270 MAAGLWATNGGTLAYASSAFAGMHPQARGVALAMVNALGNLAQI YGSPELDPMWHIKQDGSKDIDVEPLRDLQKDKDFLGEN AFLA_067280 MPPEMLDTTTSSGNSSSAVALAQTTSDTSPTFLNTQPGPDHDWR ITLKDKVIAITGANRGIGLALVEVCLANEAAAVFSLDVFEPGEEFAALQKANPKRLSY VHCDVTSEESVNTAIDAVIIARGAIHGFIANAGMTKHQPAFDFTRAQLDQLFNLNVFG AYFCATAVARRFIELGIKGSIVFTASMTSYRPNRAAPSAPYGATKGAVRNMTHTLAME WAKHGIRVNSISPGFIKTAMTYFVDQAPDWDLKMQYYGGMPRLADPKELGGAYVYLLS DGASYTTGIDIPIAGIVGAW AFLA_067290 MAKVEGGIRQKRKPRGRGIRATTGWLIPNAAPVQRGSALVSTRL SIQCIVVTLLASQALVDSCHQDQQPIRQGSPHVEAQQAPTASTCENVVIPTPKSSDSY VLSPDTESSVTARVAPSIWFECLAKASTDGDGGFPLSLSELSRNRTENGEDRRNISNL HSRTLREQETFHIAALQRDKDIEQGIVPQPSDTASVAINAPSLWTSKDPIPLSDLEHY LFRHFVRVSSKLLDFYDPEMHFATTVPHIALRNAGLMKALLALSARHITLGESEKEHL VRVSVDKDDISGRREDIVDRNLAVEYYLEALYYLNKARQYPFYARSLDMIATVVLIST YEMINGSNQNWKRHIKGVFWIQKLQENNGESGGLRSAVWWAWLQQDIWIAMRERRRVF SLWNPRKPVSSLTASELATRAIYLLSQCINYASKEEEEKVTNLAHRLDWANKLLSLLQ EWREILPPEYSPLPSVSNIEIFPPIWVNSPPYAAALQIHSLALILVILHRPSGGIDDY RAAQHMLAVSVSTICGIARCVDENDYGANMTSLNCLSGGSVGPESPFEKNWNLSTQRK LSKA AFLA_067300 MDNPNTVPRKLTTDGITTGPGTKRRRIRPSRARGLRTRTGCLTC RERRVKCDDGKPTCVRCSKSDRICRYAQVADERHATGAGARADYESPKVQSDSREARS QEALPSVGSAAYYRQVTYSGPTEHPDGSIETPQNDRLNEAGDQRLSSLDPCFSASPLS LSQISLLNISPFEWYDLLARDAISNIQRLNDASTGDSRWKFPEIVLSRRQSPAPECPE AHVEQSNRHSEQQQQIEPPLLNYQQVFEPWNTTSRIELSETDVKFFRYYIEVVGPILD LFDPARHFSNVVPHLALRNTGLLKSILAVGAKHMSLGHMHMPGEDVPGDHATPNVNAP NVNSPTSLAAATGLPSEPDPAPAHMATQYYYETLQYLSQTLLYPSYADSHEILATATM ISTYEMFDADSATTSGDWEKHLRGSFWIQRSQDNDGESVDGLRQAVWWAWLRQDIWAA FRAGRPTLTFWRARKGLEELDADELATRIVYICGKCVAYAASSETSNHDPRHSIEQGD RLLYALDDWYRALPASYQPVTVATDTASNTTVFPPIWIHPPSHAGAMQMYNFARATVL LNQPTMGGLNAYCLRDKQLSECVKMVCGIAKACQDHESAMAFVNVQALFGGKSQASLL DFVAVLADKN AFLA_067310 MAPTLELLEEPAGNLPVKAVSAIRNGNSADDLRLESPEKHQRVM NVFRAFIADICQQYGEGHAGSPMGMAAIGIALWKYVMKYSPNNCNYFNRDRFVLSNGH ACLWQYLFLHLVGVKSMTLEQLKSYHSTKLDSVCPGHPEIENEGIEVTTGPLGQGLAN AVGLAMATKNLAATYNKPGHEVVNNMTWCMVGDACIQEGVGLEALSLAGHWRLNNLCV IFDNNSVTCDGTADVANTEDINTKMRATGYNVVDVYNGDSDVAAIVNALVAARSSDKP TFINIRTTIGFGSALAGTADVHGAALGVDEVANVKRSFGLNPDEHFHIPQDVYDFFSD IPGRGEAHEASWQAALVKYHEEDPVLAAEFELRVMGKLPEDWSKCIPRKEEQPTASTA SRKSAGVITNALGQNINSFLVGTADLTPSVNVAYKNKVDFQSPELRTACGLNGNYSGR YIHYGIREHAMCAISNGLAAFNKGTFIPMTSTYFVFHLYAAAAVRMAALQGLQQIHIA THDSIGVGENGPTHQPVAVAALYRAMPNVLYIRPCDAEEVAGAYIAAIQATETPTIIS LSRQGLTQYPQYSSREGTLKGAYVFVEDNDFDVTLIGVGSEMGFAMQTRELLFKEHGI KSRVVSFPCIRLFEQQSREYKHSVLKPRAGKPTVVIEAYPSYGWERYADASVSMNSFG KSLPSKEIYEHYGFSPESIAPKVKDLVEEVRRDGIEILRGDFRDFNGGLRIGLEH AFLA_067320 MSETNQRLIVITINGYRKPGLTEEELHHHLCEVHAPKASPFLEK YGILEYNVIDNFSAARPHAEYLQMSKKLSDHDYIVQFVMKDVEDFKKVWEDPEFRKNV MPDHETFADTTRSGVCIGYLNSFLNGKDSQGRLKN AFLA_067330 MGLSVSLRADTIRDLLLGVSSIMTWKTLALVLAVINLKNLPLVW HLRILHHLWWNIRRKPGDPHFPRGKALVTHTGKPTHPVFVPYAITSRTPILETDYNFH KSNSTYFSDLDISRTALVTRLYTPGLRVVSKELDVELGEAARREGKKPPAHKNMYVAL GSVFCSFKREIKPFELYEIETKVIAWDQKWMYVLSFFLRPAKAGGKRTLFATAVSKYV VKKGRLTVPPERVLRASGFLPERPEGDVLVVPDSSVEGSGVGTPAGEGITATAGVDGA LVREVLKVSESDILETKLEEEKKANAESWSAGDWTWEMIEEERRRGLEVVEGYINMDV KLHDEWER AFLA_067340 MTVGIDDLPEKYDDLPNKRQYWPAAAGSPEEGLGMLRILTPEIV ADAARTQIQTGLRVCLNWDLEQLNPPGFGRKPFEHRIKWVAPGIAFDDEYHFNPQQSS QWDGLRHHSAPAPTPEDQARRVFYGGTTAEEIQDSNSPRIGIGYWAKKGIAGRGVLID YLSWAEKKGITVNGLSQHVVPLDDVLAIARECNIEFQRGDIFFLRVGLTKTWSSLSDA QKQEYSQQTVPKHAGLEQSENVLRFMWDNHFAAVASDAVSFEVFPPLNPEFDLHHHML AGWGLPIGEMFDLEELAETCKQLGRWTFFISSSPLNCANGVSSPPNCMAIF AFLA_067350 MATNGHFASIGNSASDTTAYEHGVQVIDENKEFNPNLSQYLSLE NVTPSGFNYHLISVFGSQSTGKSTLLNHLFGTHFSVMSELERRQTTKGIWMSKNKNES SSMASNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGANM GLLKTVFEVNLQLFLKDKNTTHRSLLFFVIRDYSGMTPLQNLQKTLMEDMARLWDSIS KPGGLENSNVHDYFDFQFYGLPHKGYQPEKFVEETQKLSLRFCDGQRDPNLDARKGEF SDGGVFLPEYHRRIPADGFSRYAEGIWDQIVNNKDLDLPTQQELLAQFRCDEILREVM VAFDETIVPFEDKQSQAARLGEPEILGGLGAAMRSSRTKAVKAFESEASRYHKGVYQR KRAELESKADTRLKTLFQGQLNAAHKSGISEFSEAVTAAVKSGQKKGTGYDFAEIVNE EAKKAVDKFEEVARATVVDGTSWSDYKQELALYEKELAEVSARLRRDEMRRLASRVER WVQSRLGESVGLEFNALGSGRAGGGAPEKGDQPTEKKFWDRVWNVFVETVLDAERRFT DRASSFDASLEEVDVGLWRLRRKSWGVLRAKIDEEMIEGNLLLKLRENFEDKFRYDDA GVPRIWRPTDDIEGIYTRARESTLTLIPLLSKFRLDETSAPPPLDRWIGHTPSSATSA DEEDLAPIGGVDEEEGKSLEEEMTIVSDAKRQELTVRFKKAADGVYVEAKRSAIGGMT QVPLYFYGLLLALGWNEIIAVLRNPAYFFLLFVCAVGAYITYQLNLWGPIIKMTEAAS NQAVTEGKKRLREFLESSDTGRQAIAMSTPGGSGRGGEEHEMSRLNQQGKSAAADEDV DDL AFLA_067360 MSSISRTANLLFRASRASLLRPRAVNPVQHVLGKDRLAARGMAT AFERSKPHVNIGTIGHVDHGKTTLTAAITKHQASKGLAQFLEYGAIDKAPEERKRGIT ISTAHIEFSTEDRHYAHVDCPGHADYIKNMITGAANMDGAIVVVAASDGQMPQTREHL LLARQVGVQKIVVFVNKIDAVEDPEMLELVELEMRELLSSYGFEGEETPIIFGSALCA LEDRRPDIGAERIDELMKAVDTWIPTPQRDLDKPFLMSVEEVFSIAGRGTVASGRVER GILKKDSEVEIIGGSFDATKTKVTDIETFKKSCDESRAGDNSGLLLRGIRREDVRRGM IIAAPGSTKAHDQFLVSMYVLTEAEGGRRTGFGSNYRPQVFVRTADEAADLSFPDGDE SRRVMPGDNVEMVLKTHRPIAAEAGQRFNIREGGRTVATGLVTRVMDGK AFLA_067370 MKHIRGFISTTQALRRIFLAPIETPRPQCLRPAFLPTFTQSRLL SIRRKPQQQDAQPQARARQVKDEEIRSEYIQLVNEDGKLDPPVRLHDALLTIERPDNF ILQVSPGFRGRAPVCKVVNRLEIREQERAKAKAAHVNKNSLKQIELNWAIDAHDLSHR LKQLTNFLDKGRKVEVILTKKRGKRSPTVEEVKHVMDSVLQATKDANAMQVKPMEGEP GKHVVLVVKRKDLGQ AFLA_067380 MAQSQRSRYLKTGAIVGALFLMILWLSPSKPAVTGFGREKSPTG VAPLTDKCTKPHDPSKPLIQYALMIDAGSQGSRIHVYRFNNCGPTPELEHEEFEQTEK RKGGSGLSSYKEDAEGAAKSLDPLMQVAMRTVPEEYKSCSPVAVKATAGLRMLGPEMS QNILEAVRHRLETVYPFPVVSREKGGVEIMDGSDEGVYAWITTNYLLGKIGGPDETPT AAIFDLGGGSTQIVFQPTFEKSKSGGMPERLADGDHKYELQFGGRGFDLYQHSHLGYG LMAAREAIHKAVVEGKMASSGNDMAWLNQPIPNPCIAPGMERDVEVKFDKEHPLGSKV TVKMVGPQDGSSSPALCRGFAEKILKKDAECKLAPCSFNGVHQPSLEKTFSREDVYIF SYFFDRTKPLGMPDSFTLEELHQLTSTVCAGESSWKIFEGMGEAMAELRDRPEWCLDL NFMLALLHSGYEMPLSREVKIAKKIKNNELGWCLGASLPLLSQESGWTCRVKEVS AFLA_067390 MADSDRKRPQADDPQSQLACDAGSPSQRSKSHSNQNNGVGDESD ERRVFSLALRDNAQKAHARPDKIDDDSDDDDYTSSSGSDSDEDDVVDDDEDAQDRDVK SDNGSDHSLPRIPAPQKPQIHRIEKNPDLLSRLSAFLPQMKSANDKLQQEIEAGRGKD LRLDEVDDEADGQFIEMNLGLGVLEEKRPADGVAPSAKSEGSDEGPAYSYAESHPNAP SESNVLETLMGNKDTTSSKKPTIEEMNE AFLA_067400 MALPRVHVNDKSPLSENSNPKDSDINKRPSAQIIAPTRKSLHQN IFGKLRPLPFQYHWTVWYDKHSDSTDYDNRLYVLHEDVADIATFYRVYNNYPWDKIRL RDTVHIFRKGVRPVWEDQENLKGGCWRFRVPKSKAQEFFHEIAILCMANEFQAVLEQE HDHVLGVSTSVRFNTHLISVWNKLGSNERSIKALERTILDRLSPELRPTGTGSNAYFY KRHDENEGYQEAVERTALKD AFLA_067410 MAGPEEMPDVQPTDVKRPSVDIESLQRKKFKTEELPLSPTQHRT IEDLLHAFKKRGGFDTTRKKLWTEFDEGEGKVEFTNLLVELAESEITREPELLSRERG KAATLIEGAVDRSDIYKTVEASLDALASKHLPAILESIREIRREQIGEEAAAREEQAG NKTDEDYAAHVKAKREEREKVWQETLRKQKEAEEEEARRKAEEKRKQRELDRQKEEEE RARRREREEQRRAEQRAQDEQREKERQERYERRRREEREKYRDWRDRSRTRDRDSERD RDRDRSRYRRDRSLGHRSDRGLSPRLRDSRRDTSATPKEPTPAPPPPPPPPVDEKSLE EAALQLLLKEGEELAAKARQKPEFDFEEAEAIENGLKPPPTKPKGIAESKFSNTPTKS GSPAVETDQSRRRGSTADERNRTRRRDDSRSRSRRRFTSRFDEDRRSSRDMSARPRDR ASDDRLAIRDFREDRYGDRSYRPNRRSRSRSTTRGQDRDRDRDRNLDRTRSRPRYRER STERDRERDPEYRRNRSRDRDRERRDRDRDQDRDRDRERDRERDRERERDRERDRARD GDRDDYRRRRYSRSRSRARYRSRSRSRQRHREREHDRDRDRDRGRERDKESDSKRERD KSGSRVSASSRRGSRSRRRSPSVLDIDRYVPPTSNRSRSPRRRVRSPERAERDDRPRF VEIDRYIPGGERDREREKDADRSREPNDQRTRRKSPTRRSRSR AFLA_067420 MVHKVLFWGGFGIAVRLWQLGIEMRPILAKESLWVYPLFAGVGS SFGYWLQGVEDRQLKILAQRREAIIEKRRRRDQGTLSKVEEAGTLAATS AFLA_067430 MASNLPIPLPPRTPTPPPDDPPSAPDNSSRLGAQDKDSLSPLVD SFPPQRGSLNTEGSNRLSPTRASFTLSPSDNVPQNSQGDNGSAGPFNFKTTTMAKSPV VKSNIGQRRGHKYKHSSISHQIFLEPPPRAPLALPNSLPIPTFKECRASMSKEQKTRF WWSVCHMFVAAYTLWSAHGSLAMTALSHLILFDSLGALLCVSVDVLSNFEVWKRSSVR HPFGLERAEVLAGFAMCVLLLFMGMDLISHNLQHFLESSGHEPHHSHAHERVSVGSVD VTALLAISSTLISAIGLKNHGRIGKAMRFGYIESLPSVLSNPSHFLTLSCSTLLLLLP LVSVRLYNWLDVLLSSTIAISMCVIGVRLVKTLGSMLLMSYSGPGVKDVIRDIEADPS VFGVDDARFWQVHYGLCMANLKLRVSGSEENIIRLRERISSLIRNRLGGGYGSGGQRW EVSLQFTIEKS AFLA_067440 MDHRGSFFFFLVVFYLLLSSQSHPPLISQDRERQREIAREKDAL RLLNESKYGDFNPPTDRWLPFPGVRKNDSYAWELLSEVQDRARYQLRSAISNAGLEVP KGLDDPAESQALNLTELLLPVYRNATGKLRGDWVRRKLEKAHRPLNTTAIALENEYFT HEFSHNVTGNTGSFYLDLREGGGEELRLRNGHVREIRATLAVENGDFWGNTWYLPLFG IHFPETGGIILTTTSEKFGGIFTLPHLALTSDAYDLSHQLLMKSLSDAISEKQNRAPT LFPWSSLVGAEQVEFPAPKCEHIVYLQQHPIAVHDYLADRQVIEQIEQELRYPMGAPI PSPPLMVMSAVVFSPDCGYVLETKGAPDYPPTEALYLSGPKLEELGKYSARLIFVICG ISVAQIALLLRQVKEASTPSTRSRISFYTIALMAFGDSFLLVFMLLELYPAVSFLLLT TASFLTFLSVSYIGMKFMMEIWAVQAPERREQDRRSNPSATTARPATLPLPATTRLRD TGATPIILTPDQDPPEEEDEPPPNRSTTPTARETRSDVGAMYARFYFILFVMLIISIW SFLWPNRLGALYARALGFVYLSFWTPQIYRNVMRNCRKALRWDFVVGQSFLRLFPFMY FLTVSGNVLFIRPDTTTALALAGWVWIQVWILASQDILGPRFFVPRGWAPPAYDYHPI VRDAAGSDADLESGGVLPIGALRADERDFDTKEDDKQRPKDKKKAVFDCAICMQEIEV PVLAAPGGAGGSSMTDGATSILSRRAYMVTPCRHIFHSTCLESWMRLRLQCPICRESI PPV AFLA_067450 MSAIARSVRPFASRVLSQKLPLAAACRVSPATAFPRGSVRSFSQ SPFMELKKYTESHEWIELAADGKTAKVGITEYAAHSLGDVVFVELPEVDAEVSAGEPV GAVESVKSASDVNSPVGGKVINVNSILEDKAKFINESPEGDAWIAEIEVKDASELDNL LDAKAYKETLGDE AFLA_067460 MLYELIAVVRPGSLHEVREIARNAGIQVLRSGGVVRGYTNWGTF RLPKPTTKHQARYTEGHHFIMRFDASGPVQMAVRRTLGLDPRMVRFSVVKLGDKLEDI KDVQGKVEWNNARNISESI AFLA_067470 MLPDRSDDDPQLVASPPTFAELMALKQLDSPSHLHGISSDEPEK IERFQSLASPYPPGEGKMAFGGHVYAQSAYAASKTVEKGFVIHDMTGTFILAGRLDVP YVYTVRHVRDGKMYCTRAVDARQEGKVCFSCLCSFKRDEGPETFAHQPPSAQERFKSI LQAKRVEDQIVSPSVDADWWIEVVEQGGVTEREFPGLDMRKTDMQGYNLTEDIKQNPE KYRQLHQYSLKGSPQDSTVSVSREELKVKEQSGEYDNLYACAHMYASDRNSLLLIPRA LGHKNWIAMASLTLTVIFHQRGNALRMIDWDAVSSHDGTDLPKKWFVQEGWTPRSGEN RAIHESWLWSPDGKLVATSYQDSLLRLRKHDREGKL AFLA_067480 MTSGEFYNIVKLVPKPGKFNELLEAFKSFSEHVQSNEPKTQIYC ALRPDKTEELVFIEKYTDLDNLKAHGTSPEFKKFSKAIGPLLAGAPEMTKADFVGGFE GRSKL AFLA_067490 MVKDEQLPYQGSIQDHTELLETSQKVLDYLIQDPRIQKAASPAL IHADLHKRNIYVSPDDPTVVTGVIDWQSTSVEPAFTYCNETPDFASLPSESQLAEVDE SAPDDQKKKLNDAKICHQTYDVCMKGLVPKVRQAMLLDPALFRPFLYCHTTWRDSATT FRQKLMELSTRWSDLGMQGSCSYLPDEQQVAVHVKLYEDFETVQRLKMWLRDSLGTDS DGWVPNDVWEAAKDAHRAAYNEWIETARNVEAKGDELTVEKADRLWPFHSR AFLA_067500 MGYSANTFTQIIPARKFQDMLQHDQLLSAPLAALYWTAQGRSVK DVFGPFYLKPDLDTAYIETGSDGTRAILATDFVDKVQDPLPLCPRSNLRRLCHVISDI AGFSALVGLEVEVIFMRPVTGGQH AFLA_067510 MIECIVRELSAVGLFVDNVHAECAPGQWEFVLPPADPVQAVDDL AKARHTITCVAESFGLRATLSPRPHTGKSPTGSHVHMSLNPVTQATQPLSDAFFAGAI AHLPSILVFCMPQVASYERTGVANGMDPAKLSADERDATGAHKVLPASLEESLAALEC DQELIDLLGEQLVRVYLMIKRREADDFKAMDPSEQKRWLISEF AFLA_067520 MKKTLLLVFIHGFKGDDDTFGNFPGHVRALIGRALPAITVATVV YPKYQTRGDLKECVGHFREWLQDQVIDLEVANRTASPTVDPSVHVFLVGHSMGGIVAG ETLLLLASEQPIPAKTSPANSETAANSQILESGSFMFPHIQGVLAFDTPFLGIAPGVV SYGAEGQYKNVTTAYNAITEVAGLFGFGDSSGSSTKAASANQGATSNKSLPPQQASAA SPSSDAAATPSWQRWGRYAMFAGAAGAVAAGGAAAMYSQRQRLTDGWGWVSSHLAFVG CLARPSDLRHRISLLSEVQRERGIGCTNFFTALGKNATSLVETSSQGKTSLTQRIIRS KYRTFCTLPPEVENEDAAYDPKAGLGWVKAVNDKAADEIKAHVSMFLPKENPAFYELV NEACKIVVASVDQGWYSTATGPVEEVDGDLPRTETARTPADHDSGFMDGDDVVIVE AFLA_067530 MGFGDFDTICQKAALPLCSLVGPASSISGATGIIPNCYARNIEL ANTIIFEGAASFVHIIALAMTVIMILHIRSKFTAVGRKEIITFFYIYMLLTMCSLVID AGVVPPRSGPFPYFVAVQNGLTSALCTSLLVNGFVGFQLYEDGTALSVWLLRLTSTAM FAISFVISLLTFKSWGGLSPTNTVGMFVVLYILNAICIAVYLIMQLLLVMNTLEDRWP LGHIAFGLLVFICGQVLLYAFSDTICENVQHYLDGLFFTTICNLLAVMMVYKFWDYIT KEDLEFSVGIKPNTWEVKEFLPEEDRRATVYQDTNSEYAGSMYHHRASAYNNHNY AFLA_067540 MPGTQFNKQRNYDSLAARCLWGINNALFHVAKETGENGVDRVVG VSCWLPPHAASEPESWYSWCQGWLLSWRQMLNNVWHLGRGGLRTNRYWIWKERQQEAQ SAIWDDPRGYYFCNIVAVSPKAQGGGIGRKLFEAVTDMADREGVKCYLESSRNVPNVQ IYEKMGFRMKKEMECRDGEDVCMLYCMVREPNSEK AFLA_067550 MASLSLSKICRNALILSSVLSTAQGQQVGTYQTETHPSMTWQTC GNGGSCSTNQGSVVLDANWRWVHQTGSSSNCYTGNKWDTSYCSTNDACAQKCALDGAD YSNTYGITTSGSEVRLNFVTSNSNGKNVGSRVYMMADDTHYEVYKLLNQEFTFDVDVS KLPCGLNGALYFVVMDADGGVSKYPNNKAGAKYGTGYCDSQCPRDLKFIQGQANVEGW VSSTNNANTGTGNHGSCCAELDIWESNSISQALTPHPCDTPTNTLCTGDACGGTYSSD RYSGTCDPDGCDFNPYRVGNTTFYGPGKTIDTNKPITVVTQFITDDGTSSGTLSEIKR FYVQDGVTYPQPSADVSGLSGNTINSEYCTAENTLFEGSGSFAKHGGLAGMGEAMSTG MVLVMSLWDDYYANMLWLDSNYPTNESTSKPGVARGTCSTSSGVPSEVEASNPSAYVA YSNIKVGPIGSTFKS AFLA_067560 MIDQMIRRAVEEIVEKQRPCFITIEIQAREQGHSHCAEAISKGM FPYHTPIASSYVPVDAIDSPVREL AFLA_067570 MFPVGDYLYNFEFTIDGSLPETIKTDLGYVRYDLEAIVERSGAF RPNLLGNLEVPVIRTPAEGSLEQVEPIAISRNWEDQLHYDIVISGKSFPLGSQVPIAF KLTPLAKVECHRIKVYVTENIQHWTADKSVHRFQPAKKVLLFEKRADSASTSTYPGSS MRVTAGGGIDWDHRAAAARGDEIVDRNRTNLLGNLASDSGVGPTEMEFNVQLPSCHEM KNRDESHKLHFDTTYENIQINHWIKIVLRLSKVDERDPTKRRHFEISIDSPFHLLSCK ATQANIYLPAYTSPNSDPAPPAQEYECGCPGAAALNRASSNGHSPCSEAEEPPTRAVN RSFTNGSGGLARPPQAHLAHEPSDRADEPPPRPMHLLRAPSFAPPAFEDVPPPPPLIT PPPEYTSIVGNNDRETVLEDYFSRLSCYEETADDPRGLGRVDVPLTPGGRVNRSMDVP REWVRLDQSTV AFLA_067580 MNLATICGLCLLGTEKSTEWVRQVDLDRCHMLFLIFLSRHRRYK NKNKNKIGILD AFLA_067590 MATSNFSNPDSLAVLTAMVNQTLIETGRFFRSGGSTQSRAQLKR SIPVAHEQFQSALDNLSEQIFIAKTFLERDYEAIAAQKAALQPAEDVVMSQSETIQDP ETAPQAEATAVDTNQIQSEPCKADTVQSHTSVDAGQQNSSETLVKEEKPAESAALGPN QSQSGPNDINFDSVLDDTGGANDFDLNLDFGDDDLGNENFLSGSNLGTTNTAGAAEQE KGVDQSGNTTTEVPDMENGAANIPTGGDMFDLELQKTEAFSAPAGAPEGQQGNTTEDI MGPGESSFDDLFMDNDNFGSGDVGDPSMLEGDSLMNMNELDDSWFT AFLA_067600 MADNVPAQTPSTLPPPPQTSAAPGQQYDGAQGNGQANPSHMPPP PRPPVVIPQNTNPIPTAITSPMSGNMMSPTSAGGYVRRAAPEPNKRALYVGGLDQRVT EDILKQIFETTGHVVSVKIIPDKNKFNSKGYNYGFVEFDDPGAAERAMQTLNGRRIHQ SEIRVNWAYQSNSTNKEDTSNHFHIFVGDLSNEVNDEILQQAFSAFGSVSEARVMWDM KTGRSRGYGFVAFRDRADADKALGSMDGEWLGSRAIRCNWANQKGQPSISQQQAMAAM GMTPTTAFGHHHFPTHGIQSYDMVVQQTPQWQTTCYVGNLTPYTTQNDLVPLFQNFGY VLETRLQADRGFAFIKMDSHENAAMAICQLNGYNVNGRPLKCSWGKDRPPTGQFDNFS GQQGNSPFNNSPAPYFPQYGGPGGPMTPQGPNPAGRGWDQSGMGGQNYGQVPGNAGYG RGQAAPASGWNQANNANFGNGFGGYQA AFLA_067610 MENASDNSREALSLDNDDQLSGRTRSESASSGHKRSSSGSLLSK LSFLRVIQATHNTPERAHSGIDRDDGDGFGSSARGGRAMASALQQRRTRRRRGSLRKT ALLGTRFEYRDKKATRAPIDMPRADVIGHQQQMDQQQQLVTGSRMQQPLPGPVSLDQA VVPHGNAEQDSHQDDMVWEGFMNASPKSLDQSAQHHRQNHSQNSILGGEITTDDEDVV SFPRAKNTNAAVAAAAGLHLQSASSSSDSYYALSADSTYRSMHRTKSPLATHAVDITS SQDMNWDYSETEWWGWIILIVTWLVFVVGMGSCFGVWSWAWDVGETPYAPPELEDDPT LPIIGYYPALIILTAVMSWVWVVVAWVGMKYFKHANISGDDT AFLA_067620 MHHTTDRYFHQVYKGRHEIRLSKLVTEPSHSILTQSYDSRLRLD NRRPVNGDGFGVGFYTDPKLGPEPCIFTSTLPAWNCENLERLASKTCSNLIFAHVRAT TEGALSDNNCHPFQHNTLMWMHNGNVGGWQYIKRPLGDSLADRWYLGVKGGTDSEWAF ALFLDLLEKEGVDPSSDPGPEGFGQALLRRVMVKTIAKINEFIRDIPKRHNVSGIETR SLLNFAVTDGHTVVCTRYISSKTDEPASLYFSSGTKWKEGKVKGHFKMERHDKGADIV LVASEPITFERHNWVSVPANSIVTIHKQTVLLHPIMDEFYSEDLNHDRSSCYAVSKGL VSTAPGTTVQPQNTESKAPAISVNGARVDDHAGLAQHQLELANKCAISH AFLA_067630 MSGRILSHRLVPLLRTGFLARHVHNAGARTGGLLRSDGSAALRG RTWPVGANSIHNVPAVRGISFARILPKLALKLVKVPAMLGTATVAGLAYIQYQTTQAG NYAIDVLKRAGESAGDAASSIFSEIQNVAEQTQRGWQRTTDSVEVPEWLQNILGFSEG SQNDGSGGGGGGGGQPPRESRAGAAAAAGAAALGYDQGDEHARLTRNAEDDQMMLLTR KMIEIRNILQAVGQSNTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRPI ELTLVNTPHAQAEYGEFPALGLGKITDFSSIQRTLTDLNLAVPERDCVSDDPIQLTIY SPNVPDLSLIDLPGYIQVSGQGQPPQLKQKISDLCDKYIQAPNVILAISAADVDLANS TALRASRRVDPRGERTIGVITKMDLVDPERGYSILTDKKYPLRLGYVGVVSRIPQTTA LFSSRGSGNITSAIIKNENAYFSSHPSEFGPQSEVSVGVGTLRTKLMHVLEQTMASSL AGTRDAISQELEEATYEFKVQYNDRPLSAESYLAESLDSFKHSFKAFAENFGRPQVRE MLKNELDQRVMDILAQRYWNKPIDDLNPPMPELDPLIDLPKADPESMYWHRKLDASTS SLTKLGIGRLATTVVANAIQNHVESLLANSTFASHPYAQKQIVDACSSILNDRFFSTS DQVENCIKPYKYEIEVEDPEWAKGRENITRVLKDELKACEAALKRVEDSVGRRKIKDV MSFIDKVRKGEVVLEGDGAGGAGGFSSALLATGRESAFLRDRADLLKMRLLAVRSKQC ASKKNKYHCPEVFLDVVADKLTSTAVLFLNVELLSEFYYNFPRELDMRLGRHLSDAEV ERFAREDPRIRRHLDVIKKKELLELALQKIESIRQLDGRSKHRNADRPLSKEQRNRGW NLF AFLA_067640 MVGSALAIRSKMATPSVMSSLSRRSLSTRPQVLRSARPLRSSGF SQQPVQRTARRSYADAAPAPKPKKGFRFLRWTWRLTLLSGLGLTGYVAYSIYELRHPI EQIAPDPKKKTLVILGTGWGSVSLLKKLDTENYNVVVISPRNYFLFTPLLPSCTTGLV EHRSIMEPIRNITRQKNAYVKFYEAEATKIDYEKRVVYISDDSEIKGDISQTEVPFDM LVVGVGAENATFGIKGVKEHSCFLKEVGDAQKIRTRIMDCVETAIFKDQTEDEIKRLL HMVVVGGGPTGVEFAGEIQDFFEEDLKKWVPEIKENFKVTLVEALPNVLPMFSKQLID YTESTFKEEAITIRTKTMVKNVTDKYIEAEVTKPDGTKELETIPYGLLVWATGNAVRP VVRDLMSQLPAQKNSRRGLAVNEYLVVNGAENVWAVGDCAITNYAPTAQVASQEGAFL ARLFNTMAKTEAIENDLKQLSEAQAQAKSPEERNQIFDEIRERQKQLRRTKQIGPFQY SHQGSLAYIGKERAVADISWLSGNIASGGTMTYLFWRSAYLSMCFSSK AFLA_067650 MASSVKESTTTTHYNDWPNTQGFDVRYQELEPTELSVQGTIPPY CAGVLFRNGLGPRDIQTEKQTTYRVSHWFDYFAQVHRFQIHTPTPEQPRVRVTHNSRL TCDGLIRRIQRTGYRGEITFGAKYDPCMTLFQKAQSVFKTIPQGVPDEVDISVSLNVN FPGLSATGSKQEKMPEPGIQTLCNRTDNSTFQMLDPQTLEPIGIADQTVLHPSLRGPL SAAHCKTDLTTGDVYNYNLDIGLTSTYRIFHVSRATGKTSILATFTHAATYVHSLLLT EHYVVLCLWNARFRAGGMSLLWTHNFVDALADYDPTQRSTWFVVDRTPGGRGLIARYE SDPFFSFHTINAYEEHSSTNPSKTDIIADVCAYDSLDVLKRFYIDNILSDSATARHFG ESRNHSARGAFRRFRLPAVPEASSPKTLTAELVFSMGKGLGPELPHVSPRVRGRKYRY VYGVTDSGKSVFLDGLVKHDVVTQTSLYWSQHGQTASEPIFVTDPDSEDEDGGVLLSV VLDGIEGRSYLLVLDAKTMTEVGRATVHGVVGFGFHGAHVRDA AFLA_067660 MVRPTLNRIATILWGREPKDRALLAKLDLTLLPYFSLIWFLFGV TRASYSSAYISGMREALNFQGKDYNYMNTAYLVVYAVCQIPGTSLLTVVRPKYVFVAA NLTWSVLTLITYKMTQAWQVILLNAIEGGFSAIAYVGAHFILGSWYRKSELGTRAAVF CCFGHVGSMAGGWIQAGLLKALAGHGGLPAWRWIFIIVSVMTIPVALFGWFFIPDLPA HRAAWYLNEAEKEHAAHRLGQTSKQTWDRTVLKRVLLSWQFYLLPLIFMLYSLCVQSL SNNVMALWMSSRGYTTIQQNNYPTGIYATAILGTILYSTISDRLQSRWECSLLIGLTF IIGSAILVANPAADAGHFFAFYLLGTTYAPQALWYSWMVDVTGHDLQLRAITTGFMNS FDFAFVTWWPLVFYPVTDAPHFEKGYVASLVTGSLTIPFIGLVAYLDRRDTARGVIGR VGPRVVVEDSVVDDGDQVRYEREIEDGDDHPDDPIPTTEVTVASKV AFLA_067670 MKRHGRECSRNTHRRGLLRGGVKRPGRILNQMQLRRSSAHGNEG PRDQVFIVIGRRRLIGFWMGGWYGVYHCSLTIETKVSLMSSKAMIGSVRLTQGWPKGG GRPPCGVSACTTCS AFLA_067680 MAGVAYNSIPPSGYGAKFGGVTLPPYAKAPNYTRMASGHHSTAS VPQSKPPSQPSLQDAPSSEPTSQKKGSSKPVASYLQIPSSINNSKGNLADFAAQMTCL FWFETTAKLNDIEERKNPLLYIVPEAIPSAGFQKWVTNILSTTQVSQNVILLALLFIY RLKKFNHRVRGKKGSEYRLMTIALMLGNKFLDDNTYTNKTWAEVSGISVQEIHVMEVE FLSNVRYNLFVSEEGWTQWHSKLSLFADFFNKASLAPEAKELESTTSAPRTSPSLGAS QVSPSQRLPSPPNSDVLRAQNWSFPSNAPAYAPPAHLGKEFPPMPSKKRTLDDYVEDQ PSKRVAMPTTLPTSMSTLPSAALAGVPTLPPVLAATSAPSANSLSGSISRLPRPNFPP SSNIAPGIPTSVLPFPSVANRAMHSVYNPSTNWVPQLPPTQVPPITNGLYNPSVSLPD PARQHNSPFGITSATISPAISAYSSHTPQTNLSPSFFLANRNSPYRPVRNVNTLLIPP PSSSLQQQRAVPFEHMHYQPLGKTAAERKTGLLPYLHHEAWPQAPFLQPTFHSTPHY AFLA_067690 MPPRLQILPTQWQRPLSRPLSAISSLSLLFPQIQQQSRNAHILA SLSDTPGAYNKRIRRGRGPASGKGKTSGRGHKGQKQHGKVPARFNGGQTPEIIVHGER GFNNVFSLDLAPVNLDRIQEWIDQGRIDPARPITIRELAQSRCIHQTKEGVKLLGRGA ESTLKQPIHIVVSRASATAIAAVEAAGGSVTTRFYTKSAIARIMRREMHPFVSTAWTK ESGSEGLNNAEGAENLTESAIMKEMGFQYRLPDPTKRKDIEYYRDPAHRGYLSHLLKP MEGPSLFFRSPVERKTAAGVKKEKVLPENRLW AFLA_067700 MPSVGLFNFPIPDTPFSLTHLGFTGNLNYHVGREDPNGPHHALV GVASSRSRDVAKEFLESFDVPECTLTFHDYSELVRSDLIDVVFIATPHSHHFQNALLA LEAGNHVVCQKSLTVNAAQAKKLFETAKREQLFLMEGWWLWWPQASRQTCRLLRDGEI GQVNRIVANFGIRNNYCMFGSASDRLTSKELAGGALLELGSLALHWILRALPGGACRP TQVTSTMKKCPESGVDETTTIDMGFSIGGREIRTKAIASLSTPAKPDGQLAHVIIEGS NGKIEIRGWEALPTELYLFKNSYSLSKPYDVTFHEEEPLFVPEADEVARCIREGLLES PEMPWAESLLVMEIMDFVRKQNDLQYPPEIEASEPSVMLPSRLL AFLA_067710 MAHTERNSALAGLKIDTSFPLTHRPRWRIEAGGRRVTDDGTGIE GDRTNIFHESGARRYGTDEDNSVLSSLHSATPPISVPRHDDHPKEDVSFPERPEDVQS SPLDSFLHSRRRSVSFDPNITLDSGQPQALGEPLAKGVIRTRPQRFQAKGSSLKNTLP QDDADDHYPRHGYRAQRGFDSHEGFLSSPDDDMPVTSAIDRPTSLTSISTASPITEEL RTPPEGSKGALPSPFCVASPVQGFASLDDRSSWSNSVITPFGSKTKGFRGSTRQSSRR STASSGKSPASMFLSMWSSGEEPAPQPDDEGQMVGTEYVLGKQIGFGGFSTVKEAYKA DETGGTKRLAVKIVRKQVSGKNEKENDEVQAEFDHEVRVWRYLNHPNVLTLDAVYETD YATFCFTKLAIGGTLFDLIRQNRRGLDIKLAKKYTYQLACALRYLHEDARVVHRDIKL ENCLLDPIELPDGTKASNLVLCDFGMAEWMNIDNGGVSPDPYDDAADRPPPKTIGPAG SSTSVAGSLEYASPELLLSTSGLIDASVDIWAFGVIAYTVLVGSRPFQDPFTPRIQSQ ILSGNWDRTAVLGDETDLPARKDREHALELIEGCLDMSVEKRWTIRDVLSSRWLRELS EKGEDGEADSIWKL AFLA_067720 MTRPRIVRADTLDLQDQHAPSAKDHSKQNIVVGSGFGPHQEQSI RHADQDTRTEVMHGPGAEENSIDEHRDGIDIYDDDDDLDDDLGHSQHVGGSEDGDLAD GEGDDDLLDDDLMDKISSSPSIDDEDIDFEFVYALHNFVATVDGQANAAKGDTMVLLD DSNSYWWLVRIVKDGSIGKLGI AFLA_067730 MKGSSLVIGYLPAEHIETPTERLARLNKHRNVDVCDFILVLKDL SATMLGDNSEKSKNLLKKAMRRRNAKTVTFTSPTYIEASDIEFSTDDELDEVASLTDA DGLRGDVDDLQESEHEDIVVEPLRPKSQRDKASEDLETVHEAKESDRASPEKQRSSAE LLESEAETAVSRSRNGTVRNTDSFFKDDTVETKKISLTPNLLRDEVGSSSVANDARET RGSMEAVEKALSAYDKNKDDKKRKDKKPGMLSGLFKRKDKKTKAAEDETDEAEKISGE LSRSSPQPKTSSESISSPESKSPNPLVVQKQPSKLQKPSPIVQAPPRNGVEQERVTQR SVEANDDLNVMQSQRNEQTIRQVPSEDNIPQSPVSTSIQQDQPLSSPIRTSSPLKKLN TAQPNSGGTVASPTKPHYSQHSVASPPQKFPPRQDVGYQGLRGDSPVEVSSVDISPVE GPSSPGAPGMTMDPSSPGGFSVSPVSPPLSPAVESNGSRNLDAERTSFDVGSADTPTW SDASLRSYLDDESDIRDLFIIVHDNSNVPPAGPDHPITGSLFKEESKRLKEMNSQLDS MLADWVGRKMRKSISQ AFLA_067740 MAASNLHTVAFFGATGGCNLACLVHALEAGISCSALVRDPAKLQ NLLRQRGISDSVTAEKLCIVKGNVTDLDAVKQTLMYNGRPVDIIISGVGGKPVFTNPL RPRLDNPTICQDAVRTILAASRALGAKPVLIAISSVGLTTKKRDWPIALAPLNYWLLR EPHADKKVMEETIFEEMSKPDEDRAIRDYTLVRPSWFTNGEGVGLGKIRAGTEMNPAV GYTICRNDVGLWIFENFLRRPLQLDNPYLGRPISITA AFLA_067750 METQTRTSGLRQSCIFCRGRKIRCSGGRICNACRDRNINCVYGP EARKGRPKRKRTEDKCTLNPGISKRDRKQSILLTNTTIASALSDESPLSASVALKKVR TLGNELEQMFDDYFISKTGSESNLLQLSITSFQRHRRPYTSTPSSHPTQHQVNYDGLL SSIAQEMLELLLLRVGSLRCGQPENGNRNFFIASLVADTTSSMFDPPQHQNPLTALGK HRILQLVDMWFSAHPLSPLVSKTLLTSDVKDGTVDEALLAIILADAYHVLGGTSEQHG AISDESQKLFEFATTQIKQRQLPLGDSEALSTAQTLFLIAWRELCLGHARRATCIVGY TCYMASRLAKTGKNTDIKRVKLNGVEIDLVKKEVLQNIHWLCLTTTTWAFMQFDQALA LFGPDEIPEFPCLDETTSALIRLDQASDNISTLSAQIQALRRLWPLSHIASTVSHIYI LYFDMPAKKVPNASWQEQHIHQLYDLLQSCADFPTLSVKIRDVLLQAVQAVERRITML SSQLYLLTAYHIIITHMLFSQNKTDQESLSLSSTAINAFCQSASALLALSYRSLDPSK GLMPVQRGDGVEFINMLVLGLDTCSRALVHIYDHLSRGSIDNQKEKAAFKKQLADYAD KFHQICKSDAVSRCGSVMRPVKKRLKWAKLAFQHLQILPEPEQVLSSAQHRTPDSSIA LNLDLPHIEGLLLDPAFATARLGDLSSSDIVPDALLVDRQPVSGITDPFFVDDPIIGT LLGLSGVTDTREQLYQDYTRLQQSKQSMGSEQDLLHIQNPMRLLPGDGESLDLVYRGP RGSPLSLANTTDRPSELNSPYEMTEPIRSDMDNFTLSEQPLDNDLLLQLLSSSDDNMG TLYGN AFLA_067760 MSDPYAQHPHYAPPGPGPEANFYAPADSVYQNPPYDYEPQNPYT QQSPPNQNYQYGSQYDLAQTPRSYPPQMSGPQQDYLNPASAGGFEQENNHRGSNADYY NAPTDEQDRHHPPDSRPQEADNTSDNEGTERNLAGALAGGAGGYVLGRQSNHGLLGAV GGAILGNFVGDKMEDKPDDEEDGHHHRHHHHGHHHHRHKHRHGHRHHSHHSHHRSHSR HSSHSGSY AFLA_067770 MPPPLIQHLTQALPQHCEPSSPFFATISAYLHTCIPTPLALISS VLGTLSIVSWLFAQLPQIYKNVQLQSTSGLSIFFLVEWCLGDTSNLIGALLTRQATWQ VIIASYYVLVDVTLVFQFFWYTHIKGRQGGYSSLSHSHNEGGTGGVLEGVSFSENNSV NQTPSETTADSDAKDVKGRKEPIFGSYNGQSLSYSNEKLSSSRRSMLRKSSGPSLPIA STRTVLLASMLCAVVANAAPTEPATEPHSHFLSLGFLGTLFSWMSTFLYLFSRPPQLY KNYKRKSTSGLSPLLFMAAFSGNFFYSSSLLTNPNAWYDYPPYGGGGWADSDGNNRAE WLSRAIPFFLGAFGVLFFDGFMGVQFLMYGTRDDESIIEIEDPKCGRSRWMRVRGWMR GWIPSVSPTRDAHRRTPTESQALLEEDCDRYGTV AFLA_067780 MPRRSVNSSANNPSNSQSTSSNSGKGGITFKAPTTKPSLLSQLF STSPKSKSDSQSANVAARGASGNAHPTSISSASLSLPTISLSTATAGNPNMDEPPTTL FQPPSPEEARRQAKANAQFGTIGHPSHRYSSQHPGGVFPEPIMDEPPYYYLLTTYISY LILIAFGHVRDFFGKRFREENYRHLKPRNGYGALNSDFDNFYVRRLKLRINDCFERPV TGVPGRYITLIDRATDDHNKHFYFTGTTTDTLNLSSYNYLGFAQSEGPCADLAEESIR KYGITAPSTRAEVGTQDLHMEVEDLVAKFVGKEASMVFSMGFGTNANIFPALVGKGDL IISDELNHASIRFGARLSGASIGMFKHNDMKDLENKLREAISQGQPRTHRPWKKILVV VEGLYSMEGSMCNLPGLISLKRRYKFHLFVDEAHSIGAIGPKGRGVCDYFGIDTNEVD ILMGTLTKSFGANGGYIAADKNMIDQLRAANSGVIYGESPAPAILSQISSALRIISGE IVPGQGEERLQRLAFNSRYLRLGLKRLGFIVYGHDDSPIIPVLLFNPAKMPAFSHEML KRKISVVVVGYPATPLVSSRARFCVSAAHTKEDLDRVLTACDEIGNVLQLKFSTGVAG GALPSNEDVTPPPEMEKEWHRKRDPVAPPRWRIEDVIRRGVQDAKGPLY AFLA_067790 MSSNGVPTGSKELWRHSSPQDTQIYDFMMKLNAEHNLSLKSYND LWRWSISKPALFWERIWHYTAIKSHKPYDRVLESDGDLFPRPNFFEGSRLNFAENLLY PASALDENEVAIIAATESEREYVSWKELRERVRQCASSLKEAGLQSGDRVAGFLGNHT NTVVAMLATSSIGAFWTGVSPDTGVHAVLERLKQIEPKILFADNASLYNGKVHGAEAK IRQIVPGLPNLELLVVFETIKSHQINLEELSPAQGKVSTYESFLSAASDPSAPLEFAS LEPGHPVYILYSSGTTGAPKPIVHGSLGTLLQHKKEHMLHCDIRPGDRLFYFTTVTWM MWHWLVSGLASGATIVLYDGSPFRPFDSEGGNGEMAMPRLIDELKITHFGTSAKYLSI LEQASLNPRKHPHRPVTLQTLRAIFSTGSPLAPSTFEYVYSSFHPDIMLGSITGGTDI LSLFCSCCPILPVYKGEIQCRSLAMAVSVYDYAGNDISASGEPGDLVCTKPFPAQPVM FWPPGAVGEEKYRKSYFDIFGPSVWHHGDFVRLDPQTGGVVMLGRSDGVLKPAGVRFG SAEIYNILLKHFAEEVEDSLCIGRRRDGIDTDETVVLFVKLASQEKTIPQELAARIQA TIRKELSPRHVPGIVDACPEIPVTSNGKKVENAVKQILCGLNIKIGASVANASCLDWY RAWATANS AFLA_067800 MGSRLSSKSLRWPPENCSLRQHKEHLNSVSSEYIEFKIDKMRRS VLRAVESAKPLARAPRSVSRSFATVNEAGSKDPVELDQITTLPNGIRVATESLPGPFS GVGVYVDAGSRYEDESLRGVSHIMDRLAFKSTKKRSSDEMLEVLEGLGGNIQCASSRE SLMYQSASFNSAVPTTLGLLAETIRDPLITEEEVLQQLGTAEYEIGEIWAKPELILPE LVHMAAYKDNTLGNPLLCPEERLGEINKAVVDKYREVFFNPDRMVVAFAGVPHDVAVK LTEQYFGDMQGKKSSNGPVLSGTGIETTLSNSQSAVEEGQVPTIPQFTPSSTTSTTPA SPKSESSLLSKLPFLKSLSGSQKGSVSPLDPSLVEPSTFNLTRPSHYTGGFLALPPIP PPANPMLPRLSHIHLAFEALPISNPDIYALATLQTLLGGGGSFSAGGPGKGMYSRLYT NVLNQHGWVESCIAFNHSYTDSGIFGISASCSPTRTPEMLEVMCRELQALTLDNGYSA LQAQEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKVGVKEMCDHIDALTVED LRRVARQVFGGNVQNKGQGTGKPTVVLQEGELEGYKLRSFPWEEIQERIARWKLGRR AFLA_067810 MVQLQEVEDEHYAQEKPQVTKNNVLLASDDEDDDYTDTVHSLGF NGIEATFLCARDESLVLPACVSGSTACADHAAGPNTPQSEISEDSDIELDGETFYERL SALKDMIPPSARRQVNNTVSSITSFTKSSLMFSGRALWVISTSAFLVGIPFALAYAEE EQYVQMEREQGMIKGANEMLTPGAISEEQKQAQPTL AFLA_067820 MPRTYGKRAKQTRLSFAPIALSQGSAEDADEKSGRKATLRYAHP SLPTIRSTRSQPNGPSSRSMSPVPFVKKSSADQQGSVSEAETSEQSTLTKKKKKKKKP KKNRQEQRKKKDKKGKEEKDKKKSEETADELPQHSTSETKVPVGRESESDGDEEALAS ARKVREAQALKRKRSQTPSDTAHSPSHNPSLSAKSSDSDAQDIISCPRRKIRRKLRRG PTQQPTIVLDDDDSDEGPISTPARKRRRAIDAQPPQTPEQNLDQDELDLREDLEDLQD SVVKETRTRGRLANSARAQRQQHLEALRRRRAGAKETNDERSGTPKSASESEGESNDE DGDETEGENTIMQPRFRQRNEDSDVESSVASDEDLDRYEDDFVLEDGTLGAPSSLPDM PFEFTRHAYKQLKDYFQDAVEWMVFNQLNPAFERSSPVYQVAFSKLEDEVKGRTGSQL ISSVWNANFRNALMARPHMEVTGYPTLENHPCDACNRSGHPASSDMKLHGKAYSLETF EPLSDEASDAEEDGQERDRNGHVLPDENTRFLLGRATMAHTLIHWRFRLNEWVVDHLK RMGYMSDKEVLRRSHWSQKKRAKKAAEAVGLMVYEGEIKKLWRDFHIDLRSARESITL G AFLA_067830 MSTAEKDACAACYPRKNPRLIRDRCKEHRAGLYQVLYRACMLLG FLAMVAVLLHMVRSYRRRRRSRTFRNLAAETFLSSDLLPMATTTSVSTSSYSGLDLRT EYNPAMGDIQNSGANLSMTERRLRRLGLFNREPRKGVRDVFDLESHGCIREGSRLPKE RIPVLPRASNASLRKHFTSRNMKQAPMGLGDEGNKARSG AFLA_067840 MVLYGYDASVYNSVQGSKNWVLYFNDPDDNMIGAVNTAYTVGAI FGGFFLGGPVADFLGRKYGMAIGCVMVIASTFMQTFAPRGNIACFLAGRCIIGIGQGI ALTAGPIYIGELAPAEIRGKIMTFWQMFYSVGSFICFWVNYGCTEHKENLGEWDWKMV VIFQLLVPCLILALMPTIPGSPRWQVYIQRNNDVEKARKALQRVREPHEVEEELLKIR EAIEYEKEAISGSYSALWKDKSLRKRMALALVINAGQQITGQGTLNTYSTKIYQKVFP NAGQIALINALNATFGIIFTLNAVWIIDRFGRKFLLIVGGIGMGICMIIVAAVETETP SIGDPGSDVKSTPVGISIVFLLFLFIFFYKPSWGATVWIWTSEIFSMNVRAQAVGMAS QTQNVANAIVQQFFPTFLNNCGFYAFYMFAGINFLLSVFVFFFIPETKQVPLEEIDAL FGGANHVTHGEELVAGEKQLQVMQSNTGTEKAEAVTIENAPTRQH AFLA_067850 MSVFADLVSSLQDRFFDDPSVIGVPARYAVMSDSAHPGGAGLPD ENQGPRILAATTITTVFALLTVLARMYVRLFIIRNVGLDDYTMILTMALSLAGWAIII PEVIYGAGRHTAYVKDTATTAMHLNFATQGIYMWAIGLVKISIGLFLLRFAPRKGYKI FIWVIIVLMLLYTTICFLTLVFQCKDIRSIWDMSVKSKCFTPTQLLELSYTNTALNIL TDLIFAILPAFMLRHLQVNRRVKASLVCILGLGIFACAAAFVKLSVLPNYGRTGDFLW DYSTLTIWVVVESNMGIIAGSLPTLKPLFKQVLGSYGSRSKTRPYNTYGSKQYRLRSL SRSRQGQSQTLHSAPRSRTEAEADQKLSTSRHFATTTTTTTTTYPGNDSSNSSEEYIL SPTGPEGIMCTTEVMVSHTSEARNSARGKKAAHFGRDDMV AFLA_067860 MVALQALSLGLLASQALAFPAASQQAATATLPTTASSSTAVASS QLDQLANFAYNVTTDSVAGGSESKRGGCTLQNLRVRRDWRAFSKTQKKDYINSVLCLQ KLPSRTPAHLAPGARTRYDDFVATHINQTQIIHYTGTFLAWHRYFIYEFEQALRDECS YTGDYPYWNWGADADNMEKSQVFDGSETSMSGNGEYIPNQGDIKLLLGNYPAIDLPPG SGGGCVTSGPFKDYKLNLGPAALSLPGGNMTAAANPLTYNPRCMKRSLTTEILQRYNT FPKIVELILDSDDIWDFQMTMQGVPGSGSIGVHGGGHYSMGGDPGRDVYVSPGDTAFW LHHGMIDRVWWIWQNLDLRKRQNAISGTGTFMNNPASPNTTLDTVIDLGYANGGPIAM RDLMSTTAGPFCYVYL AFLA_067870 MDSFDLANAPPEIRAYATPIILLNLYTNASWLYVYFGMVYRSVK DKSYAMPLYSQCLNIAWEITYGYIYGDDWMLFATFLVTFPTDCLVIWAAIYHGAKEWD RSPLVQRNLLWYYVIGTGIAVALHMCAASELGVEKAFFAGAIGCQAVLSVGYLGNLIQ MGSTRGFSMHLWFFRFTGSLTLVPEFYLRVKYWPERFGFLGQPLMLWCCAVFLGFDLV YGILFWYIRRQERETGMLLADGRKRK AFLA_067880 MSTDPEKVDECTIEDIKSPSEAPDRSDRDAERRLLRKCDLHVVP ILTLLFMFAFLDRINIGNARLMGLEDDLGMSGHQYNIALFVFFIPYILFEVPSNMILK KVKPSWWLSGIMFAWGTITICQGVTASFSGLVVCRVLIGLFESGFMPGAVYLINMYYR RHELQWRLNLFFSASIIAGAVSGLLAYAINNMSGLAGYEGWRWIFIIEGLATVVIAAI SKFIIVDWPESATFLNDDERALLLARLKEDQGEARMNRLDKKSMRRTFSDPKIYLGLV ASSLCLPSISPLPIMYFGIVNTGYAVSFFTPTILHQLGWTAVRAQVMSIPVYVVATIT TLSAALLSDLLRHRYLFTLTGCLVATMGYVILLAQSTVPVGARYFAIFAITSGGYLTQ PILMGWLSNNMAGHYKQSIASAMQIGFGNCGGLVASNIFFEDEAPGYRTGYGVSLGMT WICGIACALFLGYLVRENRVRGRGGRDSRYQLPREELENLGDDYPGFTFTY AFLA_067890 MPTNQSRFTVTFSDLAWESVIDGADIPMFPQAWQAGKYLQAYAE RYIPKETLRLGHKVVGSTREMSGGSRPLWTIQWVLERWDNEKGKISTDEEVESETFDY LIVASGYFSTPYTPDIPGLPSFVEKTFHSSAIHTKEDIYLMLEKCGATKDGSGKLVVI GGSISGAEAASALALFLSSMSATAFQYRGYEVHHICTRPFWTIPYYLPHAAPQDDIQE KTVQFIPLDLVLHDLARRPPGPVQYNFGPVSQQQETKVNEYFLSLLGDQHAGYGNIGG GSRGGTNNTQPSLIAISDDYAEYVQSGSVRPTIGRVCAINRTQSGQANVDIKSPDGEM TTLQNVTAIVTATGFTPFASLSFLPEDVLSRLEYSAEDSYFPLILDEKGTSNAEVPDI GFVGLYRGPYWGVMEMQARRVAESWYRADSEQGIQFSTEELKNKVQERQRMRDYKNAD PDSRGQFPMGDYVGLMETFARDMGISRAPLPEVGERLGPVLPTRYMAEGQTKPSMLAE SHRALASLRDTLTYDSDTSRTGLTRAIFRALHGTWNFSRVSSRYDGEVTGTARFSPTY PTYPKDEREYTYDEVKRVDRTPRSPDRSIFRLGQTSAHSGEMQISILNSNSDVELGEA PQILHELRFVGPPRLEYEGSGREEYVVRVGGCMSSEDNNRRGFEHSYEYVFRLEGVAI SSWECNVEYKKTRTDSFGDSDGRVNEWRRTVYRR AFLA_067900 MSNKVRSQQRKKNARSIASEDPWRVETPFGPPRISHLHFPEGGI RRIRNTLHKLSPSARAERELLRQKNRYKIPLTERNVDTFVTEQQFTEACYPEKHSNEL HVSAWLERLAMIFA AFLA_067910 MSGELIVKDQVSVDAVDSFALPPAAMSPAKMESASRRSSKSDQS AYFPASPTSPNLNMGRGANPPRQTGIKRPLEDFDLPPPPTRTRKIIQMKPKTQTQSQA KPAPTTNKPSKESGKSTSNNNNVSPATSKKKQPSATSAAGRKIARKTAHSLIERRRRS KMNEEFATLKDMIPACRGQDMHKLAILQASIDYVNYLEQCIHDLKTAGDSHMAAPQRM PPAPPSPSSPEVLGEVAGSTYSASVSPDILPSGCATNVTSPAFSPRSRIPSTSTAIDF SSILPSPALGPTHPCDSTLRSSRGSWAATSTNPSPVLQPQIRHASSAEMDHEASAALL MLNRDCRGSVGSVHEEHAKPIPGNRQYSLQDTQRKIGMSVKDLLIS AFLA_067920 MAPFPRSAEGGVVANGRITVLKPGSKKSEAEHEPQSDRRVGSGQ PKSRAPLPVIPYYPDPIRRGNGTTWALQARDTSLGGQPKATASFSPNSQSRRSSSYL AFLA_067930 MAPKKIIIDTDPGIDDILGLLLALSATPEEVEVLLISLTFGNIE VRSCLRNVVSMFHILEREMQWRREQGRPEGFGALRAAPPVVAVGAEDPLEDQKMLADY FHGTDGLGGIHTSHPHLTPKETWEHLFDPSADPVEVEPVPTGNPSRPSFIPSKRRAHE EILRVLRENDPDTVTLVAVGPLTNLALASAADPETFLRVKEVVVMGGAVNEPGNVTPA AEFNAYADAFAAARVYALTSPSPKTTIPTNSSLPDYPTNLSKQLTLRIFPLDITLRHG VSRGQFRKAVTPHLEKGSPLAEWVSAFMAHTFHTVEKLHTGGWLHDPVCVWYAITAED EKWKPSATSPEDIRIETTGQWTRGLCVVDRRNRHRIEGDEEHSSDHGLWLSSRAGNRV WRMDASPVEDNFGAVLLERLFT AFLA_067940 MTTSKKGHPFISSVSSSTTRAAFSTSSFTSSFPLHPPLYLPLPP IFVRPQFVPPIVNSSSTVWLRLLGVLTMMNPYLSWAILLVVAGGLGWYYNGPVPKTKA PIKPIVEKAESAVSAKKPKKKTKKSPEPSPAPAKAEEKPVQTPKIEEVEVADEEIDKK EMAKRFAAVKNGVPLKESSKEGNSGSKAQKKKNKKGSSSQPASNNERSASRVSTRTSS TTGAEADDDLSPAGSPQVNASTSAAGYVSDMLEAPAPAASVLRVTGSLDSDSQKKKQK PQSFKQVETKKQRQQRLKNEARKQQVQEAEEERRKLLEKQLHTARESERREAAKSKPA TQPNAWQTQSVNKVTNGNVTNGIHKTAPGPKVDLLDTFEPEKSSAAASSKEWDQGLPS EEEQMRILGAENGEDQWTTVSSKKIKKKGGKADDSEVSAVEDQPTPVAPAPAPVEPKV KITPTYLPDVLRSGKKGHPLDSDWAA AFLA_067950 MAQIRGTAGYNLGHQNPFGGPGRADATSDPSPLDAIREQTSKIE DWLDTLADPVKPYLPAIGRFLIVVTFIEDSLRIITQWSDQLLYLREYRKIPWGITHTF LILNVLAMSICSFLVIARKHTEIAVAGLLGVVVTQGLGYGLIFDLNFFLRNLSVIGGL LMVLSDSWVRKKFVPAGLPQLDEKDRKMYVQFAGRVLLIFLFVGFVFSGQWSFWRILV SLFGLVACVMVIVGFKAKWSAIILVVLLSVFNILVNNFWTLHPHHPHKDFAKYDFFQI LSIVGGLLLLVNMGPGQLSMDEKKKVY AFLA_067960 MWLWRGAQSAVFYYATCTPCAEKVDRRKRMKEAEAARSRREKEK NEEIIADQPRPFPQPTAFSTNPGWAEEIALGPGPPARRGGNRSAHRRTDSWNTDGISA NSCHDREDEPLWGEEMEVKGSSVGLSGSGKANAHEPSKYYIARVPPVNDLHPPIVSGP KSRAETRWMLQPVPSARVMAGKDRFPTQKSNTIDPSLTREKSTNKTSERTPLPPLSTQ NGEKKPARVRPPFAHFDDDDDDDDDDDPRPLKTPEPKDSREYRSPSSLSYGRDESNFV IASSLRSRSDSSSLASIDSDDIESPRVSIQSPQTPISRPMSKEADDGSKLFRPHVSKT LSNLHREDKKVELLHLEISDHHEEVGLGDLEQIRPWRWSMDI AFLA_067970 MTVDGRTLLGTLLSTDQLTNLVLLDTIERIIRTPDDPEPSSQIE HGLYLIRGDNVVVCGEVDEKIDGEIDWAKVKGEVVKGTKNA AFLA_067980 MPPPPPPPPPPPGGMGGPPPPPPPGALPSRPSGAEAKGRGALLS DIHKGARLKKTVTNDRSAPQIAGGGAKSSGPPIAGAPPVPSMPKPPGSAPPVPGQAAN RLRSDSGAGSGGDSSAALPAAPQLGGLFAGGMPKLRSRGGVDTGANRDSPYRSESQGS SAPKPPVSPAPKPPGARPPPLPSSESPPAPPVNPLVAGLKKPPPRPASRPSSTVSNAS ARSASDAPPPRAPPPLPGSAKPPPPPPVSSRKPSTPAPPPPAPPSTIPAAPPPPPPPP TARPPPPAPARSTPPPPPPPPPPAASAPQPPNGTAAASIAVQAARNAFGHSQQTPSAP PPPPPASSAPSAPPPPPPSAPPSAPPSAPPSAPPSQPPSRPLSHEPLASQLPDRSTLD PSAYTLSNGGPSSGSSPLSSAVQGLIRVEDVRFKFQSEGLLPKPRPFVGGPRRYRAGR GSSVPLDLSALSG AFLA_067990 MALDVAIEQRNLSLVLAIIDNTFCAPAFARAKVFKKAAVPLGGL AAAPAACYAIASWAATLQNTMDPSTATGIAFAASLAYVGGVSSVGILAITTANDQMER VTWLPGVPLRHRWLREEERAALDRVALQWGFKDIYMRGEEEGEEWENLREFIGMRGMI LDKTDLMPGMQ AFLA_068000 MSKITVAGVRQNIQQLLDYSQNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPSVPRPNMTICILGDQHDLDRAKHHGIDAMSTEDLKKLNKNKKLIKK LARKYDAFLASDGLIKQIPRLLGPGLSKAGKFPTPISHAEDMANKVTDVKSTIKFQLK KVLCLGVAVGNVGMTEDELIANVMLAINYLVSLLKKGWQNVGSLVLKASMSPPKRLY AFLA_068010 MSGFLSSHSLQTPKEYYSSFNANSNLQEKFHNIDDEGQFRAYLG YLQDVQTRNFMLDFGNDDAWCAVDLEEEDIATLLRGVKPRFFGTRWM AFLA_068020 MMRILQRQRHLRDEAKSNRPPLPIGPMFGESEVTDLRQPTLQSS LSFSSNADTSVGVQLSSPAVARFERLLDRIKLYCLSEIDTCLTEKESLTFLNFNLIAL KDSQAVEKLTRITILLAKVTILFLPVSLMTAYFSTELKNVKGVYTINQYWVSFGVIMF LSIVLLTLFGYLSDTVEGKTIYQSLSRTFVRSSKHKISNRKEE AFLA_068030 MHKSWKAKASPEHHEPTQISIWPKTPRFKPTKQPKPTIIALLGL GGPERDVFIEQVTGIIPDDRNPKNKGDLFSTRIFKGQINDRDLWLLDTPSLEIPVAEL VEGINSAVARKSGRTDYAIDGIVYLHDITDTNVTQNASENLSVFQGLLRSASPDRIVF VTTFWDLLRTQEEGVRTEAKLNAVYGSVASICRVLDSSDGRSYREIIWDAVSGFVDAG FVEDSVDDDAMSTPTVEELLGIINEKDKHMACLQTALRATKETFARQLQDVQRKATDE KATLYQQLQAALKDVNQLKEDLSRSQKSCLEEVRNLRKQFEFRKQDSNHKLRALETQQ LSSAGNGHENCWNMTSTRSFTQKPPHQAHHLNGQASSLNVLDARGEFPLYSAAAGGYY DEVKRLLEQGANPSMRTLFQWTALHWAVGNGHAKIAQLLLDYGADINAISDTGSTPLD MARNDTMKGILRQRGAR AFLA_068040 MGLTFSKLFDRLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRAWYIQSTCA TTGDGLYEGLEWLADALRKAGRD AFLA_068050 MANGFSPLAIPTPVETTAKFTLPLDILLPLLLFPTIFLAHHIRK DYHAYLALGPGGAPSTPAGYLKICILRPFAVRNPLAPPSLPSTLLPQEGYLKSRNLPD RFGPRPQVVGIVPHRQMTQKASAEMYAALTKEMQSLVNAHPSVLYTGTSCFEKHSTGI FCRLGPGALTRQEQEQQRKRLTCNGEVCHAHQFDGSLHLTLHPADIRLVLEKGWGQRH PIAREDWWWLRRSVPSGFVMIYAPRNEEERRCVVEIIRAAAWWVRGEALPEYSPE AFLA_068060 MTGKTRRHASAYNSRDAVVDVEKQPFRQKHHRRHSHSSFDSDSG DDSVTSSAASYQPMLDGPARRRPRPVTGFYRVPNRIMRRLCFGLLVALVLFILTLFRF TIRSSVTQVPLEIPKTTPKPPQWESFPFLKRYHGGLRSLVPRKGQVPEYPGDNPDVMG LGGDAEANATNLQARDEALPVLSSVFDPYPNYTSPAYIAKYGEKRECFLDVRETMRIP LVHHYPGVPRGFPEAVMGSNEVIGIQDDVCFDRFGRLAPYGLGYSVRKGGIGAGLEGH REGAEHVWDEFPPVDFRKVDWAAAQNRCLVANGHRFKDLPQPRSNRFLSMPIGVRDLS NKPLEADPVEPEQPQAGTGRLPRTAVIIRTWHDFHYTPEDILYLRSLISELSLLSGGE YTIHFLVHVKDENLQIWSDDETYERVLKDALPEEFRGMGTLWSERQMSLLYPGLEETW TRGLPIHGVYRSSHMPTQYFAQQHPEYDYYWNWEMDARYTGHWYHLFDKVSSWARAQP RKELWERNARFYVPDVHGTWEDFKHMVRVQTEIGTNSPNNLWSAPRPGQDQSPGDKAR LHQQGDKAIWGPERPDERDILEVEGEGIPPTTMDKDRYDWGVDEEADLIVFNPLYDPE GTSWLLRDDVTGYNKENSMPPRRAAIITASRLSRKLLHTMHQEMIHKRHSMFSEMWPA TTALHHGFKAVYVPHSVYIDRRWPTKYLESVFNAGRNGASGGARTSVFGDREHNFRGT TWFYSAGFSPNLWRRWLGYKVDNDGGELAELAGEGRMCLPPMLLHPVKDVEMIIDDGA NEVDPPL AFLA_068070 MSLAQNHYVIKLPVTPSRTRSLEPRAIAQQWIANLDVLLNRGDF SQLPELFHQESWWRDMLALAWDLRTIQNCNNIEDYLRQNQPRSQLSAFRLQHEGKFQP TFDRPVEGLSWISSMFFFETRVGRGTGVLRLTQNDAGAWKAYSIYTSLQEFKDFEEPL GSKRPEGTIETMPGGLSQGNWLERRQRQLEFMDEEPTTLVVGAGQAGLNMGARLQSLG VSCLIVDRNERIGDNWRKRYRTLVTHDPAEFTHMAYLPFPKNWPQFTPKDKLGDWFEA YASIMELNVWLQTSIKSAVYDDAKAQWSVVVTRGDGSERTLHPRHLIWCTGHSGEPKV PTFPGQPQFKGQVYHGSQHDDASKHDVRGKKVVVVGTGNSGHDIAQNFYENGAQVTML QRSGTYVITAEKGVFMMHEGLHEDNGPPTEEADIMSESLPYPVQFALAVHFTKRAYAA ERDILEGLQKAGFELDFGVDGAGISRAYMTRGGGYYIDVGCSPLIADGKIKVKRSPKG ITGFNEHSLILEDGSSLEADIVVLATGYDNMRTTVRKILGDTVADRCKDVWDLDEEGE VNAMWRPSGHPGFWFHGGNLALCRIYSKFLALQIKAIEAGLVSQGQDQTQPKL AFLA_068080 MLTKSLFAGAALGLSLSSAVAHEAPVVEGNEPQTVYEAVLQDKD NTTVRGTFTTHGAEDGIGIQFRVALTGVPKDTFLNYHIHDNPVPKDGNCYATGGHLDP YKRGDQPPCNTTVPQTCQVGDISGKHGPVWTADGNFEVLYRDFFLSNVEDTIAFFGNR SVVVHLPDNKRINCGNFHLVSDGEEKKKKEEAKEDQGC AFLA_068090 MSILVRPPKRKLSEIEGLEERNRLSYSGRYPSATPSSHLSSELN SGKDVGYPIITAYPLAEPAEATRESSSRELNSSRASPVSFDGPFQVQRKFAPNVSIVL VGIRGTGKSSLAVILAATSGRRLIDADRYFQQVTGRSRASFKKERGITEYRQQEARVM ENMLSEHKEGWVIACGPGSMERSGQMLLREYAKTHPVIHIIRDPESIQAYLQAWDTDK VRRFLELSGPIYRACSNLEFFNVSETGHGDLTLGKNGQHHSQLELEVDQRSQTFTPFL TLKRLQRDFVRFIAFATGNATDLSNQHASFPLSTQPVETRVFTYAVSVPISTLFTRDL NIEDLESTADAFELKIDVTEGPSSRLGLESTLADRISQTMATIRRNIVVPLIYHVESD VGLVGSASPHNEPLHCSSTAYLNLMHHGLRLAPDFITVDLSYDDGVLSQIIAAKGSSK VIGHFASVQYVEQGWDGPVYLELYERAKRLGCDIVRLTQPASSMDDNHAVQRFRHRIQ ALPGPQLPLIAYNSGSLGRLSCCFNPILTPVINEALLPETQTKNLPCLTLREAQEALY ASFALDPMQFFVFGANVTYSLSPAMHNAAYKACGMPHVYSLHQSPSLRGLNELVANPN FGGTSVSLPYKTEAIPLLHSMSPHARAIGAVNTLIPIRDLEDSALVSKGSSLYLEKSR AGPIKGLHGDNTDWIGICNCLRRGLSPANAIRPSSTGLVIGSGGMARAAVYSMIHLGV QNIFVWNRTFASAEKLAYHYNRQNLPTHSNNAPTTHSTVHVLRSLQDTWPANYNPPTV ICSCIPAHSIGGQPAPNFRIPSQWLGSPTGGVVVELAYKPLDTPLVKQMRALSHRGWV ALDGLDVLPEQGFAQFELFTGRRAPRRLMRTIVLQEYKAEEGQDDQNAIQSRLESLDG QPT AFLA_068100 MGKEAEGSDTLLFSWKNSAVLRDVERMLSLLEQPEDEQDKELRA PGDNDSPQDAEGSSVLSSDTLECVGFQYSKNMISFALHFVTLRMTSPFRLRLLALAIM RHYGQF AFLA_068110 MGKSILLINGPNLNLLGTREPHIYGSTTLADVEASSKAHAASLG ATLETYQSNHEGAIVDRIQAARGNVDGIVINPGAYTHTSVAIRDALVGVDIPFIELHV SNVHAREPWRHHSYFSDKAAGIIVGLGVYGYKVAVEHVAVNFKSREEKAAL AFLA_068120 MTVMQFDQEQLDEIYAFAVDLGRKAGQLLLESIEKRIAGEGSQS VEEKENAVDIVTQTDEDVEVFIKTAINEKYPTHKFLGEETYAKGQSRDYLIDEQPTWC IDPLDGTVNFTHIFPMFCVSIGFIVNHKPVIGVIYAPFQDQLFSSCINRGAWLNEKRR LPLIHKPSIPPMPPNAPSKCVFSCEWGKDRRDIPDGNMHRKIESFVNMAAEIGGRNGK GGMVHGVRSLGSATLDLAYTAMGSFDIWWEGGCWEWDVAAGIAILLEAGGLVTTANPP ADPETAPIEDVRLGSRLYLAIRPAGPSATETGRQTQERTVREVWKRVRQLEYTRPGA AFLA_068130 MAAPQIVNDTRPEEPITCPAELDGVAYLYGHPLLNSLSPPLHKT VYSTLGLNWAQIPLSSVYGTSATYPPPYTLSPPIDKYLAAIKANPKFVGSSVTMPHKV AIMPHLDDLTEDARQAGACNTIFLREEQDGSRSYVGTNTDCIGIREALLQNSPSAEIF QNKPALIVGGGGTARSAIYVLRKWLGASKIYIVNRDASEVATILNEDKERNPTSTQAP LIHVTDPAVAASLEAPVAIVSGIPNYPPKTPEEINARQVLQAFLGEAPSSDEFKGVIL EMCYHPVPWTEIAELASTSGWRVILGSEALIWQGLEQARLWTGQDVVAVPGVVEKVKD MVAQSLAERSSKSNL AFLA_068140 MSILSLVEDRPTPKEVYNWKIYLLAAVASCTSCMIGYDSAFIGT TISLQSFKDEFDWDSMSAAHQDLVSSNIVSLYQAGAFFGAFFAYPIGHFWGRKWGLMV SALIFTLGAGIMLGTNGDRGFGLLYGGRVLAGLGVGAGSNITPIYISELSPPAIRGRL VGVYELGWQIGGLVGFWICYGVDETLPPSHKQWIIPFAVQLIPSGLLIIGALFLKESP RWLFLRGRREEAIKNLCWIRQLPEDHVYMIEEIGAIDQTLEHQRATIGLGFWKPFAAA WTNKKILYRLFLGSMLFFWQNGSGINAINYYSPTVFKSIGVTGSNTSLFTTGIFGVVK TVVTFIWLLWLIDRVGRRLLLLIGAAGGSICLWIVGAYIKIARPSERENKQMDGGGIA AMFFFYLWTVFYTPSWNGTPWVINSEMFDPNIRSLAQACAAGSNWLWNFLISRFTPQM FAKMDYGVYFFFASLMILSIIFVFFLIPETKGIPLESMDRLFETQPIWRAHGTLLKQI REDEERFRHDLEDSGFVKSTDRQVEVVDA AFLA_068150 MVLRVVVVGAGLIGPRHAKSVISNPDTELVALIDPLPNAARVAQ ELQTSYYPTVEAMLQAIPKPDAAIVCTPNHTHVPVSRQLLASGIHVLVEKPVSDSIEN GLTLLQFGQRSENAHLKLLVGHHRRFNPYVLKTKQILDAGSLGQVIAINGLWTLFKPE EYFAPPGDWRRARSSGGVVPINLVHDIDIMHHLFGPIVRVHAEKTLSQRPNPPHEAEE GAALTLRFASGVVGTFLVCDATPSPHNFEAGTGENPLIPKCSSGADFYRIFGSDASLS VPDMTRWSYDGRPDKSWNQPLTVEKFDVEEATPFDLQLAHFVDVIQGKAEPSCSGEEG LRALLVCQAVRKALETGETIELDQTILEGNR AFLA_068160 MPNRLGIGSMSLGRPGIHDLPTKLHQASQFGYKGIELFFDDLDH LAKLLFDGDHILAAHHVRQLCVSLGLSIICLQPFWHYEGLLDRTEHERLLTEKLPKWF ELARILDTDLIQIPSNFLPADAQTGQPRTTGDMSVIVSDLQKIADLGLQQSPPFRFVY EALAWGNHINKWEDSWEVVERVNRPNFGICLDTFNIAGRVYADPTSPTGKTPNAEADL QASIARLRTRIDLSKVFYVQIVDGERLSTPLDESHPFYVKGQPSRMNWSRNARLFAFE EDRGGYLPVLDVAKAFFDIGFEGWVSLELFNRSLADPDPSTPRNHAKRGFESWKKLVA ALKLNTGDASMVHGLDGTISPSTSALPVQHRL AFLA_068170 MERVKAHQNEFRNMNSTFQNIWLFLDDGKREDVLRWISDIPFED HHSVARTGRTANTGGWLFKQRVFQDWQISDDSMIFWLHGIPGAGKTKLVSRVIDEFLQ VQGQKLVYFYCNRNEGLRRKPKEILRSFVKQLSITDDQTVIHESLLQVYMDKRQRGFS STDLSLEESEALLAQLISIYPKTILVLDALDESEEGSRQGLISYFSRLVEQIQNLKIF ITSRRDEDIACRLKSKANVGINATDSQDDIAKFVSQKIDEDEKNRATPISVELKYDIV RIILDKSQGMNTGDKIDPRLSQLVERFLGRVEESGPAYRSWCERCEHLPGFPIEDLKP FCNPVLAVCRFGFYRIPLTLWESKCINTNQTNKAGNSLLVLTVFSENEYAVQKLLSLG ADINGQLDGLLCSGSALGAAASIGNRDIIKLLLSAGAQINQKHAGGIYGGALVASVAN PEGRKATQLLLDSGAEINQELDCGIFGSALAAAAARGAGGYNSTISQSLLRAGANVNQ ALTSGNYGSALAAAASTPIGHETIKLLLASGANVNQRLIWGKYGSALAAASFGSLANT SLLLDAGADVNQLLTSGLYGSALAAAAYSQAKHSVQLLLNAGADVNQKLTAGLYGSAL AAAVAKQGADEEIVQLLLDAGADVNQKLSSGLYSNVLEAARARTRRELYEILLGVVIG KNQRNFGYTSLEAGKYKDRKSA AFLA_068180 MMGSTSEPNGCPLTALRYIAQSYNHADSQASALRLVLTLNPHWE GPENNIEFVRFTDGITNTLLKIINRKPGLTEEQIDNEAVLMRAYGNHTEILIDREREM KSHALLASYGLAPSLLARFQNGLLYRFIRGRPATHEDLVTASIWRGVARRLGQWHAVL PIRGAAAPAQSEAENVFLNSVDVHPSKHNIDFPLIKPKQSGPSMWAVLQKWILALPSA TDEQRKRRLGLQKELEWAVSELDDGKGIGEDGLVFAHCDLLCANVIAVPSSDAPVTSA GEPTTTVQFIDYEYATPSPAAFDIANHFAEWGGYDCDYNMMPTCAVRRQFLTEYVRSY TQHKGLPESSQKQIIDRLYEDVDRFRGIPGLYWGIWALIQAQISQIDFDYASYAETRL GEYYAWRRELDGSRAKAGEEMPLRERRWAQEV AFLA_068190 MSKCVHKGCGKVFTDPEEPCVYHPGPPVFHEGQKGWKCCKPRVL TFDEFLEIPPCTTGKHSTVDDTPVEPPKKTDPAAPEIVAPQPVTAPVADSGVPRPTYS PAIAPPSNAATPAPEESESDDPSLEIPANATCRRKGCGANYNSSVSREEEKCVHHPGQ PIFHEGSKGWSCCKKRVLDFDDFLKIEGCKEKRGHLFVGKGKPAGEEKVESVRNDFYQ TPHSVNVSLYLKKIDKNQAKVEFSEKSIDLDLPTTDNKRYKDTYQLFAPINPEKSQFK VLGTKLELTLSKADGTSWPVLRSDDKWSGERIQIGQAGRV AFLA_068200 MFPTAARLSQAVRVTLFTRVGCGLCDTAKHAVTQLHKRRPFEYS ELDIMVPTNKPWKDVYEFDVPVLHVQSVKGQLENGEADLSDPKKLFHRFTEQEVETLV DEAEKAKS AFLA_068210 MSPQEEKMRQTETNQALPELEVLIISTPNNEGRLRSRGVRQSPD ITQGDVVEVSSIDSDQSYHTAVLPQEEGLHLDDGGAQALQVVRLQRAVEERTGRAKDE DLTGDAPLLAQIPMFTNGVIQPSISGSNGEDPPVQLSQETADHLVLSYLTREYANLPI LDLLQFQSAYETTRTEQDIAAAPSSFHGILNTIFSLSGLSTPDVNDEDVSSLFSRGQR MSRDMENSGSLCERIQSHILQSQYLYATGNSRLAWMFIGFAIRMAQVLGLHFKIGGQD TRGRRDRELARRLWHSAMILERMIALQLGLPPQTSNPLKVPLPTHWDTDYTDFISGKT SVVTADRPSLIEFLTACARLYSHVEDILAWEDELRMQPNSCALKKLLSFDFKILLKVD TLLYDWQASLPTYLQNDAVGGIWDDPVVCRQRNILRARYLYVRLRLYRPLLALGLALS TKCTCRPEGRPHATKEEPSSSTSPVVFSLVRDASIKSVAVALELISLIHTHEDGPLDG RPDDSRRDLISPCWENIDYTWVCGTVFLAARLSNFTSFNDNNSGTINEGEVETSLTRA IDMLNHYHSIRPNGKVARIAQLCCNTLKDLSDVIRRPDIAHPSTGPVAVLDENIRNRL LERTENGSPALSWNRRQSVEDTRGYYGWIESLPIDLAGPLE AFLA_068220 MRSGFHKPGAIPFLALLVFSLLSLLSLAKEWDFYNLRFGYIGYH HNDVRQPSRVRDGPLDVRSRIRTPGSQCKSWTINGYCLPDLDRVHRPRRSVPDTPRSS FEAATAFDPDSIAYLTEEPSTFARGVRAFKSLFIKQTGDSQISKPLATGSASSVASAP SSLTSNVSNLAVISAPPTAEALLPKSYGSNITATTQEAPSQSLQYPRSHLCELWQQAC HATRVYLGNWTFLRERLPSRSEKTTEETLQTSEQTDQDIEEQVAPKGLPSNGSNAALS TLSPSSSQSPAKATDIPDLPVAAEERSKGIGQGPNSQPARGSCMAIVIGLVVGVMWF AFLA_068230 MRSNQSSIQPPKHASMTGDYFWQRRFGSSIGTKSLAFSLVLWPI CTLSFLY AFLA_068240 MPARIKDPGNLYESKVQPSWDVLITLEPNVLDTLEEDPLFSFLV EKKEQLIGSNLDTGVNTRLQ AFLA_068250 MAQYAMNMANVCDGFYVIDPNFLALWMASSEVDQKALGNFAALT SLENPFLSAMLYRVLGLSVMLSKKLLRARRLRRLDPSRETKSLQLYHHILWLSREGLL ILEEFVLPMVEGYVELKILAYKLRASFYHIFVLFHNQPAVHCPGITSFPSNGTKLNDA SKAELPTKEPGSRFSFRSNAESISVPEQPAYSSGNASRYTAIQGPPGLTPVQPPKASS FLLPALDYTPTATACFNHAALLAERFLPGSHPIRLSIKLEYAAYLYDCLHDSNACRRV AKQAIADVYKAQEAMDDESFADAAEIVGILGKMVKRGGKTSSTECSSTASATLRGGSS RSEIGDTPATVTPVPPVTASPKTSNDLPPAVPDPTMMNPI AFLA_068260 MVWKEIPMLPILLFPIVSTLIGSVLAIPISDQQPLGNQLWHSNG DLYSIDKPPDSSSSRGLTGRFLHITDLHPDSHYKTGRSVDEDDACHWGKGPAGYFGAE GSECDSPFTLINETFRWIEKNLKGDIDFVIWTGDSARHDNDERIPRTEEEVSAMNEII AGKFIDTFKEGSSRTPSIPIVPTLGNNDFMPHNIFNDGPNRWTKKFVDIWAKFIPEHQ RHTFVEGGWFTSEVVPNRLAVISLNTMYFFDSNSAVDGCSAKSQPGFEHMEWLRVQLE LLRSRHMKAILIGHVPPARSGSKRSWDETCWQKYTLWVQQYRDIIVGTAYGHMNIDHF MLQDSHKVDILDASKDSASLAISADTSPLVSIQSRQSYLVDLREDWSKMPSPPPGMSA LHELFEDSSTEHTEDADPEVLVANKKKRKFLKKIGGPWAERYSVSLVSASVVPNYFPS LRVVEYNISGLVDATTWAESRDQDTAMASPSSDVIDDDDDDDDAFIEKKKKGKKKKKQ PHFKVPKPPSSSAPPGPAYSNQPFTWLGYTQYFANLSKINEHMTNSWEVAGDSINPTD TEVNEVRETSHNDNAFVFEVEYDTRNDPIYKMKDLTVRSFFELATRIAKESSKKNDFL ADSTNVDDYDDDDFERQKKKKKKKHQNKVWRTFFERAFVGYLDSDDLDDLSE AFLA_068270 MSGFPTLKPAFTVRVNIDAPLAVGSASRSNPLQVVPMTGGTVKG DSGFSPALDAEFVGVGNDYIHADADGKHLRLNAHGVLKTKDDALLYLNYTGVVTLTPA EQAVFAGTASEGSTPFGNIFTHFTFETGDERYKDLENRVFVGQGRFNIESGKPVVEYR VSQVHHG AFLA_068280 MRFSATTLLITALGWMTAVTAHTIQLKAHSRECYHESLHKDDKM TVSFQVGDREFGGSGNLEIDFWVEDPLNNRQYYKQAISSEDYSFVAHADGKYVYCFSN EGWTSNSKEVSFNVHGIVYVPESEMPQDPLEVEVRRLSEALAQVKDEQSYIVVRERVH RNTAESTNARVKWWKIF AFLA_068290 MQRGKVTPEAPGSTKWSAQDDMTLQDLRNCNIPWKYISAAMNNK PIEELKERWLNLRDGITQEIVAKPRRETNQMHFVCELPGKVGRNVSFSDPLATNDNAD DYLIASRPSKVKHVLYTDENFDLEDVLLLHTIAAKWERDKWLAVSTQFNDRTGRSITP DEAKSMIDPEEVWDCDCHWGT AFLA_068300 MRTAGLLSLLLAAIPAVTAERGTLGLALGNKNPDGTCKSTSDYE ADFDALKSLTTLVRTYSANDCNTAVNIVPAAKNKQFKVVLGVWADYDESFNNDFNVLK QIVPGNEDVIPSITVGSETLYRKGLTAQQLLDKIRTVQNAFPKVNVGTVDSWNIFNDG TADPIIQGGVTYFLANGFAYWQGTDIDHATETYWNDTRLAKEHIERIAGNNQDKIIFG NGETGWPTDGGSDYGNAKASTQNAERYWKDAVCAMLTWGVDVFYFEAFDESWKPKSIG DNGEEKDETHWGLFTADRKAKFDLSCPN AFLA_068310 MRSGIYNGSETNNPELVLTSAPEEPTTHLHDSIRNRSPGRDIFG RRGGKSIKDFTQDWINQYLSGQPRTERSNWLSDDSGSEAPSFFTAQNHFADDLSDDWL GFEQDKREEDLLRTPTLADFANRRAGTGNGEGSAARQRTKEYLHRRAETLRQEDFWGF AYDKDPQTITMADTKEVQLPTEPGAKALPSVEKPLPPPPADALNENPLAMENTTNAEA KAVKTPVSDKPAQRIRKKVAWRGKACFVGLPPEDKRGSEESGHRLLTVEDVNRRLKSW EEKGYDVRGFSVGASEDYLNTELGGLSRPTWPDATEVQEEWNSRSYTVCFPNKAVWDA YVNFLQEEKLRALGVFLGDDEVQPSVSPASAAMSQMAPFPGLVSSPPIPTASAASNPL SLSHPFSPQLSQSTNARNGMGSLTSPASQFGVQTPFLGVDQNLLPGYHIPFQPTPPAQ GSLTPQSFFNARQAGAASTLAGTLPNLTSILSPVSPLNEQNAFHPGLNEQSGLPKETF GDNMGYDMQDNMTEGQLLRPIRTPTENPDNFHASTVEIAHPTPRGHSRGHNLSETLQK GLDQYAQSEYHLEESINRQLDEGDREPHGFNSSDILKSRWALPENTNHDIQHLPQHVH QYYGGAYPGDNAQEGSDIDTNPSLSGTPHGPLANHIPWHEPKPSAGSYGGGHRSKLSS STLNVDAKEFDPTAPSSQAFQYQDNSFQFPAAGHPMFAFGSGAGFKQPAGSFNVTAPS FTPGSFNVAAPVFNPTNNHYSADPEQSSGGRTKIFDDVDLSQAQKLTKKSKAIPIVRP DDNEANQYNEEAAENENGRSAAPTDRHKRARRSLEGPDGEAQISVSHALSENINAQAT QSSNTTHVSAGGKENTSPDKDGVNSVEREVVPAQDRKPQERKDTPVSEASTWMPSDTK DESADARADTLEQNQAATTQPGPEEAGDEKTDPKQSLAVEAGTPFIVPKEKEQPMEET TDTRLNGSVLSADAKPFEFKPSVPDFIPVVVEQPKTSPEDVVKKGDIMASQNAVASSP AAHKEDVVPIEPTQSGTDPSGDELRTGAPQSERGVGRESEEESMDDAELNAVMEQLNE DSDVGIESDWDSVISSGEDEKLANRSRFFDRRIHELVGSVVEERLSPLERALIAIENS ISTITFGGSQNKWSWSASVEGEESDADDEEEYEEDASYRERSPARQRGRKFDKLKNVV LEALASRDAQHAAEKPANSEFAQLQQSVTDLQALTLKKLSQDSTTDLREMIEEVVSAQ FSQQKPRASEAEEIGADSLMLQIDGLKEMLRVSDERAEEEYKKRREAQDSVVELQHLL KVSEDNAARHSEAAESAETRLLQFKEEKIPYFEQVQSRSDALEQEHAKLKLTLAEISS KNISLEGTLDEYRFSSDHWKQESEQSKAQIEEMQTENKDLRVTIDHMKSRIEDGLSVR QNLSEKFDRLQDEMATVTRDITRDQASWRKRQEEHVARYNELQAAYNREVKLREKLEV DISELEQQEREAAKLKFIFGQSQQENARLEELVANLRIENHDLEIKAARFEREFNEAR ESSRVEIQRTRSSLETDVEAANSQVNIVRAELEAQILRLQGQLDSVRLDSDTAQQRYE MLLEEANETKASAVASMANTHELAMEEQRKLHERILNDLRERHARALHNASEDRQRAE SHLTERLELSEDKAKHLQDRVHHLEERLEIAQSAARAAAEAAQAAQAAKAAPVASSSA HSTSPSLSFSEGTMVPEKISPQALRESILVLQDQLQQRETRIEELEQEVASFDKDAPN KLKEKDTEITWLRELLGVRIDDLQDIIRTLSQPSFDHNTVRDAAIRLKANLQMQQQEK ERASSGQSFPSFPSLSELTASPRSLPLAAAAAWGNWRRGRETPNTGTSEQTPSKPSNA TAFLSGLLTPPGSNARQATPNATAPVTRGWRQPSESRPLRGYDTTPRQTSARASRMQE LPRTPPLLRRSSYDHDAEPTDYGEGSWAEENESTADGLVSASPKETGDGPFGPQIAS AFLA_068320 MAAYLPLEIIQSILLHLDVETYVAARQACRSWRYAASAPYMFRK ALQQVPVSLPPTITTLTQDQWTTYLAQITRLNLLHCRQNIQKSVTQRELPLECSPTTV VGSSSDGRKLVTLKGGRVTVYERANLTSPWEYSRGITLCSQWTSVARAMLEGGTAGCM SINQRYAKQSIAVSSQGDLIAVGLGRTIQIYSLHKDQNLLSPAEYVLGQDNSLASTTR GHYEDTDGVVDTLEFADDDTLLRVSIHKETTIHQPTRVRYLGCPDLAHSQPDLNYWRA NINRIYLDSAALSVALAGQDEYRLIFRGLRLLPPSFPATQQQEQEDQQTSPPTPRYFV ASLQSGVIHSYCIGLATPFTSNSAQTVKVHRLLPSAYFRPGDGITGSRTEELPPLNPP LPSSRCDEQHITYNLLDTVPRWNAANLPSATFSSPLLSISTDHKILALYEPSGNYSPA IVSGGSLYLYCLENCHPVYQPGPQRFKQPTQKTSLPHQRATGPEDKSSVDARYPPPDI VPSWPFLLDKVPVDIDSLEVKRPTHDDGVVNSKSCAYTVTAHSGGQVLEWRMSA AFLA_068330 MGRLSYPQELDSPSRQLVLELARDLEQLRVHNTELKKVKAYERR SFYESLDRIDSELEAQHNEALDKVAKLHDQVLEEAEETLRVHQRAVEEENRRKEEEAR KEAERIEREKAERLRREQEEAARREAERKAAEEARKKAEAEAERQRRAAQEEKERKEQ ERLEEENRKRQAEAHKAEREAARLKAEAAQKSREEQQKKVGGARLTEEEINVQARYVE LHQHLKKFRQYLKDEGKSNTVVKQNMGDMRRSIKKCVGQLREGKGTNKGQLQEIRATL EKAASIPEPSVDIRQFMAFPPEDIANSDDNKVPALLIYALNIFSKSLISSLITEASIN PGHAEPVGIVAAQIFSTDAFIYKGHHMVDILWAKYRVVCPALWGFYGNEKTEAGRRAL GWWREAPGGPFISEQVHMDRMTALGAGFAALTLRNFGKTPRKNPFPNHMFWLAMHKIL MIPPSEIQETHVILLSAMLKSSAERIVGFFGHIGLALMRKAIVDLPSSVPRQSMGVNQ LKLLKDLYKREKNIII AFLA_068340 MGGIVHLGNQPKNDNGGHGRGRGGPPGGGHQRRHSLALPEAKKA AELAQQKRTASGFQFPAPGAGAESSDNAAGSDDKSTASTTPGPQGLGLHRAGNIRAGG HGRSQSMAVGSNRGSLSGRGAGGFQFPSSNDSENPRRGSAHARTSSRNFDGNWRQPNN QNQGQDQQKSFAQQGSGFQPGHRARASMNQSIGSIGSFQYPGQPQLIQLPQGQVVMAP PQMFGGGQQLNPLQLAQLQALQQNGQLNGQGLGLQASQHAPPQLSAQQQQQQQQQQRK TLFTPYLPQANLPALLSNGQLVAGILRVNKKNRSDAYVTSPDLDADIFICGSKDRNRA LEGDYVAVELLDVDEVWSQKREKEEKKKRKDITDARANNNAGTDKLSRSDSGANGDRQ EVGPDGSIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEDEISDEQKPLFAGHVVAVIE RIAGQMFSGTLGLLRPSSQATKEKQEAERLARDGPHGRSQHERQQDKPKIVWFKPTDK RVPLIAIPTEQAPRDFVEKHQDYANRIFVACIKRWPITSLHPFGTLVEQLGEMGDLKV ETEALLRDNNFGSDEFSDAVLKSIGWEDWSVASQGEALLASRRDFRQETTFSIVPSGT KELENAYHFRPLGDGKVEIGIHVTDIAHFVKGNSLVDREAKKRGTAVYLVDRLVNMLP RRISTELCSLLPGEDRLTVSVVLKANPETGAIDDDVWIGKGIIKSAGRLSYEEVDAVV QGASDLSVPEIKADTLRALYAVASKFREARFGNRVAHIPPLRLLHQMDDENVPVEYNI FNSSPVRDIVEELSHKADFFVARKLVAALPEKAFLRRQPSPNVRRLHLFIDRMNRLGF DLDPTSSGTLQSSLCKVQDDDLRKGMETLFVKAMQRAKYYVASSVQDEQRHHYTLNLP VYTHFTNPSRRYADIIVHRQLEAILSNGAIEFSDDIETLNKAADVCNNKKDSAHNAQE QSVHIEACRNMDKKRQEIGGDLISEGIVLCVYESAFDVLIPEFGFEKRVHCDQLPLKK AEFRKDSRVLELYWEKGVPSSAYIPEDERPKPANSRAAQAAAAAREAEAARERARERE EAMRKQTETGTISTNDVDALFDDDDDDVSEVTEMAAGVSLNSSADRSTQSMPPSPTRN GHLQQSPHRTRSDPKIATISGDAPEAKLTNKEKYLQLFKLREEDGEYIQDVTEMTRVP IILKTDLSKSPPCLTIRSVNPYAL AFLA_068350 MVSSLKRLAADHAALHDDLPPNYLFPSEDSSSDDLTQLTTLLAG PQGTPYSQGLWRVHLKMPDDYPKSPPKATFKTRIWHPNVEELTGAVCVDTLKRDWKAT LTLKDVLVTISCLLIYPNPDSALNSAAGALLQENYEAFARQAKLMTSIHAPVPTDLKS AAAEAKTKGEDAGTTIPEQEEPRLLRSRKGTRVQSVTMKKKTTRKNGERASSRSQRQS NSPGPETPAEEQQSEIHREADSTISDDESENLSNASKENDPALSPSPVKFAPPSPRKN ALGKRPLSVLTLPLDTDPFAMDPDDSDLEGMTASEKNIAANNYGGSPERDPFPQRKSP KLSVRSKGVNSSGRIREEVKIFEDASEPLDFDRCHSGDGKENHGSLAGPKGLGVASRQ ALPTCPPTSLAPSSTSAPSSSKTSKAVSGTRKVSGSNMKKAKPRIGIRRL AFLA_068360 MRATFILAAFAAVAAAQTSSAVESPSDVEATGVAETGSATATAT ATGGAETGSTVIPTVTSQPVIPSGTATEAPTTPTGSGAESSGAVTGSSSAGSSTLVTK SSSAAKSSSATATETSTKTKSTDESTSTKGSSSKSSAAASSTSEGAAVPMATAGPLGL IAGAAIAALL AFLA_068370 MASRLQLARGRLLRSPALSSPNRIFHPTQQIRLPPHTRVLPSVI TKTSLFQPVSPARNYASGRPHPPGGTHRMNLGGEPEKSALEQFGVDLTAKAKAGKLDP VIGRDAEIHRTIQVLSRRTKNNPVLIGAAGTGKTAVLEGLAQRIVQGDVPESIKDKRV ISLDLGALIAGAKFRGDFEERLKSVLKEVEEAQGGVILFIDELHTLLGLGKAEGSIDA SNLLKPALSRGELQCCGATTLNEYRLIEKDVALARRFQPIMVGEPSVPATISILRGIK NKYEVHHGVRITDGSLVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKPDVI RELDRDITTIQIELESLRKETDVSSRERREKLQEDLKAKQEEAAKLTETWEKEKAEIE TLKRTKEDLERARFELEQAQREGNFAKAGELRYSTIPSLEAKLPKEGEEQVAGSQSLI HDSVTADDIANVVSRTTGIPVNKLMAGEVEKLIRMEDTLRQSVRGQDEALSAVANAVR MQRAGLSGENRPLASFMFLGPTGVGKTELCKKMAEFLFSTETAVVRFDMSEFQEKHTI SRLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGFLTD AQGHKVDFRNTLIVLTSNLGADILVGSDPLHTLKQTDNDEISPDTKKAVMDVVQHAYP PEFLNRIDEFIIFKRLSREALRDIVDIRLKELQSRLDDRRMTLQVDSEIKDWLCEKGY DPRYGARPLNRLIAKEIGNRLADKIIRGEVTSGQTAHVSFNADKSGLEVTAEGTKTED ATNATYPTETENP AFLA_068380 MDTEGLDFTLQDGRFLHMRSSIDMENKVTIGCAGAVLTHLQRRR TTISTSSDETSSYFQVRAVEMLSLQGTMFLSGRTLLALQILESESHPSMVNQGPGRKS SSSKEGLSVYGLFQRFAYSPQGRHRLKQIFLRPSIDTDVIRERHAFISVYLRPDNNDP LSKLTRSLKHIKNLRPVMINLRKGISTGSGKITGFKTTFAFYGIDIHEALKETASGNE LPLLQKTLRVLEAALLYKVGRMIQEIVDIDSTEEQGRTVVKPGLDRELDKLKDSYDGL SSLLKQVAIDIASTIPENLDIDVNVIYFPQLGFNIAIPLNERAEAAFTGSDDDWELIF VTENRAYFKDFRMREMDEKLGDIYGLICGPNYSGKSVYMKQMQSTFMNDLQQISFDLK QMTERSLLIIDEFGKGTSESDGIGLICGILKHLLIVENAPKVIAATHFHEILENEFLK PGPRLLLGHMEVQVCEESCNAEDQITYLYKSVRSFN AFLA_068390 MGKRKASGRPAARKESAPERTKFSIEERFDDSEDEFQTGRDHVL LEEEPEAKRRRKVIQEGDSVEEMLQPSDEEILGYESVDEDDLDDDEDMDEEHDYGEED EIDDEELLRPRTKRGGAAGSDSEDEDEDGIAAWGSSKKDLYNADQIETEADALEEEEE AKRLQQKHLQAMNEADFGFDETEWVESGKGQEDGEGDAGEVTEVLPQLEITDDMSTDE KLKILKSRYPEFEPLAKDFINLQTTHRTLAEAAKAAKTTKDEVPEVAPVAVIKFRALS AYLGTFTMYLMLLTSSRDASGNPAPLSPAQLRSHPVMGALVKFRKLWESVKDLTAPEV SDVEEDMDSEGEESDAPVSKKQSENKEVQVPKKKKEKVSKAQRAAEAAQAEAEARRAQ RLRETEANLADLSNLVTKSGKKKSTQKAKQSSKAADDSDFGDEDALTAKEAEEKANQK RSLRFYTSQLAQKANKRTAAGRDAGGDADIPYRERLRDRQARLNAEAEKRGRQKPKES EQLGGDSDDEDHRVANELRGDQGGSDDDDYYDMIAARSKQRKDDKKARAEAYAAAARE GGEVAIQEEIGPDGKRAITYQIEKNKGLAAKRSKDSRNPRVKKRKKFEEKKKKLGSIR QLYKGGEGRGGYGGELTGIKKNLVKSVKL AFLA_068400 MVSRIFPGLKMLGFGPQQNEFVVEGRTVVITGGSEGMGKAVACQ LAAKGANVVLVARTVKKLQDALDDVKASAANLNRQKFHYISADLTNAAQCEQIIEEVT EWNYGLPPDVVWCCAGYCNPGFFVDTPVQTLRDQMDTVYWTAANTAHATLRKWLVPVP PSQQTTNPRRHLIFTCSTLAFVPIAGYGPYSPAKAAIRSLSDTLNQEIEMYNGTRQSK SQINATPADVKVHTVFPMGILSPGFDNEQKLKPELTKQLEAADKPQTPNEVARIAIAA LERGEYLITTMFVGHVMKGSAMGGSPRNSTVRDTLTSWLSSLAFLQVVPDLRKQAWNW GMKNGIPSSRTSE AFLA_068410 MDALLSLPVLSLFLVPTISSYSTSLNIIFFYMTWTTLVLSHPPL RVELFGTAAVRLLFFLLPSLLFFLFDILTPSAAVVVKAQGEAGLPSGSKRGKVRMKDL KVAGWAILNILLGFAAQAAIEEVRTELIGMRSALRVSMKLPMPWEMVKDLLRGLLARE ILTYNIHRFILHSPDYQVSKHHRKWYHSLRAPFPLTAHYDHPLAYLLSNFVPTYMPAM FFRFHMLTYLLYLTVISIEETFAFSGYSVMPTSFFLGGIARRMDMHLLSGAEGNFGPW GILDWICGTTVGGDEDEEELEEALSEKEMIDEQIRRAIEESTRKRRDERYKLRRRRND Y AFLA_068420 MSETKQFGKGQRTVPAQKAQKWYPVDDESQPKKVRKAVRPTKLR ESLQPGTILILLAGRFRGKRVVLLKHLDQGVLLVTGPFKINGVPLRRVNARYVIATST RIDISGVDAQTVEKVSTPDYFTKEKKAEKKTEEAFFKQGEKPEKKKVASARASDQKAI DQSILASVKKENFLGSYLASTFSLRNGDKPHEMKW AFLA_068430 MSITPIITFKAGICDLETSGDNSTVKPKPTPGYIYLYSEDELVH FCWRPRTAPHTEPELDLVMVPSDGTFTPYKPAGNANPTNGRIYVLKFSSSSQRYLFWL QSKSQHEGEDPSWFSPRDLKLGDIVNTLLQGEDVDVEHEIANLPRGPSDGDDETMEDV EGVDHNPNHNHGGNSGGAGPDATGGDIREEGEESREGGADGGRAAAADSDPSSVVQSF LQSLQRNSSQSQDPDKPFTTLQDLLPPAATLPFLEAADDKTVDNLLSFLPPALLLLAQ DVEDVSSIDDPELTEAVMASLDLSQKKNILRKVLHSPQFSQSLASLTVAIRDGGLPSI SEALKIPVENGGFMRRGGVPLGGGDAVEAFLQGVRNHVKDSDKENQMETD AFLA_068440 MASNSHDRGTNDDSHPTEHSIPLQDLSGSLSHGTTATVGSSFRP GRSLTRRGSNYERLAVDSPVEGPTATQNARQRRGSDAAPVDNPEAFAQAMSSVGLSFD GPTSPRTSARYSRDETGSDFDIVHLDSFGQQEAAHYLSPNTFTDTTPLTDTRNVQPIS GAASSSLSLPLDDRSSARTVHFPTSNAGSHLGDDLEGGFSGRRRGGSSATDRARSLSP SASGSALQRASSMMKSMSQRVVNLSNEPEVVEQSILREESQRSARLDAPPSLPSLPGY AHDAPSTSSLDTQAKWRDHNNPLRGKALGILGPSNPIRVKLCDLLVHSFTEPFILVVI VIQMILLTIETARPEFTRSERWGGNPMDYPYFVIFIIYTLELIAKILVSGLILNPAEY STIDRSLGFRKAFMEKGKNLITPQRQFSTRRPSTVPEQPQASIIRTFTGGLNQLDRQI ADDPVQKRRVRLAHRAFLRHSFNRLDFVAVFAYWIAFFLAIEGVESRQQLYVFRMLSC LRLLRLLALTNGTSVILRSLKKAAPLLAHVAFLIGFFWLLFAIVGIQSFKSSFRRTCQ WIGKDGQESFTLNDPNGSLQFCGGYINETTGKEHPWIPVSDNSQSPPSAKGYLCPAGS ICIENEMPYKGTMNFDNILNSLELVFVIMSSNTFTDLLYYTTDTDYLAAALFFVCGFI ILSLWLVNLLVAVITHTFQVIREESKRSAFAVQKLDNVEKENLDQRKRSTLKRFYDKT EWLWVCVITFDLVVQALRTSTMSPGRENLINNTETIVTLILLAEIIMRFASDWRKFHK KRRNWVDLGLVIITCIIQLPPIRDSGRTYTVLTMFQILRVYRVVLAIPITRDLITVVF RNTVGLLNLIGFLFMITFIASIFATQLFRGSIPEDEDVDINFNNIYNSFLGMYQILSS ENWTEILYNLTTYTYPYSTAWISATFLILWFIVANFIVLNMFIAVIQESFDVSEDEKR LQQVRAFLKQKHLNGSSQGNLSLSKILRLGRNSDRYKEPLDYGPAALEMLLKDAVVQE FLDEQHAPAEHQRGESGPAETTTTEETGQPGIFSRMWTAISTSIMRKEPNPFYTNLNK YSRANEELDPREMAKEVVSATEQRKRAQREYLMRHPNYNKSLFIFAPDNPIRRLCQRI VGPGRGHQRIEGVDPYKPVWYAFSAFVYAAIIAMVLIACITTPIYQRENYPTRRLWFV YTDMGFAILFTLEALIKVIADGFFWTPNAYFRGSWGFIDGVVLITLWINVGGAMFEDW AVSRSVGAVKALRALRLLNVSDSAKDTFHSVIIVGGWKVIEAAAVSMSFLIPFAIYGV NLFAGQMITCNDDNVTGSLDECINEYSSSPYNWEVLAPRAASNPFYDFDNFFDSLFIL FQIVSQEGWTDVQAKAMSITGVGMQPQSSTAPENGLFFVVFNLLGAVFVLTLFVSVFM RNYTEQTGVAYLTAEQRSWLELRKLLKQVSPSKRSFDKKSHKWKMWCYRIAVKKHGRW ARCVSTILVLHILLLVLEYYPEPFIFEFCREVLFLIFNFFYAANLIIRLFGLGWHRFS RSSWDLYSLLVIPGTIVMTILNFLSDRQVIVELSKLFLVAVTLLIIPRNNQLDQLFKT AAASLTAIGNLLATWLVLFLVFAIAMNQTFGLTKFGGEENNNINFRDIPRSLILLFRM SCGEGWNQVMEDFATMVPPICTTNDDFLHDDCGSAAWARTLFIAWNIISMYIFVSLFV SLIFESFSYVYQRSSGLYAISREEIRRFKQAWATYDPDGTGYISKEQFPRLLGELSGV FSMRIYDDEFTIGRILEECRVDRRDSLIAHRRVVDGVDLEKMAKILRRIPVDDVRERR QRLNTFYEEVKVSADPVRGISFHSCLMILAHYNVINDSKSLKLEEFLRRRARLQRVEE AVRRQTVIGFFDTLYWSREFRRQVERSRSARMTAVPNFTVPEIFVDDGSQDDHPHEEV RATVPEAGDDHGESSSQPMLSPLSPTRGEGGPSSQPGHLPRIDTALAGRMSATHSPTE WSSISPSLSPNRPRANTTSSYGDGPDLHDELSLAPERSRQNSAMSAQDVMQSLDDSIW GESIRRSFTQRRRRDS AFLA_068450 MAASPGKETFSHSSRCKRRELPFPSFQSKKTGTSLPTPIVAHPY RGEGAPEGQTIASESQSGNQGFFVFCLFFPRRISLFS AFLA_068460 MRPNLNSTPGLSLHTSFQPPQDRVCSDGYSTASPLSSTTNSPTS SPTSPFRRFNRGYRPTSGSRSDASDAGSRSQSPFRLSSVFRRPSLVFIRHRPSKVDLA LSEERTRCDEDAIERQGLDLMEPRPVDPVGIPMDLNANIFSSVAGDRSSGQSQASQVS QPRFVMGGIFEVMEGRA AFLA_068470 MPVKMVIDLTGDSDSEDQIRSQLHSNIQSATPTFFTHLAHRTPS SSVPLKRKSERSPESELYDSFFNFKRKPSAPSVESSAASSSVPHQNNLPNGSTIATTK LQSSTPSPSPVIKEQSLPARAISVVVPSPSSQLKKEIESAKWASSSQSCTPELKGVLE KFYPTNAHVERGRKGAYPAARKVNRAAIPLPTGTPGPILQKRPEALDQQYRTLERKLS TIKGPKITFAPADGPKLAKVTANFQFINSYKLHKGVSPAPAEFIGGCSCGKHCDPERC PCSEKEDDSTENIIPYQRAKDRPDLLVLTPEFLRRTAMIFECGAQCACDKSCWNRVVQ RGRTVELEIFYTGNRGFGLRSPRYIREGQFIDCYLGEVITKQHADIREETAVKNGHSY LFGLDFSPEVDEEDIYVVDGQRFGCATRFMNHSCKPNCRMFTVTNTIGDERLYNLAFF ALKDIPPMTELTFDYNPGTERSEKVDSSVVACLCGEDNCRGQLWPSKRKGTK AFLA_068480 MSTTAPSSASPSVVRSHSTTSRPPSYRPVVSSDNPHRTRSVAVR PATAHQSSPQHHHHHSQHYHSHSHSKSQSYDRRPPSNQAVFDNIARRDLEHPVSTRRS NSRERSQERPSTAYRAEPPSNKHHRNLSVQGHQRDSIDMAAAGPVMAEGVAGPQQIAS GSRLHPGTMPSKRRTTITTPSGQWALGKTLGAGSMGKVKVGKNIETGEQVAVKIVPRQ STEEHRSSRDAERADRSKEIRTAREAAIVSLVNHPYICGMRDVVRTNYHWYMLFELVN GGQMLDYIISHGKLKEKQARKFARQIAGALDYCHRNSIVHRDLKIENILISKTGDIKI IDFGLSNLFSPRSLLKTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVP FDDQSMPKLHAKIKQGVFEFPQGLSAVTELGIMAECRSIISRMLVTDPKQRASLAEIM NHPWMNKGYSGPPENYLPHREPLQLPLDPEVIEKMTGFDFGSPEYITAQLTKILESED YQHAVRTSIREHPAPNHGEKKRGMFDFYKRRNSTSRDTLSAPSAEAVQLGNDPLNAYS PLLSVYYLVKEKLEKERAEKSPGALGVPHSAGDAMLQMPDLPAPEAAHTNQYQVPGEK DNTRRARPRARTHGDDDLAEGVKNLNLAPGQGSPAPTASQPETPVKKESTAAGILRRF STRRTKDRGRDPDRGRVSSPHAPSLNVQPPADSASPLSRGFSMRRARRAEPTPANIPS VGSQPQHQDLLKAPGSQEPASRSNKSLGRSTSVNSADYRARRAARRNDQDGAGQPPPT SGSDYSSASAHKDQTPQKETRTGRTHASRTMSLGHARRESIQARRARRDAAREANVPE ETDADISGAGTALESANEGEDLSKPVYLKGLFSVSTTSSKPLPVIRADIIRVLRQLSV DYDEIKGGFSCCHTPSIEIDKVVDVGPPSPDRQGHVSNHRRRISFGGFLGHDDGKEEI RHTPRSQRRTRAPDHSFVTNSEASEEYLAARDNNVVVGERVMGETTTRVQSDTGENLV LRFEILIVKVPLFSLHGIQFKKVSGGMWQYREMAKKILDALKL AFLA_068490 MDEEDIREAEESRNLHITDEFSGFGSTDVDANRRGGLMDLFRSG GETMGVKLLKRMGWKEGQGVGPKVRRRAHLGDDAAYSSGSTDKTYLFAPENSRMVSFT HKTDHKGLGFEGESRLGSQKAGGDGSDEDADPFFAQRLTSQGISKRSAQKGPRRGAFG VGVLNDTGSDDEDPYSLGPQISYNRVIGKDKKKKKKLLEDVKPKVASNPLLTNKPVFI SKKAIAGRNSTGFRKCHDGRLPLDGFVLADGVSSLTISAQEKKYAPPEVPKDWKCSKT PSKERDASKYVSTAEAAKASSLDPTSRAALLGEAQLPGKSIFDWMTPEARERIVKITG KTDLPPALGEKAPEGYEMSEAQKRKDLWDLVPKLDKQVAVQALTRAASGWMPYSEDPD KRSRYRTFLQVRAGLRESLPDRVSGSSTDEWVAELHEFARAAEVFKPMSGAMASRFTS ASSGPKGSSDEAVSSADSLLQNPAKKPEDPAVAAAKIGMFGPMTRSNISFYPTRLLCK RLNVKPPDHVQSNPSDPAKPSDTAPGGRFQSAGYQTTGPKELVPQEVMDQLLLEAGTS SGAVEKPKPIVVEPERNEALEAERPGEEIFKAIFGSDDEDDES AFLA_068500 MGCCLSTSRDNRSAYATQTATEERRPEASSRGVSSTTAAAIPSN SARVVIRSSPDHLPLNENFNAPIRRHVWYSKRRLWNRAQLDQERKEFFETRVTGKPEI WAALSAAISLMHTGDLTTAQSIIDAAGVTVPTGDLCQGAYDEQGVLYRLPQCIVSDPE NLVKSNLGEDDFDTDDGKLSLDEESGDELIADDAERRRDEKGKVSERDLIRVKARLSD RGGPDMVVTVGKTQNVAYIARKLQQEAEIPKTQRVKIAYLGKILKEHVPLVDQGWKQG NVINALVVARPSPSC AFLA_068510 MTEEKRTGSSRSSSIHEDVTDMRQQYKRRGSKFDDASVFESINP ENRAELTRIASNFPLQRRATGSQESEARLQRKDTLEDIGLDHPSLDPTSGQFDQYKWT RMRLKLMDKEGIPRPPSTGVVFQNLNVSGSGSALQYQSTVGSILLEPFRPSGWLSFAK KSPEKHILRNFDGLLKSGEMLIVLGRPGSGCSTFLKTLCGQLHGLKLRKSSEIQYNGV SMEKMHKEFKGEVLYNQEVDKHFPHLTVGQTLEFAAAARTPENRLLGLKRQQFAKHIT KVAMAVFGLLHTYNTKVGDDYIRGVSGGERKRVSIAEMALSGAPMGAWDNSTRGLDSA SALEFVKALRLSSNLVGTSHAVAIYQASQAIYDVFDKAIVLYEGREIYFGPCDEARDY FTGMGWHCPPRQTTGDFLTAVTNPQERQARDGMENKVPRTPDDFEKYWKKSPQYAALQ QEIDEYHMEYPVGGEAEQSFGEMKRVKQAKHVRPESPYIISIPMQVKLCTIRAYQRLW NDKPSTLTTVLGRIFMALIIGSMYFGTPTASAGFYSKGAALFFAVLMNALISITEINS LYDQRPIVEKQASYAFVHPFTEAFGGIVSDIPVKFVSAVIFNIIFYFLAGLRYEPSQF FIFFLFTFLSTLAMSGIFRTLAAATKTLAQAMAMAGVLVLAIVIYTGFVIPVPQMHDI PWFSWIRWINPIFYTFESMIANEFHGRQFICSQFVPAYPSLSGDSFICSVRGAVAGER TVSGDAFIESQYTYTYTHEWRNLGILIGFWIFFSVIYLLATEINSQTSSKAEFLVFRR GHVPAHMRDLDKTQGDSGSTEVAQSHKEKETENAASVIPKQRSIFTWRNVCYDIPVKG GQRRLLDHVSGWVKPGTLTALMGVSGAGKTTLLDVLAKRVSIGVVTGDMLVDGKTLDN SFQRKTGYVQQQDLHLATTTVREALRFSALLRQPKSVSRKEKYDYVEEVIEMLNMQDF AGAIVGTPGEGLNVEQRKLLTIGVELAAKPELLIFLDEPTSGLDSQSSWSIVAFLRKL ADHGQAVLSTIHQPSALLFQQFDRLLFLAKGGKTVYFGEIGDQSRTLLDYFEGNGARA CGPEENPAEYMLEIIGAGASGKASKDWSAVWNESPESSNVQKEIDRIYQERASASNGS GDTHHGKPAEYAMPFMYQLWYVTHRVFQQYWREPAYVWAKILLATLSSLFIGFTFFKP NSSQQGFQDILFSAFMLTSIFSTLVQQIMPKFVVQRSLYEVRERPSKAYSWAAFLIAN VIVEIPYQILAGVISWACYYYPIYGANQASQRQGLMLLFIVQFYIFTSTFATFIISAL PDAETGGTIATLLFIMATTFNGVMQPPNALPGFWIFMYRVSPLTYLIAGMTATGLHGR AIRCDTAELSVFNPPSGSTCGDYLAPYLQSAPGVLYNPSATQGCEYCQLRNADQYLAS SNIYYSERWRNYGLGWAYIGFNVMGTVALYYLFRVKHYNPTSLVRGVANGAKLVCRVF KRRSGTTPRGREAENGRLV AFLA_068520 MGCETLVQSWEPNRLGFLKVHTYASSTLGADKFSSLPHPAGGSI PFSCEGISKP AFLA_068530 MTDLQKTPFVRELASSDKKIRDKATDSLTLFLRSRSDLSLIELL KLWKGLFFCFYHSDRPLTQQALARNLSYSLVPTIPRSAVHRFLRAFWITIGRDFHSLD RLRLDKYLLLIRFYVGVAFEIFLKGAQQKAAQDKDSNKKRKREAEQNGKSKKRSKGKK QSEAVEEEEEEQNDETKWAELESYISIMEEGPLCPLNFDPDQPPTDEKKDYVAMPHGP DGLRYHIMDIWIDEVEKVLEFEEGSDGVRKPKGDVPIELILRPIEKLRADSPYKPVRT RAKETLEDERLIEWGFRTRKVDSDEEDSDEEWGGFD AFLA_068540 MTSPSNGPSSNHADQPDSQRLLQHDSTLTVAQSVSLSIGGDSLL VVDERPKSKDQRACCGLLAKSSKTTHSIGLYNILDADLSPAGLTITYAQAATKGSISV AALEYPISEKEKANAQTWVSRLLDLAYGEAQRYKRLKVLINPFGGKGAASKIYHKHAA PVFAAARCVVDVQQTTHRGHATEIVEQIDIDAYDAIVCCSGDGLPYEVFNGLGKKPNA GEALAKVAVAMVPCGSGNAMAWNLCGTGNVSVAALAIVKGLRTPMDLVSLTQGNTRTL SFLSQSFGVIAESDLGTDNIRWMGAHRFTYGFLVRIMQRTVYPCDLAIKVEIDDKRAI KDHYNTYAHNPAPRRSPEETAGQSKGLPELRYGTVQDDLPKDWEVVPGEEMGNFYAGK MAIVSKDTNFFPASVPNDGLMDIVTINGTLPRTTTLKMMTAIPENEFFDMPDVKIRKA AAYRLVPRQKEGYISVDGESIPFEALQAEVHKGLGTVLSKSGHLYEAEGPRP AFLA_068550 MASFLENAYSLVHLDNTADQPSIQDLKVQLEKGNDETKMETMRT IITIMLNGDPMHQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKLDANGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELIEPLLSSARSCLEHRHAYVRKNAVWAVA SIFQHSEALIPDAPELIQAFLDTETDGTCKRNAFAALMSISHQKALEYLASTFDSIPN TDELLQLAELEFIRKDAVQNAQNKARYLKLIFDLLDASTSTVIYEAATSLTALTSNPV AVKAAAQKLIELCIREADNNVKLIVLDRVDQLRIRNEGVLEDLTMEILRVLSSPDIDV RRKALGIALEMNSEYRQLLIQSIHNCAIKFSEIAASVVDLLMDFIADFNNNSAVDVIS FVKEVVEKFPNLRASIVDRLVSTLSEVRAGKVYRGVLWVVGEYSLEEKDIREAWKKIR ASLGEIPILASEQRLLDETPEDSALKEQVNGHAKPSAPTGSRKVLADGTYATESALTS QSAAAARLEAVKAAQKPPLRQLILDGDYYLATVLSSTLTKLVMRHSEVSEDAARTNAL RAEAMLIMISIIRVGQSHFVKAPIDEDSVDRIMCCVRSLAEFSERKELETTFLEDTRK AFRAMVQVEDKKRAAKEAVEKAKSAVQIDDAIPIRQFSKKNALEGAEEIELDLAKATG GDSTVETVSSKLSRVVQLTGFSDPVYAEAYVTVHQFDIVLDVLLVNQTLETLQNLSVE FATLGDLKVVERPATHNLGPRDFLNVQATVKVSSTDTGVIFGNIVYDGASSTESHVVI LNDIHADIMDYIQPAHCTETQFRTMWTEFEWENKVNINSKAKSLREFLKQLMDSTNMA CLTPEASLKGDCRFLSANLYARSVFGEDALANLSIEKEGDDGPITGFVRIRSRSQGLA LSLGSLKGLKASTA AFLA_068560 MSTQNQNEPFYLRYYSGHSGRFGHEFLEFDFRSLGDGRSAAVRY ANNSNYRNDSLIRKEMCVSSSMIQEIKRIIKESEIMKEDDSKWPQKNKDGRQELEIRL GNEHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKAFIFSLISLHFKIKPI AFLA_068570 MPTPSDNTPSLNATSIAEEISTRTTCPPEIERLSSWRDSPESTV AESDAPDNALSPTIASAQSVLTRSDDAITRTNPDALKASAVPGASAKSMEKSDEDAAA GRLSPAGESPRSSNQSSSVTTPSTSALLSYEFSNIRVCDNRN AFLA_068580 MVESYLCGYLRIQGLTEDHPTLTTFFEGEIIGTKHTFKTRNEAW GATEKTDMHHWARFPAWRPLAKQAKRPDFTYRNFAQREHIFMRWKEYFLVPDHRVRTI SGASFEGFYYICFNQVEGTVTGIYFHAKSEKYQQLELKHVPDHGCTPAIEFR AFLA_068590 MRNVEAHQDPGFEIMEHPDMNNNDSDGSGSSDELLQQSYARSNS NFTDAFDGQVQTPATTISSSPPPSGLPSWVSSTASRPRGSSVGNPAVLEKAPPGDGLP VSDVRPQRPAGPARTPSNTYAPQRRPPQYISFQNDRQRSSSTKRNSRRDPNAQYRAQE KAYVQRIRADPQAWYSHFDEARDMSIVGDSDLEEPSPSSEVPFEDDAYDPDIQLFLTD DNQPTLEELKNPKNQERLEWHSMLASVLKGDVVKQEKQRLLGSTDTKRSSAQNNAIWL GVRARTCGRSIAMQRKLIEEARSGLGPIIEEIIKFEIKGETEIGKPPIKQVEDIVEQI ERCELLYSTQKELEAAHPRVASEEFISSREAVLAWHNTTILINTELAILQKWVGNDEL DFSKTRAKSANRDLTDESSFLDRIMKEDGLKTLQGKHNMLHGVSEVIQKAKNTLIENA NSFAKRHLPPYIEELLTLINFPSRLIQEIIRVRLSYAKNMRDPAQQSPILVDQMISQF QILMRVAVDLKQRYLDIAKPEPGWDLPPCIDENFDSVVLDALKYYFRLLNWKLNANKN TFKEAEILEQDWEFSNHIGRQLEGGDIEVAEQFSALTAKSLQRLMIHFERELVIHQNE DPADMDKRYKSVLDSTRIRQRKLYRFSRFLRQLFENATEYNIPADVSYEFFESLLISD HFLIKSNASTGQKGVYLFAHQALWNRPGDIRAILGTSFREEDMPKDSPHVPYILVIRP EKPLNWAGKEMQVGLLEQPTDLRLGKLRLVVEGTQQRLSNARQELSQLTGMQLDMTIE QRANLGRVNVELNKIKKISFKLSMTIMDSVAIIRNQLKERGVENHDLIQACYAFATEF GKRSSNVDPNRRAMNTARLVELSLDWVSFICDDCDAADRKTFKWAVSALEFAMAITSS RNLLSMDDIQFALLRQKVAGCMSLLISHFDIMGARSSRAAQAEKQRMEERAGGRKFGS GRILTDAEATKLVREQRLTHLQDIEDRRVDEDAKRQALGRVLEGSNEADRSLAVLSSS ATNVTLRWQQGQFIGGGTFGSVYAAINLDSNYLMAVKEIRLQDPQLIPKIAQQIRDEM GVLEVLDHPNIVSYHGIEVHRDKVYIFMEYCSGGSLASLLEHGRVEDETVIMVYALQL LEGLAYLHQAGIVHRDIKPENILLDHNGIIKYVDFGAAKIIARQGRTVVPMDAFASAG HKEAIVPKDAQIANQRGKNQKTMTGTPMYMSPEVIRGDSNKLIHRQGAVDIWSLGCVI LEMATGRRPWSALDNEWAIMYNIAQGNQPQLPTHDQLSDMGIDFLRRCFECDPLKRPT AAELLQHEWIVSIRQQVVLEPPTPSSDHSGSISSSTSGSRQNSTYL AFLA_068600 MIPGVGFFYSGLARRKSALSLLWLSIMSVGIVSFQWFFWGYSLA FSHTAGKYIGDLSNFGFKGVLGAPSVGSAKVPDLLFAVFQGMFACITVALAVGAVAER GRMLPCMVFSFVWSTIIYDPIACWTWNSSGWVANLGGLDYAGGTPVHIASGCTALAYS LMLGKRRGHGTHELNYRPHNVTHVVIGTVFLWVGWFGFNAGSALSANLRAVMAAVVTN LAAAVGGVTWCILDYRLERKWSTVGFCSGVIAGLVAITPASGFVTPWASFIFGVVGAV ACNYATKLKYVIKVDDALDIFAVHGIGGLVGNLLTGLFAADYIAHLDGSTTIDGGWIN HNYIQLAYQLADSVTGMAYSFFGSCIILFIINLIPGLSLRAPEEDEIMGIDDAEIGEF AYDYVEITRDVISAANSESGENASKRSLTPTGNETSIEAKA AFLA_068610 MKATTIGTLLLAAGTALAVPGKRAQKAVAISGFSASQNDQQGFV TFSFSDPNYNKAPIDANVLWERPGNPPSDARTSDGAYLVQFPDGVDDISTFTLQVQRE NSTSKVSFTVDDADEDTNWHCSNVNGTTNGKKCHYNGNIVFNPPSPSSSPSASPSASP SASASASASPTPSAEPSS AFLA_068620 MSQCLRVSMLWTKELLKSMISDVSCYICHMFANNFAGKPSLAST ALTAPVCNYNGSSDLQLERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLF RPDNFVFGQSGAGNNWAKGHYTEGAELVDQVVDVVRREAEGCDCLQGFQITHSLGGGT GAGMGTLLISKIREEFPDRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVEHSDETFC IDNEALYDICMRTLKLSNPSYGDLNHLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVP FPRLHFFMVGFAPLTSRGAHSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIF RGKVSMKEVEDQMRNIQSKNQTYFVEWIPNNIQTALCSIPPRGLKMSSTFIGNSTSIQ ELFKRVGDQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEG EEE AFLA_068630 MVSPSPEGASSNFPQDPSEFDSDPRISFSKLDDKFILETDDGQE FEYDTALKRWIPTVDEQLLRQQQEAYKVEGVDDNDEVTASQLKKKRKQQATKDEGNGQ KPKKQRVNTAVYVTSIPLDADFEEIRYVFSKCGVIAEEIDSGRPRIKMYMDDDGKFKG EALVVFFRPESVNLAIQMLDDSDFRLGVTGPQGPMRVQPADFSYKSQQEAPTKTSAKD KRKIIQRTQRLNNKLADWDDDEPSALPETNSKFEKVVILKHMFTLKELDDDPAAILDI KEDIRDECSKLGEVTNVVLYDKETDGVVSVKFQDPEAARNCVKLMDGRYFAGTRVEAY ISDGSERFKKSNEKRAALEDLAERGLDADEDEEEKQRLDEFGTWLESSHTVENTAK AFLA_068640 MSENFDLDKDLEVSGIVVAGHIGDKLVSDVQQELEKKRDTIQQR DRQIQLHWNAECDAVMFYFDIVIKPSIAILEDRPCLNRWFVQYHDRPKGTSIYKPRVE KGTYNVLIVIEPGAGFPRFYEGSHVESENEAMAPAVSTYAVEIPHQKGAVVVFDATLG RRDSAVEGIGASCIMLVY AFLA_068650 MKDFHGNSLDELLNRPRPSAWQQFMKQPCIFLARKLYTWQSTIA AQPVKDPVSVVCISDTHNCQPSLPDGDILIHAGDLTQSGPLKEIQATLDWLRAQPHTT KIVIAGNHDLCLDHNFHRPKVEEETPDWGDIVYLQNSEVSITCSNGRHLRVYGSPYSP RQGNWAFQYPRSEDFWGNRVPANIDILITHGPPRAHLDLLNLGCTYLLQTLWRVRPRL HVFGHVHEGAGTEWLQFDALQSAYERTVVSGGGIWNLIYTMKEFVGKFFRPATEAKCL LINASIVGGLRDHERRQPVKLFI AFLA_068660 MGNAPSSKRTITAIARTRWLHNGYRTSGKISENDMLYTLGLFAL EPIRFIEKYEWRKLTDLEKCAIGTFWKSVGDGLAISYEAFPSHKTGFRDGLQWLEEIT AWSEEYEAKYMVPHATNRETADQTTAVLLYMVPKPFQQIGLHFVSFMMDDRLRRAMLY DPPPASYAKLFSSLLSVRRFVLRYLSLPRPYFLRFTAFTEQPDRNDRIFITQWDAAPY YVAPTFRNRWGPVAWLTWAMGRPLPGDEGDKYYPRGYYTPDVGPKYFEGKGRASLEEY VQDLKSSRTGRCPFI AFLA_068670 MMSEAEKARISPSLQSVTQEDLEDQTPLNGSHRSRYQRWAGSIK GLEARGIEPIPVEERLKTSPSASFHMLLMWFSMGMALNNMVVGSLGTLVMKLSFADAA LCAIFGNLLGGMAVGYMSTWGPRSGNRTLIVARYFMGYYPSKVCCSLNVLTNLGYGMM NCMIGGQLLSKISGGAVSVVVGIIIVALASLVMATFGMQIFQYYERYAWFPQLLVLCI ITGSAGPQFDFQSPSVGSSGEVNAKRLAFFSLCLSVALAWAPLAADYYVYYPPTIRRW RTWSMTTMGGCLAMIITLLLGVGLGSGVAKNPKWAETYDGTPASLLMAGYGRLGGFGK FCAFINVVTVVSSNAPGSYSMAMNFQMLGNVWSKIPRPVFTVTTTVIYTACAIGGRDF LYEIFKSFLPLIGYWIIIWFTIVAEEDLLFRRSKGYDWSAWNCRQKLPVGVAAALAFL VGWAGAIVGMDQVYYTGPIGKAVNGGCDLGIWLGFGFTALAFPPLRMLELRVLGR AFLA_068680 MSDSKSNANDSKQSQPLQRPQAPEIKINTDLNEEISPSTAHPTL QVSSENAYANDTSALLNSGSVSPLDGHSGGSIRSFTSDPRDHESRPTSPHNVSSPTHK MNDSVSHSNYLAVPGTRSRGNSLESEDTHQSSSTYGGDTYVPTASHGSRADLTKNMVI NDEDALKPDPGREDEFTVENNKFAFSPGQLNKLLNPKNLGAFHALGGLRGLEKGLRTD INSGLSMDETALDGTVSFEDATSIASEESTQGSFSQPPRQPTRSGTEPAKQPDNGYTD RKRVFGNNKLPERKPKSILELAWIAYNDKVLILLTVAAIISLALGIYQSVTAKNGEPK VEWVEGVAIIVAIVIVVVVGAANDWQKERQFVKLNKKKDDRQVKVVRSGKTLEIPIQD VLVGDVMHLEPGDVIPVDGIFINGHDVKCDESSATGESDVLRKTAANEVFRAIEQHEN LSKQDPFIVSGAKVSEGVGTFMVTSVGVHSTYGKTMMSLQDEGQTTPLQSKLNVLAEY IAKLGLAAGLLLFVVLFIKFLAQLKTYDGADEKGQAFLRIFIVAVTVIVVAVPEGLPL AVTLALAFATTRMLKDNNLVRLLRACETMGNATTICSDKTGTLTENKMTAVAATLGTS FRFVKDAGASSNGTDENGDATEVSNALSPSEFAKSLSAPVKQLLLDSIVLNSTAFEGE QEGAMTFIGSKTETALLGFARTYLALGSLSEARANAEIAQMVPFDSGRKCMAVVIKMG PGKYRMLVKGAAEILAAKSTRIISDPTKDLSNRPMSGDDKETLNTTIDRYAAKSLRAI SLVYRDFSQWPPEGVRKQEKDSGLGDFDAVFKDMTMFAVFGIQDPLRAGVTESVQQCQ KAGVFVRMVTGDNINTAKAIAGECGIFTPGGIAIEGPKFRQLSSAQIHQIIPRLQVLA RSSPDDKKILVTHLKKLGETVAVTGDGTNDAQALKTADVGFSMGIAGTEVAKEASDII LMDDNFTSIIKAMAWGRTVNDAVKKFLQFQITVNITAVLLTFISAVASDTEESVLTAV QLLWVNLIMDTFAALALATDPPSPHVLDRRPEPKSAPLITLTMWKMILGQSIYQMAVT LVLNFAGGHFGYEGQVLSTVVFNAFVWMQIFNQWNSRRLDNGFNIFEGMLRNWWFLGI QFIIMGGQVLIVFVGGHAFSVTRINGAQWGVCLIIGVISLPIAVIIRLIPDALIEKLI PTFFSRKKAPELLVSDEDRFEWNPALTEIRDQLKFLKRVRGGRLRHLKHKLQHPEEFL PRSRSGSRSSRSRENSVPGTPVNEHSSTPSQPPTPESRSRKRARSRSNSAFGPATAMA GIVAGSIAGWSPIERAPTEGEPFKFDSTPHGGLENQQGIEIHPKTAADDRIVGDYLSS SKTPPSQNPDLLPYFEHAPPARAPSSRSIRSTSAHSRSAHSRSASRNES AFLA_068690 MDSGRYVSWKGFGKKQTASRPTFACFTEQLSIEMLPFTRTRNER IKRPSSSGNLDCNIFIQFIRSLGPSIRILRRGRNRIARSMENPSTALFDGAIHCNDSS LLDNLSLLSKA AFLA_068700 MGAEDETEQAELEEIRKTYIPELFLDYHNALYYAGHVLTSELLV QCMNLAMQVSENEYLTSAFVASRRMAELVDALALSSKAMVNTQAKPGKKLLGGESLGI WNVDVPDEDNGLPEAQ AFLA_068710 MAPLIKSAGSAGFTSVNAARGSTSNDPEIIEIDDDDDEPMDDEE DVDANEQDDEEEEEVEEYEEDEEDNDSLEEMNGINGGSESPLDLGSFQNGHKAFDMTT PELFTSAGAQQALHPLRRTADRVTRQIEAFAEKLDRFKQKENRDSEFENYQAAYQLVK SYQNLANDSIEDISKQNTLKRAKMGWNTSRTNGTAAQDPKTQEELQRLQLEANTWQLL LNLISINDPPSRASAKQAQETAFQNLHRYSTDREIWEQFVGADHYALECVIIMKWLEH TSKSASQDIDSIISELEAQAQRGQGMWTHGWLYTKETIKGQKRLRAWPQPLEPNDPAV AASLLVSEKSESMITQLDPDAVTRQKQKLQKQDQFHERATWMTCWKMLRQGESWTKIR EWAESCLENWRAVSLCGSSVDASSSRGERTPVDDGTTRMMNWRSQTTWRNACSALARS QGTEDFERAVYALLCGETEAAFKVCQSWDDYLYVYFNSVVLSRYQGFCKQFQRKLNHS PTVPVAFVPEPVGYADVNKFVLYTKGNDRVGVEARNPYRTIQAAILGKGYDSFFHSLA KAVSQAAASKGDLSFVPDLPPAAVDDSLLIAAEDGDALRIATHLYIIANSLGYVRSDT QFSTNASINVIGYIANLEEARIYDIIPLYASLLPTYQAHSVLGQILIEVVDPRERRQQ VRLMENHGIDVEAVLRDQWQWVSASVSSVEHSSTLKRYPKVVRRKDGLPEVVPVKKDY IGTDVSGTEERVIRSLEWLRHVDGQWGRICQLGALLYRKFYGMSLCLQLPRTWY AFLA_068720 MPSTGSCLTKNSYQEEKCQNQINALYECCNAFYQAQGEDAKTPS CPKPSLLKLRMKQRGQGN AFLA_068730 MADAGLPTGDAPAPVEHLNIKVTDNNNEVFFKIKRSTQLKKLMD AFCERQGKQISTVRFLFDGTRVRPEDTPDTLEMADGDTLEVHQEQIGG AFLA_068740 MSISEATTTELQSSLRELSLSNNSPVKDIARPAVKTATAKAATA KKKAPVVADSWEDEADESEPDINSPGCASSSLSPSVTTAEGPLDPPPTPISPQTSQTW SSVPVYPDVGSASSRTSNSRSPSRRPEKQTAVAGRMIAGALGLRAPKRTEEQRAYDRA VKEKEIKRRNQEREAAAKAKEEEERAKASVWDD AFLA_068750 MKAIVLNRTNATVVYSQPIPKLRDDYLLIKTVAVALNPTDCKAI SQGRGAKDGLAGCDFAGIVEEIGPVVTKRWNKGDRVCGCTHGANSRNPDDGSFAEFIV VKGDVCMRMPDGMSFEEAAGIGVSAITCGQGLFQNLGLNLPLNPVQKKEYILIYGGST SAGTLAIQYAKLAGYSVLTTCSPRNVDLVRSRGAEAVFDYNDPSCGEQIHRYTKGELQ LVWDTIGSDQGVHACMAALSTKPGCRYGTILLNDIPRQDVACTRSIMMTFRGEPFDLY GKHFPPSAEDFEFAKMFTQLTETLLAENKLRPHPIRVCEGGLQGVLDGVGLVQQGNVS GVKLVYRVADTP AFLA_068760 MTNLRSLPARARGVPFSRLSARQTNGFSTSSRSYSYADTLPNLK IGAHTRVLFQGFTESLAWGTKIVGGVKPGVEGEHLGLPVFPSVKAAQEKAKPDASAIY VPGNQTAKAIEEAIEAEIPLVVAVAEHVPIHDVLRIHSMLQTQSKTRLVGANCPGIIS AIGKCRIGFQPLPCFSPGKIGIVAKSGTLSYETVASTSRAGLGQSLCISMGGDVLAGT NFVDALKIFENDPDTEGIILVGEIGGTAEIDAAEWIKDYNRRTANPKPIMGLVGGLHA PAGRIMGHAGAWTAVGEPGAHAKYQALERAGAVMVNHPEKFGEGMKTLLNSRPRVQNT TSTNTPNQKRGLHTMRRVTLQKNQRPPVLKQSRSLFIKQFQALDMLKEKSIPINETEP SESDIFLNLTVDRTALSPCVIASTSADFAPSHTSKFPFPYFNTDFSSSDSPIIKSIAS HLHLPETTHETLAELVQALWQIFKEKEAYLLEVRVNPSTEGLEVRGARFGFDDAAFRS SGRQKEVHSLRNPAEEVHEEVEAEKDGIVYVKLQGEGSIGTLVNGAGLAMNTVDALTI HGGHCANFLDTGGKATSETVKSSFRIITSDPRVKAIFVNIFGGLTRCDMIAEGIIMAF RDLDMSVPVVVRLRGTNEEEGQKMVSECRDS AFLA_068770 MAVVSSYMYSHVCRLWDTSTWVCVKSFEDQGNIRDAAFSPDSKQ LATVSEAGSVRLWNTHTGRSTFLESASSAVTFVVFSSNGEKLAAKSHGEIKAWDLVSE RLLLEIPDERSEIVSISPDCRFIASWNNLAVIVWDVSQRAVLHTHERRGVHTIEFSPE GNLLIASNDGTVELWDCTENILRSYTNIGYKSLVAGFSPDSKNIVSLSTNGQINVWDW TTNEFKSNIHHISDSVDYSLYCGKVSFPRFGELAASLVADGPEDIWTFIPGVLTEIYR FSGRMMDALAFSPDGEIIAASACWTEEITIWNGSSKVSEALGDIHEDTITGLSFAPNG TVVASAAKDGTIRFWEAQSGTHMKCLRGVDDPEFQKIRFSTDSQTMICRTYNGQCVIW NIPTWKVLKRLELGKDTSKLQNMALSHDGQLSMIASAEHSIKLWDTSTGTCLGQRSID GCVESMVFSEDGTYLRTNEGQFQVASILGANPDLCHDKMVGEGVYVHNNWIMEGGKKL IHLPVEYRFRTCSFGSRVAIASKSSSVMVIGLSADERKTV AFLA_068780 MSKNHRLWPLLSFRHSREKADTDTDPTGLIASHGHDTRSETPDD HLQTSHSRRRRSISWLRISNRNKNKDAETKPTKLDQEGANTQPYLSQTEYKDEPDTSE GKVSGSAESIMSAQGTPIKEEEGGQAEANIARLGEKVMKDLWSEAYKKLHSDNASLVE SYETVLLAPKTDHMGGRSSTTQEKTDRQKRIQDLVFCRLQDMEQGRFDIPKRSRQGVI GDYVRRTVHGILYAKDFVTAAISAEPHAALAWAGVVMVLPVLEYQIRLTKQLSRSGLF QYMRDIATADDWKEMLTEIKRTDKSIEDILGDWSKKTVQEIHAEITSMKREVERQLNI VLDTINVPLLQLIQDWSNDAQGEPILWLQGMAGTGKSTIARTVASAFYNGTSLLGQET LPNDACLGGSFFFDHRDEDCRDPRKLFPTLARNLVDVLPEIQQSLCDGIRSHHATHAG SLDGQWKHLIFNPLKMLKTTLPVTILFVLDALDECQAGTREDQDDISDILALLSQVRE LTTVRVRVLITSRPGIHMRYRFNEIPEGIRDETVYKIRRLDGDGVKADDITRLIEYKL SEVRRRNDLPRDWPGKERVDKLAEKADGLFIYAATVCRFLAMANRKTIEDRLGKIFDG DVGLDGMYTRILQVVLTGEVDNDQAMSDLFKQVVGSIIVLSRPLSIRALSRLVEVELS DTRWLMEHLYSVLEMPQGIFF AFLA_068790 MSTNITFHASALTRSERSELRNQRGLTIWLTGLSASGKSTIAVE LEHQLLRDRGVHAYRLDGDNIRFGLNKDLGFSEKDRNENIRRIAEVAKLFADSASIAI TSFISPYRADRDTARKLHEVPTPGEETGLPFVEVFIDVPIEVAEQRDPKGLYKLARAG KISEFTGISAPYEEPEKPEVHIHNHDLPVQDAVKQIVDYLDAQGYLPPKKE AFLA_068800 MSKPTKYLLVSFPTSITPSHHRDDALDAVSATVAPENGSVAPFP IPEFKIGTLDALVQQADELAKLETACQVVVSKVGDALKNILEGDEAQISKMKAVNDKP VDQYLRTFTWNKVKYRADKSLGELIDLLQKEAASIDNDIRSKYSQYNQVKTTLATLQR KQTGNLATKSLASVVDPRSVVQNSEYLETHLVAVPAQQVKEFLKTYETVSPMVVPRSA TFVASDDEFTLYAVTTFKKHSLEFVHKAREHKWIPREFKYVEGGKEEERREVERVGGD ERKLWGETLRLGRTAWSEAVMVWIHVLVLRVFVETVLRYGLPLDFVCTLIRTPGSKQA DKAKHNLDEKYSYLAGNAFGRDKKGRVKKDDPSEVHEGGGEYTAYVYYEFELN AFLA_068810 MMTVTLRTDEETLTAFVPADQFSQEVDEYIRNHPVAVELRENPA FTESRPHLKIPAELRDRHLTAGTLSGPDRIVVPPHVFSEKDGKSLVSIFYLGSAISGH LGIVHGGLLATLLDEGMARCCFPALPNKVGVTANLNIDYRRPAMAESYAVLRAETVKV EGRKAWVEARIETLPKEGEEPAVLVEAKALFIEPKQAAAMSSLYNITN AFLA_068820 MLFPGMLGQRYYMHAIQGGMDSRGKPMVIAGAGIMDVLRMVLIC MYIGNWHSLINFNAIMGPLKGGDLPQMIFQFSSIWAILL AFLA_068830 MASSVLWSILIATPLALGYTNPRSISIPEDYDVLQYVDPLIGSS NGGNVFAGASLPYGMAKAVADTDSVNNQGGFAYDGSNITGFSSLHDSGTGGQPSLGNF PIFPYVSCKDDDVNGCVYPKKLRKTRYDPGSVSASPGYFALTMASGIQVDMTVSHHAS LFRFRFPADRETKPLILLDLSDLSDTRQDNGTIDVDADTGRMVGHARFLPSFGSGSYT PYFCIDFRSVSGVRDNGIFVNSRASTDVKNLTISRSINGYPLPGGAFVRFNSLADRTV LARVGLSFISSEQACSNAESEIPNFDFNATHSAAVDSWTKKLAPIRVSRNGVNSSFLS TFYSGIYRTMINPQDYTGENPLWKSTEPYFDSFYWYAHHLLWDSFRSQLPFLTIFDPA SLARMIRSLIDTQKHLGWLPDCRMSLCKGYTQGGSNADVVLADAYLKGISDGIDWQAG YSAVQKDAEEEPYDWSNEGRGGLDSWKSLNYIPVEDFDYKGFGTMTRSISRTLEYSYD DFTIAQMARGLGKMDDAEQYEATSRFWQNLFRDDQASFINGTDTGFKGFFQPKYLNGT WGYQDPITCSNIDTSGRACSLQNNAAETFEDSIWEYQFFVPHDMAALITRLGGPSQFI RRLDYLHDTGITYIGNEPSFLTVFQYHYGSRPGKSTSRAHFYVPKYFNANPTGLPGND DSGAMGSFVAMTMMGLFPNPGQNVYLISAPFFESVRIASPLTGRTATIRAVNFDPEYK NIYIQSATLDGKPYSKNWVGHDFFTEGRELVLVLGRNESHWGTGKEDLPPSLSTRGAL FD AFLA_068840 MSAVRPLRRGINLLGSKSLSQPASIAANGSTLLPRQLTSPLHRG LRTPTAARPFLRVSSVSSSNGAVRFASSAAPSGPLRQTQLYDLHLARGAKMVPFAGFD MPLQYSDLSHVESHKWTREKASLFDVSHMVQHELSGPGAIELLMKVTPSSLDKLGHNQ STLSCLLEEGTGGIIDDTVITRRTDETFYFVTNAGRRDEDLAFLEAEISAYKQAHGAD SIKWTILEDRALVALQGPLAAEVLQSYVHGSGPETDLSTLYFGNCRELYLTLPDGSRT PHPLLISRTGYTGEDGFEISIPTAGSPSLPAQVTELLLTNADQVRLAGLAARDSLRLE AGMCLYGHDISTAQTPPGASLGWVVGKDRRDPATANFNGASAILPQLASPAKTLSQRR VGFTVEKGSPAREGAVIVDINDESRTPVGIITSGLPSPTLGGTNIAMGYVKQGLHKKG TEVGILVRNKLRKATVTSMPWVESKFYRGKA AFLA_068850 MAAPHTSKALDGRGDEDSQSFASERADSPDKVKDLENQRQPGVQ SDSDTDDVGRQIEMEAGNSIKYRTCSWQKTAALLFSEYICLAIMSFPWSYSVLGLVPG LILTVVIAGIVLYTSLIIWRFCLRHPHVRDVCDIGQHLFWGSNIAWYLTAVMFLLNNT FIQGLHCLVGAEWLNTVSSHGTCTIVFSLITAIVSFVCSLPRTFSTLSKIATFSALFT FISVILAVIFTAIEDHPAGYTPAKGDPIVTAVPVAGTTFVSGVNAFLNISYTFIGQIT LPSFIAEMKEPKDFWKSVTAVTVAEIIVFSLVGSIVYAYTGNQYITSPAFGSISNEVY KKVSFSFMVPTLIFLGVLYASVSARFLFFRLFEGTRHKGNHTVVGWAAWAGILAVLWI GAFIIAEVIPFFSDLLSIMSALFDSFFGFIFWGVAYLRMRREDYGPNFYKNRGIRGWI GFIVNVGLIFVGLFFLGPGTYAAVDSVVLNYQAGTVGSPFSCADNGL AFLA_068860 MAHTGVSTSWVLGFQVYINFGVAVRLIASMVIPHGIRWGWTLSS EKLKLDFWKRTCPVSLSAAEVNAK AFLA_068870 MAKGKGKRSSGVTAVAPEGGANDSNAGANAVPQFEESAFAGLRQ KIEQRLKDQNAAKQKPKNNKKDAPNDTPKKNNESTPKFDTKRNDTDKNKGKKRDRNGE VIAREDKNASGKDKSSKSKEADQSDALRQEILALGGTEEDYDMLAGVDSESEVEDAKN TSKGSGSKSEEDALRKELSGILAAAGQVVPDDIADDEEDEAGQDEEEEDDEEDVEDDE VDLDSGDENDSEEADQESSDEDVPPTPAAKEPTKNEKAKNSAEPPLPKEYSKLAVPPR SDWFMTELPPISAKHANGLPRHLVDRVYNYAVSLLEEESNLYSEAQKTLASSSHKFYT TIMSTGTLSDKISALTLAIQESPVHNTKSLENLIALGKKRSRAQAVEVLRTLKDMFAQ GTLLPNDRRLRSFANQPSLMAAFQGAGSKWSEGDALPNGLQKRHLIVWAFEHFLKEQY FEVLKILEVWCNDEIEFSRSRAVSYVFELLKEKPEQETNLLRLLVNKLGDTAKKIASR ASYLLLQLEQAHPLMKPTIIKAVEEVLFRPGQSQHAKYYAIITLNQTVLSTKEEQVAA QLLDIYFALFVAFLKPTKKNKYQSNKKHGKNGKLNRKAQKALKEEEKGQAQHEEMQEK LTSGVLTGVNRAYPFTSSDSERLSKHVDTLFRITHSSNFNTSIQALMLIQQLTSSHQI AADRFYRTLYESLLDPRLATSSKQALYLNLLFKALKSDVNARRVKAFVKRIIQVLGLH QPAFICGVMYLIRELEKTFSSLNSLYDQPEDNESDEEEVFRDVPDEDDETQEQPEAQP KKPSSRYDPRKRDPEHSNADKTCLWELLPYLSHFHPSVSVNAAQLLEHKTMSGKPDMT IHTLMHFLDRFVYKTPKASAATRGASIMQPLAGSDAQDRLVSGTKQTQELPLNSEAFW KKKSDEVAAEDVFFHEYFNRVEKDKDKSRKKAKDPVEHAEEDGELSDAESEIWKALVD SKPEVEGADSDDDLDLDDLQSAYDQSEDEEAEQSEDEGVIFNDESDVDMDDFEEETFE TKKPATKSKAKKAEDTFDEDDDFDMDVSDDEAFLDSDEDLPSDVELGGGVELPKEDDK PDQKKKRRKLKHLPTFASVDDYAALLAGEDEGM AFLA_068880 MISGFRPIPGRYSGAYRAYCGVFRFHRTPSCSFHTDGRTRVSNF WIPTGGISKKNIQGEKEDVNDLLVRGGFLRQAYSGIFHMLPLGLRVQDKLERLIDKHM RSVGASKVSLSSISSQELWERSGRLGEGSEVFKFHDRKESRFLLAPTHEEEITTLVGS LAKSYRDLPLRVYQISRKYRDEPRPRQGLLRGREFIMKDLYTFDCTVEEALETYTSVK AAYTRLFNDLKIPYLVAAADSGNMGGNLSHEFHVPSSKGEDTVISCTSCDTVYNDELA DGKIHELGDNESHQASPGFDTGDMSPEATPTISTGLWMSISKDKRTLLRGWYPKFSMQ GETQEPVEREANSHAVKSVANAAGIDLDLSVENPLEQWATNVKSNKASGPYRVVDMYD SQVRVYKRPPLSDLLDQAGCKVEDIDYSMLDRFPGTNNGLSLVKVQDGDKCAKCAQGR VKTQVAIELGHTFHLGTRYSEVLQASVMVDQSSSGSSEHQVVPMQMGCHGIGVSRMIT AVADSLADSKGLNWPRAVAPFEVIVVPAKGLEEEAEKIYDTLTSDPASPVDVILDDRD KQMGWKLGDADLIGYPIIVVVGKGWKKEQTLEVQCRQLDNLRENVPLEQLSTFIRSLL ERL AFLA_068890 MSAQGQVVRTGVNVFVFNNQGQFVMGLRKGSHGEGTWGLPGGHI DFFEESLEACAKREIDEETGLDIFDIELLTVTNDVFKEARKHYTTNFFAAKLVGGTGD PQLNEPKKCFKWKWFTWEEVEDLYKAQDAAEKAAKEATEKGDEIPEYKGPKLFLPTVN LFRQRAKLHPLKAYNAILESEEKPVVGPASN AFLA_068900 MAPQDSPASDAPPGSVNINSLSVPQLRALQTRLSSELEHLTSSH AKLRAAQSKFRDCVRSINEGVVGSEKRGTDGRDDILVPLTSSLYVKGKLADREKVLVD VGTGFYVEKTTKKAIEFYEDKIKSLETNLTELEKIVQTKSSQQRLFEEALRQKLLSEG APSSAAAAAGGG AFLA_068910 MRQIAQLLRTKWSETVANSHVRSKVYISEPPKILRDKIVVENNT FFAKAFLHGKVVKSLQSEQWQNRRTLIQTKNKAHISSALSRSLRGVSFVRGHLRMRVN LGSFVLDEYRMPKNEKAGYSFEEFREMLLHEQTKGRLIPGLPVSQDELLARCFMSTDL LEPYESTSCSLKNAEPAYSVNFEFLGSNNALLRLEAEFARSPGAQEFEVTQRRWLRPR KSGQSSDRRPPLQIGVIDFERADWQLEIKSLEFYETSSIDAALKSFSHSIGFRRSTTI GDISAKPERKVVFPSSAPVSRFVEKTAIRYRLKSTKYILEIARYDEYSRLKTDASQGQ APAPALVTGEICDVPSTSWGASIFDSNWDNLMGEQANLSVGHSARHSPELHTFFPPKT ISDSNDKNEGFWEFISLVKRVAEVLSPAQPRSSLENTARKIQLSTESSMVPTATKSQP PSNESSPKLDVKGLAGMLDADLGTLF AFLA_068920 MAIDAADENDTLETRRRSARKQAQQWMTKGGLVRDDSDDELGDE DLPWEWIYAVDTAENKEDTVTDAPEDTPRSNRRRTSRANAKNRRPIIGARMGSFECKL GQVVLLKSPEPGKDWVGIITEFMEEEDEAEGETIKSANIMWFASPDEFMSTRNKRRAD ALPNEQYLTADFNVNPITSINGKATVMSKDVFFAKYPNGAPPKGKEQLSDYNKCIVCR RGVNQLQGRYTEEFVWEDVYREDRIFDLIAMIKDGLKKAKKRKQGDDDYVDTKDKEDD DFQPVTPRKKQKLATNATPQSRRQKALTTPTHKRIVVKKPLEFTPLGTRILSPSHFAS PYRQARTLLHVSTVPTSLPCRKAEFDTVYNHLSAAIMEGTGTCIYISGTPGTGKTATV REVVAQLNAAVLAEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLE REFSHPSPRRVSCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLIVLAVANTMDLPE RTLSNKISSRLGLTRITFPGYKHTDLMEIISTRLANIPGNIVDADAIQFASRKVAAVS GDARRALDICRRAVEIAEQASEAAKLEPILEDGNADDTESMPPTPSKTPARKERSTNR QSAPPKAQPPQRQGRVTIATIKQAIQEATSTPLQQSLRCLPLSAKLFLAALLARVKRT GITESTFGDVLDEAKRIADAAVAVAGAAGAGIKEYLLSGGSGARVRALGFAAMELMNS GVLALEHGPATKGPLGSAAIPSRGDRSGKVRLRVAAEDVRAAFREDIEAKGLGLGTDQ AFLA_068930 MDVIPSTTPEEAVRRSAKRTAELFGADYLMVTPSTGDGSIGVSY RRKVEYEHVKELPPVLAEKQAKAAAGRTKRPKIQAQAKAPVDGSGASMALVKKAQGPA AGGLGNEDQPRSLIQRPSATRQQRPDWHAPWKLMRVISGHLGWVRSLAVEPNNEWFAS GAGDRTIKIWNLATGALRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNK VIRHYHGHLSGVYTLALHPRLDLLVTGGRDGVARVWDMRTRSNIHVLSGHKGTVADVK CQEADPQIITGSLDATVRLWDLAAGKSMGVLTHHKKGVRNLAIHPREFTFASASTGSI KQWKCPEGDFMQNFEGHNAVINSLAVNEDNVLFSGGDNGSMSFWDWKTGYRFQSIDTM AQPGSLDAEAGIMASTFDRTGLRLITGEADKTIKVWKPDDEATPESHPVTWAPTLGRQ RY AFLA_068940 MDPQHDAKFPLHEAAREGRTQVAESLLNANPKLANVKDDDERLP IHWAVAYNRLPIVELLVATKHFDPDVEDGSGWTPLMIAASLKNAEGDPIIDLLLKKGA DVNAKSNSGQNALHFATSKANLSTVRTLIANKCSARVKDKRGQLALHRAAAIGSSPII KVLLQDGKSPVNATDMDGLTALHHAISEGHGEAAITLLKAGAETDKKDADGNLAIDMA PDTSVSSSFFLRKPSFRH AFLA_068950 MAQQKWKVGSFLQQAVAGVESKLDMILADEEQRQQIQPKQNTAT KNQPGNLSRSSSNARKNDRLQERLARAVVKSNTNANSASQSSSRVPSPVTSPVTSNGA RSSMDIESNLGRSSLNLEESAQNVAPADELSSIAASRTSHDSSSPRNSKDIVPSRASN EDSESQKANSEKSTEVVQENGVEPELQETEADKPHSSEDLAQDAANGPSDIIEPSSTD VDKTIAQLQAEHKAAESRWQAEMYEHIERIDALQSKLKYLTKEAAESAKKAAAAEAPG SMERQLREKDEKIALLFEEGQKLSKSEMDHRTAIKKLRQQLAENTKVQTENHKRTEKL ERDLANAEARAKRAEAAEKRANESLTSQSKSSRDLETVTAERDALSQTVQELKGQLAR AVARAEAAEAKAQSDALEQEKRHAAKLEEELASIKAERDESEESLKTEIRDLKSTIEQ EKERARVLEVELKNEQSVLESKMESLRSRAEEASSGVAGDAQAKLLRQIETLQTQYAV ASENWQALEGSLLARLANVEKERDEAARREGDLRRKVREANLKAKRAEEDLEEAKEVE HDLESKLEERMQELQKLEQKLQKATDDLVSAQKDFDEQKKVCDATWAQKLEEERVKWR EQVVPPAIFTQQQRTESPVAYSRRPSTLEPVGSFSDLRSSRRSSTLPMTSPEVGTPTR QNSFPTSTSALLSPPANSASFSQFTDTPSISFEPDEYSARPRTPSAFGGALTQNSRGI NDIISESTVGAGPSVQLVERMSATVRRLESERAATKDELARIVSQRDEARQQVVDLMR ESEEKRTVDARVQELEKRHAELEERYETTLELLGEKSEQVEELQADIAEVKKIYRELV DSTMK AFLA_068960 MSTMPLEPPMYLSSLQNNIRARPIPWEGAVRAGNITDDHLKKIK AVDKVRKDQRRQTVEGDISGYVTLLSGSADAKSVLDSASRRTDIVQYILVLAADLIND VPALSSALIAHPDPYKPFLPLLRHSTNAEDPIPLLTSTFLTNLVSISLASSSKSAARD EEALPQLYTYLSSLTQNQDSGLQDIGVQELSALLRTSRSREIFWKQRGETVTPLIEIL RAATGGKDTSSSTVAGSSRAIEPGLSGGVGLQLLYRVLLVIWQLSFEGALIGDDLQAD HEFLQLYTYLLRLSPKEKTTRLLLATLNNLLSSNRTTLLPVAVFVRLPALLSNLSGRH LTDPDLLEDLKTLSDMLDEYTKTQTTFDQYAAELQSGHLRWSPPHRNPTFWKDNARRI LDDANLPRKLAEIISKEWDNDKQVLAIACNDVGHLVKELPGRRAQLEKLGLKARVMEL MADKDESVRWESLRAVGEWLRYTFDD AFLA_068970 MSRSFTGCKRCKARRQKCDEQRPICGRCKTAGAQCRYAMQLQWG GRAFSRSRFGACVGHGGMQKLEYSPGEFIYTTKASPPPSEPLALTRPVDPFSSLSSDQ KALLHHFLNDASQITACHTGMQRDICQMLVPMALQTPSLLYATMALSAIHLQALHNQS ENVKSAPEIARFMALSLEHFRAELQDPNVKGSDALLATARTLCLAEIHSGAIHPNSWR AHIEGARALMDACDNRGALSPRSSDGFRRYLDRWYRSIVSLTALTGNGPPIGDVAGQP ILSTINQHDSPDYLDDYWGFTVNLAAVFRGIGAAAWRSHPSQQCGGVAQEDEFSVHHE AAVLESSVRRLMDQEADSQPAFYPGVVEGLSSEYIRQFILCNEAFQHSALIQIHRRLR KTPASSPEVQASVKRILECTAQIGPSAGLSPWTMLTTPLFIAGCEAGDEDREKVRQLL SCLHDTIRVPNVLQSLRFLEQYWTSQIDENEGWNQFLDRMKFDFIPY AFLA_068980 MDLDRAEQETTSLRVLLQEHDITVPEGLGADQEGFAEVLATSSS LESAYKQLQAEREQAEASAVQSPQEEHGQLAASVSRTESLSQHVQKQLERNNALRNRL ADAIGKGEKEQQLSVVRINEMQARLKELEDTLLIAQQHAEEEMARHEEEIQKLNESHN AQLSRMKNGARSPAGLSPMPPSSPFVARSPRLDKTTSGDGISLNQAVKPEALERRVKE LERLLRDADMEMGEVVSRMNRAQIEVAELQSDRDEALRQTRKLQAEIQAEREAFKALQ G AFLA_068990 MDFLPESIISLIQDNPTIQQLTSSSIAFQVNNARTTYLDPYISH LKSTYLDPYIIQPLASMLASSMPDLVSVLILALIFIISLKVLDYARRVVMFWVTLALR LVWWGFILGAIWYAYNAGLEKTGRDLGWFYGVVKGFAEKFQDGFEGGQRSSSATGGWG GYASGRDFQVPIGRG AFLA_069000 MSKFGVLVMGPAGAGKTTFCNAVIQHLQHTRRSCFYVNLDPAAE SFSYEPDLDIRELITLEDVMEEMGLGPNGGLIYCFEFLLQNLDFLSEALDPLSEEYLI IFDMPGQIELYTHIPLLPSLVQFLSRAGPLNINLCAAYLLESTFVVDKAKFFAGTLSA MSAMLMLEMPHVNILTKMDQVRDMVTRKELKRFTNVDVQLLQDDDADAMGDPSSKETL LSGGSFKQLNRAVGQLIDDFSMVSFLKLDVQDEDSVAAVLSHIDDATQFHEAQEPREP NDEQEVNYEDADI AFLA_069010 MGSVANLPKSGTFLFTSESVGEGHPDKIADQVSDAILDACLAED PLSKVACETATKTGMVMVFGEITTKAQLDYQKVIRGAIQDIGYDSSEKGFDYKTCNVL VAIEQQSPDIAQGLHYDEALEKLGAGDQGIMFGYATDETPELLPLTVILSHKLNKAMT DARKNGTIPWLRPDTKTQVTIEYAHDNGAVKPLRVDTVVISAQHSDDLSTEEIRVALK EKIIKQVIPAELLDDRTVYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGA FSGKDYSKVDRSAAYVARWVAKSLVNAGLARRALVQLSYAIGVAEPLSVFVETYGTSQ KSSEELVQIVRNNFDLRPGVIVKELDLAKPIYFQTAKNGHFTNQEFSWEKPKTLKF AFLA_069020 MASHVSSSALDPFSVKPLQEDVTNPRSKKRKTCHLENDWTKQTI SIRAHAASLSDEPYVLEPIAIIPRCRLPLSWLDFSPAVLSDIQPGSLFVANIPVLEND LRLEPVVLAVRLASDGGLYVIERVKKGIYALSKLARGVEEGDIIVAVKGWSPSEVKQA PRCMSPIQEGGDWWLMAQIDDPVTDPHFPTKRSKFDVSVVFGAVDHDVRMQDVSPPVD SGESRSQSVAPQVCLERGVSSDVFMPIAADSQEHGGGDGVGTESMKIESLQSPQEILD NLREQYLQALYISKTSVAYFAKGPLARCRTAFQSSESGSAQPSELIEFYREAVLTAKK MDLKYRETLPSTLKDVVLTISDDESTLKRKRKTKKKKLGKNGLYPTEEQFIRTWWKGR ALADQGVSTETSRDAELKKHVADLRLRETQLQILLILETMALEAVMADEAKSTGDGSD KTEVSKPKKIQDLKVMLELHLDRLCIWHAVSFDGVAVSDQNKGYGDNESGGKKVESDA VRDFCTEVIIPFYASRLPDKCKSITKKLGVPSVLSPFPKKAQPKNMPRAEPGAAVERQ PSQKHPRRTLQRVLTDEQTASKGRRQSLSRFNTMPSQPERESMEPLLPSLSANVRGGI QKAKRVDNREVDLNAVARQHETKLRKMQMLMDQKKELDAAINALRKPNRELVAKDIAE DAEKRRTGSARKPKNPVRNPFGEGVQVAATPKGSRKRDVIVGMPPLPRGMSMHSSTQP KASSFFGGDGSPVVPASTARPKSFSIASSSRDTNAIQETPTRRPTQLLGSFDGPATSV ADSPLSSGNLFRVPRRPIPRSTDVAPTTPVASRRTKSRPDIVTEPTSSLVMETPPRQN LTVPLIQADGPTEVAADTPAKVLGTPVKGSTRLSVPTSTAVPVTPEKSIYAHLGWDDD DDLGL AFLA_069030 MAVATIDIMPKQASSSPLSSNNPSMNKRWPPLADKTSLPYKLTT LSRQKLAREATAPDPDIRRCLGHFRLHVISMEWAQKDMTTRINSFELEDDESEEEEED SKRDDGQEKDSDESDSTEEEKEDSETKAKDGTATDAPSDKEPVQVTFTVSFDQSAPTP PSPSDEKEQGLLEKGRNCLEKTKHLWQSPAQCIPVRIAG AFLA_069040 MLPGVEDSMVPTKPDPLHGQLVHLVFVVESPSGLVVVEGQGIGE WKSTEAGLRWTSWEYESRPRWIVRLQNLPDQMVIRSGFCFGVSRTTQP AFLA_069050 MDLRTSSHIPSDALVQFYTAVRITVAVVFFTIAREPPAFLTQVG PPLSAVTTTLSPCFIIFLVPYYLRLATRRIPRDAPTYVDESVCTDVEEDERTEVDMSE IDISSDETVIHDPNWEEEEDGSKVEKYENSGILFQELAGEAARDEGDTTEEGPSQETE GELLGRSDILSGDETIHEVSTKEGNVGGNERLEDAEVEFSDEITGAVDLDEMVVGVSA KLEENDRVPCGEMPDVTDQSTEGDNLRESPPPKVNTHKPSPTPDFPPSSTLSGKRLRT YWDPAPGNELYISRQTRTFESFHVALESRTRPPWAFG AFLA_069060 MQDSSTESETRSETIGNNPEVVNYPPSQRLHLPNQIHSNVKYLD RPEESVPADQILTVLGTSSNPIGYSEYQTHIVQAFQQADDQRRRRIDFAPEGHLSGNY SRG AFLA_069070 MAGQGGRKEVEEPSQGRKARQGNAKGVNTKTGEMTTEWATAARQ DSRAAVTMQVILGTMNADHDLACSGWLDWKVAGSGSAGRFRANQCRASFDRTSNGVRR AFLA_069080 MQTPRMQAQPLPHQAGVNVSPPQASPPDKTTPTKSTLVKALPTV RDHTTDQLNEEGDEYIPKEFDEAGEKKVNAMGYLTGNREYKCRTFRVPHRGTKLFMLA TECARVLGYRDSYLLFNKNRSLHKIIATQIEKDDLIQQDILPYSYRSRQIAIVTARSM FRQFGSRVIVNGRRVRDDYWEGKARKQGFTEDDLAGEKRPGGAKARDAAAAEAASAAS LLPALAHGDVIYSNALEAMPNSLPMGPPSSVSLAPLPMIHMATTTDDPRLREYNSMPR TRQELTGQPYQDRTQPSSAAEILNQASHTADFNKILSSQRSYRQKGLEDFYSKQREIP ASAAQSQPGQLDSTPSASQPLQSPQIASAPMMNTTQPQQAMLPHQTPMIPGQPGLQQA VAHPQPPVGQSPARTGPAVRPDLMHQRSNPSLSAGTPQPSGPYGYPSQPQQMWGQPPP QPQPSPLSAAGPQAVGIPQYASQLHAQQQHSPSPLAQHPSQSPRNQPRPPAPQMPQSF PLHHPQAPQQQPMASMGFPGGTAAPYAAMTAARGMYPSTQGPGGQQFMAGAPQQPGLA MGMSAGGAMPGWAPTPGGPMQPGHPQPGQSGAPLGWSGY AFLA_069090 MKISGFTSVALGLAAFAEASYVNYTTVTGYFLQDEATTDPSTFD FTTTNFGLINRTYPTDKGHGNKNSHRTQWERFYHQVVELNRKSGPNVDYKVLFLGRHG EGWHNAAEDYYGTPAWNCYWSLLDGNGTATWRDAELTDAGVKQAQVAHDFWQKELDTQ HIHPPDSYFVSPLTRTLRTANITFSGLSLPHKSTPFRPLIKEYLREGISIHTCDQRRN RTYIHDLFPTWPIERGFTEIDELWNGVTAETNAAQDLRSKSALDSIFQANNSGLFVSV TSHSGEISSILRVVKHRTFKLNTGAVIPVLVRAETVPEAPTTTSVSWTASAHCTAPPV TSVDSCVCPSTAVPVTTPLVTVSV AFLA_069100 MNYLHHPYAYAGHAAVPMEQPIAYDPTMAHPSMMHPMEGYIYPH PPFDMIDFYHQPIMDYEEYAENLSRPRLTKEQVETLEAQFQAHPKPSSNVKRQLAAQT NLSLPRVANWFQNRRAKAKQQKRQEEFERMQKAKTEAEEAARIKIENAEKSESNPDVK EETDKETPKQSSDQTMSDDRTKTPASNSRSKHHKTKSESAREATFASLQRALNAAVAA REHYSPDEQGQPATIHEGSVSPTTTYSGMNNHGDSRAAQSSSTTPFSEWENAKETAMS WSASQSPQEHLGYSAAESLTVPELDGSHQNVQHSDTLQFHSSQNEEWSGQVQGTKSFP GYHSSNDAEASYSAAQYTLHPESSLSRRGSSDDLADSLEGIGIHAAGLPIRTDRSSWK EAGKELDLAARRKRPRPAAIGTSRSSSMLAGSAASMSPTTRLPSYGSAPGVRQSKSAQ CLNSRYAGVRKASAAQRSPLNLSSFAEAGALGTSKPEMSSMLSPAVTTGGLAPPTPLT PDDLHHFIPNTPSDGGYCLSAQPTSQLFPTTQPMQINIASPPATPMAMDMLSTYQYHS VAPPMSAPAHYTSFPDYVTCEGAPLTGRSWTGANSMPSPEAAFQNRVPITQADVSSLS YGQALEQGRQPADSLSAAGSPPLMYTTDADMHTSSGSFHGDAKPTEFYIHEFPEQQEA HRFVAQQLPQKPKAYTFNNQTPSDWRGN AFLA_069110 MTKGQGSFSAPPQVVTFDGLLSDFDGTIVDSTDAIVKHWHKIGE ELGVDPKAILATSHGRRSIDTLQEYDPKLANWEYVSFIEGRIPKEFGSDAVEIPGARY LLSQLDDAGACWGVVTSGTRALVDGWLGVMNLTHPKMLVVAEDVELGKPDPRCYLLGR KRLGLEHSSSLVVLEDAPSGIRAGKAAGFKVIALTTTHSLEQLQEAGADWIVEDLRSL SVKGVVDGRILLEIRDAYQ AFLA_069120 MVKLGKNSKRTPVRLRHKIEKASAAKQRKQRKLAKKNPEWRSKI KKDPGIPNLFPHKAQLLHEMEERKRLKAEEQERIRDEARARKKAQKESQQQGDDAEDV MENDIDLEGDSDDEDMDEDVDESSNPMAALLASARARAAEYEDQHESDDDDEMDEDED EDMDGMDEDEEEGGAALGDSAPQLVSQTHSKESSRRQFDKVFKQVVDAADVVLYVLDA RDPEGTRSKDVEREIMAAAGGNKRMILILNKIDLIPPPVLKNWLVHLRRYFPTLPLKA SNGTANAHSFDHKQLTVKGTSETLFKALKSYAHSKNLKRSISVGVIGYPNVGKSSVIN ALTARLNKGSSNACPTGAEAGVTTNLREVKLDNKLKLIDSPGIVFPNAEKKKSKKKQV EERARLVLLNAIPPKQIEDPVPAVSLLLKRLSTSEDLKSKLLQLYGIPALFNAGDQTH DFLIHVARKRGRLGKHGVPNIEAAAMTVINDWRDGRIQGWVDAPVLPVVAATDDASAP AAAASGVDTKQVVTEWAKEFKIEGLWGDGADAEMAE AFLA_069130 MPPRKSTSETVEDPASPSQAQTQSPPTQSQPIQATEQQLKARAE GGVSIEDYLLPRSLTLRLAKSVLPPNTSIQKDAVLAIQKAATVFVSYLSSHANEATLK RTVAPSDVFSAISELEFDGFRSRLEKELDAFTELKAGKRKAKKGDTEVTAAEGVKGSA ASEGGGGASRGAKRVKRVEGEEAASSRPEEGDDGDETQDEAEQVDEQEHESEAEEDDE GEEEEEEEEEEPGEEEDIDRVEDLDRDSRARRLMDPDAAGDESDSDDDAGPSSQLRGD LGLG AFLA_069140 MDDGFSDVSELSSPPASPTPPPGFYPSPPPSQEADESSGTRSQD RDDLPPAKKRRRVAAPKERRTQRLDLSSSAGLSYTEQQAQIDLLTKTIRRHRKIVVIA GAGISTSAGIPDFRSTDGLFKSLQKKHNLKASGKLLFDAAVYQDETLTASFQDMVRSL SEEAAKTSPTAFHHMLARISQENRLTRLYTQNIDGIETSMPPLATQIPLNVKAPWPRT IQLHGSLEKMVCQKCRHLGDFDGDMFDRPDAPECPECARNNQFRIETGQRSHGIGKMR PRIVLYNEHNPDEEAITSVMNADVRSRPDALIVVGTSLKIPGVRRLVKSLCSVIRSRR NGVTMWINNEPPAGKEFEDCWDLMVKGDCEEVARLAALKRWDDHSENVFDECNASEVE RVKNEHGVSIVIETPKKRQKTQTGFLTPSSSHDEEASKVPKKGGSRSNPASRGRSLQE VLKASKTAESKKPAAKKSAPRRKIKKDEPAKNTRITTFSKVTKAQKVATDTEKAVKLE KEENKPMHPLPPGAARNNGPMIPQLAQKGEETPQRSSRWRQPDTISPKSVPKGMSQLL NQPAA AFLA_069150 MPTSVKGHVTVIFGKQLVREILALQKDIKFQPLSYTVSSTVELN QVIKGDAVPPPFPFDIRLRHIDPHVMVTKPAVDSTWN AFLA_069160 MDDGINVDDLFGESASLELGLPATAPTSNSTKGLAQRLDEMRLL GCCQKIAWSKQGCIAYISQDTLRVNLRHLECRPSDGKWVLSDDTPLHPVAEAHGGQPL VHLCWNEIGSELAVVDSSGRVSIYNIAISLNSLAGQRQAAFDPVDDATQIVGMMWLNI QRSVHAFNVAAMVQGRRAYSPFRRRPIGPFHPAGKAALLCVTRSGIIRLLYQNPDNKW AEISAELKNASYSDRLLTHAAIVATQNGILIATYSACQKIYFYRVQINWTPPQWDPSQ LKQAPNQFPVPSFRFMHSKVEAPCIIPSASRNGEATNDGMPSSTNPLYCLTRLDIVLA AHDNSAGMTTNPWIIAVFSIPPHATPDHSQQQSPCSVIVRWQLESAPQVLHPKFDEVN AKKNNAQIKVSKSRLAPSELC AFLA_069170 MIEQTEHGNVLAVTYDDSSVTFYDPKTMAVLNGTDDTNTLTSLA HAGFQYPPDSAGSFPSRYRGGTKFDTSIAGLHISFSPSGCNAVTLDGEGQAQLRVMEH SYGAENGLYDENKFSAAVASLTLAFCRGCGSEFNTDDILLILKRQASPDAQISFINEV YRALGVNCNYTQENDKLMSHQYLPKCLSVQAALGFIDKYKSRNFASNVPWTILQLRHA SVLYALFLQYLKGGVQAEPPDAGKISTCGKYAELFLTVRFDADAIRILLGNTKWALDL LHYVLNDLLDLADDLESLLSDQEAFAQKCQYTIPLISTSNQSHGHFLLILFVAVKTIS SLPLIILLSSMSRAFLRFICRGLRGIQAGYATAPLTGDAGVYYAEIYRTLDTSPVRID VYEKLLAGVDSTVRHVYHGAGFGDNERPGPEKELLVNGRIPPVLVTAVSTILRQTVPA LKPDIDRMAIYMGDYSWLGLGSDRRAELYRRTRDVDIIKKIPFRSTASAGSDETQSGK HNPSQVRRRCVRCCEIMCGAYPPRPQLSSRMMYKLGYVRYCICGGGWTLESDFHR AFLA_069180 MSSKVKAGQLWGKNKDDLTKQLEELKQELSQLRVQKITGGASSK TQRIHDVRKSIARVHTVINANQRAQLRLFYKNKKYLPLDLRPKLTRDLRRRLTKHEAS LKTERQKKREIHFPQRKFAVKA AFLA_069190 MAASTGTGWAQLRQQARSLETQTESLFHSYSQYASMTKLPPDPS EEEIRLESQLKELLEKRQSLVSQLARLLDSEATLTSSALKQNNLARHREVLQDHRREL QRLTSAIAESRDRANLLTNVRSDIDAYRASNPAAAEADYMLEERGRIDQSHNVIDGVL SQAYAINENFGLQRETLASINRRIVGAASQVPGMNALIGKIGSKRRRDALILGAFIGF CFLMLLFFR AFLA_069200 MWKRSMLLSSFTEATLLQGGRCLSCQFRNAAAISTIRLKPALRY YASSSNNDKAAKTAVPNPTANNKVQFKQNPSPLSGPSAAPQPGDDDFVPPTLDRPIGS VIPPQEGQNTGIDSRTLRQRRDDFVNYDRHLERRKELTRQVAKPYFREWSNLRHHEGK TFYSGPRLFKRDKALYFPNMHGTTLASPKEPQDTTTQLRGRISVVTLFSSVWAETQVA TFTGPEQNPGLHEALKSGGDMVQKVDINLEENALKAWLVRRFMWRMRNKLPEQQHRRY FLVRKGVTEGVKESIGMMNSKVGYVYLLDENCRIRWAGSGPAEEHELEALNNGIRKLI QEKKVSMESELPASEWGRKSQNESAAQKPRVVMRP AFLA_069210 MSPEMVQSISDKETFFQGLERLDALLDDSGDDAEGLSQIIALAE DYVEPVHQDEPALSDSPVQKLGALGATSALQSPSLDVTVQRKCVNTSIIVVEDVQDPT VKGSKIMALKKNKVDGKKKRSSTTKIVPEQHQIFKGLVFSKEVSQQNEPSDESLPLKP AGRQKRQLETQSQSSESEDDSEYPPDTQRVNDFSDEAHKEVVSEPLRERDALDDMIDE AKAVNNLPLDPLDSFDDEHVTEEADLGTSEESDCQPNTRKRKRSSGRDNEGNNDWQQK FACVQKHDPKSNSENPNRRTIDVLQQMLDYYARTDDHWRTLAYRKVISALRRQPKKIL TKSQALAIPGVGPRLADKIEEIVCTNRLRRLENTNLTREDIILQEFLGVYGAGISQAS RWLAQGYRSLEDLRTKASLTPQQEIGVDHYHDFSQRIPRKEVETHGEIVKRVVQKADP GMQVIIAGSYRRGAADCGDIDLLITKPDATIEQIRALMIDVVVPKLFKRGFLQASLAI TSRGDGSKWHGASLAPGGQIWRRIDLLFVPGSEIGAALIYFTGNDIFNRSMRLLASKK GMRLNQRGLYTDVLRGPQRVKLNTGRLLEGRDERRIFEILGVPWRPPEHRIC AFLA_069220 MGRKPNQLILEFFIRGQKLEDASNRYQHTCKACGEKFPKGRIDS LTNHLVKKCQAIPLRDRQRVLLRLHELPDLADGDQNKDPNVAGQNKGKGSDLPFTTRQ NFDGLNVLAEASRQVGASDQTKRGGPAYTQSVTVGGKTVVVDPALEAEGFQGHPQAEH VEEDVKPPGTPQGSNATLPSLPNASQDQPPSASPPLAEASLTPDPTSNARQSQLSMIA ASASEMVPQGMPLDHDIVDGLPKVGAWNQQLSTQEQLLFDSLHEHDPTLTAATQRAAA FPRPIAMNPNSQAKGFVNEFGNSTKPAKPKVRGRFSAARRREVQEVRKRGACIRCRML KKPCSGDSPCTTCASVESARLWKHPCIRTRIAEEFELYNANLHATLAYHDVSGIRNQV KFEHYAGRIEVTHFEESMVYVTFSALQGHKPSTSTLDPQLQGLGDDTQFQGPLHELYL LDSDADDLPGKLEMYIKKTASFFYEREASEVMRPTLLLASELSQQKKDILLERVLELW VATHILVDSDLRWRTFCNPTLPPTSMHALAQPSDDGRMPIDEVTNAESYALLCSQLRA ATEKRASQLSKSVMNDLERRLLQRQQSGWFETFLVALILLNCVERTCWLFRSWEDEAF AQRWPLDKRPPYYASQGDRFSDILHMLLKMRSLPPKATPRPDNGILKAVDGSDMNAAR WFDMIKVSPLYLEQRQAAVFDPNDSRSLDLRYGAKLLPPTNVYT AFLA_069230 MALAGESRPSSSSPLADEIVFFEDSVLMQTIANIISAYVKSYND TKHKGYHAIVVATDGPHICANNIVPQLLTSANPIQTLCAHNPKGPVLIYSEDPSNEYL KDLTTACERYNVHILLLSTNIFDNQAFDTSFFDQPASMLTLPCKESTNSQSAKRPIPS VGPSMPQNNQDLIKPENNEMTVTLRDVLLHTPVQSPKDNGSPHSRDKYATDTERDTVE AFLA_069240 MAPQPSRRLLIFQEARNPQNTAEVVYLPVNKLGLPICGPGPELP SILELPLRILKAFTDIFNQPKYKGWAVMGAGPYHDTSEEGKYYAVVLEQVQGHLQSPD SIVAV AFLA_069250 MTGSATPTSLVSSLVSTVRAASSPHPKSVANGNMGINEDDTRLL EQLFKSLGDVCMDLQTITTSSDPDPKRVRQLRRRLDAARRVLDGELDT AFLA_069260 MLHGSSTEDARHHRPPPPAIPPSPTLSNPDMILPFEGERESSTP SPPFNLPSLSHLQSFYNNRPFPQDYNGNGAPMASHRSQKKSFPRHTWQHDAVDASRRL SDIGEEDMSSTSSVSGFGPTLEVTHNRRMAGSPASQRTTEDTEAKDAGGRSSSSSSTI SGASESSSSEGAKNQQSGQRASQEGRAADQDHLLEAVRIMKENSIGRMVATTEEGGPD DELSSVILSSEAERILENAKKRLTLMEGNLTRARSSMRASPSLSASPTPSPGPQAMGL GQPVGGLYQSISRADRRASTRPRATYTSSQDTSNNRHSRVYSETRLPSVDQSSLPTVE PDLSRSLSALGSSSVSNFNNDERTFRYDPSRAYLTHRASVSKPHSARASPSNLQEDCS GSPSGLGISAEDTEDHTLGAEDLHSVYPVSDPPSRSQSQLHVRDLQDQMKGLHIKISS LKVKAQEDNLRRRSLQSLRTPSPFTAADQYFTNTMELRDSQLRPNAGRSPDPTQEPRR SGEYKHARDGNEAVTSHSGSEIPNATAKPPQPKVIEPVDEDGRSVIESLYEDAEEGEY NSDDSSDIDREALSEILREPLEEYDDLDAFPAVPNSDSRPHEEREDAFDYENFILHSA LGNYSARLRRTSNVSTSSVETTRPIHDRPHVRHSRTNSGASLSTVASYETATEGDHDD LESVLYWDRKFNDGESTNC AFLA_069270 MVGVKRPVDAGDERKGKRTKTKTPTVPTKKAKAAPEKKSSSKSV AKKSSKPEKKDKKASKKKPKKVADNAEAAKNKTSSRESHAKQKALLQERKAAKPNADM IQRSKQLWERLRRKSHVPLEERKKLIAELFDIITGRVRDFVFKHDSVRVIQTALKYAN IEQRKQIARELKGHYNELAQSRYAKFLIGKLIVHGDTEIRDLIIPEFYGHAKRLIRHP EASWILDDVYRQVATKEQKAKLLREWYGAEFSIFKEEKGKVPTAELSEILKENPEKRG PIMHFLHELVNQLIQKRTTGFTMLHDAMLQYFLNTKPGSSEANEFIELLKGDEEGDLV KNLAFTPSGSRLMCLSLAYANAKDRKLLMRFYKDTIKLMAGDLYGHMVLLTAYEVIDD TKLTAKMIYPELLNQGGDAEARNEELLYQVTDLTGRIAILFPFAGDRTKWLLPEIDQA VLKEVREIRQETSKKDPSVRRQELIKAASPTLLEFIAARADSLLETSFGCQFLAEVLF DADGDKSEALSAVAVAAKTRSDTKDLPFVGRLLKSLVQGGRFNSVEKVVEKVQPPLNF HGLLYEQIQEEIMSWATGSNVFVVVALTESDEFEKKAELLKTLKKGKKALQQAAAESG KDGKKKSSPTSSGAKLLLEKI AFLA_069280 MTPFRNFLTKRSAASNSGEADNVSRLSADSHQSSPLNIRKSTDN EPPEYKLSVVDDNGAYLPPSPPEKQSFWRRYPGSNRSSNHRDLVDENEPFSISRESFD SYRRSFDISARSPINHSDAMPSRTSLDSRFSRLSSPYVRGLEKQPTSMEEEQFEDVGL DDDNEAKPKKKGLFSRLGDFTNDSQTSNNSKLGFHIPGRKRGQSNVGSELGSMKSPPT LESELRDA AFLA_069290 MVRLLALAVLAAATVEVASAVALSTPQSPAEITIWRRDDLAVTT AAATATETPTEDTDGENIPNDDATTGDDDEVSTDGLKWRRKGKCRSDLDCDLGYLCGS GRCILGCLADKDCRRGQTCLGGQCRTAGGANPPSCKPYKQLCGANEECCSGVCRLGWR LTRECKHSKH AFLA_069300 MTADMDTQNEYDDSGLPGPGAPTPLSALEGVAGLTGRDIKLFVD AGYHTVESIAYTPKRLLEQIKGISEQKATKVLVEAAKLVPMGFTTATEMHARRSELIS ITTGSKQLDTLLGGGIETGSITEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCLY IDTEGTFRPVRLLAVAQRYGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRFS LLVVDSATALYRTDFNGRGELSTRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVDG GPSAMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRVCKIYDSPCLPESDCLFAINE DGIGDPSPKDLENN AFLA_069310 MAKIYKDTKVDLRPYSSNAVVNIQIPTHASTQSRARFSISSSVG ADEPIAKDEEEFSRRHLSSQGSIYFRKRTVYPRSFLWRVVNDSKVLEIQCVDLTKGGI EHSVYNNTIRLDFQEEILPSCVDFADLEDHEVLSVFVITASKQLYTLSLRPEFFRRTS AIDDNVLDWCKSYVPAPMSFSYPHRLHASSPLELFISLDNGALLRLTRRSGDDGSNWS PLTFDERTWGASIRGLVRWHAQPSIKYKGRNLDTNLANAIATTSDQTYAFAVCLNHTL KIWNLATNKLAATTDLLGREVQEPDSLSYTLNPADSSFIRVFNVERALDGAYRYYVIT YSPFEDGRFKFWAVKGGLTSPLVIEDLFPDARLRPLDPDSTGNVFWSIIDFQLKPAEE GKGMELWVLWRNSGVYQLYTLHFNFETLVRDWDTNWVSTAIDTRGQEPPPPMAFSDVV DPTEKWLKFLLQPNKYSPEVLETSLAVYQEALRPLSSPSVLKKSASLTERLCSTIAAT VSLRKFAEDEMDFARYRTDTDAKWRQFWQIAEDIDKRRFEPVSLLYDFYYETPWLLLS DSCAVIRECSSTELLLHNSGAELRAEGHKIADRWRHRNLDEEIGNMFEQASRLMRVAS GFRKRFPAELNAACQNALEAELFTDPSSSVQDRMDAFRDRCDFGEQISNKTYDGLLAA MDGYLNIYNLPNNVFYTIIDTIPLGFPGKDSDLLATHFGVKVTVNGVQEAILYTRQLL IDLLVLVVFVDGEVQQEDTSEFDAVDLFGSLITLLREYEMMAWLSSNTRKCLDRPTNV SDDQSASQFSLKDSPSKTTGSRMATILEDLFASDIKPRQTIGLPQSYTLTLGIHDVLS WVTRQGEVAFPNALVYIQCDLIAKNNIDLAWDFLRFQPSTSWATYVKGRLYVAMSEFD TAALYFRKAAYLLSCGKPLGNLHEMSSTLLDIVSVDSFHNGLPKYFQHILTIFEQARS FSHVADFARLALQALASENHNDQDPEYNILRTDLLSRLFYSSLQNCQFDQAYSALSRY KDFALQKSALSSLVTSILVASGPGTAGLQQILHFPTALIPNIASHVDDILASLARKQT TFSSLLDTGSSTPDYQRILQAYRIARGDYRGAAEIAYRNVQRLRNARDAPSSHLVLSK NRDIDGTQPAEEDDLESKEIRTELLSLINLLGCVEKSEAYILVEKEDSSVPAAPFADR RRSLQADDDGNVFMEEADVNSPTPYGSKRRLSSSATAIVPSGRRDSRSSVSTVGSHAP RRRVIVTLDHLRREYQSELDRVSRIERGDWEFGVLDAIEADNDDTMRL AFLA_069320 MVVHSGFLACQREDNSFRIPVRSCRDGFDFSLLFEETILGVLPL GLVLIIASYRLYQLFRKQRKVVTSWLLWAKLVSIIHLVLIALWALPAANRTQASIAAN AVLTVGILFLGVLSYAEHNYSVRPSLLLGIYLSITLLFDIAKTRTLWLRELAEINRII AILTSVAVGVKALLLLLETVEKRRILKNVYAKYPPEATGGIFNRFLFWWLNPLFKTGF SKLLSVEDLYTLDKQLASKTLHNSLETMWNNGSKPQMTDQFILQQPGNKNSLLLVTFR TFKWQLLSAVLPRACLAALNICQPLLLHRSLSFSVEPETNATTNIGYGLIGAYILVYL GMAVTMGQYQHMTYRAITMVRGAVISMVYRKATTLSVEDADPASSLTLMSADIERIVQ GWQTIHDIWGNALEIGLAIFLLEQQLGVAAVVAVGVAVVALAGSLISLVFVMSRQAMW LEAIERRISSTTSMLASMKGIKMLGLSDLLMTCIHNLRLDELRISRNFRKLLVWNMAF AWTTRIFAPIFAFGAFVGISHKNGNDAALNTSTTYTSLSLFALLADPLLNLVMALMTF LGSVGSFQRIQEFLEKKGHVDSRDKSRPLQLEPVQESKQLAFVEDSETLTDGSSSAKS EKEPTALSENMVTIKNGAFGWDTQKEPLLKSLTITIPRQTFTMLVGPSGCGKSTLLKA ILGEVPCLDGTIILSSERIAYCDQTPWHMNGSIKQSIVAMSGLDEDWYVSVTRACALV EDFKQLPRGDQTIIGSKGIALSGGQSQRIALARAVYARKDIIVLDDVFSSLDATTEEH IFQCLVGTHGLLRSIGSTIVLSSSSVKRVPFADHIIVLGNEGHVIEQGSFKALDLTGG YISSFALGLPEQNKAAEKTSNSGKSDVQVSSVEQDEDSEVDGPGAGGDISIYLYYVKS IGWLPTLIFIIAITGFVFCISFPSIWMNWWASSNEAEPGKHTGYYLGIYAMLGAVGML CLIVGCWQMIITMVPRSGENFHRKLLNTVLSAPMLYFSKTDSGAILNRFSQDLQLIDM ELPVAAINAFVTFVLCICQMVFIGIASKYAAISFPAVILAVYGIQKVYLRTSRQLRFL DLEAKAPLYSHFADCLGGLVTLRAFGWQQAMEERNHELLDYSQRPFYLLYAIQRWLTL TLDLVVAGIAVLLIVLVVVLRGSMSAGYVGVALLNVILFSQSIKLLVTFWTNLETHIG SILRVKMFSENVPSENLPTENDSLPPDWPSQGNIVFDSVSAEYRASEPVLRDVSLSIQ AGEKVGICGRTGSGKTSLLMSVFRMVELSSGGIQIDGVDISKVPRQEVRSRINGVAQS PLLIRGSVRENIDPTGCHTDKSIMEALRAVQLFSKVQENGGLGTEVDELFLSHGQKQL FCLARAILRQGNILVLDEATSSVDTVTDEIMQRVIRERFSNHTILTVAHKLETILDYD KIIVLDAGRIVESGSPYALLASDTSHFSKLYASSMMEEAE AFLA_069330 MSMRMRMSSPETTQLISAWHEGQLDALLQTTWALVLYRYTGSGD ICFGFQQPAAGDFATHSSDSASICTCRLSVSEDDSIRGLLEKAKGQSDLVNSAEKCGT PSVVNKSFRLYNTMLMIQNCRETIRISTDIPVQPVAATALPDECRIRLHVKVLREDID IFMEWWNNDMSTEHIISMSNYFQQTLTRVLVADDMIVSKLRGIVGSDWDRIYKFNAVT PETHDRCIHEIIHEQALLQPEREAVCAWDGSLSYRELDLLASQLAYYLQVQGVGPEVR VALCFDKSKWNIVAMLAVLKAGGAFVPLDPTHPAARLQSLVRSVQARIMLCSRNHAED LRVVVEHLIPLDNDTWEERSIPRGDVSLLTEVKGYNAAYVIFTSGSTGEPKGTLMEHK AYVSSAMAHAPRLRVFSNSRLLQFAAHTFDASLVEILTVLLVGACTCVPSEEARLNNI TKVINDMRVNHATLTPSFVDFISISDVPRLETLVLAGEAMSQSHLETWSKINLVNGFG PTETAVTAAVNSNVTRSSDSRDIGLPTGIRCWIVDPDDHNQLVPVGCVGEMLAEGPSL ARGYVNNQQKTAEVFIYDPSWAKGQGGDPGRRFYKTGDLVRYNSEAGSLTYIGRKDTQ VKFHGQRIELGEIEDNLNTDSNIKHSLVFLPKSGFSEGRIVAVLSLPIFDDISDPEPA PLRLLDDSKKNSIISQIRDRLSARLPAYMIPTVWLCVEALPMLVSGKLDRKATANWVG SLENDPNIQLAKLDPMPDDSSTRSGNATEEQLASIWSRVLNVPRNHISLNESFLSLGG DSIAGLTCVGFCAKQGIGITVQDILRSKSIRDLATRAKDIQQPASYEETIEKPFSLSP IQKLHFMVRQEGQGHFNQSVLTRLNQQVDEHDLRRCIEILISRHSMLRSRLTDLGPEG LQQRITEDIAGSYRWRLHDVNCQGQIEHAIADSQSSINAFTGPVIAVDMFIGNGEEFV LSLVAHHLVVDIVSWRIILEDLEELLLNPDEATSQTGSLPFQTWCQLQANKCQEPNIA LDNLPPPDLAYWGMEECPMTYGDVDCETFQVDAESTHTLLMDCHQCLQTEPIDVFLAS LLHSFQKTFSDRPLPVIYNEGHGREVWDSGIDISRTVGWFTILHPITLQHIAEDDPVK TLIGVKDLRRRVTDNGRQDFARRLVGDAKDRGHPSPMEISFNYVGQHRDLQRQDGLFQ LMNQMAGETGRGGGAADFGEKTPRFALFEISAMAVQGQLRFTFSFNRYMKHQQSIRDW ISNFHNLLKHLGPKLQSHAPKPTLSDFPMLSLTYEELETMFSSRLPSAGIESPEQVED IYPCSRMQQGILLSRSRDEGFYSVHDTFEVRGSRGEPDLNRLVFAWQQVVMHHPMLRT IFVDKLTSRELFCQVVLKTIDSQPSIIRCIDENGVLAAFDEQGPMTYVGHRPPHRFTI CQTTTGKLFCRLEINHVSMDGSSISIIARDLQLAYAGKLETHRRPMFKNFLHYLREQD DSTEYWCSYLSDYMPCHFPVLNDGVCSENQLRSIRLNVNFYNELLEACERAGVTLSTA ISTAWGLTLGQFCGSDDVCFSYLASLRDMPVEDIDSIVGPVITLLACRMKIPGDGLLT DILHQVQNDYMEQLSHRNTSLIDIQHALKLSDTTLFNTGVSYRKLPPHNANAEEVQLV EVGSIYDPAEFPVYVNVEVADDDAHIDLNYWTTALSVGQAENVASIFLKALENIAHYK DTKLSTIGDIDFLSEQFCTKLCEWNAKLPARPERCAHEIIRQQALSLPLSAPAICSWD ANLTYSDVEHLSTRLAQYLIGLGVKPEVFVTLFFEKSAWYVIAQVAVLKAGGAFVSLD PSHPESRLQGLIEDVGAHVMLCSVKHTEKASRLCNTVFAVCEATIDGLTVPATIMPAS IPSIHNAAYAIFTSGTTGKPKVSVIEHISLGIAASTFTEIFRMGPRARVLQFSNYIFD VNISETVITLMTGGCICVPSEEERLNDLSGAINRMGVNMCTMTPSSISTLKPEAVPSL RTIITGGEKMTKSHVDRWADRCVINAYGPSEATVASTASVKADGGRRLTEDYNSIGTA FAGRAWIVDPKNYHRLLPIGAIGELVLEGCNVGRGYLNNAEKTEEVFITDARWTEHSG LKEIFKNKERMYRTGDLVRYNADGSLCFISRKDTQIKLNGQRVELEEVEQQCVGHLPA NTQVAVEVVTPEAKTVAKCLAVFFTTDDHDKNESDLLFPMNETTVSIVKKLHSSLKES LPTVMIPKLFFPVRRLPIATTGKLDRKGLRTMVEPLPKEKLMQYTTFNSSSRQIAEDG AEGKLRALWEEALGLAPGSVGAGDSFFGIGGDSLSAMKLVSSADSQGIALTVADIYAN PILADMAKICKSSEAAADTATIEPFCLLPSSMRKEALREVADQCHVSEGSISDIYPCS PVQEGLITLSTKQQGAYIARPIFKLTDEVNLERFRGAWQRTVDELDILRTRIVHTDSM GFLQAVLDKEHISWTTATTMEEIMDDTPELPNHSGGLLTAYAIVQPGDSSSRYFIWTI HHALYDGWSVPLVLKRVEELYKDPSATGSTLPYKLFISHLQDKDLSESDNFWRSQLAD ISCSPFPHSKASTPDAVRVGNRHHSSVEIGQTPASKDLTLPELIRAAWAIVISVHTGS NDVCFGETLMGRNISLRGITEVAGPVLTTVPTRVQVDNELLVTQFLQNIRTLTTAMIP HQHSGLQRIRKLNDNTSFACDFQNLLVIQTEEEVMNGDLWVPENNQTSDEFFTHPLTV ECKISGSKLVLTVHHDEIVLDSWQTERLTSQFTHVIQQLLTVSEKDIRKVGDLDVASP QDKQEILSWNQRQPARVDRCVHDIIREKGAAQPEAAAICAWDGQLSYREMYGYASSFA AYLNTRGVGPEALVPICLDKSVWAIVTILGILIAGGAFVPLDPAHPTSRHKEILEEIE ARVILCSPQYRNRYAGSVKTVVPVSQETIRAYSALTRKTPSPNRATPSNMAYAIFTSG STGRPKGIIIDHRALASSATAFSPIVHLNENSRAFQFASLTFDAAVMEVLATLMHGGC ICIPSEEERLNDVVGAIRRMDVSWAFLTPSIASIIEPSSVPSLKVLACGGEKLSREVV MKWAHRVKLINGYGPTETTIFAVLNNVTPSTDPACIGYGIPSTLTWVVDPENHNRLSP LGAIGELALEGAALAREYLKSPEKTADAFVNEPTWIRDFPSSLPSPRRIYKTGDLVRY NSDGSIEYISRKDHQVKIHGLRMELGEIEHRLCEDRRVRHAVVILPISGLIQKRLVAV LSLESLNSGNGLNSDGACELISQDQMDAAYSELQEIQKSLESQLPIYMVPQTWAVIKK LPMLVSGKLDRKKITAWVEKIDESTYDRIMQDYDNIKRGNTEHKDEEDNDSSINLLRD IFTQVLNIPSSKIDPSRSFVSLGGDSITGMAVISRARKHGLNLTLHNILQSKSITELA LTSTAIVQAVKHEEKSGELFNLSPIQSLFMQTTDEFQGKARFNQSMTVRLTKRTKPDT VKNAIKAVIDRHSMFRARFSKSSDGNWKQTITKDIDSSYRLRTHSINNAGEMLPEIAE SQQCLDIQNGPIFAADLFEVRGHEQVLFVVANHLCVDMVSWRIVLQDMQEFIETGILS SDKPLSFQGWCDLQFENSKRENGVINLPFSIEPPNLTYWGMTNSQNLYGHVKMESFTL NEEATAFLLGRCHEALRTETVEILLSAVIHSFSRVFTDRNVPMIYNEGHGREAWDASI DLSRTVGWFTAMCPLHVDEGSDIIDTLKRVKDTRRKIANDSRSYFAQSLLHPTGSDSK SFPVPLEVVFNYLGQLQQLERNDSLFQHYGGAFDAETFEVTGDMGPQTPRFALFEISA IIIKDRLHVSFTYNRNMQHKSRIQNWVSECRKALEEEVLSLKDYSPAPTLSDYPLLPT TYAGLDDLVKKTLPRAGVESWNRVEDIYPCSPVQEGILLSQLRDPHGYMFHGIFEVRS LQGKRIDSALLRKAWAMVVNRHPALRTLFIDSNYKGGTFDQLVIKASGDDVLEFECDE SLAFAKLDQVKLHDINATRRIKLPQHLTICTTASERVLMKIEMNHAIVDGGSVDVLFR DLALAYNNQLPAGSGPRYSDYIKYTRSQVHDKALKHWKQYLAGVRPCHLSFSTKPSGD RQLGSHLMSFNRFGELQKFCEHNSVTLANLTLSAWAVVLRSFTGSDDICFGYPSAGRD SPVPGIHDAVGIFINMVCCRVKFISGQTLQDVSKLVQSDYIGNLPYQNCSLAQIQHEL GQQGQSLFNTTLSIQNRSAPEDSGDKTISFEMQRAYDPTEYPVTVNVETTKGREGIML RYWTDTVSDSQAKDLADAIAKVFTCFIESPSRLVSELKLAPSQGPSVTSNSALVDLDV LNSDALRKLIDIRVNEIITRMLKEGALAIPTVHELHIKASEGSFATKRMPRERDLSDS MLTLTNYSRASTDTRESTDLEKRLWTLWSSALGLSPNIVNRKGSFFKLGGDSITAMKM VGAAREEGLSLSVADVFGNPVFEDMLAIVVAKDTPKLFETHTEITQLSEKVPETPVVI TRAVSPDEISVLRPMPIDDSSLQSSICSKIGVFRGGIADVLPVTDFQAMSITASLFKS RWMLNYFFLDGKGPLDIRRLRESFLRVVDAFDILRTVFVCFHGQFFQVVLRKMRPEIV VHETEMSLDEYTESLQQRDREQEPRQGEQYVQFYVVKKKNTDHHRILIRMSHTQFDGV CLPRIMSAIKMGYEGSPIPPTSSFSNYMRMLPGSITPEHYQHWSTLLQGSKMTDIIRR QTPNNFQHIGSFTAQKKAIEISATVIGDVTLATVMQSAWAMTLAKLSAQSDVVFGLTI SGRNATIPGIESTVGPCLNMIPVRVKFGDRWTGLDIFRYLQDQQVANMPYESLGFREI IRNCTDWPDSTFFTTSVFHQNVEYEGHMQLDDNMYRMGGVGVVDNFTDITVVSKPTGD GKLDITLAYSQRSAITTPSATKILDMLCETAHSLITNPQITLPSPSTLCSLPCQVIDD LPRPTEEHFLTSNLNSRSISELLVHSDILNKTWQHVLPNKNPETPRPPFQLNSSFFGL GGDIFSMGQLVWCLEQEGLQVRLEELLEHPTFLGHLAVLALHNAKQENLVERTRTVES RARVPSVSVKGRKSANWNPLGKAVTLARRFTTKWSSKA AFLA_069340 MTRDEERSSSHSSLDDDGNSLELRHTNYDERPNATLEKQSTAAS ALSVFEQRAQSVVSRIRSREPGQTARFTHPLTHTKTSTDVIVDFDGPDDPYRPLNWSF RKKAITTLLYGLTTMGATWASSIYSTGTRQVDAEFGVGEEVGTLGTALLLFGFGLGPL VWAPLSEVYGRKPAVLAPYFIAAVFSFGTATAKDLQTVMITRFFTGFFGSAPVTNTGG VLSDIWTAEQRGAAIVGYAMAVVGGPVLGPIVGGAIVQSYLGWRWTEYLTGIMMMFFL TMDVLFLDESYPPVLLVYKAQRLRFESGNWALHARHEEWDVTFKELGNKYLIRPFQLL TTPICFLVALYASFVYGIIYLSLAAFPVEFQEVRGWNQVVGALPFLGYLVGILFGAAV NLLNQKFYVRRFKANNNFPVPEARLPPMMLGSVFFAAGMFVFGWTGQPDIHWIGPVIG AVMMGFGFFTIFQAALNYLIDTFQKVSASAVAANTFLRSVFAGCFPLFASIMFRKLGV PWASSVLGFVSVALIPIPYLFYIFGKRIRAAGKWSRASVYGD AFLA_069350 MLKVGSWLYGKKAGANASTQSLDSLVELRDPATLILNDDVDGAE DGLSEGVSSFHNLGRGVVAFIRATLGFEQEIMRQASERLNTAETSAASDQNKAQHNSH APNTYHSPIYSPGTEFALCQAMAQLMSAVVGVLNESLTESIKGFYKMRKAYITLDGIL KMEQAYMQSICGGVSPADQGEASKPSQTATVEAKGLSQRLSDLSVSQDSTKSGESTEL STPNPSDMLSHDPDSDIFKNQIDVFVHSGSNFCFGILLLVISMVPPAFSKLLSIIGFY GDKERGLRMLWQASKFNNLIGALAAFAILGYYNGFVRYCDIMPDPVPGDQGDVQGYPQ KRLEALLAQMRQRFPKSQLWLLEESRMEGANKNLERSLELLCGEERSPLKQVEALRVF ERSLNAMYLHKYELCAEAFLECVELNSWSRSLYYYIAGASHLSLYRSTIVTDPKKAEE HAEKATEYFRTAPTFAGKKRFMARQLPFDVFVARKIAKWEARAKEWSVPLVEAVGVDP IEEMIFFWNGHSRMTQAQLDESMQKLAWSESDENKKWSREGPEEKAILQLLRAAVMRA MRKHDEARQLLKESVLNHDKSLFTGHLKDNWIHPVAHFEMAANLWMERPGYIAVHDAP ATEGKITNGEEGTQLERKQVQECKEYLEKAARWESYELDARIGLKVTAAMEAVRKWES THSTPAN AFLA_069360 MSNSTQSHDKPEASQQPQQQKPPVLEEDDEFEDFPVEDWPQEET EQASGSANGANGHLWEESWDDDDAAEDFSKQLKEELKKVEASR AFLA_069370 MSLSNKLAITDVDLKDKRVLIRVDFNVPLDADKKITNNQRIVGA LPTIKYAIENGAKAVVLMSHLGRPDGKANPKYSLKPVATELEKLLSKSVIFAENCVGK ETEEIVNKATGGQVILLENLRFHAEEEGSSKDAEGKKVKADKEKVEEFRKGLTALGDV YINDAFGTAHRAHSSMVGVDLPQKASGFLVKKELEYFAKALESPQRPFLAILGGAKVS DKIQLIDNLLPKVNSLIITGAMAFTFKKTLENVKIGNSLFDEAGSKIVGDIVEKAKKN NVKIVLPVDYVTADKFAADAKTGYATDADGIPDGYMGLDVGEKSVELYKKTIAEAKTI LWNGPPGVFELEPFANATKKTLDAAVAAAQSGSIVIIGGGDTATVAAKYGAEAKLSHV STGGGASLELLEGKVLPGVDALSSK AFLA_069380 MFSASRRKILDGLNRRYIYGRLPLLHSIIFLIEMAVAMRLAAKF NSYYAEKPVLTTMVTNAVLGGVADTVAQLITAFKARPGRPNYDPGDLISIEIHDLDKE KPPALGELGHARHLPPPFDFERLTRFMSYGFFMAPIQFKWFGFLSRAFPLTKKNPTIP ALKRVAVDQFLFAPFGLVCFFTFMTLAEGGGRRALTRKFQDVYLPTLKANFVLWPAVQ VLNFRVVPIQFQIPFVSSIGIAWTAYLSLTNSSEED AFLA_069390 MPSLEIPGEFLRHSVLDTVVPHASDIDLEAALTSALEGGADDLS SVLSFIPQRSLLFFDEFCNARIVLRLSNCSQASLKYHLEHLEVKLDVFAIDPAETVAE NPTPTRDLIFSGVVKRDEEPLVVVNEFEGETGSGNHVYVIWSIETFLKRPRIRIQHPS LLFIASVSLNPSESRQQESRDDDYLPPLIPASINILQPLSSDNAFPQKDPFLPASRLL RVVPAQYSEDPIYNVQQQSGHPIRVVPAASARIRYSRLNSYSGRPTTVASLDFEVTPF LNCEVVFDKAELHMSDGTIETLSDASGLVPPISCRPRDDVTLIYKLTPEYGPDPNPST TVMVSILDIRLEAIIKLSPNCSPRILMQWRTNVDFSMALNPTFGGPSQALQRTNRPAS LPMTPNQSNTATGGPPSRSSFRERAYSVADMGVTVSFSGPASVVVGKPFAWNVFIVNR SATSRKFALNAIPRRKRADPRSHVARPSSSSLTSRREDQVAEAVTDDNIVHAMQKSVA GQEAELVCLSTDLRVGPLLPGTCFATELKLLPLAVGALHLEAVRLVEVNTNETTDIKD LPDILSFDRNGIPPQDKK AFLA_069400 MNPMNGPGAPALWQEARNADGRVYYYNVQTKATQWNKPVELMTP VERALANQPWKEYTADGGRKYWYNTETKQSTWEIPDVYKNALAQAQTAQPPPAAGPTF VAGGVSSFSSYPQQRERDDYDRGYGDRRGGYGSGDANGLVAAPMLGATTEPEYSSVEE AENAFMKMLRRHNVQPDWSWEQTIRATVKDPQYRALKDPKDRKAAFEKYAVEVRMQEK DRAKERFAKLRADFNTMLKRHPEIKHYSRWKTIRPIIEGETIFRSTDDEDERRQLFEE YILELKKEHAEKESVKRKAAMDELVNILKSLELEPYTRWSEAHAIIQSNDQVQNDDKF KSLSKSDILTVFENHIKSLERAFNDARQQQKAAKARKERHAREQFTELLKELRSQGKI KAGSKWMNIYPLIKEDPRYLGILGNSGSSPLDLFWDVVEEEERSLRGPRNDVLDVLDD KRFDVTPKTTFEEFNTVVLADRRTANLDQEILQLIFQRIQDKAIRRTEEEKHAADRHQ RRAVDALRSRIKRLEPPVRPTDTWDQVRPRVEKYEEYKAIDSDELRQSAFDKVIRRLK EKEEDTDRDRDRDRGSRRDHYDRSDRDHRSYRGERRGASSRLSRTPEPDAYEADRRKA QADRERSYRKVSGLSPVRERREERDRERDRDRDRYRERDRDRERDRDRDRDWERERST RSLSHYDRERRDREEERERLYRTRGDPRGSRDELDYGADTRSTVSNDRRRRRDSDTES VASRSAKRYRRDSRERERSGGPKREKDRRERTPAVEEAKKEEKAVHSGSEEGEIEED AFLA_069410 MSDKLTRIAIVNSDKCKPKKCRQECKKSCPVVRTGKLCIEVTPE SKIAFISERLCIGCGICPKKCPFGAIHIINLPTNLETQVTHRYSANSFKLHRLPMPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWEEILKYFRGSELQNYFTKVL EDDLKAVVKPQYVDQIPRAVKGPVQNVGDLIKARAQMDNMEHILDVLELRQVRGRDIG HLSGGELQRFAIGLVCVQKADVYMFDEPSSYLDVKQRLAAARTIRELLRPDDYVIVVE HDLAVLDYLSDFVCVLYGRPAVYGVVTLPSSVREGINIFLDGHIPTENLRFREESLTF RLAEAGDEFLVNRDRAFTYPSMEKTLGNFHLKIDAGNFTDSEIVVMMGENGTGKTTFC KMLAGAEKPDNGVTVPKLNISMKPQKITPKFQGTVRQLFFKRIKAAFLSPQFQTDVYK PLKIDDFIDQEVQNLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIVAARVIK RFIMHTKKTAFIVEHDFIMSTYLADRVIVFDGKPSIDAHANAPESLVTGCNSFLQSLD VTFRRDPNSYRPRINKYQSQMDQEQKLSGNYFFLEEES AFLA_069420 MVIPSTSEPSTVMAFANGHPASPASDTVSFGNEPLASDGDSNMF DSVDNHVVSDSSPDPDAADESFNADSPDAEGYEDDSAMEDNAKDSSQSSSENSSSSES GRGTKRKSSSVNESDYIRQNPDLYGLRRSGRARTTRQVAQSLSDSDSDAVAPRSKRRR PVASQQTSKRPSRSATQSSFSEDSETSESEYGGSRSRTSKTKRRRQQASASAPSHAEV RFSTRNAARVSTYNEDDDDSMFEDDPDELMQNYWVNAVEDDRPAVDIVLNHRLKAGVD SSNTDLDRHDFEFYIKWQGKSHYHATWETAESLANCRSTRRLDNYIRKVLYEDIRLRQ DEDVAPEDREKWNLDRERDVDAIEDYKQVERVIATREGDEGTEYLVKWKRLFYDSCTW ENEELVSEIAQCEIDRFLNRSSRPPVSDKSESNPASRKSFEAIKGTPSFLRNGELKEF QVKGVNFMAFNWVKNRNVVLADEMGLGKTVQTVSFINWLRHVRRQQGPFVVVVPLSTM PSWAETFDNWTPDLNYVVYNGNEAARTVLREHELMIDGNPRRPKFNVLLTTYEYVLLD SSFLSQFKWQFMAIDEAHRLKNRESQLYAKLLEFRSPARLLITGTPIQNNLAELSALL DFLNPGLVDIDADMDLNAEAASQKLAELTKAIQPFMLRRTKSKVESDLPPKVEKIIRV ELSDVQLEYYKNILTKNYAALNDGAKGQKQSLLNIMMELKKASNHPFMFPNAEAKILD GSTRREDVLRAMITSSGKMMLLDQLLAKLKRDGHRVLIFSQMVKMLDLLGEYMEFRGY TYQRLDGTIPAASRRLAIEHYNAPGSSDFAFILSTRAGGLGINLMTADTVVLFDSDWN PQADLQAMARAHRIGQTRPVSVYRLVSKDTVEEEVIERARNKLLLEFITIQRGVTDKE ASEIQNKMARNGISITEPNSTEDISRILKRRGQRMFEQTGNQEKLEQLDIDSVLANAE LHQTEQAEDIQADGGEEFLKAFDYVDIKVDDLTWDDIIPKEQLEEIKAEEKKKADERY LAEVIEQNRPRKRNVPGDERDSREERKAKRQARAQVSLDDGDESDSNTQLDPKRPLIE KEYRHLLRAFLRYGDIDDREEDVIREARLLDRDRETVKGALREITEKASSLVREDIEK MEALEHAGKMPTKKEKKAVLFDLHGVKRLNAYTIVERPVEMRILKEATNAVSDFKNFR VPEATKAADYSCPWGAREDGMLCIGIIRHGYGAWAQIRDDPDLALGDKFFLEEHRVER KNERLNAEDKSTKSPGAVHLVRRADYLLSVLKDKVTNGSSVNAKRAVENHHRNNRKGS RPHASASVSASPAPSIPRKGHREMDRTSDASSEDVRRRKNSENGYSAGKEDVARLFFK PIREDLRKVSAVTKENYPNKAERASELRNLLRKIGEFIDGTLKGQSSVHSLETRLWFV SRVYCLDISH AFLA_069430 MTESPGSPLSSIASDDMSDREELKQGFSPSASNMPPSKRRRTGI ASWDRNTPVSTTFQDEIPPASPSSSISSDTSGDIPNSPGTLALIGGSQDDDYSGQGND QVTVCRWEGCDAGDLGNMDDLVQHIHNEHVGSRQKKYSCEWSDCTRKGQTHASGYALR AHMRSHTREKPFYCALPECDRSFTRSDALAKHMRTVHETEALRPSDPVPKHHNAPSAA GTPAGTPGSKLQRIKLKLSQPPKEDPEQQLNEKMNEENKYLDCYLNDWEEHGYHRELG FQPYESKLPPQQLYRLLRRQIHWAEKETVELRDEWERVLPKRKHAFLEKEAIFEDVCD AELRLFSLLMGSDAAAPSSSAAATNGAKKQPETADTEMTLNPESESVAA AFLA_069440 MPSLVGRDVGHTGYGLMRMTWVPQPPPQEQCFEALNTALAHGSN FWNAGELYGTPEYNSLHLLHSYFAQHPENADKVVLSIKGGLKPGQLAPDGSEANIRRS VDECLRVLDGKKKIDIFECARQDPKTTVEQTVTVLAQLVKEGKIGGIGLSEVDAETIR RAHKVHPIAAVEVEMSLFDLTILQNDVAKVCAELNIPIVAYSPLGRGVLAGAFTTAAD IPDGDFRKTLPKFQDEAMKQNIKLVNEVNDLAARKGVAPVQIALAWVLTLSGKPGMPT IIPIPGGTTSAKVAQNLQAPRLTDAEMAEIDAILKRNEIVGTRY AFLA_069450 MTQTSQFSSPRLIPVHSSKPSNFDCFKSRSMALLAKASSLACLL VLAFLALASISHSRPVTEISRSGTLHARDPDPRLIPGSNDLVSDILDSIGLQNFQKLN NWKQNQATDESSNTAPTKTQQNNTTPSSNHTAKQTTPSGLADPAGDPSGFVSGLLRLL SDRFKQAWHSSDEHTLT AFLA_069460 MASCKAGFVSILNNDDNPSFTVRSSPRLCRHHSTSSYPYPSEPR REASNSYYRYGYAHSDSFPVNRQQFDSVSDAAQPTSPGSSDCSYDYMSAGSSYYYQPN RQEPHSYQAPTTGTAMTTAEKRLSANSVSDPPSPPASISGSRDAMATKVNRKNKYPCP FAASHNCSATFTTSGHAARHGKKHTGEKSVHCPICNKAFTRKDNMKQHIRTHRTHSED RPTGVTEKDTDASNRWRVRRDSPLYNHHRSSSQSQMDGNAYDSMRTMR AFLA_069470 MAYYDESHYHSPRDRYARPSSVYSQDYYSGDGPYSSSRHEAGVV RRRDGSNESLPGDYGYEYGYGLPPQSRRSRVSTVQEGVHRSHSMGGRGSYYDDPDYHH SRHSRRSKRYDYEDPRDRYRRSQRSPSSSRSPPPRRRRKSLSEQAMEALGIGSSASSA SKHHEHRRGRSHGHHSRSYSYSPSPTRSRSRHRRDRSEQRIAQAMKAALTAGAVEAYR VRKEPGDWTGAKGKRILTAALTAGGTDGIVDRDPSKHSKRHIIESTLAGLAANHFVNG PRSRSRSKSRGREKSKSKLPDLAAAGALAAAGKEAYSRFRSRSRPRGRSHSRDSEDDS PRRPRRRSKSVSDYINRGMEALGLENKDKDKDDRRRHHDRPSRRDDYSDYDSDSEYGS RHHGSSRRTRHSRDVGRSLNPDSNHSPSSHSRSGATGEEGHTYHQDHYSNSDKDSDLG SSTDEEHQHKKMTRKMLLTTGLATVATIHAAHGLHGSMEKHKKRVKMVKEGDMSPEEA RKLRVKNNLVDAASVGLATLGIKGAVDEWRHVDHMRKERKDFRKLCDSRRERRRAQSY GAAPRRYTMYPDEIEEYPPSQHGSRGRSMSVAQEV AFLA_069480 MIVPKPPVKLEDHCSVIYDNTLYTYSANGFASISLERNATWTQL PMGEPVSKAACVTGGLDGNEDQQALYVIGGTPSKGESSGLQRYSFKDKKWKTIDSVSG NMANRTSHKAVYLKSSSALLIYGGHQRDETDASSDTFAINTQEPYNLSAYSAENASPA YDPVLLTWNDKEAALVGGLTTPDQVHLFDPIQGWHSSDVTIPAPLSNDVQCALVNGTD GSKILEAFDMSASPNNVTSITLLNSDGALAHKGNSSESSSTKRGITLDDYPTYDDSLA PTTTRKDYSLAQGDDGLVVISSGSGSDTLAIFNQTSNSWVNATKLFYGDQTSQQILGT TTTTPTATASGSSETSGAPASDDSSSSDVGTIIGATLGGIVGIAAILIVILFILKHKK DAKKRAAQAQDGGDKDRLSFQDRGVEPLTRSAYPMAKSPAPLAASSVDSLAIFSGHVG EEKTPKPAGAPPSFDHKPSPLSTIQSSRELSSGEFDKAIEAQDSLPGNHPGDRRTSEG WGRYFQDNSAAPLMVPPAAVPARPDSTATTATAWPMKTLAPLNTNFLEAPKPLGCVVS GSPTTEHATSPKNGQSITIPESQSARISSASDITIESDDGYEHPGSRAQQSWLGRPPS STYSRSYYNPSYYNPSTSDVPSLVAPLRDNRMNTRGSSVLIPDTVEPVPPRDTRNNNI NSDMSWLNLNAER AFLA_069490 MELHREGNTSNEKKESLCVNAIEKKQENNEITDGVRLGSAVSGV EEPLACLPQAASSSQRAGTRAGSILDVDGVIHSIHGLLSSLLQFLSPRTYLDDVEFNL IVPRENITIRVAILFFRSTTIPFRGISWVLTPVKPNRSWSQSLLL AFLA_069500 MENSDIVLFVARIFWPDQCLSFQDLDRLEKSSLFSITPQFATWN IYEDMRIVARTAAVSINVTLYGPVAKTTIPILGE AFLA_069510 MNPIGAEELRVNMPWGAPFAALSDTCRDRQLYHEQTGSSMHEDE LSILNSVLEYLAGLRTGFATGWI AFLA_069520 MIGHRPAIGEIQPQGLLSAAIGSLASGAVDLMDKTNQCTSQGIA WRIVAPS AFLA_069530 MQSTRLELVHLTIDHLPGYFSVKSDMEVVKWSSPGPLTSIQEAE EELLRDLSEDNRETFAILLRDDLDPKTIEEWQGPEAKDGSNALVPGGFVGFIGVYDLH HVAQVFYTIHRSAWGLGIATEALLAFTELFWILHPDHYRLLGRCDTENPASGRVLEKS GFEYYDFICADEFQPWMVPHARDSLRFVLAKPGYTFD AFLA_069540 MESSRGPPRVKNKAAAPIQISAEQLLREAVDRQEPALQAPTQRF ADLEELHEYQGRKRKEFEDYVRRNRINMNNWMRYAAWELEQKEFRRARSIFERALDVL PTSVPLWIRYIEAEMRNRNINHARNLLDRAVTILPRVDKLWYKYVYMEETLGNIPGTR QVFERWMSWEPEEGAWSAYIKLEKRYNEFERARNIFQRFTIVHPEPRNWIKWARFEEE YGTSDLVREVYGAGIEALGEDFMDEKLFIAYAKFEAKMKEYERARAIYKYALDRLPRS KSVTLHKAYTTFEKQFGDREGVEDVILSKRRVQYEEQLKENPRNYDIWFDFTRLEETS GDPERVRDTYERAIAQIPPSQEKRHWRRYIYLWIFYAIWEEMEAKDVERARQIYNECL KLIPHKKFTFAKIWLMKAQFEIRQMELQTARKTLGQAIGMCPKDKLFRGYIDLERQLF EFVRCRTLFEKQIEWNPSNSQSWIQFAELERGLDDSDRARAIFELGIEQPTLDMPELV WKSYIDFEEYEGEYDRVRQLYERLLEKTDHVKVWINYARFEINIPEDEEEEEEEEERP VSDEAKQRARAVFNRAHKVFKEKDLKEERVELLNAWRSFEHTHGSPEDIDKIEKQMPR RVKKRRKLDDDRYEEYMDYVFPADDQSAANLSKLLQRAHAWKSGQA AFLA_069550 MSTAEELLRDFESDDEDFQAGEGVEEDVDEEQQHLQKAGSEVTN EFEVALSTGDELTRLHKLLRDHYSVRFPELETLVTSPIDYAKTVAILKNGPLNDIKAL SSSADNMVGEPLKSILDGPSLMVVSVEGTTTRGREMTESELKVVLDTCERILKLDRER RALTESIQSRITQIAPNLAALIGPETAAQFLNQTGGLRELAKIPACNLGAQGNKRTEG LGFATNIGVRSRGFLYNSPLIQEVPDDLKRQAIRIVSAKMVLATRADVSNFSPDGSLG EDLKQQCFTRLEKLTEPPPNSGVKALPAPDDKPARKRGGRRARKAKEAVAMTDMRKAQ NRMAFGKEEAEVGYGTGEGTVGLGMLGQQNDGRIRSTQIDNRTRAKLSKSNKGWGTAT PASGTASSLRAFSSGVGGTASVLQAKGLRSSGIGTSLGGSGTASTIAFTPVQGLELVD PKVQAELSRKRKAEEDRWFKSGTFTQVGGSQSNNNSSQKDNGGFKVPPIPPQKKVDTG EGKMGPPPLPLKR AFLA_069560 MAPVEIGQPVFGVDSPKPTSQSPSLYDHTLITTDFDALKFHAAA SLQLQFGHAHETAALQSDLLITSPYNDPKHLLDLKTLDTPNQLLAKALTILKPIRDDY ATAPYTESFNWQAVFNFLRDLAQTNGYRWETQHFYVVVFRSRLQADIDNQRLHDLDAY SHQEAVASGGLLKYWFGTKDGERRNLATCLWRSRNDARLGGTGPWHKKARGAARDMYE DITFTTLKLVIEDDVRSWRITDWTEEDE AFLA_069570 MGLPFWGDREKDAGISVREHNASQESTIQDGSVKYTAAEGINST SVTYQDASGAPVETDSPLGYSVSFWTSLCLNINQMVGTGIFSTPATILKGVGSVGLSM IYWFIGYLLAQSTLAVYLELASYFPSRSGSEVVYLEQAFPKPDYFFPTTFAVKHVVFS FGSSNAIVFAEYIFGIAGSGYTNWQLKGVAVAAYTVATLIVSSSTKWSLRVVVWFGFI KIATLVLISIAGLVVLGGHTKVEDPMINWHDAWKGTSSASAYGATNAMIKLIFSYSGY TNAFSVVNEIKNPIKTLRWSAPFSLLLVTSLYILVNVAYFSAASREEILNSKQIAAGV FFQKIFGTNGASRALNVLICISAFGNLMAVMVSYSRMLRETGRQGVLPWPKFWTSTKP FGTPLGPYLVQWSITVIMILAPPAGDAFNFVVDLSVYPSSIFNFLLVVGLLLIRRRRS KLNLPRPEYKSWAIAIGFALLANLYLLAAPWYPPTGGANGGDVSFWYGTYLVVGIGLL VACGVYYYVWIKLLPKYKGYEFRQTVLEFDDGSVAHNLVKVPVAELARWDVEHDAVGR LRHRTTYQSSTATDEDKSSEQKNVS AFLA_069580 MRPIPQIIYLIVLLASQLVTADEYIESRALSLCSNSLEFTATYF NVKFTPKNRTIALSFNGRSSISGKVIAELIITVYGYTAMTKVLNPCDMDLEGLCPVRA GEIPVMNTNIKIPDSVISQIPGIAYTVPDLDASVRVFINSSDTGRSITCLEAELSNGK TVYQPGVGWTTAIISGLGLAASAVTSALGHSKAATHIATNVLSLFGFMQSQAMFGMSS VHMPPIVQSWTQNFQWSMGIVRVGFLETLCTWYQRSTGGRPSTLIVNHSKKSIQILKK RFHGTLLKRAGKSGADTHKSIVIRGIQRVGYKAGIESTNIFLTGLIFFVFFATLAMIC VAIFSVIRKSLVKSGKIKNDEVKWNFIPKGILFRVILIGYPQICILCLWEFTQHDSPA EVILAAVMLLTTTVAIGFATQKVVRLAKQSVKLYGNAAYTLYTDPACLNKWGFLYVQY QAKAYYFIMPALVYVIVKSMFISLSQAAPVVQTIALVIIEALMLISVSILRPWMNKKT DIYNISIAAINFVNAIFLLIFSNVFSQPGILTGVMGVVFFAYNAVFSLVLLVLMLIAS IYAVLSKNPDIRYQPMRDDRESFIRSQSQHQLGTELDALGAAARGDSIGQKIEDDFTA KALKAGLTEEEAKSAFNSNMMSTGLLSEFPAEFAKENNRRWLVSAYEAGDVVLHKPHV IHASTINNDEDNIIRLATDLRFCDSSKPYDKRWMNYYRFNDGV AFLA_069590 MAAPAHQFKVADISLAAFGRREIELAEIEMPGLMAIRRRYAADQ PLAGARIAGCLHMTIQTAVLIETLVALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETDEEYNWCLEQQLSAFKDGKKLNLILDDGGDLTSLVHEKYPEQLKDCYGLSEET TTGVHHLYKMMKENKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKV AVVAGYGDVGKGCAQALHSMGARVLVTEIDPINALQAAVQGFEVTTMEKAAPLGQIFV TTTGCRDILVGKHFEVMRNDAIVCNIGHFDIEIDVAWLKANAKSVQNIKPQVDRYLMA NGRHIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIALYKAEDAEFGKKYVEFGT TGKKPVGVYVLPKVLDEQVALCHLEHVNAELSKLTPVQAEYLGLPAEGPYKADHYRY AFLA_069600 MKIQTLFSLAAVGLTFANPIHNLEERGPITDIAGCVGNTVKEGL GTLCDTTGVCNMLGGQEGLTSCITNAVAEVTDIAELTQNPLGWLQSLDCSKEFLGGVE DPQELQDKLQHLPQRFTHSVAGIIANGAKCLSLE AFLA_069610 MKFQTLFSLATVGLAFATPVHNLEARDDYTNCVINLAKEAVSNG CGSLPVCRVLPHFVQCMQNGLEQLDSGFFVITGFPTLLVGCTTDAQKALTENEFRELS DALSPLVEESVAQCSAQ AFLA_069620 MKFQTLCSLATVGLAFANPIQNRGQDFTDCVTGVVKKGVTQGCA TLPEACSVLDKFTACTTDATAQITDITDLDQRRSEWLASLNSCGQTLWDGLKNAGVSE VELNTLQVSFLEMTSESLTSCSKSA AFLA_069630 MNNKMQSPTILLLGTFDTKTPELTYTHQTLLTQTPQPNILVLDV SHHPPPTTTTTSTTDLNITHTIPQKDLPAPRAEYIKAASEHATTIVADLYKANKIHGI ISIGGSCGTNIATAAMRNALPVGFPKLMVSTMASGDVKPYVEETDVTMMYSVVDIAGR NWILEGILRNAACAIFGMAGGYYESLLKSQSHREGGNGGSRGKKRVGITMFGVTTPGV DRIRAHLEDVYGFEVYVFHATGAGGKAMERLIREGQLDAVVDLTTSEVVDEVMGGVLS AGPERLVAAARAGIPQVVSVGACDMVNFGPRNTIPERYEGRLIYEHNPTVTLVRPNAE ETVEVAKFIAEKLRSCAVKPELIRLVLPTGGISMIDTPGQPFYDPEVDEVLFLTLEKE LDGTGISILRDPRAINDPEFAVSVADMLGDLVKSL AFLA_069640 MSQIRLTGAIWSPVDGQTPKSIPESAGRQTYPTSGALEPRGELH PDLSRDDSLSSISDVTRLLSCDDLASVPLNSDAYYTVSTPCSLHVVNIITFHVQFSHE LYRFFMYRLLKHFLRICQLNNQWQSYYHNKDSRAIRAIQDSLHCCGFRSVKDRAWPFK DRTHDDDACVEQIGYARACLGPWEQEDKGAAWMVLWAAVLILVVKIASSQLNRSPGWM GRANTNRFIRISGAEDQEEGEEHANANGNVGDDRPTHQLPQPGQSTHDDVWSR AFLA_069650 MAGWMPTLPETLEKTFLREWQTFKHENCYVSSISQLIFEHFNIP SLEDH AFLA_069660 MPRPTTRQEVLDRLRKTVADGKIIVGAGAGIGLTAKFIEKGGAD LILVYNSGRFRMAGRGSLAGMMPYSDANQVVVEMASEVLPIVENTPVLAGVCGTDPFR DMRTFLTELRRLGFIGVQNFPTVGLIDGKFRQNLEETGMGYDREVEMIRIAHEMDLVT TPYAFTVDEGERMARAGADIIVVHVGLTTSGTIGAQTALSLDDCVTIIQEIRDAVVKI NPEIIVLCHGGPLAGPKDAEYVLKRTKGVHGFYGASSMERLPVEMAIQENAEAFKKLQ VNV AFLA_069670 MLSPQALFGALVLLSAPSAVVADTCKAPINHPGEPFSFVQPLNT TILTPYGHSPPAYPSPNSTGNGGWETALVKAKQWVNKLTLEEKTWMATGQPGPCVGNV LPIPRLNFSGICLQNGPQCVQQGDYSSVFVSSVSAAASWDRKLLYERAYALAEEHKAK GSHVILGPIGGPLGRSPYDGRTWEGFAADPYLTGVCMEETINGMQDAGVQANAKHFIA NEQETQRNPTYAPDANATTYIQDSVSANIDDRTLHEIYMWPFANAVRARVASAMCSYN RLNGSHSCQNSYLLNHLLKGELGFQGYVMSDWGATHSGVSSIESGMDMTMPGGFTLYG ELWTEGSFFGKNLTEAVQNGTVPMSRLDDMIVRIMTPYFWLGQEKNYPSVDASVGPLN VDSAPDTWIYDWKFTGATNRDVRANHSAMIREHGGQSTVLLKNERNALPLRKPRNIVI AGNDAGPLTQGPDLQADFEYGVLAGSSGSGSCRFSYLSTPLDAINARARKDGSLVQSY LNNTLLTTSALTSPLWIPQQPDVCLVFLKSFSAEGEDRTSLELDWNGNAVVEAVATHC NNTIVITNSGGVNVMPFADHPNVTAILAQHYAGEETGNAIADVLYGDVNPSAKLPYVI AYNESDYNAPLTTTVQTNGTYDWQSWFDEELEVGYRYFDAHNISVRYEFGFGLSYTTF DLKDLKAKGSAAANLTALPAKRPTEPGGNPALWETVYTLEAEVSNTGDVDGYAVPQLY LQFPTSTPAGTPPSQLRGFDKIWLEAGEKKTVTFDLMRRDVSYWDVVAQDWRIPAGAF TFKAGFSSRDFRANSVATLVKA AFLA_069680 MSRPLEGKFGIVTGGSRGIGEAIARNLASKGCSLLLNYTSASSQ SRTETLCSELANQHSIKCVSVQADLLHTEEAVTTILNAAKENFTSETTGTLQVDILIN NAGVSKDRFLNDEEKGPMDREYFNWHYTINVLAPLLLTQAVAPFLPTDRSGRIVNISS VSSSIGFTGQTVYGGTKAALEAMTRTWARELADRATVNSVNPGPVIGDMYFATGEEFW KQMQGWLDNTPLSKVVDGEEKMKSLTDEQRYLIKEKMGGRRPAFTSEIAGVVGMLCTQ DGFWCTGSVVCANGGMKMGL AFLA_069690 MPPLRTFSLRLLRPSRTITATPIRNLRPLSTCLPKHPSPVRITT TKPLSLSPPQLTTQARWGSTQTSSGKSQADLMVEELQELYETAKDEFEIATDSTNGTT IYAASDRESARDALNQLSAVFALYTTEMPSYDESHQPQQTQPDDSGSQMVSTYFDPGD IAPEVRQEVKRRVGQRVRELANAVEALEERAKDD AFLA_069700 MASHTKKDDYCLECHWESFHIDGKESENQSNAPATSQWDCSGEG HDASLDHCHVDDACCDMDDCSITCPSVCDGLVDCEETACTDTHCNDGCDDTHCENAET LCFDEHCFGNNGNDTAVADHTLESLLGLAGPINLESNDLLSACTVGQSQGDQLPKPTG NITSDMVAPQPSMDSLFPQHSIPVAHCHSHSFPHFHFHDSSKDAHGNMMHQPFPAQNG VNPADVFHMLGMCPDLSACQNFHVHENTNCDHVDKPNTDASSNSFACFHIPPNVNLND LMKSPVHIHSNPSRGPCRTHHRCRTHAHAHVHPYGHYSPYSRQSRSSVSSQLISSPGD TPPPLEGGTPSVLTSPVTPTESEVHICKWTTTSGGAKTFCGAQFSDPCTLQEHLIAQH MSTINGAKGTGYYCCWEGCHRPDEPFSQKSKLQGHFLTHSNYKNFRCSVCGKFFARQA TLERHERSHRGEKPYKCSECGKAFTDSSELKTHSRTHTGEKPFKCTFPGCNFQTGDSS NMSSHRLTHGERKHKCIYPGCTKSFTRPDQLKRHMKSTHKHDSSTMMSPVSEQFTLSF PIV AFLA_069710 MGNICSRSKNQPEAFSSPGRVLGSANPPPGDTGKSSSGPRAPLP ANASTGRTLGGAGAPGAGADTADARANAAIAAQKRAESTTAGNKGKLGSKLAAQKAQT QAQTLGEASRNERSARDADNAAEARRWE AFLA_069720 MMIAMRGAGEMMGGATEDLVALRYVNRMSQSYPYLFSNIYFQKR YRDRERDRDSYRRRDRSLDRRADYRDEDTYRPSRRDRSRDRRRSRDRDDDRDYRRRSR DRDFRSRRDDSRDRARRRTDDSADLKHKSRRDDSRERARDSVPRSREVSAYGPLRFYS RVLIDRIISQASKPSTPAANTGPTEDEKRAERLAKLEAWKQKQAAEKERKQREAAAAG GTRSILDEIDRKSGLSPAVGSPQSSATPIDAAPASYTGKFDPKAIAKNAAPAPAVKSV LGDDIAVPPSAKTSATFPSTKTEVQANKPSATSSKTSSLKVKGNVGSFGLGTKQAADT EKASATKTLGFGEEESTRRKLERLPTPPLDDAKDSNKEEDVTAEDEDDDVDMQDGDTE EENAAAARAAAERREERLQNEARDSQSNGDVQMSDASNQKAPDKMEVDAQEEEEVDPL DAFMSELAESAPPKKTAGAKFAKAKPQQPEALFGDENDMDMTAVGDGDADDFLAIANK AKKKKDIPTVDHKKVEYEPFRKKFYTEPSDLAAMSEEEAASLRLELDGIKVRGVEVPR PVSKWSQCGLGVQTLDVIDRLGYSAPTSIQAQAIPAIMSGRDVIGVAKTGSGKTIAFL IPMFRHIKDQRPLENMEGPVGLIMTPTRELATQIHKDCKPFLKALNLRAVCAYGGAPI KDQIADLKRGAEIIVCTPGRMIDLLAANAGRVTNLRRVTYVVLDEADRMFDMGFEPQV MKILANVRPDKQTVLFSATFPRNMEALARKTLNKPVEIVVGGRSVVAPEITQIVEVRS EDKKFIRLLELLGNLYSTDENEDARALIFVERQEGADTLLRELMRKGYPCMSIHGGKD QIDRDSTIEDFKAGIFPVLIATSVAARGLDVKQLKLVVNYDAPNHLEDYVHRAGRTGR AGNTGTAVTFLTEDQERYSVDIAKALKQSGQSVPEPVQKMVDSFLEKVKAGKEKASAS GFGGKGLERLDQERDAARMRERKTYKTGDEGEEEEEKEEKNEKAEEQFNKVLSSVQSA AAPSLPGVPKGIDLDGKITVHKTEKDANGSKNPLDKVGSAVADIHARLSRAGVMRSGV PIDNRGPDAGAFHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGSFYATGKE PGPGENPKLYILVEGETELSVTNAMRELMRLLKEGTIAAADSDARAPVGGRYNVL AFLA_069730 MPLNLARRGGGILRKLHLSDAFSRPVRVAVAQSHVRRVSLITRG QALRPICRVLPSTSVFSQRLLKTYATTSDSKSEKKKSTKSTKAGKGTKKTTKKAKKSS TAKPKPKPRKQLTEKQKEAKKTRELRDQIKALKATALEAPKRLPERVANLSIIEKLQE TRKTHNNTQEAFKAATELAKTISEEERARFAAVAESNRNSNESTYDQWIKSHTPLQIK EANLARSRLTKLTNKRYPPLRDDRLVKRPSSSYVFFFIERTGQGDFKHMAAKDIATRV AEEWKGLTESEKEKYHKLQLADTERYLREYQEVYGVEAPHVRKSSE AFLA_069740 MNGEKTQNTNPWSFGSADPLNASVGFGFGFGAPPPPTNGDVGTQ EKKDNWGFLDTKKKAEPEPEMADDDDWGWGVSTKKKDKKKKDGAILEELEPVVENPPV VADPAPEPVPEEKKEEDDWTGWNVPTKEKKKKKGKGAAEPEPVLEPEPEPEPPAPAEP EPEPEPKLPDDPLYNNWDTLSSKDRKKREKSLVKKGLPIPGKDFEWPPKAPAAPVEEP TKEPESELQPETEHEPTPEPEPEPAAEPEPEPALEPEPAAEPESEPAPEAKLPDDPLY NNWDNLSSKDKKKREKSLMKKGLPIPGKDFEWPPPAPAPAPAAEEPAPEPEPVAEPEP EPAAAPEPEPTPELEPVAEPEPQPASEAKLPDDPLYNNWDYLSPKDIKKREKSLIKKG LPVPGKDFPWPPPPPPEPVLEEPAAEPIVEDVPEPVVEPAPEPEQAPEPEPVLEPEPE PEPEPAGEPEPQPVPEKSQSQSQNQSRYLNPNLSLSPSPSPSLKLRLNPNPNQRLYHC QMTRSIIIGTSSQLKTRKSVRNHSPRRRQNRSRHLNLSPSPSPSPSLKLSLSLNRNWN WNRNPRPRHCPMTRSIIIGTSSQLKTRKSDFDWPPPPPPEPLAEEPVPDPAPEPVPEA EPEPEPVFEPVPEEPEPQAEPEPEQEPESKTPSLPDDPLYNNWDNLSSKDRKKREKSL IKKGLPIPGKDFEWPPQVVPVAEEPVQEPEPEPVREPTPEPEPAAPPTKTPVVTSKTK SSTKNSVAERIKALEEKKKEKSKGKSTEKSKAKEVPPPVEDPPEPPQEPEPEPEPEPP SAPELPAEPEPVPEPAPPEEVVPVAEPEPEPVPEPEPVLLPKAEKKKKKAKAVEPQPA PEPEPEPEPVPPPKVEKKKKKAKAVEPQPVPEPEPEPEPVRALDPEPEHEPEPEPEPA PVNVPEPEPEPAFEPAEPPAPEPEKPMKKIRKSRRSVPVPEPPPAPPAEAPVADAPEE AAGGPPTPPPEPVAPERAARKERPRVERAPGSTSWDMWGAAPPPRRSGHREEKHRRRE QSPSGMGRSKSTRHSRPREPEDEPERSLEPENDRQREPALNRAGAFSNFIFGAPPPPT RTKSSRRHGEHAPRHTSRRPPVDMDDTGFPSPPPDEQFEMPDKAARMMGAPPSGSRRE RPRGSRKRSSMAFYVRVTLSKVN AFLA_069750 MGLIGTLRQHIRPELPERQKSRSYKDEDAKYMTEPEREDARRQR RDERRRRAMRPDPDREGYATDAGPAMAVPEIQIEDVDARREARRARRASRQGDVDPYQ DNEFQEAEERRARRRERQRAREREMQEMQLREEEERAQRRREEKMARRAAREERRARE EQEAREAEAQAQEAREVEAREARAAERRERRRQEREAGIYENPSYDPRSRKRHSYMDD RAARDFYMQGGDPDRAYRSRRHGDDGEHARRRRSRAPEETRPLPMMPGQRKDKTSSWV NSQASDPPEPPPVVPTMLDMPPAPGEPMDGAHSISSDEEARRDLRRKARRRARYPGLT DQEIEEERLRKREARRSERGQKSSSGSADYERDRGMRYDRYDQPPPSSGGKLPNWFKK LTSF AFLA_069760 MVSCAILTPAEVLKQNAQVLDMNSPTSTSTKTPRQGATIQVLAK FRHRPWKLWSGYTALVGRNLPFTGLHFPIFEGVRRWLVSWRGRERRNGDRNGGKNGNG VFERAVLTGIAAGVSGTVASVVTTPIDVVKTRVMLGAGGEELKGTEKTVRKVKGTLAV GREVWKEEGLRGLFRGGALRSVWSAFGMSIYLGIYEGGRMYLEKRRKEDEGGVL AFLA_069770 MAEKEVPLTAVKVDALVVMKIIKHSSQSFPTTATGSIVGMDVDG TLEITNSFPFPVVEMPADSHFDNAAPNPAAAAPRAKANVAYQAEMIRMLREVNVDANN VGWYTSANMGNFVNMNVIENQFFYQKEMNERTVALVHDVSRSAQGSLSLRAFRLSSKF MTAFKENKFTSEELQKSSLRHQDIFVELPVEIHNSHLITTFIHQLQCPSQSTPTDLPP SLAALEKSSFVKDSVLAPNFDNLSLSIDPFLEKNCDLLLDSIETHHTETNNFQYYQRS LAREQAKITAWQAKRKAENASRAALKQPLLPEDEYQRLFKLPQEPSRLETMLNTRQVE QYSRQIDSFVSATTGKMFAVKGNLLPGETAK AFLA_069780 MPTETFNYGEEHALQTVTVTTLSETLDKKFWIILIHGGAWRDPK IDAINFSNPAISKLTTSPKYADVLPHITAFASISYRLSPHPDHPQDQSSTNPRDYRGS KHPDHINDVQAAIAFLQRKYGFEERYILVGHSCGAMLAYQSVMGQFKAGISHGPVAVA GLAGIYDLKKFRDTHKEIPAYQEIVEGAFGDDEAAWDAVSPAVVKGADGIEGGWTHGS FAILAHSSDDSLVDETQDEAMDAALIGGWWKLQSEDKLRCVTRMPLEGEHDECWEKGD GLAEVIGYAIEKQLEITYEEEPEESKSPV AFLA_069790 MASPSSSQQQLGLSSTPPPPSVVPNYFRTDPTLRSSRQRLPVYL PPSSDDTANWRTQFISSRGNEYFCEIDEEYLTDRFNLTGLNTEVPYYQYALDLVTDVF DLDADDDLREQIEKSARHLYGLVHARYIVTTRGLTKMVDKYKKGDFGKCPRVMCEGQP LLPMGQHDIPNMSTVRLYCPKCEDLYNPKSSRHASIDGAYFGASFPSMLFQVYPGLVP EKSTSRYEPRIYGFKVHAAAALARWQDQYREDMKSRLRDAGMEVKYVEDEEVEDDEDD DEEDQGFDPKERVVGDAASGRMDMGV AFLA_069800 MELATLAAMVQQQFDRLNQRVDNLQESLNTRVDNLQCTLFQQSN ELQDSLTRRIDETMDRRSAVSQESLIQRSNQLEESLVQRSNQIHDSMLQRANEF AFLA_069810 MAQRTEVLQKNLVQEMFNFHNSVIIPLFDGIHGKLAAHDRDIQR EFQNVNKRFNRVDTRIDTLDMDMKREFNKFRLKDLIEYYRLQDEVIQATAEDDDSFAD TELEYTEQELEHAISSNAWGVLVVLGGRIGIDCDALHDRVIQFHAQKNASSKRDASEL SGTNKRAAKIHHPLPVRVQPASEYEREADVREPSAFSGVCWEVDSEKFKQSLDPELGV ARLSLRLSQNSA AFLA_069820 MHTLNMQALVALSPLLFSAATALPQASVTPSPSSSVPASSGPAP TATAGGNPFEGYDLYVNPYYKSEVESLAIPSMTGSLAEKASAAANVPSFHWLDTTDKV PQMGEFLEDIKTKNAAGANPPTAGIFVVYDLPDRDCAALASNGEFLISDGGVEKYKAY IDSIREQVEKYSDTQIILVIEPDSLANLVTNLNVQKCANAQDAYLECTNYALTQLNLP NVAMYLDAGHAGWLGWPANIGPAAELYASVYKNASSPAAVRGLATNVANYNAFSIDSC PSYTQGSTVCDEKTYINNFAPQLKSAGFDAHFIVDTGRNGNQPTGQSQWGDWCNVKNT GFGVRPTTDTGDELVDAFVWVKPGGESDGTSDTSAERYDAHCGYADALTPAPEAGTWF QAYFEQLVENANPSL AFLA_069830 MNEIKTLFPSPRTIYHKITKKYSTCDIPYLPTVKESSQTSQNPS TKMTTAAPKVNSSLGETGQDAATQLFELLHSKNPQMTLKWNPESQRFTLTGFSHLKES EIKRIIDQKSYLGYEPKLAGRTSGGDVIIRLDPIKRNDPSILWKETEY AFLA_069840 MPPSSGHLLLPKFWRAARFAYEKACKTIRTKFPEQVQHGSLRFQ PAFARITPNQPINRAAAIRQARRRHYSTRARAFVSYLRTGLQGDRAAYKTSRVASNIS RLTTQAPFASTLRPNLTGGTLGRTAGGYTIGAGRIGGARYFSHGPAAPAQVIQNVSMG VRAFFLSGQKVRFDGIDEVSGNKKYKAVSALQDQAERKMTGIPRTAPGSFVDFQLSPT ITAFGLQKKFDPSGAFASDTINSDGLLDFLSADFARALKDLAAVLNDLKRLSTLGDLP ILLHDKSTLRVRFPGCDAVTVERLCDEVGVQRGKIMQDEDFDARTGADLALLFPFAPS VPASPETVDYLFSKGPYEPQAPEEVDWQAMMSSETNTEASPEFRGNPGSKLSFEDVTL FGENPWQQSSSSSGYSSINISELGDRAYFNEISSTGLPESASEYEGSDGLHRFLAECN RAPPIS AFLA_069850 MASLQHTKKTYTLNTGDKIPAIGLGTWQSKPNEVREAVKNALLK GYRHIDTALAYGNEAEVGQGIKDSGVPREEIWITTKLDNTWHHRVSEGIESSLKDLGV SYVDLYLVHWPSSTDPNDKSKHLPDWDFIKTWQEMQKLPATGKVRNIGVSNFGIKNLE KLLNDPSTKIVPAVNQIELHPNNPSPKLVAYNTSKGIHSTGYSCLGSTNSPLYKDPTL LQLAEKKGKTPQQCLLQWGIQKGWSVIPKSVSKERIDTNFELDGWNLTDEEVNQLDNL KDRRKVCGDSWLPIKVFFGDDE AFLA_069860 MALLSKEMQIEASENTQSTTDMLKLLWNLAPHVEAFPEIAVLQT FLEHKVDIKLSQEMVNKALELQDYDALKVLIKYGSPQELNLQDAVAAIDNSPPY AFLA_069870 MRSLSSIALLSVVGAASAQAGPWAQCGGKSFSGSSECASGWKCQ ELNEWFSQCVPGAESTTPTVSSTPTPTDAPSVSITASATTGINKSISVSSASKSTPLP SSSSASPSPRPTGSGSFAKADGLQFSIDGETKYFAGTNAYWLPFQMNDADIDSVFDHL EQAGLKILRVWGFNDVNTAPSPGTVYFQLHDKEKSTSTINTGKDGLQRLDYVVAAAEK HGVKLIIPFVNSWDDYGGYNAYVKAYGGSKTEWFTNEKIQSVYQAYIKAVVSRYRDSP AIFAWELGNEPRCSGCSTDVIHGWATKISAYIKSLDPNHMVALGDEGMGLTIGSDQSY PYGTSEGNDFEKNLAIPDIDFGTLHLYTTDWGIKDNAWGNGWVENHAKACKAAGKPCL FEEYGMKGNHCTDELKWQKTSLSSGTAADLIWQYGQQLSTGESPKDAYSIFYGTDEWK CAVMDHMENVNKN AFLA_069880 MKFFIPCIAAIFATGVLAAPTPDASLNVPLDKRDDRGQYTVSGL GSRKKAIIDAGGNSLDLAIAMLEIETMNTAHYPYGDGKTYDAANFGLFKQNWGLLREC AHRYGFKGKSEDQWNDGAVMNSNVYADVASRWDCQNYYGYDKWFAGHRNGASGLANPH TEDINSLWEGPTVFNPVSTLLTASNLKTETATLPSTGTISSGSPGLKDDVLAVRTHIE KLVQQGEDVLLALHSAGGFIGSEAMEGFSRKDREERGLSGGVVGIAFVAAAIVEEGMC MGICPLVLRRFVLFSCGGGGGGGGGVNGG AFLA_069890 MIQNHQDLQASIFQASGLLTDVLSRCAFIESHCLTSNTTDPETK DMLRNAIVRVYFAVLQYSSSVVSIQHSGAGRQFRLSVIALADQPLTALKTAIGEEEKH LERWVQFDQQLLWKQKAEQTLAQIDDVLSGIQDLSREMELCKLTIAEGAAFDSYMNQH EVECLPGTRTDLLKQVTDWSLSTQGECIFWLSGMAGTGKSTVSRTVCKLLQEQGLLGA SFFFKRGKSDCGRAEKFFATIVRQIIIQEPRLIPSIRRAIQRDPSISTKSLGEQFNKL LLEPLLAVKEDPAGISVLAIVVDALDECDPENDIGLLLRLLPRISTQSPIRLRVFLTS RPEIPIREGFDNVSENDHRSIGLHGVVESSIRHDILLFMNDRFEEMRQKFSLPQGWPG ESTIRDLVNIATPLFIAAATMCRFIADRRWNPEQRLALVLGSGSTKNAPKSYISKLEH TYLPVFEQILHSRDDDDDEDEKEQLVQEYRVIVGTIIILANPLGLTSLAKLLNMPSND VSRRLDFLHSVLSIPDDEDTPIQLFHQSFRDFLLHPKVRTKTKFWIDEEHIHRETLFR CIEVMTRENGGLSKNICQLSSDATLRSDINDEVIRDHFSAELRYSCHYWSYHLQQGRY QITDHDEIHKFVQKHLLHWLEAMSVLGLAPEIVRGIRTLQSAVQVENWNAELLSLDIK DVNAVAFSPDSRLIASASMSKQVKLWDSVTGLLLHTLDQVFYTGGLTFSPNGAHVACS SWDDTIQDDTVQLWDIDTGALYKSFPQPTSPVVNLTFSPDNKLLVLATSEDTIDICDL ASERVIRTLEGHSDRVNALAISSDSKLIASGSNDNSVRIWKIDTGALLQTLEHSGPIR SVGLSPNNELMASVPRERAIWIWDAATGELQQKVDFDIIESSIFSPERILEATGFNSA RLSDRILSTLFHKFDGSSRETWKLAVSPDSALLVSCSDDGSVRLCDARIAATKPTIQG HSNEVAAIQLSPNRKLLGSVSSDHKVLLWDATTGSLLHTFEGYSRKSGTFSFSPDSKL VAFRPQSDSTCVQLWDVETAQLYRTLEDHLDSIDRVMFSPNCQQLASIEEGGTITIWN IQTGERMFSLESRGSWVCVAFSRSGAKLATGSYDTVRVWDANTGVLQLELKEHKTIVT AVAFLPDEKLISSGSNDGKLCFWDLSKGELSQTLHSSGAINQIVFSADGRLMASGTLS QTFRLWDRETGHSIYASDYHASHYRDGIANLRFSSDNTYLEADFGRLRIPCNEKNNSI STRRPNIILGEQWLHIGEERVLWLPVEYRPKTSAYYDGTFAIGSESGLVSFISVDMSV DANGHS AFLA_069900 MSFLGTVNPNIPQQQSVVSHNNALRSHGDTDDDTPVYETPSTAA TQYGDREKSEEALTLQEDNEEKMEARVGDLARRLTRQSTRVSAKGALENPFFVEDPES TLNPDSPNFKPRDWLKMLLAIRSRDPEQYPDRTAGVAFKNLNVHGFGSPTDYQKDVLN SILEIGTIVRKLMGIKMQKIQILREFDGLVKSGEMLVVLGRPGSGCSTFLKTISGEMN GIQMSDDSTLNYQGIPAKLMHHAFKGEAIYSAETDVHFPQLSVGDTLKFAALARAPRN RLEGVSRQQYAEHMRDVVMTMLGLSHTINTRVGNDYVRGVSGGERKRVSIAEATLSQA PLQCWDNSTRGLDSANALEFCKNLALMSKYAGTAACVAIYQASQNAYDVFDKVTVLYE GRQIYFGRTTEAKQFFVDMGFECPDRQTTADFLTSLTSPSERKVRPGFENRVPRTPDE FAAAWKRSDARAKLIIEIEEFEKQYPIGGASYQSFIDARKAMQAKHQRVKSPYTISIW EQISLCVVRGFQRLKGDSSLTVTALVGNFIIALIVASVFFNLQDNTASFYSRGALLFY AVLLNAFSSALEILTLYAQRPIVEKQARYAFYHPFAEAVASMLCDTPYKLVNSITFNL PLYFMTNLRRDAGAWWTFWLFSVVTTYTMSMIFRTIAATSRSLSQALVPAAILILGMV IYTGFVIPTRNMLGWSRWMNYINPISYAFESFMVNEFHDRHFECSQIVPSGGIYESMP MQNRICSTVGADTGSTIVQGSVYLEQSFQYVKGHLWRNLGIMIGFLVFFAFTYLASTE YISEQKSKGEVLLFRRGHQPKVALDKTDSESPEPGGAPKTDESAPQASAGIQRQTAIF QWKDVCYDIKIKGQPRRILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVI TGEMLVDGRPRDQSFQRKTGYVQQQDLHLATSTVREALRFSAILRQPAHVSHQEKLDY VEEVIRLLGMEAYADAVVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDS QTSWSILDLIDTLTQHGQAILCTIHQPSAMLFQRFDRLLFLAKGGRTIYFGEIGQNSS TLSSYFERNGAQPLSPGENPAEWMLDVIGAAPGSHSDIDWPKVWRESPEHAKVKEHLD ELKSTLSVKPAENSDSEAFKEYAAPFYIQLWECLIRVFAQYYRTPSYIWSKTALSILT SIYIGFSFFHAKNSIQGMQNQMFSVFMLMTIFGNLVQQIMPHFVTQRSLYEVRERPSK TYSWQAFMTANILVELPWNTLMAALMFFCWYYPIGLYNNAKPTDAVTERGGLMFLLIW VFLLFTSTFAHMVIAGIELAETGGNIATLLFSLCLIFCGVLATPENMPGFWIFMYRVS PFTYLISAMLSTGLSGTDVQCEAVELLHFNPPANQSCQQYMAAYIQGAQGYLQNPEAT TGCAFCTISKTDTYLAAISSNFDDAWRNFGLMWVYIAFNIGAAVFIYWLARVPKGKRA SGAT AFLA_069910 MIRPQEASGTDHHQKSWLFIQNALEMVNELCFGPPDLLGLQALL LLVTFLLGTSAENPCGFLVSAAIRICHELGLGKTEGGSPLCSEGIQHKRTLFWIAYCL DRELSLRFSEPPAQSDEDFSVTLPMEAPTDSKYSMPTSDLSGSFNAFRSTCQLAIIKG QLYKDLYSPAAEDRPLSQVIASVGVLDEKLQEWKRSIPPEYQPEKAVADGHRSKISPV LLLLHYSYFHCVIAIHRRVVARGLSTGTDLLEKDDFTSSPASLSNPRVLLSTSLCTKA ARASINLTKYLSQDNTPLFGSLIYYPVIASMTLSWSIIRNPQDAYRGYNLKLIDRTED FLSSQAFCKAFEGIRRLVKQCAEYRSIAEAAVKATM AFLA_069920 MPPKKAVVQEKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKSN LGNPANFPYATIDPEEARVIVPDDRFDWLCEHYKPKSQVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVDPARDLDIISEELRVKDIEFVE KALEGLTKQTKRGGQSLEMKKLREEEATVAKVLEHLKSGKDVRKADWGPKEVEVINPL QLLTAKPVVYLVNLSEKDYIRQKNKRLPDVLEWVKKNSPGDPIIPFSACFEERLTRFE TEKEAIEECKNLNTKSALPKVITTMRTSLNLASFFTTGADEVRQWTIRKGIKAPSAAG VIHTDFEKTFIQAIVYNYSTLREYGDEAAVKAAGKVMTKGKDYVVEDGDILLIKAGAA RG AFLA_069930 MHPLHNRLHTPLLPTPRRPSHSTPKTPPPISHRPPNRTDRPRRD PLRASRRRAHGARINESRGPVTGEGECVGGGTGGCCFGGGEGDGLSCWDVEDGDGGYE E AFLA_069940 MYWPNGVPRVYAVNGPAIPFVPSDDNEELDLERSVEQRLSLNDN GPKEPFRAEVAWADEAIDGICVSRSGHMFATMTATSIAVWQTRPTAVVAAVARSASSL STYGPNLALLMHPDSTIIVVQTLNGYLLTYTVATDPHGHVYQQHFDHSAQSRRQQLAR EEDANGVRDASIRFRMAIKIESGIVKALALDNELVVATVKPAAIQCIRWTPDAHGAQT TSELLSRILGVSKRTSITEMVYDRAINLLVWVTNEGQAYAVQRVPEGSRGPENPKKLF NGHCFHSPKNDGEKALRVAVNARFSLLTVNCANGDIFVYTAKDYLGNVPLSHKLQLPA SPHTTGNLSFMSYSPDGYCLFAGYERGWTTWSVFGKPGGNSFSTDRSLAETNAEDWLT GVSYGCWIGGGSDIILTAQNDRRLWIMETARSALTGCFSSANLARGLLQTGTEIILYR GHDLPDLMTISGKDSLWHHAQYPPSYLHSQWPIRSSVVSQDGRYVAIAGRRGLAHYSV NSGRWKVFEDSKIENSFAVRGGMCWYGHILIAAIESDGSYELRLYSRELPLNNHSILH IEYLPSPVVFIGPSGEDSILVYTYDNILYHFIINSMHTRITLVPVGQIAFNGIVRAPT RVRAISWVLPEDQMRDGDPSQDVKVASVLLLVDGNLVLLQPSQSPTGDLKYDMRVVSH DVEYYILMRDQLSFNFAPPVDESLPASPSAEMALNMRHHNLSLRDSLWTFCGKDLLAW GDVQDVLRREDVPKAIEIPLDFYPLSVLLNKGIVLGVESEMTQRRDTTFAVLMFAIRT HLFLPYFLQYCLVHGDMPAALSLCQHFSHLSYFPHALEILLHHVLDDEVDNVSRDSKV DDPSQKHDPLLPSVISFLQTSLPARVYLDIVVQCTRKTELRSWRTLFNYLPPPRDLFE QALRLDSLKTAVGYLLVLQAFEDDDGHEAPIEDYVVRLLVLASQKGEWELCAELARFL IALDGSGEMLRRAIDRTGLRNGGLPGAGLNGSGTSMKGLGLAITPSWSSLSAASSISP RQSISRQGSNTSRTPDRMDSVDEVS AFLA_069950 MLRVTAATAITCTGACRQTCQTVQLTVSLLIWSPHSKSPATAPT ESKKRLALAIIDFLGSSLKDGTLTADDAESIEIAQSCIADTFKVDPSDEAAVKDAVGG QSLANIYSVYEKLRNKGDSAGAGAQTSSSQKPQAGAPTAESDKLKSEGNAAMARKDYN SAIDLYTKALSIAPSNPIYLSNRAAAYSASGQHEKAAEDAELATAVDPKYSKAWSRLG LARFDLADFHGAKEAYEKGIEAEGNGGSEAMKRGLETSKRKIAESTRGAEPPADAVDD AAGASRGAGGMPDLSSLAGLMGGGRGGGGGMPDLSSLMNNPMFSSMAQNLMSNPDMLN NLMSNPQLRQMADNFGRGGGMPDMSSLMSDPNIADMARNLMGGGGAGGAGGAGRGQ AFLA_069960 MISATRLSATARAATLRSQVPSLRTAASQRSSIQAYSYSTSPTA RLSLSFNTERANRPVSQILSPYAALPLTRSFHVSSSRWQQQEQKKEKQSEESKEESKE EKDEGKSDEEKKNAPPPPPHGDKTPWQVFRETLQSEFKASKEWNESTKALASSAHQFT ENENIKKARAAYEAAQGAAATKTGAALKTTGQALGKGASWTWNTPVVKGLRKGVNATG SGIEKVTRPVRETEAYKNVKDAIDDGSSSRYGGYIDKEERRRLRKKREELELKAGKRI EPMVEDPNAGTNITLHKDSAWKESWRDFKDSNPMMQKLFAFKETYNESENPLISTARS ISDRVAGFFAENETAQVIKKFREMDPNFQMEEFLREMREYILPEVLDAYVKGDVETLK LWLSDAQFSVYAALAKQYTTAGLKSDGRILDVRGVEVMNARMLDPGDIPVFVVTCRSQ EVHVYKNVKTGELAAGMEDKVQLVTYAIGLTRIPEDVNNPETRGWRLIELQKAARDYI AFLA_069970 MNTTFASSLLKALPSVGRQCQLRTSSIRLIRPFSSTHGTSASDA KDKTRQIERQILNAKPEAAADENSALSAITKMMQGERTRTNQNVSRDYSRMAESLEAE MIKQPYADRAPPHHLHVFSHKHNTILTLTRPNGNPLLSMSCGHLGFRKGHRSGYDPAY QLTSHVFGQMQERGYLLDINRLEIVFRGFGLGREAFTKVLLGNEGKNVRGLVSRVTDS TRIKFGGTRSRKVRRLG AFLA_069980 MHPLNPFLRAFFRSTVPGQCIPTENHVLLVPVTESLIGSRDRES SLFYSDLVVSEEFLGSHALRIPVTNGTVGTKDDTNVRDSRGKAKQVTTVNGRTVIIKE NSVYSNKGFKSLTQAQLLSDALYYTPNNGSQPWLIYYISRPLIGSFEPGKIISAAVPG TLPKRSISASSAKSGESVSSPAKKEIKTFAELLSHFPMIARQMQPGLERLFREFGKEL GKPLPPPPSRSPVLSEDFDWKRDRDEPAIDETASVRSSSSRFKDGLPFNSEEYFEDDE DLMRRSLETAVTAAIDLFRLVDKQQLSFLGATTDLTGPLVERLIERYVAEQVHESLLF PRLCSFRQPEDTELDTRIRQMESIDVSQVGIVVEGGREGKRELLQRLGRAVEEFRKML DAKCPHDMLNILLETVKILSYPGNYDKADPQASEKQNASVTVNADVLVSLLLVVVIRS QTKHLQARLLYMQHFIYVDDVDSGEMGYALSTFEAVLTYLVTDSAGLRRSSIRNKRLW NATKSGRIADMRAILEPDGSQESIDDIPYEPEGSRVLFRTDEDNEHHRPPLTLPILGG RNESNGEIAVHDTPADTPPLAHVFPFQAWASSSPPEEIRRPVKKVSMDVRSLSESSAI SFASRTTTMGSMTSTIEGDSSIETLTKTQDPAGHSIPMMAVENRQPEALKYLLTLEEY YPLEDILDDTNTDGTTLLNAAVQLAHTEMVDIILDYLFNTADEQTIVSYLRKSDIHGR TVAHYLFSTPSVMHRLETLLPWRQRDKHGQTPLFALCRSYDHPDYSSMVKEALTAAQK SQGDGRPLRLDDHIDAKGNTLLHIVSDPDITIKILQECDCDPNATNDKRFTPLMMASK YGRIDQVRILFMDPRVDVHLKEARGFTAVELAKDDEVRNRIDDLILISNPPSATDDPS GRVTTVVRSFFVEDATVRFVLKSGAPNPPPNSAESTLAPTTTYTVTTCKRTFTDFENL AKWLSIEHPASYMPLLSDFRSPFQIHSKPSRSVLHDMQAKLDRFLKILLSHPTFSTHE MLWEFFLVPELQPEMMADRSRRKAQVLYESIADDYEPVTQEGMRETEQFVTHAQEMVR AVHANTRSLIRRGYALHNSASDIADALGLCSSAISTLKEPTNALPQNHVDAFCRYASH LSTSSSDSSPLLQFLNTVTSIDNTTSAILESLSRPLNVISTLTSTSRSLSRSRSSLVS SSLPRKFNLNFPGLEESRQKSVRDLENKIQESETQIARLSREITWNKDVVVSELAGWT TWREKVGRDAIRAFAKATLVREKERGKRLERCLRSVRQSDDRAA AFLA_069990 MAQMAILSWRWDGDLHSSRNIASAVHLAKAMSIKYLFVDQISVD QTLDGDALIERVSAFSTMYKTITVIVAYDKADEDSEKTVYRPWIFSEMRLYRYNPGQI IYVSHSNQGSKRNYNIFTVIPPILGDLWSYDFGKHLGLNWAATILETITRVLCEDIEM MSICDFMFIMPPYARVLSTAYETMSRNDYLLTAVLLCRSHERPSVRLCLDINEMDYKR YRFSKTRGLSVRETLHTTTSS AFLA_070000 MGSRSSWIEESETTSIVWESIIANERTREARHEFQYQLPDNYKV LKRIPTLTTLDKPTKTIKAPSTRIDELLKRSAEESPKPTTTHNNLVPHWSYEKNSLAA ACVFSGITVLGIIFLSVLTVRKIRRSWKRHKREKQDYAAFKNRIEVNKNNRDLNACFI TESKSSRESMMYSRDNSPSGGYVVEQTGGSVTRVYREGNNVSSHTFDSICASPEKRSP PREIKRTPGGRADSRTPSGKGRAGLIPRPIVVVPSPLRHVYSQKATPVMQPTSPSTLD SEQSLMPPASAHDTKPVGRTSSRNSLLRLPSIKKSISPLFSP AFLA_070010 MPLVVPQVNAGDKNEWLNKLAGKTITEGTSDVTSFAKKDLPQSH RIVKPGDMMTMDYKPERLNVHLDEQGTVHDVHFG AFLA_070020 MTTFTKLSDQDTPSIAIHPSRRISKINPNIYAGFTEHMGRCIYG GIYDPGNPLSDENGFRKDVLEALKTLDIPVVRYPGGNFMATYHWIDGVGPKDQRPARP ELAWLGTETNQFGTDEFLKWCEVLGTEPYFCLNFGTGTLDEALAWVEYCNGTGNTYYA NLRRKNGREEPYNVKYWALGNETWGPWQVEQMTKEAYSHKAYQWAKALKLLDPSLVLI LCGQDGTASWDYYTLKHCLLPVNSPLSTSAVPLIDMHSIHLYTSSSSHLPNATAPLAA ERAIEITSSLIDLARIENGVPPEQARPTICFDEWNVWDPIRAEGSKGAEECYTLSDAL AVAVWLNVFVRKSKDLGMACIAQTVNVISPLMTTKEGITKQTTWWPLYLFSKYMRGWT ISAHLASATYEGETSPKWIRGVKETPWLDVSAVLGEDGYVNVAVVNIHEEKAIETTID GASGEVTVFTVTGDSVAATNMKGKEEVAVVESTWDGQGPYAFPKHSLTLLRWKA AFLA_070030 MFNEGIASIVADQLHSFWWAFSPRWDRSFMDFAANDTQTGLVVS MFTAGAFFGSAFAGPSGDILGRRKTISLGCVIFCLGGGLQTGAQTVAYLYSGRFLAGL G AFLA_070040 MIIPLYQAEICHPDIRGRVTSLQQFMLGVGSLCAAWISYGTYIG FAPTNNAQWQVPLGLQVVPAVFLGLLIMFFPESPRWLIDHGEHEKGLQTLAKLHAHGD ENDPWVRAEYNQIQESITFEHENEAKSYLELFSSRSSFRRLFLCCALQASVQMTGVSA IQYYSVTIYEQIGIKGDETLRYQAINSVIALVAQFLCMMFIDRFGRRRSLIFGNLGNC LTFIIACILLARFPPEVNNTGAHWGFIIMTWLYNFSFSCTCGPLSWIIPAEVFDTRTR SKGVSIATMTSYAFNTMIGQVTPIAMENVRYRYYFVFIICNFTNAVFFWLLLPETKKL PLEEMNYLFSNSPWIVLGTKKEDYLPHDLEHKVHEQEVKQEVYAQHKE AFLA_070050 MSSGFVSAGTDQEPVERDDEWLRVQQELEEERRRKAELGKQADG KSLYEEAAVKKETAEQLEAFRRHREEAEKVALEEGTADVTPAAEGEDWKIPARKRRRD KKDLLFPGKKRKSTAEVAGETPSTGGQKVDQKDREPGKAGNAKVAAPEQTEKKPAAKP APISLGLSGYSSDSE AFLA_070060 MLSRSSRFATSCTRQHLYRQTRTPLRNARARYSTSTESGSSGTS PAVVGGIAGGAVTFLAGYVWYQFSGAKTIVQTANQTEAYFKEAKKKVAEKTPEPDEAF GWLRDTAKNYASFIPGAKGYVDTAFDDLERIRRNQGKEFDEIVRDAYNDLREVSKKGK LDVDTAVKVRDVLQKHFKRLYELAGDSAEDILDNHPDLKEKLGGSFDRLKEMGSAYGP QAQEEVDKTWKQIKDIVSAGISTDTVEKIQKVAKEKEEKLRKLGDEAWKRGLDEAQPY LEKSPKIKEFIEKNTDALKKGNYSKIWDMVKESSSSGNTEDLEKYVKDLAGKAKESGF GNLDKLSNMVPGGSQILSQLQSLQNAAEKKGPEAEKLLKETINDLQGVLSEKVKKAEQ LAEETKSESK AFLA_070070 MSFYTNTRQEDNEQYDVSFEIGGRQIDGFPTPCSNLRAGGLVYL RGHPCEITDIHTSPASRSVVQIVGFDIHTGQFMDDQYPLRETVFVPRINNHTPSSSGR SQVSRASSRGSGSQMVPRSRQSSNAGQYLNY AFLA_070080 MNWNEIQDSMEAMSGILMDVDRIDVLELLIVDKQHEHYLACMAA RIHLKIPWYNVLREPGKLLLLHGIEQLERLPRLGCLRIVGHMGLLLRFSEDRAYLEGF AKGKKPAGLGDENEHKPVVQVLMPEGMDSDES AFLA_070090 MQSTSIGDMTVHPRRDLESWDVQLLTSIYLEVAQELSGISMKMC YRSEHIGHQKAQLLFENFHDILDNIDGEDFGVGQLIRKGKPVDNMTYKSIWLNKQRLY WSRRLNLPMCESPPAGFPFPTAEVQSILLVLSERYPEKIAEVVERLYRGLWGDGDSGI VTTDGFMGVLGDMFGEVVAGEILEVSQDSETKLRLTENTQKAVDTGAFGLPWIECVNA QGEKECFWGVDHMERVVEFLGFERADSKWGF AFLA_070100 MLTKHLPAAQGNVKEATGILQREFYNKPDSLVFLIQVATGHEDT NLKQLAAVEARSLVNKHWVSVQASQKPQIREQLLRSTLGEGSSLVRHSIARVISAVAK VDLNDGEWAELPNFLIQAGNTGNKDERAVAIYILYTILETLGEGFEEKFQDLFNLFGK TIADPESEEVRTNTLLALGRLAMHLDSEEDVGPVKAFQQLIPSMVAVLKESIDQTQED RVMQAFEVFQTILGCDPALLTVHLKDLVVFMNEISANTEVEEDTRTQAISFLMQCVQY RKLKVQAMRVGEQLTRTALHIVTELGDTSVLDDDITPARSALGLLDMLAQSLPPSQVV VPLLHSLGQYFNNANPDYRRAGIMALGMCVEGAPDFISTQMKEIFPMVLQLLGDPEPK VRQASLHAVARLADDLAEDLSQEHERLMPLLFQNLASAMQEYKGEEDGPTIDIMKAGI SAIDAVVDGLDEKDVAPYQGELVPILHKLFKHPDFRIKGLAAGALGSLASSAGDSFLP FFDESMHLLQEFATVKDSEEELDLRASVTDAMGEMAAAAGAERYQPYVEPLMRATEEA LHLGHSRLKESTYIFWGAMSKVYAEHFSAFLDGVVKGLFGCIEQDETDLEVSFGEAAK DLIGQEVTVGGRKVKVASADDDDDEPVGEDGEIEDVDLEDEDGWDDITATTPLSLEKE IAVEVIGDLVTHTKSAYLPYFEKTIEMVLPLAEHPYEGVRKSTISTLHRSYAMLFAIA EENGQMAKWKPGLPLQVEPAKEVKKFGEILMTCTIRMWTEEDDRATVADINRNMAENL RYCGPSLISNETTLHNVITMVTDIITKKHPCQLEFSPEDDSLDAGEESSEFDWVVVDT GLDVVSGMAAALGESFAELWKVFEKTIIRYAGSTESLERATAVGVLAECINGMGAAVT PYTSAFMKLLVHRLGDEDPQTRSNAAYAVGRLVEHSTAPEIVKEFPTILGRLEACLHM DVSRLQDNATGCLSRMILKHRDSVPLKDVLPALIKLLPLKNDYEENDPLYRMICQLYK WEDSTIRELTPQFLPIFQSVLCGDEDQLEDERRAELVELVKWLNQMQPGAAPWAEQL AFLA_070110 MRGLRFIPVIASLGSVFIPQSLAQGETALHEKGRCAIRGHCGKK SFFGGELPCPDNGLAEEPETAVRKKLVDLCGSKWEEGPVCCKDEQIDALSKNLKLAEG IIASCPACKENFFNIFCTFTCSPDQSLFLNVTETEPSSSGKSLVTELDNIWSEEYQSG FYESCKNVKNGASGGKAMDFIGGGAKDYTHFLKFLGDKKLLGSPFQINFKTEPAGPDP QGMHPLPIKPKACNDSDEAFRCSCVDCPDVCPQLPAVETDKQCHVGLLPCLSFAVILI YSVFLLFVVALSSYFTYKERRHRKPERVRLLQDPTPSDDEDEGDIVHAGGYLEQPKGV YKLNSVLDSVFSHIGGTCARFPAVTIVSSIIAVGLLSLGWLRFAVETDPVRLWVSPTS AAFQEKQYFDANFGPFYRAEQAFLVNDSGPVLTYDTLSWWFDVESRVRRMISLDRGII LDDICFKPTGDACVVQSVTGYFGGSMYNLDPDTWKERVRHCAESPGDVNCLPEFGQPL KPEMILGGYEESGDVFDARALIATWVVNNHAQGTENEANAIDWEDSFKGILGVVQEEA KERGLRVSFSAEISVEQELNKSSNTDAKIVVISYIIMFIYASLALGSVTVTWKSLLTN PANALVQSKFTLGIVGIAIVLIRVESLRADCFPCITVRKAHSGMSEDQVFDDQDGESF LQKIIRKVYATFLLNRKVKAVVVIVFFGLFTAGLALIPEVALGLDQRIALPSDSYLIQ YFDDLNNYFGSGPPVYFVTRNVNVTARSHQQQLCGRFTTCEEFSLPFVLEQESKRPEV SYISGSTASWIDDFFYWLNPQQDCCKEHGQLCFEERIPAWNISLYGMPEGEEFVRYAK KWIEAPTDASCPLGGKAPYSTALVLDSKRIMTNASHFRTTHTPLRTQDDFINSYKSAR RIAQGISAEHGIDVFPYSKTYIFFDQYISIVQLTGILLGSAVAIIFLLTSVILGSVAT GAVVTATVVMTVVDIIGSMAISGVSLNAVSLVNLVICVGIGVEFCAHIARAFMFPSRV ILDKVPTKFRGKDARAWTALVNVGGSVFSGITVTKLLGVCVLAFTRSKIFEIYYFRVW LALILFAATHALIFLPVALSYFGGEGYADPGSDGGLEENLASRGYRSLLVDDDYDSDG Y AFLA_070120 MDDDVPKVPEVFWLSEYPDPEYKPLKTTVMMINWFKKWLVPVEE CPGQIYPMIRMVRALLTHYFPEEKGWEVVMGDYGEEVVIYTVKCHDGNDLIDHLMVLI LPDDDHHIIKSIQQFKSICRSRFGITPQDESDPALMWGAIFKGSKALFYQYEKGGEIR SLIDPDAVDGPYSIEDHFNGDTQGDIVTRGIVSEERARVMYERFMGGSKNFLPLFDPI RDTFDSIRSRSVFVFTVIIYLASRAVTDLRSDTHLQRVLQDEAQRLAEDGFFERPTKL EAVQGMILLAAYSEKTWFSTALILRTALDSGLEKSLDTLLSQENVPRSSLTASMADRQ LVWQTRTWLISFTLELDVASGTGRKSRIAEVDIMKLRKFLEYPLSLPCDMRTVCIIEL HQLRGQSRVIIDNSSTIDHIVSTELPAIMARLQNWWTTWDEIHDNNGFHAGAFQRSSL KLMLNYARIFVLCASLARIQKLQSTDSSYDSESIDRRVLNLWQSLVTTIMDQLAFLIT EPAYRCQLEWAPTYPALTIAFVSKLSYPAMIPEHK AFLA_070130 MSKMSSNRADEHYKLVKLSEQETLVEFKEGSSANPNNWDFNKKV YNVLIALFVVLNSGVSSSLPSNAVPAIMEDFDQHGDGQKVLPTAIFLIGYVVGPLAFS PLSETIGRKPVLTWTFTVFVLATLACALAPNWPSLLVFRAICGTMGAAPQTVIGGVYA DMFSDLRSRGRVMAFYMSAASFGPIVGPIISGCSVQYGWRWTFRIDLIFAGISWIGLM FMSETFAPVILKQRAARLRKESGCNSYLSRQELQNGGFRYSTTQIITRPITMLVFEPI IFFTALYISLAYSLVFFYFQAYPIIFEGTYNFDVQTTSLTYIPIGIGAASSGLISLYY DTIYEKAKKAGKSWTTSPELHRLPISCIAGPCLTISLFWLGWTANSNIHWIAPVLSGL LFGVGYQMIFISLLTYVTDAYKIYSASALAASVIMRSIVGALFPLAADPLYSTLGVGW ATSVLGFASLACIPIPFALLYAGPWIRKRSPFCQRLLEEDSLKERAERSVGDV AFLA_070140 MPQFSVTLGLEHDAPESIKNLEDVKRIFNDAQSTVNRINDQDPI ADIIEKAQEDSDDCILKAYDALKSFNYAVFRRVVPDEIVRDHEWFDPNFQCKRVVKAF TFADEENGRVVTDMQNLHEVADSHDTVQFNPIKTIDLAIALNEIKVASSKIKEIKKEK DSIVKMRDEQSKTRNTMKGQRAGLAQASESLPNHRDQVNQARTSVKDTTDKVINTKQR LVEVRMRHLATISALVDTHFTASLPPSCFATILRIASLAPDELFFPLDTRWLYLYLDE LFRTMEKVPERMLVGEVDPDDYGNEEVEPLGKLLKKLQTTNERRREIFQHIVEQYQSD NRRGF AFLA_070150 MYIEVNDNHILKGLTLVDEMTKLTDKQGLEVKQVELRNYSKGAK EGIAEILAKTKDKICAGSSQRYQELQDLLKNPALPPRRLEHTKAIEEGAAEGSEEVAE DIKKNNAALEHLAKPTEERDADQGQLADAIDPGDDY AFLA_070160 MRLRIQLLSRSNTQRRSPMEPTKKYDIIIAGAGMAGINTAYRIQ TILPNCSYAVLEARGAAGGTWDLFRYPGVRLDTGIHTFGFPWQPYHTSKNMLDGSSIF EYINSTARAYKIEDHILFNHRLKTLNWDSQQSQWNLTAACQDQEPRFIAKFVIFATGY YDYDRPLPAEIPGLSDYKGVVVHPQFWPEKIDYAGKRIAVIGSGSTAISLVPKLAETA SAVTMIQRSPSYIVSLPNGSGDSWMNRLLPVMVLHKLRRYVWMAVMLGAYNFCRTFPQ SARSIVLWLTAKQLPDHVPLSPHFEPRYKVWDERLLACPDGDFFQSLHTNKVNIETAN IQTVTESAVILDNGRTVDADIIVTATGLKMQVGGGTHFELDNAPCNTADKVMWKGMMQ QDIPNSFFVLGHLTDASWTLGADATALFICRMIKHMEKENIRAATPRLHGSAGQPRQL WNLSSNYMLREQGNVPRAGEIPPWQPRTNYILDSLDARYVSFDTCMEFSGEYRDDVGS MTEITEEK AFLA_070170 MHLKKLLTSAAALSGSVFAQSKDGVEDLDGPGDDLYVKDLSGCP GYKATKHWQTRSGFYADLTLAGPACNVFGTDLPDLKLEVEYQTSDRLHVKILDTNNTV YQVPDSVFPRPGFGEWCSPKDSKLKFDFQADPFSFTVSRTDTGEVLFDTTGNKLVFES QYVYLKTHLPQNPHLYGLGEHSDAFMLNTTNYTRTIYTRDAYGTPQGENLYGAHPIYF DHRQTGTHGVFLLNSNGMDIFIDNNSTQFLEYNIIGGVLDFYFIAGPTPRDVAIQYAE ITQTPLMTPYWGLGYHQCKYGYQDVYEVAAVVANYSTNNIPLETIWTDIDYMDRRRIF TIDPERFPADLYKDLVDTIHARDQHYIVMVDPAVYYKESNPALDEGLKYDIFMKENNG SEYQGVVWAGPSHFPDWFHPDSQQYWSEQFLAFFDGTNGPDIDALWIDMNEPANFYNR PYPGNNTTPENFAEVDGDPPAAPAVRDGPDAPIPGFPASLQPNWVQGNATEKRSTAAV VKRQRSQSRRNLGAGHWKSPKGKFDARAGWQHGKQTGSGCGPNECKGLPNRHLIRPPY MIQNGAGPTLADSTADTDLVQSGGYVQYDTHNLYGAMMSSHSHNAMRARRPDDRALVI TRSTFAGSGKDVSHWLGDNVSGWLWYQLSISQILQFASLYQIPVVGPDVCGFGGNVTE TLCARWATLGSFYTFFRNHAEIYANSQEFYRWPTVAQAARNGISIRYQLLDYIYTAIY KQNQTGTPALNPLFFNYPNDPNTYPIDLQFFYGDGILVSPVTEENSTSVTFYLPDDIF YEWGTGKPVRGQGEYVSLDNIDYTDITIHYKGGIVYPQRIESANTTTALRQKGFNIVV APGLDGRAEGSLYLDDGVSVVQDTVSEIDFVYENGKLTMSGSFEYDAGVGIETITVLG VESKPEGDDVEYDAENKKLVKHVDVPLTGEDEITIL AFLA_070180 MLVKQAENWKFFPPDYHIDVQTTVNDISASFTWNMLNFDMARPQ ARKWRVQGSSQHQDRIYILIRVSNIYEDPMVRMFVDPWNMIEEEELLIKTPSNLVANI HSDARPGIELIDFQNKKSPQPDSFVSQISLYTAMAISGQRSTVKSNVYVWKPLKEPAR QIRLLHLLPGTGIEDLRGDLMIAAITDRVKYDAISYTWGSALQPFTLHTTEGNIPITT SLYVALMRMRKHKEAIWLWVDAICINQKDDIEKAAQISMMPDIFRSATRVYAWIGEEE DGSCEVIETIKQIAKQRLEPTMPSANRYQDIPPLGRTFWNNLGRLLERKWFRRIWIVQ EIVLARDIMVLCGKESVPWGQFCDIVRLCFDYAKQCSSDLVLSRGSSAGSVLRLAKFR KECRENGDFEARYPLLSLFEHFQLTEATRRRDKLFALLNLASDNCEELGPDYKAPLED IIWRYACTFVKNGHVMELLYRSGRSSDPRFPSWVPDWTSAPYPRTLSKWKCKTKPHRF TAATRFLEGGQLGLEKVLSLRGHLVDRVSRVGVCPSYTSGFPAYLQEISTMVDGYLPK LTREEAAIVKRRLPIGDSDMVPEEERTLCQDRIDYSHHADPNIAGFPGVTQAYIAIAS EFADLFSPAIACCTVMGKVGIVPAKTRVGDRIAVFRSGRVPFILREKETLRDHYEVIG ECYIDGMMHGEYIKQSAQYQDIKLV AFLA_070190 MGDVALAALISEAKQISSSYSLHRISSIFQCIDRLLCLGDSRRG REAVMVLRTFHIFPTRRGEAQLRLQACEQHDDWHLNMLAFDAEDTARMAPAWSGLHGA GGLQNPELWVSAARDIQTPWGYRSAEC AFLA_070200 MDSFKYEPLDLDGPAFRLVRLCHGDGPDIHCELFQVWLYPEQSA ISYEALSYTWGSTEIVESVQMNGKILGVTLNLYLALQHLRLQDEDRILWVDGICIDQA NHKERGHQVRHMGDIYKQAERVIFWLGQPTYDTNVVLDSLHQLQKESTGYACRTWELT DPRWADLWSSVQPRLKDKYPDLRTRQRQGLEELLSRPWFRRVWILQEVANARAGLVCC GQKSVSAHIFPLAPLLIDIIPNTQSQAVLDIMPGPSRNGTWWNMNQDLYTLLQKFSAS EARLPRDRVFALLGLSSDARDTDMLRPDYENSEKDVIHDVVYFLFDEHIYHSQRSRFN TLQDLIMNLEALNTLFLQYYMESPDLEDLEKVLERRCFGISEANITAAAHATRIAMST GLGDKAGRDNGVDIGTIKVWDHLKNLEHVLQRRGYNIEVMADLLTTIIKNADCWPATV NFFLHQRCNEFKITDPVLIALLNPPKNVVEAAMTHVQEQQYQAQATENILQSTYVSGA PFGSKFIKLLLQQRGKGIQITDEVLCAAISNTSFGVQFIQLLLQEQGNEIVISEKVLC AAASDVYKGLDIMVVLLEQKGNEIIITEDVLRAAASNRTYGQDIMKVLLEQKGNEIII TEKVLCAAALNRTYGQDIMKVLLEQKGSKIIITEKVLCRAASNNYNGVDIMEILLEQK GNEIIITEKVLCAAASNKYNGLDIMEVLLRRKVGEAFNKYVVENYTQHNPNILDGRQA AIEALTPLYSTDGMVFEIHQTFVGGDYGLVHVKSVTPGQNDTAVMDMYRFEELKIVEH WDVLQTMTAGINPHPFF AFLA_070210 MDNQPRSAGICAHCQTRLPKDAADAVELQSTTRIPQSLRSTAAR PVRHSTWANTRSNASSCRPANLFQGLPHSFKQYCIEFVYTLIYSNLQLPILTDLK AFLA_070220 MDPSGAHLGYHRGEMSRFNGYGLDVSDMVLLNLTSALDEKIPAL ANVYGGKLKLILQGSDATFQKAKNELP AFLA_070230 MKSFLGIALLVGLPFCLAETEPESDPASVEVDVDWYGNDGTWSA VNIWVGSKLKTVSLFPSTVDTKTWIIGGSGCENLSRQHGDVKTSH AFLA_070240 MPLPGTRVLQATAWPSLIGADSTASKRVSWLVFWLGWAITVGHV LVSIAGIITPLGLHDRIKPAASTSVPFVYVPDRSPYGLGTPPRPDAPFSRSCGGSGWV VCPGSNGTDAYFLVNKTIHDIIPNNGTYSARLPYNLTQIFSSATSGTNNTVSGPFDIQ YRQVFPTSMTQVDNGEPYIVGSYRVMDSLILHDKIEAIEGLIVDSTRGGLGYRNHTVP ANLPTGGRWTEDITWLQPLIECVNTNLSIRFTLNYTDYNGNRVESHNVRLKDNGGFFN LPLKGPRYNITDIQANPDLHTRATQLAFFHNNMLMWALGLASSNISGPRNVTSRTEYP LVINGTSSGDQHNAYRPDSIQIPGSDGLFINSDLNITNDLWSFQDTTLMCRGLLSQYT LDPLDNATVGIDCGLLQAAPRRLDGADPRVYDAGSEWEQDMYMCASAVEASIKTVEFT LNGTSTLEDLTVSSVKDKVYKSQDAQPLWAIEITGQPNTVTAPLWGLISHEYAAAENL ITIKSEKLLLPPSYPYSATVVNARDSLAASYAPMAAQHVALGESFLGQITSRWTLDVP ITDYTGKSNFALSRRWQQLSAGGEQGAATILKLILTDILATATTGSQTPIGLSGSDTG RLGGSLPSGDKMVRIEPFQRKIEYNLAYAVPAFITLALWVVVLVAFFVALIGSRFSFS KLRQQLNLSSVGRLYTNLVYPDVCQVGAKTETWIEQAGGLKFQLDRKGESDPEDPSAE SQLIEEQQNHETSSE AFLA_070250 MSSANLPVIVIVPGAFGTPQGFEKLLPYLAQAGYATHPGSYPSC NPSDPAQESAPQDIAFLRDNVLLPLLNEQGKDIVIIAHSYGGVVAGGAARGLAKRTRT AQGQSTGVIGLIYVVGNITLDGESLFTAVGGAYPPFIKVDKPSQGLALIEPAMDVLYN DCDRALEPELATLMQPHALRAFETPATAPAWAESAFDGRRAYVRTLDDCCNPSSLQDL WLEKSQVEWEVVDLKTGHMPFVSQPRALAEHIIKFIDGFMAK AFLA_070260 MESGNLPVDGGSLYYEMHGNHGPPFLLIAGGSGTTTMCSRLAIT LATHFHVISYDRRGTPRSCPFPLPRQSDTLRTHAEDAATFLMRTFPGIPAIIFTTSGS TAIALELVNQTRLQCPENHLDVGISWSTMPTSWKSYYEHELPAIVDYAPNPDSLARTH LKIYVVEGSVEVPVFVQETILGVSELLGRPPFRIAWGHVGYATHAEFRGSLITLLCNG NGGKVNLRY AFLA_070270 MDRAAEVSKEADDSISPHASHTISNTATLDKSGNKIVEQSTSAD DVEKQSDTQSLQAGVRRAEILRKGWTKKGLYTAFVGLFIATLAINFGDYSTQVYVPYT TSAFKQHSAMSAARVVMNITRIAAYPIIAKLGDVFGRAEMFILSIAASTLGYVIYAAC EDIAQYMVAGIFEAIGSTGYALTQQVFVADVTNLINRGIWSTLPDSLTTVPTLYLGTI VAQRMLDHSTWRWGWGMWAIITPVCAVPLIATMLVYQRRAPIKVPIAKAMGWKETDTW YQRAYRLLWVELDLPGGVLLLLGLSLLLVPIALTGSNNSNAWHKGNFIAMLVLGVVFL MSFVIWDARFAKKPFVPYRMIKQRTVAAACLLGALDFFHYSVFSVFFTSYLQVAGHFN AGNATRIDNSLRVAFQVSGIFAAFFMKYTKRSQIWVLVGVPLCILGMGILLYLVDMGD GKTGNEAAFVTAKSLIGIGRGFYQTAAQVSVQAVVSRQEVSVVTAVFFASMSIGGAIG TSVAGAIWRSNLPRKLSEYLPDEAKGQAKSIFGSIVVAQKYPVGGSVRMAIDRSYRES QRLLAIAAISALAPMVVIMFFLKNVHLDERQTAKEEGEREM AFLA_070280 MRREWKFTPSEGGSGRNMAAWGVSTGTEATYMVEVSWPLSWRET NVSAVANVLFAVDGNAMFLTATDVARRQASLNPNKPGTIVVGIGYPLNDSVYSPQRSL DLTPPCDHYTPPEGPDGNPRPEPYGGADRFLSFINNIVRPFVASSIFPNVKFARTALF GHSYGGLFVLNTLFTQPTSFDTYIAASPSIWWNERFVLTKVPQFLQRPRTSTQPTLRL SYGSREQFPVRRRHEPLEKYERRVGSAAKRRMADNCNDLYLQLVASQHLGAVERREYL DEDHGSVISPALSGGILYFLDLEDEAS AFLA_070290 METNGMQRVFETGPTEPPICPKTFAKFIDEQAATYGQRPSIVSP WQGISLSYHELAERSKHVARALLGMGLAHGDCVGIMAGSSCQHIELLMGGARIGCAVV SLHTTYTPEELKRTVRRTSCRLVFISSRIGRRDLSTHVNVMKNCMSSGALPELNAVLT IGQNDYKKYAQGLQTYEALFAEYKEGVTGPDVDATFLLRLAENAVTPDDVVLMEFTSG TTGTPKAAMLTATNLLGGGYTVGDRLNLNPKDIICCPTPLFHSFTLAAGVFASLTHGS SVILPSDHFDPHTVVAAIQKQAPTVLLGVPTMFLAELEVMAKEPADMSFRAAVVGGSA VTSALRKNIRTVMKAKDVYAVYGMTETGATFIGSLDGLEESTGMVGCVMPHICAKILN KSGQIARPMEKGELYTSGFALQKGYFGEEEKTLETMTQDQDGKIWMRTGDEAVIDESG FCRITGRIKDIIIRGGENISPLEIESRLASHPYIGEASVLGLPDAKYGEVVGCFLKSA PNAQKLSDEEVQGWVRETLAWHKTPVYIFWIGDPGVADDFPRTGTGKHQKHIMKKIGE RLIAVRRSGVCEPRLMCESFCSVTTHSSVGNNSLINCV AFLA_070300 MRFPNEDGKADLIILGTSLEMIGITPGYISEVIGKTYMFGPHPK ASTVSRRSLVYPGVLIEHRPSPDINCHGLGSNHQSTGRLSFLCRATQKVVCGTKGRYA ASTSDPTQQCPANVL AFLA_070310 MATGEERTILQTGKAYRQFQDLKYLIDDSSFPPGKLFKERHRYQ FEFTFEVPDHLSPDVCNHKITSPTIRQAHLRPPPSFGDPSVSGLGGKLKDDYAPPTCK ILYTIQAALFRNIPVIDKRDILLVHKIKLRVKPAVDEWPPLDLLSSVNDYCLEADHAV LDSRTKEEYGQLTVTLEPPKSFRLPLRDPHSLISSTVNLFLHYKVTGEQSDLPQLQSF RGKLVATTFYTASYYEDVPSKQKDFFGRPKNYSETHFPPFSYSIASLDWVPAEENCYV ATLLVPITLPRLNFIPSFHTCLTSRVYALDLRLVVPGASPFYLRAPVHIYAQRDPSAL PSYIATVGFDS AFLA_070320 MAQNELKQMGASVLQEVEGQPSYQNKDDVYLARMGKRPVLQRNF GLMSMVGFSCTILITWEAVTTLFLQSFQNGGPAGSVYGYLFVWAGVTATFVVVSELVS MAPTSGGQYHWCSMMAPKSVMKVSSYITGWLTVIGWQATYATGLYLNGNFIEALVILT NPDYTPAPWRKTLYSWATAAFAATMNIIGGKLLPRFEGTILILHILGFFAILIPLTYM AEHKPASEVFTYFINEGHWPTQGLSFFIGIIGPVFAFAGGDAAVHMVEEMTNATVAVP WSLMLTVLINGTLGFSMLIALYFCLGDIETSLKSPTGVPFLSIFYQATESTAGTAAAG SVIQAMCCCTTVGMLASASRQFWSFSRDRGIPGWRVWSKVTPRTAIPTYTVLLTSTIG CLLNLINIGSDVAFNSLVSMSTSGLYLSYMIAASLLLYRRCTGEISQPKRNSEQTMVN TAGAKLIWGPFHIPGIWGIGINIFSLVYMFIATFFSFWPPINEVNSESMNYSVVGTGG TVFLSLGYYLVRARGVYEGPVIEI AFLA_070330 MATPTNGSLLSAVTTIANTYLSRGQTDESSRLFSALANLREAAN DDAIATAHTFGLLVVTFLENGQWKAAADIGTLVVDARVALLGSENPSTLTAMSNLAAA YWGQGRWSEAAELGRRVTEIRTRVLGEEHPQTLNSMSNLASTYAKQGRFMEAEAIGLR LLEVQGRTRGDGDPKHPLTLSSMANLATTYRDMQRLKEAEELEVKVVNGSKTVLGEHH PETLVAVGNLAATYRCQGRLGDAARLGERAMASMKTSLGEEHPYTLTAMANLALTYQL EGRSPQAERMTFQVLQLMQRSLGEAHPHTLTTMANLGAIYQSQGRWDDAEKVAEQTVR GREMVLGKEHPDTLASMEDLMRVYRVLAADRTMQRAAMR AFLA_070340 MATNSTTSQKDNLVSSEPGHWEDTQPEIDWETESQNFRDVIDQS MNIVRRAGFPDVDDWHLPLNGGNIYCYAAIFLSQLPNLKSLRLDYSLVWWDGYPGIML KQALFSPNGVLSTFQHLEVVDYGGNVPIAESEDIYSDESPDSYPPYNPDQFMAWFCLP SLRHLNIWLRDIEGLRETVPDLDLSKLETLILARTTISEDDVAFLLSRTPNLRNLHLG MAYAWGRELVLQDAPTLAKALKSVSKTLHHLSLGVELYPSNLGDRYWDGEEDHFHDSF RDILHSFPNLVSAEIPLSVLMGWYMQDAPELGPLLPKSIRHLCLREDLRCFYDFEWEQ DEVNDLIRKFISNWRAYTPGLKSITWRLWDQNYSTGWEEFQEDLRKACADAGLTLEIV VDDLGTGLWSRECSSFEGAVALQ AFLA_070350 MFPKICYFLFYLFLALVAATPVALEDRAPKPVPTAVPLGIKVQK IDDVNKPPKAKKSEFIEYSSILTFKDGSKVTNDHIVGLAKAAWAEMYKLHMDENKNVL NTATLPGVMTAMKVGNEVHLASSMKGGGSRYIYVAREENLKPDSGKKTPGSDKKPGSD KKNPGSDKKTPTDPPAENYGEFTSVLKDNAKDVMEALIDVSKQSQGHKNGKTAAVEQS ANGQGSKNTKGKKPPSDPTIIQHRTNAGCGEVMVSLEYRLAHKQDILRKKTPKPTIVA WGGLNDGKLMANGGEIRRPCGQTPKKQDDDSCGENWGCAAFTGPAGMAFDVISSAKPI DPSTEGFPEFTHKNVNFPKPAKLPKKQ AFLA_070360 MHQTKGSIQKDQQRNTRSQLQPHTEAALVQARQSIDERVEPNLA AAALDLQQKEVFCTFLLTSFPAQFASCGKRVEVNWIDYARRPLLTAPQALVWAYRALA TVFIGRKYHDMEKVTCSRHMYSRALNYLAGVIQHPKFATTEEALASGILLTMYEMVDG ITGASWLTHTRGLATMIQMRGQDVHRSGFGLTLLKSCRAFLVADSLIRGEHCFLGEPQ WRAFLSELADIESQSPKRSELGLIVDRAFIEIASCPGWLVETQKMIKIDNPNEKSRVL RELEFSHFRLNKLQKELQRALAHQRQAPVLTWQRFVGPIPWDFVDPFAQSSLYGMRLG MSLLSQLHTVLRSDLLRRYYLTKPWDNPETPGLPSPNPWSALNGNVQEWQVRFDPEYL RDSYQIHPSGNEDWMDRIAMSMGMLGIRAWHE AFLA_070370 MNVWLVLPNDVVNRVKSIAETLHNASLLLDDIEDSSPLRRGQPA AHTIFGQGQTINSANYLLIQAMDQVRQLDNQRCMDVFVEEMRNLFIGQSFDLYWTRQG ECPSQDEYLEMISQKTGGLFRLLTRLMTEKASTQHNSTITLDSLVSLLGQYFQIRDDY KNLTEDYAIQKGFCEDLDEGKYSFPLVHALTTQPRNFQLRGILRESRNTGGMSLPLKQ CVLEQLKQAGSMEYTHLILGKLMKDIMCEIGSLEQKTGCSNWVLRLLMTRLRV AFLA_070380 MEQIISNFEDDTSYRVERGSPDIEGFCRHYALRRHKYEEKANLG SLQCRADWVKYIGPIERWGSWNPYEGHFGSVVLPLCKPDRLAIISYIFECMDNLTIGS YSLAYANNGTPFSLDAFLYDNVVESSAKATVSKHGQTDFDTARGSWSLTRYKIQLNTH ADNIGLDETEYRTIRSVSGTKQIQSKMMLELLSIDPTCAEVVLDSWKTMIATTARHDK AKPFSNLEDYVNYRIIDTGAPFVDTLMRFGMGILLTEEEIETVTPIVKPCYAALGLAN DYFSFDVEWKEFQERQSDEGAMTNAVWLFMQWNNVDVATAKKLVREVTNRYEEEYQRR VEEFIAGEGRNAAKLHTYLRALAYQIPGNIAWSLRCPRYHPELCDEAGRLLEDEMCSE TATNVLGHPVEHERGSNTTGSEKSPVWTADDNSSKRSSVSSIDAIEEEAESPKPEQLG TEVGIFAIHHSRCNSKCGSDQTWNSAPFRSGRLHSLPSVQRCPRSIR AFLA_070390 MAQWKKTRDMSCCTDPTVTSTAGPNMAQRATVFHDSLTRFVLPL CSAMDDRPNPSTPVSNAVYIVDASVVSVKQAWNLKDFAQEVSWILMTCYPETIERIFV CNVPSYFSTIWSIFKKWVDPVTAAKVVVLKQSDVYTTLERYIDKENIPTKFGGGFAFQ NGMLPDLDHGIRQHLQWTTPSECIPSGPVKWMQADGGKRIAIATGSVDRNVPRNVEIA ALY AFLA_070400 MDATIAIENTSRNDEVKMQVLQSVDGLNDHGKTQAKTTHDTPVP ESVMHDLQRSPATGRDHPAFEFSAELQCPLNETSAANPDNRLAFTAKSTLDNSIVTSL GGEEAARDLLKSVLSSVLGMHSEIINDEIRQVRDDSRPKDAPVHDCTCFTGSAKPESI PEPQTQSETETETETETEPEPEPSQTAESSAADTPEETEYEIVAPEDMEVSLCKIDDV YDKKLKQRTFLPYDPARGAIEEDDNLSSDKYGKWVLVLRRVFNRWDQSLSEVCIDIKS PLVFDVLHKALRDERASLDVEPSLPWPNDGIFRWRNLIRDFAEKKGELCVKHVNVLLQ LVEEKYASVISDIEHMFPKGTTTFKILREAFFPKDIIVDGNADIPRAYRVLAADYRAD QYGNKWLQIKAVYIDYDGNEFGTRKVKFTIGDFPGIRYFSDLDVFPLKYHSNHPHVID NLVARGRRFVDLQGQHFKAHRDVSQNKLRRVMVDTAAMKRLGSCNIEVRDIESEPIDG QLTEEHLMLCTDTIPAFSFEDKRSITVNIDDLEDIVFNQQLFHQLVLPAPTKEIVRVM VKSHVNGVDFDDFTKGKGKGLIMLLHGPPGVGKTMTAEAVAEYSQRPLYTVTSGELGA DSEDLERHLNRALGIAKAFRAVLLLDEADVFMEERSTKNISHNALVAVFLRLLEYYQG ILILTTNRVKNIDDAFHSRIHMTLKYPNLGVEARGKIWQNFGEICGLELSEHEYHQLA QRELNGRQIKNVFGLCKALAADKGQGISIDLIMMVLDVMESQTPRLGTI AFLA_070410 MAGPNIVRGRNNMQLAQSVVGADFKDLKYEVSVSSANNSHNPDA ISLESPQKQPFRRPSEEVTQGAQLGIRKAEAAALAWSKKTAYLTYAL AFLA_070420 MLALQSAVSTNVIHNAFAHFEEAPAVSTSSIVASVVSGVVRLPA AKLLNIWGRPEGLSLFLAVYLLGLIILAACNNPSSFAVGYVLYWVGYDAIFLILDVFI ADTSGLRNRAFAFGFASTPFICTAFTGPIAAQSFVDHSTWRWAYGTFAIAQVFVFLPL IAVFKFYQRKAEKMFIFVREPSGRTWTQSIIFWLNEFDVVGTLLLTAAFLLVLLPFSL QSYGRAEYSSPTFIVMLVVGVLLFVVFAAWERYGTATPFIQYALFKDRSVLGACIVAA SLFFSYYAWELYFYNFCMVVYGLSVSMTGYVGQIYNVGSCFWSAVFGVVVYITKQFKY SCLCFGLPLVMLGAGLMIHFRSAGGNIGYIVMCQIFIAFGGGTLVIGQDMAVMAASDR EGVPMMLSMIGLFSSLGGAIGNAASAAIFSNTFPSALRDALPAESKSQYMDIYLGGYL KQLEYPVGSEIRDAVNQAYGAYMKYGCIAAVAVMAVGLPAIAMWRNYRVDKKQNKGAM M AFLA_070430 MHEATLPVRARSQSVSTDVPWFLKLDHENEVVYETTDRPLLKSG SLTGLVEQLTRHDRLDLTFNETFLITYPTFVSAANLFDALLQRFHVDPPGQLTQSEMQ LWTQHKQKAIRLRVVNILKTWLERFWMEPREEVTTEFLRKMHAQIKNSAVVMETPTAP QLLSAIDQRIQGQEITKRLATPPNSNIPKPITPKNMKKLKILDLDPTELARQLTIIEF NHHARIRPNECLSQKWKKRRSNSTEPSTGVNAMILHSNRLANYVGELVLAQDELKKRV SMIKLFVQAADVCRSMNNYATLMSIVSGLGQSPVFRLRQTWGLVNPRIRNLLEELRDL MSSEKNWAKYREVLRQASPPCVPFLGIYLTDLTFIDDGIPDLTQSGMINFAKRIKVAE VLQDIQQYQNMPYNLQSVPEIQDFLIRNLRATKDVSDMYDRSLQLEPRMANEEIVVRR GAHTATGSNMSSVIIASMAMR AFLA_070440 MPPLRSTYTGVTIDAEETLLCKCGYPMKRYTVRDAKSPYRGEQY LACRRHSKDEEHCKSWIWFDEASQVERLVPRLAVPQTPKKQTDIREFGQLTPPKSSGL KRKRVNVDPGSLDELPGDEIEDSDLSDDTLVSPSQRRQRLLRKDNSTPVARSLFVWQE DMDTARRQPRRRFETPPHRAADSSTPRPELVSSGLFTPGPGGRGQRKGWLAMEAPITP TKQNDIFASPACVVDDDISDSDYGWDEELVAAMLDKSDQITPST AFLA_070450 MPPPRYPFSLLAVFETGLFQHARDIVNSLGGAYRKAEFNTRILP RCQTLVEAVGHRLAYEAALDAGVASELLDLYEAGVVLHHSGWFVENLALDTETQFNME MQAMNSILPRIGDLLNATGAEPYCTAPIVSDEAWMEFTRSLEVHHGNARMDICEYDAD LAESETASKRSKYDLLRTVARSVLRNRQARGIAKARERVSKTAMPCLTALSTAPLVAP AEDEDPELLTAPTHTEMGDMIARHVAAHFQALMLLTIRLASIQVEDKDTLEEVRSDLC DTDGIEGSPTERLSVETSDVSILQSGDGDVCTDSEPPREGATTP AFLA_070460 MRARWVWLYKAQVSSYELCCPLRDPNSSNQVWSTMFSRIALLPA FLPVALACLGYEGGVPTPTAHYSNSAVIEIAAGEVFDAGWAKYDRGSGACGGQTEGDW KDAVFYLHSGATLKNVIIGADQSEGVHCDGACTLEFVWFEDVCEDAISIKNDKEGEET WIIGGGAYHADDKVVQHNGCGTVNIINFYAEDYGKVYRSCGNCSSQCKRNVYVEGTTA RDGGEVVGINQSFGDTATLVNVCTDADHPCVLYDGCEGDCEPSKVGYCSG AFLA_070470 MKFSIAAVAGLLSAVSGASLPAAFTLVAEGGLTVLTDGEYLYYG GNGTDASKEIAIFHATPDTGAVSYTAKDQTPTGWQNLYVVEKDTAPVGLTRPHSAAVP EGASTIDFSVNEEGLFAHGGNAYFAVDGYGENPVKTVYWYGRHSSTYRAANLYVKECK GC AFLA_070480 MTTWKIEPCFVGDAAALARNNMAAFWEDPNWVILWPKDMTQEFI IEQSAKRQPRNLLRDREKVRHQKAVDPITGAVVGYARWILPPGHCIAEDGSPKWVEAQ VPDVSEDEKKQYQELAESAWWSPREDMSLDDKNHVVMDRIRAEKPYIKLDYLAVHPEN KGKGIGTALVASGIKYAEKVGVPIFTMAFKAGRGIYARLGFQEVDKVIQEDSMYGGPG EYAAYFMIYDVQRKV AFLA_070490 MIKSIASIALLFSTAIAVPTPTELLPRACTTLAPAVINILDAAN PNTPYSGQQFTLERSGSPLVDNKISVLTFSNIPAGATGCRLEIELPPLSDGQIAPSDT QADVWSADPVDGSSVPTYNHPPHKREMVATYIFPKGPTTKSTHTVLASNTCSTTMSWL VQLSEWQSSAGSVNFQNSVGNGADIGFMLVYNC AFLA_070500 MRERFNRDGYLLVKGLLPKEPVLKCRREYFEYMSPSGLLKPGTD TVEGIFSEKDSRKYLPPGNLRRLFGLKDDEESEKYLELMISAHEAQFYLDFCEIEELR AFIRRFAGWEHITMLQRTLLRAFVPDSELTPVHFDQMYLRAGPPTSLTAWVPIGDISL EGGGLMYLEGSTDIGQKTEEEFSRNAGNLTDEERVSAFNKNMNDGGFLSRDTVEYGQK ADRKWLIGEYEVGDVIFHNPWMVHASCKNKDPQRRIRLATDLRFVDSTKPYDKVSSFL VEHT AFLA_070510 MEKLLLRVVLGAASGRHVDSVEGLLLLAEWVPHISTEEWARDAA ASNGPPQQVQVTEEDSVSWSLIGLAVRQAYLLHLERYSFHGESKDEDKLDYHLTYLAD RQISIQMGQAFWCRGPGLSTRFTIEDYPYLRPQKANGVDYASFVQAQVELTTIFGNIH DILYASKTRTVQLMLMGDYTKYLDDSSKALAMWKEAWANVDLPFHLSGLLCLQFEYLR LYINAFAFQAVLYRTPKSPVESDNGKTSYFPYSVMANADGRHIYIAIDAAKSVLKYLM EPLNPNKHLRYIPVRFYL AFLA_070520 MTRSSRTPRRYIISACGASMLFGFDMGVIGGVLTMNSFKEQYGL KGKEDTVLANLESNIVSVIQAGSFLGALVSTYVANAIGRRLSLILSALILFVGVAMQA GASGIIGVLYAGRFIGGVSIGIASSVCPIYIAENAPRGIRGLLTGFYQLTLVFGLTVA FWINYGCERHLTGKEQFIIPLSLQAFPAVILLVGMLFANESPRYLAMKRPERAPRVLA TLRGLPEDHPYVIEELNNLRRQLEEELQHSEPSMWYLLKESFSKKSYRRRSILCITLM MWSNMTGTNAMTYYSPTIFASVGLSSSSVGLFATGIYGIIKFIACGIFIVFVSDTLGR RRSLLWTGIVQGIMLFYVGFHVRFDTISENAPITPQGYIALIAIYLFAAVYQFGWGPV VWSYCAEIPPARVRALNMGMATASQWFFNFVVAKSTPTMFATLGKNGYGTYFVYGSFC FVMVLYTWYFVPETKGLSLEFMDELFERDTVRGKFMPARDVHYLAGKQQE AFLA_070530 MEFHLHDEALPASTAPTEYGDQSGEEFEAYSGKPTLGVPDNNVR EATSAETLAVHGSPHITPPPGRDAEWSMTDQVIRNKERSEAAGYKKRELGVTWQNLTV EVLAAEAAVKENQFTQYNIIQLIQDWRRKPPLKAILQDSHGCVKPGEMLLVLGRPGSG CTTLLKMLANRREGYHSVHGDVSFGNMNSEEAAHYRGQIVMNTEEELFYPRLTVGQTM DFATKLKVPAHLPAETKSVHDYVAETKQFLLESMKIAHTADTKVGNEFVRGVSGGERK RVSIIECMATNGSIFTWDNSTRGLDASTALEWAKALRAMTNVMGLTTIVTLYQAGNGI YNLFDKVLVLDEGKQIYYGPAASAKPFMEDLGFVYSDGANVGDYLTGVTVPTERKIRP GFENRFPKNAEAILAEYQRSTLYQTMTREYDYPSSDAARQRTEEFKESVAWEKAKYLP NSSTLTVGFWDQLIACTIRQYQILWGEKSTFLIKQVLSVAMALIAGSCFYNSPDTTAG LFTKGGAVFFALLYNCIVAMSEVTESFKGRPVLIKHKSFAMYHPSAFCLAQITADLPV LLVQCTLFAVVIYWMTGLKHTAAAFFTFWAILFTTTLCITALFRCIGAGFSTFEAASK ISGTAVKGIVMYAGYMIPKGHIKNWFLELYYTNPFAYAFQAALSNEFHGQTIPCVGNN LVPSGPGYENVSSANKACTGVGGALPGADYVTGDQYLLSLHYKHSQMWRNYGVLWGWW GFFAVLTVICTCFWKGGAAAGASLLIPREKLKAHRAHLDAEAQKEKDPAREKGSGDAL TSADEGNLTHNTSIFTWKNLTYTVNTPTGERVLLDNIHGWVKPGMLGALMGSSGAGKT TLLDVLAQRKTEGTIKGSVLVDGRELPVSFQRMAGYCEQLDVHEPYATVREALEFSAL LRQSRDTPREEKLKYVDTIIDLLELHDLADTLIGTVGNGLSVEQRKRVTIGVELVSKP SILIFLDEPTSGLDGQSAYNTVRFLRKLADVGQAVLVTIHQPSAQLFAQFDTLLLLAR GGKTVYFGDIGDNGAAIKQYFGKYGASCPIEANPAEFMIDVVTGGIEEVKDKDWHQIW LESPEHEHMMVELDQLISDAAAKPPGTHDDGYEFSMPLWDQVKIVTHRMNVALFRNTN YVNNKFSLHIISALLNGFSFWHTGPSVSALNLKMFTIFNFVFVAPGVINQLQPLFIQR RDIYDAREKKSKMYSWVAFVTGLIVSEFPYLCVCAVLYFACWYYCVRLPHDSNRSGAT FFIMLIYEFIYTGIGQFVAAYAPNPTFAALVNPLIISTLTLMCGIFVPYSQLTVFWRY WMYYLNPFNYVTSGMLVFGMWGAKVTCNEDEFAIFDPVNGTCGDYLADYMAGSGSRIN LTNPDATSGCRVCEYRSGSDFLTTLNINHYYYGWRDAGICVIFAISGYALVFALMKLR TKASKKAE AFLA_070540 MNKRQGSSSSVQESASDNRPRKRVCKACDRCRSKKAKCDGESPC GRCALDKTDCNYGERKSGREKVYPNGYAEMLEEQQKWLVYALQKLYRHTTQGEGWPGG PLRCEDNGHPLTHDILCQLGALGRSERHVLEDKVDNTQSTEHRTSPESIDTTSETTQT MRFPSITSEDIAGQDSLAPPIATYLPQQSPCFKTESSMPQTPAGLFAPRISMEDGANL IPWQTSQHWPNDPFDFNSMDFISGFDYMTTSFDEAIAPSVPDIYGL AFLA_070550 MTEQQTETIARGEPRSSPLDLSPSNHAYEPSRGLSHDLPVRPQT VSWDGGPTKIVPSLAAPSLSPSHVQPNGSDGYGSYPTHNQPLLPVTDPAHLSTGTISE LNRSPLIFGDIDSGTAFLDMLLGLPGGQATGTPRANTEELAIRWAENATSDRLPINPP VETRPRSYPAHVRQNVKKVQSFWSTPQRLPAETQIWYDIISGSSDNIFSRHDYGAFTE SSRETQHAGNAEICSNYEIRSQLQSLKRSLLKRQCHCSSSDGQITDTCDEHYFCENFN GMFEQGLYLYLDKYQPTYPILHVPTFNPQTVHTLLLFTMCIIGLSFVKTEEAVRFIYH IYPCFQPIGDAKSPYTSTSHVISARRD AFLA_070560 MSAILVRLTANYHRLIIGSDLGQEDWHQHIPWRIYNLDKRASSI TNVVIHFIRLYDTILANSNPNCIVIWHNLCLLLTADIRLHERAAGREGPEAMQTARQA IALWAKTPAARRACLHAAQIFHRLSNWKPMDGMGFQPARCLLNSALVLAFYTLVSPGA TETRLADSFDLATADIDWKVVGDEGMTDSTPEGEQSRTDDPAVNFIRFGGPVVLCGKT YFGGASYARRLLLDFASLLDEVGRHWMAKYPRLLYMIHDTMVDVDVGGEMREGTA AFLA_070570 MNVTQELGSVVTKEGRLLTFPNILQHRVSPFSLADRSMPGHRKI LALLLVDPYLPIISSSNVPPQQEKWATERERSIRQALRPLPQELKDMVYDDLDTRYMT MDEAKAFRLELMEERSAAAFEQNENFQNGGFIFV AFLA_070580 MPEVKLPGFGFPLDYHNEFIQIYHLHILQQEDVQLIEKWCTYRE ILIMRVMNDITDEPEWNRKVFDEAISAKWRSKIVASDKDITPNMIDWIIDEVKWKVDH YLATGHVVVFDPGVVRSDIAISEELENALRDGVRKLEDILTEKDYHPGSGDRVVDLVH PSLFPVVFGRTRAISDSLINLSVASILSGKE AFLA_070590 MDKTELLYLNDASLREWTTTVLSCQPISQLTESEKSLAKTVAPE SFALATRETVFYPQGGGQPSDTGVITLADTSNGSTFKVLLVRKTLDGTVLHLGEFTDQ PCFTDGQLVSQAIDWAKRDYHSRLHTAGHIIGLAMRLLAPVLGERKKVKANHAPGQAC MEFEGLLYTEHKSVIEDKVNELVGMKLPVTTEWWDEDRIHNADLNMVEGLQLGKNGQA RIAMIGDIDANPCGGTHVEHTGLTGFITIRKIARQKGVSKLSYEVPVSM AFLA_070600 MKISTTFLYTFLAITTLGVASPTGNDAVAAENVSPAYVETESFF EKRKGCSGDRKDSDVCGGKRLAEQNSFHNCKGKSKGKCCAKNSDGTGGIDVNKGGGET CGYYFSGKCSG AFLA_070610 MLDVDISSAFFSGPLLPELLRRVMEYVSDDFTTLRSAVLVNKSW AAEAISVLWQKPPVAALASCSDDHRQFYARQVRELDFGGQQDGEQHSRFRTLEFPRLK CLTIDLYSPRDGEKLWLGQYIQPSLEEFRFYGAEPAEDLLDLMETRCPRLQSILIDYA FEGISTEGLIKFFGCFRSLRSICLPSCMDDFVDDQMLTYLARRHGLEDLELGRTITYE MIEKAFEGAEAPFRSIRRLTVQMKSKAVEPLTAAERIVANWNPVRYLVHMTCTAGQPS NDRSSHSYDVLTLVQQ AFLA_070620 MVFHPAPWVYAQTKANGVSLGCITCKARRVKCDETRPSCQQCSR QGTTCGGYPNFLRWRAVEKVNNERIARDGTVYNFPDNRQGMVRRLFVEHTSNILSIRD GDTMDPWQTFIWPMARDFPALYHALAAMTCAHISKSQQQFKLLGMKHFNLSIQALVLG MDNGSIPQRLTEALRFCFFGKQFRSFLPHVRSGCETFDEDDREWVRQRWEVMSRRLMT AVPDRCRDITMEVWRRRDEFETRHGLRDLIKAHRPFPIISRRAMDTSTLYDSLRVPKS NIDSTMSHEGPGNSSSAEMRSGPRSSDFPDSAAFQKGTDPVTRAGFINYTIKGELHWL RVMEDWNWESKYQIFLYMFLYADGI AFLA_070630 MPSAVAVATRAPTPAANKYVVVGPTNKRYTPATKKLPESLVLSA RNVEKQEFDPARHLNIIPPKKILRMADIGLEGVGISDTAVSEPFSLWTEDAIKQMRAE IFSEAMLENCQVSSSFASNMVRGYNAKLAPFIHRAFYSPELLGAVSAIAGIDLVPAFD YEVGHCNISFNEKKPSQAELEKMGEDGDSEAFAWHRDSFPFVCVTMLSDCRDMIGGET VIRTGDGSTIKTRGPTMGTAVVMQGRYIEHMALKSFGGGERISIITPFRPKSPFARDD TVLTTVRSISRQDALFHDYAEYRMRNLKARVDRQLELIQKDRHHGGCFDVEGARAWLS EQQEYIESMLTEIFDYEN AFLA_070640 MPPRDRPLIDGSAKPFFLWCMHCQRRCARKYKRNTDRPFEIDCH FNGKGSILCHQCSGDSAACESVAAGMLGNGWDYSQILRWATTFWGNKWSEKVRLSVAN ALKDLNSAFSITERVHRCAHALTSEDNEVMATYRTFVEQRRRLLVQLPVPDEHEDEDE WDSYESSRLLRLLPGDPGYVSWMVALRAFRGAIEDAITICAGLRGLNEVAGRELVDRV MCWFPAACEDI AFLA_070650 MLNDYREAHDAGSDALRETDEDVSDFENIAEEGEGMHDFLEPGD LVALSSAEGILNLAVYVRSVCKQQQFYTDRGKWRIAFAKDLDFVIKGFAPRELVAPLL PHFPDALAQLSLEMQSAIEGGVPRPTGAPILRMINDFNEQVHQFYQANAYRLDNIHEI VADEEEKLEFTLKELACKALDITSDQVDDKILFAVHRAIRRNSFLIENDRSSIFTDHY FVQPRRVANILDTVVTWVHEHQEYLIRAVTGNEVPNLKDHPIQQFIQKAQRLIRLSRK VRSPTILACVGPTAQRYQPGQDGKPLVYREVLTEKFSYTDQMIIEFLQLWCIPPRRMT SGILRSAGSHIMRATGMYSALDTNAGTAALFLQEMGVVAPWENLRLLDQNLALPGHGI SRQSDRKWEDVQRACEELNSEGVTDKMESMRTDFGDLPVYCVDDPGAQEIDDGVSLER IPGLDDTFWIRVHVANPSAFINPDALIMEYAASRVQTVYAPERTYPMLPNTLTQEHFS LAPGRPTLTFSAKMNLQGEILDTKIVNGIARNVIYITHDKLRSLFEPEPQGVQEPLTV GGEYSNEHTRDNIQEELSPEDEGTFHTLRKLMLAFREHRRRNGAMEWPSSMETPVSVT VGNAPLKPYNMQLTEGRYILGDPIIQLRPRTVDPHEVPDLTKRNLISTLMNLACYVSG KWLAERGIPAVFDGTFYHPEYPKLTNSNMSDYGGKTWLQLAAPKGISSSRPTHHVPLG LDTYVKSTSPLRRYTDLMAHYQIEAALRFEHEHGRRFDATTDESILPFSHMDVDNFIS QSRWKRSRIRDIDSASKQFWACMLLFRAFYFGECRLPETFTCLVHKPYNSTALVGSQF AQGYSGVVTSLGVRCQIVTPPEMSDVDILSVVEAKITSVDLSRMLVIMEATRMVKKFE RVGEWR AFLA_070660 MRPGQTWRTAIVTSLAAFCSLATAADICSKLNQQGITIENRLTI PFLNVLQDYWSTACGDLRPTCIASPKSALEMSQIVKELHDIDTLFAVKSGGHMPNNGF ASIQDGLLITTQNLNNVIYNAEDQTAIIGPGLSWEDAQKGLDGTGRTLVGGRLGGVGV GGYMLGGGLSFLSSQYGWAANNVINFEVVLANGTIVNANEKENTDLFAALKGGGNNFG IVTAYTLQTHPMDHKVWGGNYVFSADKTPQVLEAIRDFTENYPDDKAAIIVTAEHAAL INTWIMFLFYDGPEPPQGVFDGFKAIGPLDTTKTWDSYYDLRYTIATETTPVPNKTVG AEVMQTYYDHWFNITNTVLGVPNMIGSIAFQPMPRTIAQKAQARGGDLINFPTDQDYL VIELDFSYGLSASDEKIDAANKNLFNGFDRIISNYIDEGVLPDVYRPLFMNDANYAQD YWARLGSTEQAREVRKKYDPELFFQKRTSGGFRLG AFLA_070670 MPKYGALGATFMIARIIQACCLIAIIGLTANFIAEIVNSDLTPP SIFIGTITVCVCLRHETEQLPIQPGRKVAVRDFDWCQ AFLA_070680 MTTPTFQIHYFSSATTYTGKQTEPLPAPLPLSQLFNLLESKYPG IQEKVLSSCGVSMGEEYVDVNDCEGVVIEAGNEVAIIPPVSSG AFLA_070690 MTCIYEMNITQLNGGPTCHVSRATCHPPLSQSFPHFFTRPVLNP QFRPVTLFSLYSPSHSQVPYCTMAPTNDNIAAATHALHADEALNVVTDVAPPLHLSTT FRYPDDPEDLVPAADLSGYDQDKTKHIYSRLSSPNLNRFEVLLSSLLHGEAISYSSGL SALHAALVLLNPRRIAVGNGYHGCHGVIKLFGRLTGLQKLDLDCPAEQLESGDAILLE TPVNPEGTAFNIEEYAKKAHSRGAYLIVDSTFAPPGLQDPFQWGADLVMHSGTKYFGG HSDLLCGVLATQRSDWARRLFEDRMFLGSVMGNMESWLGTRSLRTLEVRVQRQSQNAT NLVTWLHNALQAQNPAPDSDEAVTQAALQQVYHASLQKEDESWLLKQMPNGFGPVFSI SMKNEDYARKLPSKLAFFQHATSLGGVETLIEWRTMSDATVDRRLLRISVGLENWEDL RRDLVSAFRALVQ AFLA_070700 MSTDDPFAFLGETKATPQRKPTTKPRWREKLFSKEKQSKGAVDG SGRGGGATDQQIESFLAPVRSNTVSYGSRGAHSTAGASRGLPTPRLDVSQRWQSSSSQ DVSEASPIAKPASATDSYPSMSFPRAPPKNPARKGLRVKFTERAPELIGEGGDESETP TVVISKNRKCQNSQGSGQPGDALDSRQPTLPQLHLDTSLGDGVASRHQRTNTQDNINP AVTKPLFLQSPQDSDFLMTLNMGQAGSRLSFRASPESSTFAQRVRDRMQAEEGRALQH RYQDPPSPINDNKEGQGPVRVAAAAAAVPDSPTSEYETPPISEDEAEPEANPFRNPAS PPSRGLVSPPVETTLPSGLTPASASISASPPKPLPPSRDPGLGSPGSPGRPSSRDNRD APRNPQVPKVSLRSIANQFGEAAFTDLKMFVAQHESLIRHGAERKKSLMEYSLTEWVR AAVWWFMRGKKTLEVYARSRPSSSGSSPQQSSSTETAKQAVVDLGKALWICENIVPKH NELSHYGAMSVDALLAVANTTGDKRLADLLSLHQTTLNHLRSLAMSIKRNNIITSIDA TGGAGIQADTSMWLKYPAFAPDVSAILSGTATRSMLVDQSGQGPNLAQIMPLGDTSRY FSYGSMFVKVMVSSSEDGTHHDEPLPCVLSIIRDRADWYVFASITSQNELVNVMIQSD KKKGPTWNDVHWRVRSNFMQVKLPRGFQLDVMFQEDDFKNLWNIVQYTQQTEASLQPK SDETTIYETTLKVFQYMDPSKSKAFPAEPVERCRVRLFERSMTVTEGTGSREVHQGYR IAVLTSPKVKTLSNVSHIIGHNAPIVFGLLRGEDGAPALMLKAKQDGRSRSMLMTFHD VEERSALHSLLLGMTTKEGEIKTPDIPIRAYSIEQPADRFNGQPETTHLQFPAGSVSV IDQEHAFVDHQYGPTILSEHLRAFVATEWGSVTDRINLGPGELKLGLDINNRTGLSLY RPGQQDLTVSIAENLTAPEMPDRLADFMQIAMVKPMVRRFDFATVKDLHKFEAAVTGF NVLYDGIATSFTISRRRMVVPITKKWESTRARIQVVQHDKVIQLIAFLNDFHHGKCMN FVLKGTDVYENFTRSGKFCIKLCDAKFALPKTGDDPTSSFICLDMPDFPSENDDISIG FDSEADRANLQAALPGSSREPSRMSSLRR AFLA_070710 MCVDQLARWHDRRTPGVSIHERPSFQSQDEWDSLRSSRRHWSRS DGGGIAWILMVMLVMLVSPTYAALVNFDNCLPAPTIASNPQKLQFVPLDVSVKFDLTN SLHNLNITVYGNVSGTADQSSSYPSPDDPQWTNRNATVGKIVDLDTSNNKYSTLITTV DVVSFSPYSGPTRFCDSIIQGDCPLGPVFYANASDLGSLRSFSVQHDMLSSYRFATLS STLLIKSGDAAATDLGCISVDVTPDLGSALKSALAYVPLVILILVGVATVTAAIYSPW GTTDPFHWTSNYGRDEDVLRLVTPGFGDCLQYMQFAVLTGALSLNYPGYYQPVVSQVA WSTLMFNQSFLSPGNERNPVKDGVYTVNGTYGLDALQQYVGMESARDIWPGMIIWLLV VVVAITLIIQLAFALRWLHRELANIPEEDLRSKNMPFTVGNVIRIVSYCVALAVVVIL IMIAFAIWVIRLIASTRPKSYLFDDLPTVLLYGPLYNTFCDDAAAFTVVSLFLSFARG VAIGALQPSGIAQIVLLAICEVVAILTLVAFRPFPSPTSMNLYHACFSIVRFLTILLS VVFVPSLGVSQAARGWIGYVILFLHAIVLVFGFFLNALQTLVEVIARLAGAGGYEGGV TRGGLVKVFGMRQLSRRMPRRSVGTRQSMGSEAAMLAHTDERLSSQFDGSRPRSLSGS SAMLLNRAAASEGRTSAFYESGSAHGGTHSRANSSGLFTPTTPGSNPTFQGAGYQTTG SNSPKSGPLFAMQAHDPYYRPPRPRNKRVDMSGGEKGRTGARQRAGSDADDDIIEGPM SGRGTPVPAYIPAPKDDLDLDDPRQSRKDYAVREVDFYYRVRGPPLSQSGTRKLKTGP ADPTGPVSSATGFFRNLFRGKTKESGKGFEVVRSARAPPPGLLPEGDDVHEPYRDEPD DQATAGHSRRVSGSDRSYPDTDSDDNNRTREALISLPQVESGAAIELPSRIGSQHSSP SGAPQASRPSRRDSRSLALEGDTASHRSLPIVTKAVSEEAHYPAPQLHPSSSGTGRLP FSAASSPSRDRNFSIASTTASTSSSRQHGNGTERPSSMGYVAQHRTRDYIHEASPDEP SFTGSAAELVDEPHHPEGSH AFLA_070720 MSSSSSSSVPASAPGGASTAYSALGRPAGFRPHATSDTAMTSEA GPAFDRRSGRRRSTVTGPDRKRRLVNAEGDVWSRQSVSGSATESGGRMTAQMDSRSIS SAASMRPESSAPGASYSTPIDLSSSPPDQRPQRSNDRRTSWSRAGNDYLEYIRPRWQP DSEVTGCPICRTPFSFWYRKHHCRKCGRVVCASCSPHRITIPRQFIVHPPDTNRSRAS TLIPPRVAPLIDLEGDDSAQSPTALNPALGGGEEVRLCNPCVPDPNPEPPRGYTTIRA PGEPQSGADYIRGGLSPDNFSTRSARRTVGSSDYHFYGGFGGSLGSRFQERPMEYGSL SAAGLAPSPIASGRPLHLASGSAMLSAGPSFATSSNQEIRLPISDPRRRVDERDLCPI CDHVLPPLDVNGNEDAREAHIRQCIESHGSRARSSSHSSSPVAQSSTPVRMLAFTATE KDCLGHDGSAQECTICMEEYEVGQPLVRLECLCKFHKGCIVEWFERKKECPVHKVS AFLA_070730 MEIDPRLRPGSDNSPPEGVGTNHAYLPSPATRQADPAPLSSHPT NDYPDPPSQISPNEQLHSATSTSSDPSYYGTSVTQPQSTHTLYQASPGSIIGSTHRFE SIDPNDPYSELKRPRACESCRQLKVRCEPDMSNPNASCKRCAKAGRSCVVTVPTRRRQ KKTDSRVAELERKIDALTASLQASQGSGPALHPAYPGPPREEHTGKRWLGPTHTASTG SIPVCSPTGLAGSKRRHSGEIKDPRDSGLVGPSFYRAPSPATEQILDNTSRQWHARPS SGSETTAPKPNAANEPVDVIDRGLVSAAVASEAFTRYVKHMAPHIPMVVFPPGTTMAD IRKTKPVLLHAIIATAVGPIQPGLQISLIEDFYRVIADRVVVKGEKSLDLIQGLLVTC NWYIPPDHFEELKFYQLTHMAVTLAMDIGMYRRPMPKSRPWTLVKDLILKKSPSQDPD SAEARRAWLGCYFLAVQVAASLRRTLLVRWTPYMDECIEILEKSSDALPSDKVMIHWA KLVHIIEDIHQQFCPDDTGSIVAFSEPKVQYTLKVFEKQLEQLRRERGPSDFPVFTQA EYIVNLYLHEGAMHVDYSEDQKSLGDDHSSPTSAAHMNALSTCLTSIHQAIDTICSVD IKDLISLPVFALARTSFTVVALIKLYSIVSSPETHIGQVIDVASLKTEYYLDRVIEHY TRAGEQAGGRTPAKFSVVLSMLRGWFLKRKDHGLALRDAFGGGLRPMNCGHDKAACQS AAEKYPRNTGTTPLHLLSEVAMGEPQNRPSSGQGPCPSSTADYTPSASGPVSQTPSSD LVSQAQPPLGPSPAPTAGGTTDSDPWAQYPAPTRQFYPPLTSTYQDIPASGYPDPSVN GNMAMPWPVQGFFVPELGMQVGFEPENLYALENMLGDGFFNLPLPTEGSGYY AFLA_070740 MLSDDIVRNLSIVLGIALSADDDPPVRIMACHALSAYVSFRPTS KDRSREWMALDISGTSTKSNLAHNGGIVRLPWYLVYVERTLLHKLHPLLPFLHNWCTQ TEMRKWSTRSSPADEYSEARSTESKIHTTTGGTDAIWGSRRCFAFPHPRGSRVGTLPC ADNPLSS AFLA_070750 MLMVATSTPRLMIDFQMLSSGTMKTLQLAQRGSQPLYGLSRLQK QLWGWGCINPDLIIRKC AFLA_070760 MSLPRVSYLESWEHNPTSIRRQGQSSVPHSEDGAAYEELPEESL SSSFPSTFSFPVTRQRLNFNPYAGPGWNEASVEDAGDERTSLMRVPSRTPRPGVIEGE DSVTQNGLVKQQEVSLPETTGAFEVGRAKRILQVGIAVIYCFLAAGVVFGFAALKPVL IREGVYHSMCSQEELNERQDVCYAQELRLNLMFTIAAVATNVSALPIGTILDTYGPRV CGIIGSGFLSLGAILFGLSSSIGFDGYIPGYLFLSLGGPFIFISSFHLSNTFPTRSGS ILSMLTGAFDASSALFLIFRLVNENTNGRFTTGKFFLAYLIVPVFILVAQLTIMPATS YKTAGELVQQAEAEISAEANDRVDDDISDRNEGERQRNDRRVQRQDIVNKIQDLLTDT GPEHTSRTEEPVLRANGGEPDTSSATKPQNTTGGVWGAMHGCSALRQVRSPWFVLITL FTVLQMLRINYFVASMRQQYEYLFGSPEQARKINELFDFFLPLGGLVSVPFIGAILDN ASTPFVLLVLVSTATVIGVLGCIPLSIEAGYTNIAIFAIYRPFYYTAVSDYAAKVFGF QTFGKVYGLIICLSGLGNFAQAGLDALTFKVFHRNPIPVNAILTLVTCLVGIGLVGFV WWKAGAISTTKPELGTLENGDVQVPNDHDGIASRDWEREPLLYRPPSNVARHAESPSY GISGPS AFLA_070770 MSIVPTSQLYLDSMVNQYDNEYDYGHDSQDSSPESTSPPGPIEH IETIGANFIDPFMTYPWRHSPEIANACQAYCTSVLWPTLTPGASRSDVSALNWFPLMM SEPTLMTAITFGSLSHQRVQWLNRWIPDGAFREREQQLLKVCEMETIELINQEMKKPG RAISNAVILSVMCMAHNATDISEERQFRHIPFTAPMRRLQWIDVYGSLRPNLVHVQGL ISMINLRGGLEAIDLPGLAPVVSLSDIVTSSAYHTPPVFPFFPLRAERKKVPLRDMLG YTMADVDRHYGRLRQIGLTTEILEVFHAMDLYMSIVDAYLKGNQIRTDYSLLADQRNL VQYTLLSLPAASQLPGFSGYHQRHEIIYEACRLAGCIYGSGVVFPLPPQSTPLAKLSG LLKGVLEVPDCLTVWDQPQARVTLLWVLALGGIAAEGTADRDWYVATLMQTARDSHIT CWADLRAIVVLLPWYDAACDDAGNNLWLEIERLSARPSNSS AFLA_070780 MLPPFDYFTYRSVRDYKRRERAARFASLPAAYHAPFTTFDKAVI NKPIEELVQEVQSSSLSAADVLQTYGKVAVKAQEKTNCVTELLLPEAESWLQSEVNLK GPLAGVPVSLKDSVQVKGFDISLGYTRLAKKPYTEDGPMAKLLKDAGAVPYVKTALPV TLLSFESANALWGHCRNPHVPEYSPGGSTGGEGALLALGGRIGIGSDVAGSVRVPAAW SGIYSLRCSTGRWPKVGVNTSMAGQEGVPSVFSPMARTLNDLTYFTKAIVSMKPWKYD YTVHPISWRDDEESEAKSKSLRIGLMANDGVVPPTPAIERALSTTVAALTAAGHTVSE ITTPATADPFTGLHLASQLLNSDGCVTFNSHRYNFEPSDPGADQLTRICNLPRPLRYL YYLYVRYIRRDFKWASLIRTFSPKSSAETWKLVAKRESFRATWHAWWDAEPQQYDFIL CPVNATPALPHKAMRDAVSSCGYTFLWNLLDYTAGVLPVSHVDAKRDALTAPYKKILK GLGANNAIAQGAWKHYDAAKMAGLPTAVQVVGRRWQEEKVLGYMEAVEKALEQYRDPA TGESGKYTLIELD AFLA_070790 MALVRDPAFWRRFSRAIHLDEEAKASKTENKSGVIYSETAQKRN TIVHFADLLEIGNSKMRFGL AFLA_070800 MSSVHNEGADFWGVLINPDKSPTPLLEQLCLGIAQVMTSFDEFA TTDLTPDRLAAFYRKVGGNYDVLFLETRPSALSFIYQRLGCFHSIQPTNDPYKPPSIP ALQPNGFVRWQTIQLLLDPDEHSRWLQNAVDLWDIETPNGRTFPKMIPRGAFPAEPDP EMVQWHEEVSRRFELDYWKKNILRSSPPNFAPYHSYFSQKDVPTHKEDEPPRSQRRTT PHRQEPTTASERRNPHKHRQRRNDDKPSSTTRRVQSTYFPRQSENFDTGYTSRPSSPP LRAKESTKSRTRERPQAYGRSVSPGAVPGYGASDASSEDSGSAAPQPPRSDRYSYHRN LSPPRVSHTRRHSHEAYARRPRKELSPDPHQHPTYPDTSHSNPGRLYDSDGARRVRHA KAYNDEPLQHRASGVGFRDRVVSDPPPTFPPGREVPVFTRMHSRYAGTGDTYIVHPHP DLEPMSDRRNSYHRPTNANLTSNGNSTCTPERARYIDPRNPRWAAPVQSSSKRGVLAQ PTDAEYPRGRRTAMYDR AFLA_070810 MQLQTLAGFLLLCSPSLAAPTATGPPSTSSLGPLRALNYNNLGP ENNGTAAVLVYDELSNTEAQARCAAIGESLYPLGSASQSERTEIDYQLAYLVFSGDIH SDSRFWVATGDSSKECQAYSQGQKRLVSASCSSKLPVICTSSVPPSTDKDRTAIDSSK IIVKAENYTLTGYRDARSFRFLGVPFADAPVKELRFAPPKPFSGPKKRDATKVGDSCV QAQSAFGTLGNGGISEDCLYLNVFTPILPAGSSDNSTRKPVAVYFYGGAFVSGSASMI DYDGGNFASRNDVVVVTVNYRVGALGWLTTGNLTTGNYGTRDQILALKWVNRHIAAFG GDPSQVTIFGQSAGGQSVVALLSSTAARGLFSGAIAQSAPVDLPWFTREVYADAIVPE LSKAVGCNQTTSEKELLSCLRSVPATKFISNTTEFQQAQAAWVKTLASDYLHVSQLLA AIEPLMPIVDPRGGVIDDQFDKLLASERLPNRVPTMFTTVTDEASLYVAQSVPALGAS QTALNTLFTAAFPAKLAESLISSNAFPINMSDPDSTRNVAADALTHSEWSCAQSYLLR KGGDRVFPQLYEVELTRGHIQTNVSVPEVCSPNNNYNASCHASDVLPVWGTLNSKTRN VDPYYDQDDILHSQLLNDVFGSFFRTRNPNPDQAFLQVRGPAYASTYDIFVTNGYRVP EYRPEQRNVSLLGMPPSWIDNPGLSRKCAVFEDYGFTFQNANFTA AFLA_070820 MKDPRGAVRIVEVGPRDGLQNIKDHVPTSVKIELIRRLRGTGLR TIELTSIVSPRAVPQLSDCRDVLRTEVIKSLREEPNIRLPVLVPNMKGLDIALEYDVK EVAVFISATEGFSKANINCTVQEGLERARNIAEKATSCGLTVRGYVSCIFSDPFDGPT APSAVLRCVRELLEMGCYEVSLGDTLGVGCPDKVRSLLTYLEEHDVSLELLAGHFHDT YGQAVANTWEAYNCGLRVFDSSISGLGGCPYAPGAKGNVATEDLVYMFHNAGIDTGLD MLKLVETGLWISTRLSRENASRAGIALANTHGLVCPPRHTEHAPTKAATWTPVNTKGR LLTYRSGGNFKIVLNRPKRGNTLTQKMVADLIAIFANCNKDPSLLNIIITATGGYFCM GVEIGKSMPFIAQGASRNPQAKHLAALLELMKRSPKTTVACVNGHAFGGGVDLALACD VRISLRAATLTFTEATFEQAKNANSRWKSAFIRNAVFSTHSTTAEKLNSLGLVSEIVE DQGQLRAGLDDLLMRLNNSRPAKPRGSREFAWSTRAGWDAQAHTLDTTFFKMMKLEVG QGDMKASYSGRKEDLKRALRSVWGQGRRRREGKGI AFLA_070830 MAAILSLPSLPAKHEDFISWINKNQATPIAQLIKPYNEHEAVVR KLFAQEPSHPALRDNHLNIVPLYDTSGKTIVPSRPRDPSSESPDLQEKYVMPLKAESR RAYGDPAVVSSLEDFRNNFNIFSEGSLSDMDWNNVVVAGSAVVTCLMPVPEQYRGSKR ALRQFYHDKYAPASDVDLFLYGLTEEQAIEKIKQIEDKIKNAILYETTTIRTKNTITI VSQYPTRHVQIVLRIYKSISEILTGFDVDCSCAAFDGKQVYASPRALAAYITQTNRID LTRRSPSYENRLSKYSHRGFEIFCPQLERCRVDPTIYERSFSRTVGLARLLVLERLPK SSDRDAYLEQRREERGRPARQQQMRSLKSLNGNIKSDWEDEIPEWMEGDEYSDYHTMT IPYGPKFHAKRIERLVYTKDLLLNAEWNKPKDRDVNLHRHPAFFGNVEDVIHDCCGYC PEPVTPEEKEVAEKESKNYISGDISFIKDDPGRQEIGSFNPITETDWTVDHDLAAVKE WLSQDERDPNSRDYTGRTPLHLACMASTPEIVQCLVDHGARLISRLADGRTALHIAAA RGSVEMIRILLHKSEENEAEEDKRQELRKAKAAQDSQHGADEAGSEPEDIETVGMEAD ATSYTSGSFVKVQKEGDDATSAEGLSEDENVQEPDIYDINAVSWDSHTSPLHLAILNG HVDVVEELVASFGADVLLPIKLLNSQGNSSRGAILTLVLTLQLPLEKAKAMTKKLLQL GASPAQADLKYNTPLHYIAASTYDDLIDVFLDQNKPVTMKAINHLAFVGYSWNPDAYS AFMTAINTKNPIGALKLLEAGAEPSIDFGKFVKSGQVLDSIRTNSSERNREIFRQNFT QPIVLAIQKELPEVALELVTRGVDPNTLSPDGYKVEDEDYVRDSLHGKSLLDCVRDKI KELQQYQGEVVDLNAPRPLEPDSFYLKDFEANTYQLWTAERELNKAKRSYEKSLKVYE QGISDSENRKGVESKLQTIRDLVEQFEKLETVLSEKGAKTFKELFPDLQQPDDEDESS SPNRDCDDPFELEFTFNISDLTDEKKDGYIKLSLTLAMWGEGNEQPPLQIAITDSNRL SPFSIASLRGHLDVAKAILEIVQAQYKKEEHSGHERFEMCSDCSSEDSEDSGNPRIYS EVVDDKFTIDDIGEVATQVECHITPLEVLNWYCPKSEWFLSPDAMAKCRESPFGKPSN LLEYAIWMDDVKLLVFLLEMGEELTSKDTDSEFAAFGVPNKTVHLAIAEGRLRCLEEL IRRTGADLPLDALVRESGVQVHEKPGYYQGLSIHGKKRADWAAAGRGQLQHHTNKSSP LLVSAVLGSLKSTEWYMSTAPSRHYVEFSKTHEQDHRLKLLAKSSSGIEKSLMSWLNA KSNLVLHCAILSTPTLESRRLVEYLVREVPECLETRSAGRYTPLALAYSLNRADYADI LIKAGASQTVRDSRGNNLIHLLLCGIDGDTSGKPGNIKRLLGLLDPRLAPSLLVERSS DEPGSVTPFARWMHHAYFNGSDADRLIDIARILLEFAESTGQKHLELLDGTGNTPVHY AVKHELVQILELMMDRRPDLLYRENATGNTPLELEEDAWTLKATSEPPSFRTRDRSWN GRWITDANMVDVDPESFLPDLRPPEKSLRDVCHERASGRPEKRKLVTLNEANEVAKRL AAQKSSRWGQRQSQGFTETDQVARWYGRSDREDELTDVMEI AFLA_070840 MSFAKPMEHSLDLQDDKIPDPKHQERVPAIVTIENFRVLGLDPE DEDFYLNYPPERRKMTRRKVDIRLVPMLAVLYLISHLDRANIGNAKIEGMMEDLNLDG IQWNIILSIFFVPYVLLEVPSNMLLKTFSRPSVYLGILIVSWGIIMTLTGVVKNFAGL MVVRVLLGVFEAGFFPGAVYLCTQWYMPKDLSTRLAYFYCASALSGAFSGLLAAAIAK MDGVGGYAGWRWIFIIEGLFTVMLGVACFWFLIDSPSLSGRWLEPDEIRFLELQKFIK EGGQFKDEEHEQQQRSRWKDLLSVMTNWRMYILAYILLCQSACSYGMFLQRNKFTLPS ITEAMGFSNTNAQLMTVPPYVAGAISAVCFSSLSDRFYWRMPFVVIPLALLTVGYGII ISFDGKLEENVGPSFFAVILAMIGLYPVHPATTSWTSNNLTPSNRRAIGVAFNICVGN IGGIIGSYMYMDSEKPKYYTGFGLSIAFGGSALILALILEASFWWENKKRSKMSESEV REQYTEEQLMNMGDKSPLFKYTL AFLA_070850 MAHKHGKHTPRLHRFGKWMPSTLDSHHEWLGGLIAHVDSKADQE LHPVMKEFQHLIETNTRIYLLIEAMFTEVPRNRVYAKDPTGCPQIRDYKHMIQVLNHL LTTAPSWSDFTHRVGLVGLPINAVLDWPMGTPSGYAAFLDPEINAMIKKVLNAWGEYL QSPESAHVLDDSQYGWFGETGKNNLVTVANVGKTNHSFEDMFVCDPSQKYHGYKSWDD FFTRVFRPGIRPVASPDDDNVIANACESQPYKVARDVKGRDIFWIKSQPYSVFDMLAH DSLAKQFIGGTVYQAFLSALSYHRWHAPVSGKIVKAYVVDGTYYSEPLFEGLGDPHPH DIDSVGEVTAQEYITAVATRALIFIESDNPAIGLMAFLGVGMCEVSTCQITVSEGQHV KKGDEIGMFHFGGSTHCLLFRKGVNVNEFPSTETDQNVPVKAQVAVVTP AFLA_070860 MTQLQPLSVADSGSISLSRATDSRANGGASVDNDMVNLNNGDIN PHNGNGMGSSIRIHFPGGIEGWKDSVNQITERCDVSGLATDPTRYQLASTGFGDASTV YRQRFMAVPSEVYSALQELCVERRVSVRSVILFAMHQMLKGFGNGSKTITASLHVEEN PQDSRPLWAVSPIFVSHESRDEYSVAQAIESIESMCSSKKLSTHTIDKASSLVKLGLF DLLVVFADADDAHLPSFDFPLAVMVEEGEAGLQLTLRFSDCLFDEETICNFTDALNIL LAKAATGGATLISDIELLSFEQQQQLDEWNNTDGEYPASKRLHHLIEEEAERHEDKVA VVCEERKITYGELNTQANRLARYLHSTGIQAEQIVALFLDKSEMLIVTILGVWKSGAA YVPIDPTYPDERVRFVLDDTEAQVIIASSRHAERLERQIIGDRKLCIIHLEPLLTFLA QDTSKFPAHNLDDLPLTSRQLAYVTYTSGTTGFPKGIFKQHTNVVNSITDLSARYGVT GQHHEAILLFSAYVFEPFVRQMLMALVNGHLLAIINDADKYDADKILPFIRAHNITYL NGTASVLQEYDFSYCPSLKRMILVGENLTEARYLALRKRFKNRILNEYGFTESAFVTA LKIFDSDSTRKDTSLGRPVRNVKCYILNPALKRVPIGATGELHIGGLGISKGYLNRPD LTPTRFIPNPFQTKREKKLGVNGLMYKTGDLARWLPTGEVEYLGRADFQIKLRGIRIE PGEIEATLAQYPGVRTSLVVSKKLLKNTVETTNEHLVGYYVCDNASVSETHLLSFLEK KLPRYMIPTRLVQLSQIPVNVNGKADLRALPAVDISKSSEVRSDLRGDMEFALGEIWV DVLEARHGSISRNDNFFRIGGHSITCIQLIARIRERLSVSISVEDVFATRTLERMAYL LQSKQQEASDGRYESESAAQSGPLERDAATEEVYMANSLQQGFVYHYLKNMAQSDAYI MQSALRYNTTLSPDLFQRAWKHTQQVYPALRLRFKWGKEVLQVIDQDQVLDWRFLCFA NVPTGAVEDQKLVELQRQDREEPYKLDTGRLFRIYLIQHSENRFTCLFSCHHAILDGW SLPLLFEMVHDTYLQLLHGNNIAPPADDPYARTQRYLQTHREDHLDYWAGVVEQIAER CDMNALLNERSRYKVQLADYDHIQDQRQLTVTLPGDAWLGELRQTCSAQGVTLHSILQ FVWHAVLHAYGGGTHTITGTTISGRNLPISGIERSVGLYINTLPLVIDHSAYKDKTIM EAIEDVQAKVNAMNSRGNAELGHLRKTDLKHGLFDSLFVLENYPNLDKSRVLQHQSQL QYSIQGGTEKLDYPLAVIAREVEATGGVTLSICYASELFEEVAISELLHMIHDTLVRV AQGLNDPVRSLEYLSSAQLEHLAAWNATEAKFPDTTLHEMFEVEANRKPEKTAVIYEE RALTYRELNERANRMAHQLRSDLSPKPNDVIALIMDKSEHMFVSILAVWKSGGAYVPI DPGYPDDRIQYILEDTQAIAVIADSGYVTRIKEMAAPGTLLYPSDLTSTPDPKYSASN PSPLSQSTDLAYIIYTSGTTGRPKGVALEHHGVVNLQVSLSKIFGLRGTDDEVILSFS NYVFDHFVEQMTDAILNGQTLLVLNDEMRGDKERLYQYIEKNRVTYLSGTPSVVSMYE FSRFKDHLRRVDCVGEAFSEPVFDKIRETFHGLVINGYGPTEVSITTHKRLYPFPERR TDKSIGQQVHNSTSYVLNEDMKRVPIGAVGELYLGGQGVARGYHNRPDVTAERFIPNP FQTEEEMKTGRNSRLYKTGDLVRWIPGSNGEIEYLGRNDFQVKIRGLRIELGEIEAIL SSYPDIKQSVVIAKDRKEGGQKFLVGYYVADATLSSAAIRRFMQSRLPGYMVPSRLIP ISKLPVSPSGKLDTKALPFVEEESEIDVVAPRNEVERTLCHIWAELLEIHPEEIGIYN DFFSLGGDSLKSTKLSFMVHETFSRAVSVSTLFRHRTIEALAQLIINNSVDVNEITPL EYNEGQMIPVSGAQERLLFIHEFENGSNAYNIDISYKLPGSVDALNLEQALRGILSRH EALRTLLVKDDTTGSYIQKILSPDGAQRMFSVKADVIRAMEQLDQERASLSQHIFRLG DELPWQARILRHESGSLYLIVTFHHTSFDAWSLSVFERELQALYVALHKTKSAASLPT LRVQYKDYAQYHRRQLSRSHRSLSDFWLQKLSGLESLQLITDRPRPGHFNYDGDDLSI ELGQKETQNLREVAKECKSSLYVVLLSAYYVMLASYANQTDIAVGIPISHRTHPQFQS IIGFFVNLVVLRVDVSHSAIGDLIRRVMKELVQAQLHQDMPFQEVTKLLQVVNDASRH PLVQTVFNFEARPDEEHNSGSQDEGAIQFTQYRPVQPLDSVAKFDLNATVTELESGMR VNFNYATSLFNRSTIEGFLRTYEYLLHQLSELSAEGIKEDTQLLLVPPREIGDAHLPL AQSPLATLAEERNAASLSQAFEYEASLAPGKIAIAQGDRVLSFADLNKRANQLSRYML SISSLGAEGRVALMLDKSIETIVCILAIWKTGAAYVPLDPTYPTQRVRLILEETQAKT VLVNSHHVSKCEYMGAKVVSIDSPAVKTEVSQQLSSDLPAVAGLDNLAYIIFTSGTSG KPKGVLVEQKGVLLLRDALRERYFGNDCTKQHSVLFLSNYVFDFSVEQLVLSVLSGHK LIVPPADFVVDEEFYRMANTHGLSYLSGTPSLLQQIDLAQLHHLQSVTAAGELLHATQ YEKMRSSFGGTIYNAYGITETTVYNIITEFTVNSNFENAIRDVLPGTRAYLLNKALQP VPFDAVGELYLAGDCVTRGYLNEPLLTDQRFLPNPFRNQEDFAAGRFSLLYKTGDLFR CRFSHQQQPQLEYLGRDDLQVKMRGYRIELSEVQNILASSPGVQECAVVAKYDKDDSY SRIAQSIVGYYTTDNEAVSEANILTSMKARLPTYMVPSHLCRLEGALPVTINGKLDIK RLPEIGNASVVLSYSPPRNILEARVCKLWASVLGIERCGIDDDLFKLGGDSIMSLHLV AQIHNQVGRKVTVRDIFEYRTIRALHDHVFMKDIDTSVVDQFRSEQGLVVGEAPLLPI QNWFLSKPLQHPSYWNHTFYIRTPELDVTLLRAAIIELQQYHDAFRMRLRRKDNKIVQ FFVKDASPVQLRVLNMKDVDGPTAINETLSEWQSGFDLEKGPICAIGYLHGYEDRSAR IWFSIHHIAVDTVSWQILVRDLQMLYQNGSLGCKGSSIRQWSEAVQNYQPSKSELGHW NDLIARTTSSISALPASTGCRVRLTRNLSTEKTALLLQGSKGGQEVSVYDSLLAAVGL ALQAICSRGPSMVTIEGHGREEDVDHTLDVSRTMGWFTSMYPFEIPHLRTENLVEGVA AINERLRQVPARGIGYGALYGYTRHPLPQITVNYLGHLAPKKSKLDEWALAVGDNGLE YGLMTSPEDKDKSSSAVDVTAVHIDGTITIDMDSAWSLEDSEKFISNIEEGLNKIIDS VTSQETSSPADPQLTEETYTPYFEYLEPSRQGPTLFLLPPGEGGAESYFNNIVKHLPG TNMVAFNNYYLHSKRLRTFEELAEMYLDHVRNIQPHGPYHFIGWSFGGILAMEMSRRL ATSGEKIGLLGIIDTYFNVHGATRSIGLGDAKILDPIHHIYHPDPTNFKGLPSATDHI VLFKAMKTNDQYESENQRHLYEYYDGTPLNDMDKLLPSDTAIQLVPLTDDTHFSWARN PQQVHRMCAAIKDYLSGY AFLA_070870 MASTLKANVPKIDVSPLFGDNMEEKMKAARAIDAASRDTGFFYA INHGIDVNRLSQKTKEFHMSITDEEKWDLAIRAYNKEHQDQIRAGYYLSIPGKKAVES FCYLNPNFKSDHPRIQSKTPTHEVNVWPDETKHPGFREFAEQYYWDVFGLSTALLRGY ALALGKEEDFFSRHFKKDDALSSVVLIRYPFLDPYPPAAIKTAADGTKLSFEWHEDVS LITVLYQSNVQNLQVETPQGYLDIEANDTGYLINCGSYMAHITNNYYPAPIHRVKWVN EERQSLPFFVNLGFDETIQPWDPRSPDGKTDKEPVSYGQYLQNGLVSLINKNGQT AFLA_070880 MLQVTCQGTPFEIGYQHGSTAKAVIAKSINFAVGLIRGKTKKTE DELKQILMELGSVIEKRWPRYHEEIRGIAKGAERDVSEIIMLNTRTEFAYGLVEARDG CTTVYCKLSNGALQGQNWDFFSATKENLIQLTIRQPGLPTIKMITEAGIIGKVGFNSA GVAVNYNALHLQGLRPTGLPSHLALRMALESTSPSQAYDRIVEQGGMAASAFIMVGNG QEAYGLEFSPISLRKQVLDAKGRLVHTNHCLLNHGENAKELDPLPDSWSRHQRMENLL DRFDGTKEAFSRLWEDEDNYPFSICRAYEEGKSRGATLFNIMYDHARREATVRFGRPK NPDETFVLQFDDEDKRSALNAKL AFLA_070890 MSSLGSTDLAGLTTDDKNLYLFYQRSGYIVEAFSEEGGPPTQTS VQVAADAQSGGSPLTAYYVKEDMNYDKHSTIHMIYLNKEGHLIEKVRRVSSDKWEDAP KPPGHAAENSRITSGVSQKGFERESSHGTQVVFFVSREEHGKSPITELRRDNKGNFHL EHVLSDEPLSLPGTHLACIVTRENVDLYHQDHDKNIKWWRYEAERKRWESKLAPPEAH LLLARLIFCPLF AFLA_070900 MKPENNVTHVIDPDGNVTIILQNANKRFVRFIEGSSLKRKRKTP FVTQEFRILVSASHLTSVSPVFKSALEGTWKEGLTLRSAGSVNITVDGWDLEALLILL RICHCKHHQVPRTLGLELLAKITLLVDYYQCFDVLRFFADTWISYLAQNFPTQYSRDV LLWVWISWAWKMLALFKKATGLIISQATGSITSLGFPIPQRIIGTTSYVAA AFLA_070910 MSVGLNILCHKLQAALDNRREEGRLIDPPSAATLAKMKDFGSND SLSLSSSGVLSKAFLRELRKHPNFTVGSTSSRILDGTKQYLENIERDLAQFHGAESAM FFSSGYDANVAVWSVIPQPGDFVVYDELVHASIHDGMRRGRATTVPFKHSDCESLRDC LEGIKAGHPAVSEGKQVVFISLESFYSLDGDMAPVLEIVDVVRETLPRGNYVLAIDEA HSNGIVGPNGSGFICHYKLEDVFGIRLQTCGKGLGSAGAVVLANETIKFALLNYGRSV IFSTAPSFLTVSAVKAGYDLLASEEGEKRRFRLQKNLQFFYQTLTTSVEWQHAKEKGI FHLPTEKTWRSEPFLAPIAAIVVQPGKAKELAHHIHQAKYWVNTANFPLVPKGKDRIR IVIHADNTESQIEGIVRLLREWAQGQIRLDERKRGPARM AFLA_070920 MEQIVYAHAQQTPGATAVIDGASTLTYGELVAESKALAQTLREK IKISMEEPVGILLDPGTLQVVAQLAVLLVGGTCVPIEPSFPEHRITSMLRDVHAKHLI MEMPGTRTLHEFNHIYFSDIEKCSISVVPDLEFGPQVNRSHILFTSGSTGKPKPVQVQ ASSILHLATKTPVTPLSSEDRVAEFNSPGFDLSLFEIWVTLIAGATIVVTPRHVATDP NALPAFLREQNVTIIIITAALFETIVFTSPGAFESLHHVLTAGDVANPRAMRSVLETG PPQHLWNTYGPTECTTLATMFDVTLQETHRERISIGQPVGDMEVILLDEDQEPILDCG KPGEICIGGPQQTSGYLNRPSETEKSFIHLRKQDLGIPGDDDLIRLYRTGDIGAWQSE SHCLDFLGRSDTQVKFRGFRVELGEIECTLQSHEEVQAAVVARQPPLTADGTETLVAF IIPKATDSVHSEGLRDFARERLPQYMIPSATIFMEKFPLTANGKVDRKALIDDRLKML EEQKPLQNGTEEKQGKMTVLSDLCKNILNMPQVHEDDDLFDLGATSLQAATLLALIQD RLGCMVTMEDLYSHSTLSSLSRLIELRESGTSCNAPDNTRLWLEDISRVDDIELIPHW ESEDEGKVFITGVTGFVGAHFLHRLLCKPSVKQVACLARSKQDVSAATRIRQALERYD LWADCAEHEQKLIVLDGDLFDSTLALGKERFNWLANWASVIFHLGAKVNFCESYREHH RSNVIGTCNALRLAAAGRRKAFHYFSTIDVWGPTGLILGTKELYEDETLMPHSQAVRY DLGYSGSQWTAESMVRRMRDRGLPTVIYRPGFIIGDSVTGHSNPDDFMSRLIVGCIQL GTFPRLDQRLEYVTLDYVISAAMHIASSNENLGRSYSLLSPDQSKSITVIDTCRVIND AGYPLKIIDYNDWVEQVFAEQQPDGPLAPLLPMFRERVLGRLTRWEVSQYTPYYRSDN TVQVLKDRPDIQYQPLDAPLLKKYISFWNRKGFYKV AFLA_070930 MRLQSNRSMIDYTPLPTMDDSTPKLDEPDPPPSPRPPSYDGAAT SIYRANADVLNQALQDIGMGRYQWQLFAVIGFGWASDNLWPIVTSLILVPISYEFNVA QPPLLTLAQNIGLLIGALFWGFSCDFFGRRWAFNLTIGITAVFGLAAAGSPTFAAVGV FAALWSVGVGGNLPVDSAIFLEFLPGSHQYLLTVLSVNWALAQLLANLVAWPLLGNLT CASAETCTRDNNMGWRYFLITMGGLALLMCIVRCLFFTLYESPKYLMGKGRNREAVTV VHEVARRNGKTSDLSLESLDELITLEDEQHAPRQGLSTSDQMRMLMEPLSLSHVRALF NTPLRAWSTGLMILIWTLIGLGFPLYNAFLPYLQQTRGVQFGDGSTYTTYRNSLIIAA VGVPGSLVGGAMVELPRLGRKGTLTFAAIATGTFLLASTTASTSEALLGWNCAYSFTS SLLYAVLYAYTPEMFETKDRGTGNALVSAANRVGGILAPIIAIFATVQTTSPVYVSGV LFLVAGFLVLLIPYETRGKSSL AFLA_070940 MHSLSLISLALLSPLLVNAQLSGHVGPLTSSSSKASTKTCNVQD YGAKADKETDIGSAIEKAWDDCAEGGVVYIPSGDYAMSSRLKLSGGKASAIQLDGIIY RTGSDGGNLFMIEHSSDFEFFSSTSQGAIQGLGYEFHKDGSLNGPRLLRFYDVTDFSV HDVALVDSPAFHLSLDTCKNAEIYNMAIRGGDSGGLDGVDIWSENVWVHDVEVTNKDE CVTVKSPAKNILVENIYCNWSGGCAMGSLGADTNISDVVYRNVYTWKSNQMYMIKSNG GSGSVSNLVLENFIGHGNAYSLDIDGEWSSMSTVSGDGVQLNNITVRNWKGTEEDGAA RGPIKVVCAEKAPCTDITIDDFALWTESGDEQTYSCENGFGSGFCLQDGDGTSSYSTV ITETAAPTGYEASSMSNDLSTAFGTDASIPIPTIPTSFFPGATPYSALAGAASGNAAK ATSSATASRFRHRRGSH AFLA_070950 MIWDGGGLYAISPLRKPQILSAGRTFLLNLGYRWFSVTMGTGIV SILLNTLPYNGEWLYWISVVIFAFNVLLFAMGCVITALRYSLYPEIFTVMITHPVQSM FLGAFPMGFATIVNMFCFVCVPAWGEWAKNFAWGMWIFDAVLSVITALSLPFLLMAHG GETQLSSMTAVWLLPIVSCVVAGSSGGIVAGVLSNPQHALWTVIVSYVLWGIGLPLAM MVMVIYLQRLTLHKIPPKAVIVSVFLPLGPLSQGGFGILKLGKAARTIFPQTHTLQAS SGDVFYTVGFLVALILWAFALVWLFFALASIVRCKSFPFNIGWWGFTFPLGVFATCTC EMGTELPSEFFRILGTIVSLCVVVLWVVVSVGTLKGVVSGQLFVAPCLANLKIKEEDK DVTRAA AFLA_070960 MMGYGGHSFHQQRQAQQNAHPQQQQHLQSPSMGAAAAAAAATHQ HHNSGIAGNNSLLRGQQQADLTAHSPDLRKMTPSSSAPLVGIPTAGNFASFPGQFPPQ GSSDLLSRGAESVGQLKDPYLSLQNLQRNMNPMATFRGHTAAAMNAQAAMSPHAHAMG LSSPQQSIDRMQHAQYQHRQTTHAHPAQTPTTASPMLAAAQPQQQHQYQQQQPQYQSA QQQAQYQATQQAQYQPQQQAQYQQAQQSPYQQAQAQPQYQAQAQQSPYQQQVQQTFQQ QQAQQAQVQQQAQQSYQQHARYQSQQAQRSPYQSQAQQHPFQQAYQQRQYQPQQQAQF AQQAQQHQQRPPQSTTPSTMGGSAELSVQEPEAEETKTKRRPKQAKATVSPAISAKQV NGQPPAVYATDAQTQAHAQAQAQAQAQAQAQAQAQAQAKAQAQAQAQAQAQAQAQAKA QAQAQAQAQAQAQAQQQHQLQLQHQQPQAQQTPGQPQAPSQVKPASAENTGTPKKRGR PRKSLVPGEEGKTPKPRKTPSKAAAAAAAAQGNSATPTSAAKAPAPAPAMAMAPGVHG QVQAPPPAAPGTVLSADGTIVPQKRRRGRPRKSEIDPNAPPKPKPPRKPASSKPSGTG RPRGRPRKADVAARQAAAEAEAQAKAQGQQPPTPSQGFDWGVRGGHVRFVYLP AFLA_070970 MLTDDDSDSGDETSSIGSLDEIDLIDEDLNRDEKSIAMGFFGKN SEISWMQRLEDEVEGRSQGLDDSGMALDQATSTQEQRHDVPTTTVSYHLDDLQLPLMD CVDAYALPPKELADQFFTAFMESVHPAFMVIRKSIFSAQYRQFFSQPSNPPRRWLAIL NMVFALGCRYQHLLDHAGGGDLDDVLYLNRARKLALSGNMLFEHADLQQIQVEFLVAL YLLSMGQVNRSFKFSSMALRSALSLGINLRLVDGRTHYTSKEARSRLWWSIYLLEHQL TSVTGRVSCVSENLSSTPLPVPFEEDDFCKPEVLCLFQDASMRESHLKLTLLQTEEEA RSSAEWLLTCEPTPSVFFHCLVDLTSITQAVMNKVYSIQALREPSGRIHPRIRKYGKT LETWLSKLPQAFRFTESHSERFHAPAADDPFQRERICLAFNFYSAKITLCRPCVTHAN LKGSTSSQPGKTTHSDLRNEMAVSCLRSACSLTSILPEEPDIHWLVRVSPWWTILHYL MQANTALLLGLSCWSIPELSKDTKPISPATPPINTQTMLKACKKVIRWLHTMSYTNTA ARRAFLFCDSFIRRIAPRLGLDLTGLPDGASLPSHTDSIWMMADGPEEIC AFLA_070980 MSEQSAHRQIAPGPSLKSELPPGDSPPGENYRTTPMDPSKKRVS MACLACKKSKRKCSGVPPCDNCRAFNRQCIFDETLDQRRRVAAKRTADELSYHRDMLN DLLRVMRADDQSFGLRLLDIIRRDATAEEMRGFIDEALAQMEGTDSADEVTVRKLEDV RRVINAEGAGPSFRPKVMDIHYLCDEVPFRVPAKPWTTVTEDADLVSHLISLYFTWDF PFHAFLDRDVFLRDMARGDLNSECCSPFLVNALLANACHFSDYSEAYVDPGDIVTKGA DFLAEAERLRDEEPAKLSLAFLQGTLLLYERYSISGNNDLGYKMLHQAIWTGESLGLI GPKVFKFSSGQLTDDMDVSIKRTAWGLFHIDTVVHTDFLRPSLIDKVNLDRPDRARMD EMALWVPYPSHRAPRPSLLSQYFDESCNLCEIARDISQQLYAINGSSFSAYHQRRTKE ALYERLRRWHQGLPDTFNPDHKPPPYIILLRMRYHTLTINLFSWRPEDDVSSVDSEAP KTPESPPGLSPPRSKFDALENILSSARAISALTRLYRREYGMSRAHHFALYAINLALF TMLDHANFDILDQDFLSLTSAFISLASRSQLGRNLFHLFRQSVLTKGQGKRASSSSTV NDELKALFTEDISSPSRWDGYAKGLEKLNEDERYHGIPGEGDHTLFDMLDCYESLSLG KDEVAPERYRPEAR AFLA_070990 MSWFKTCMLTGRFPQAFENASTSVAASQMRNALNALSETVPDPQ ERKRFEAEMDNFFALFRRFLNDKAKGNEVNWDRIAPPQPSQVVNYESLGSEASVEFLN KLAVVKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVRQIEHLNRTFNVNVPFVLMNS FNTDQDTQSIIKKYQGHNVDILTFNQSRYPRIIKDSLLPAPKSFDAPLQDWYPPGHGD VFESLYNSGTLDKLLERGVEYIFLSNADNLGAVVDLRILQHMVDTESEYIMELTDKTK ADVKGGTIIDYEGKARLLEIAQVPKEHVNEFKSIKKFKYFNTNNIWMSLRAIKRVVEE NELEMEIIANEKSIPADKKGDADQAIYQLETAVGAAIRHFKNGHGVNVPRRRFLPVKT CSDLLLVKSDLYRLEHGQLVMDPNRFGGVPVIKLGSDFKKVSDFQKHIPSIPRIVELD HLTITGAVNLGRNVTLKGTVIIVATEGSTIDVPPGSVLENCVVQGSLRILEH AFLA_071000 MASQELEKEKIELKEEGAIEAAELAPQDPQAAAAAEKVLVDETR KAGLPAYQFDPNATPEEKAAAAAARLPPGLKHDTKPKGMAIITDKDDGTPDQYDLPPP KSATALLNEEKTETAQGENGMTEDLRWARDRTGWAPRFHEEPTEEEKAEGTLLDHQDF LEGRLDDKFFGDWYHNAAIIVFACLSSWIIAILGGGLGWIFILMAACSTYYRTSIRRV RRNFRDDINREMAKQRLETDTESLEWINSFLVKFWPIYAPVLCDTIINSVDQVLSTST PAMLDSLRLKTFILGSKPPRLEHVKTYPKTEVDTVIMDWKFSFTPNDTMDLTARQLKN KINPKVVLEVRLGKGVVSKGLDVIVEDMACSGLMRVKVKLQVPFPHIERVDVCFLERP EIDYVCKPLGGDTLGFDINFIPGLETFIKEQIHNNLGPMMYSPNVFPVEIAKMLAGNP VDQAIGVVAVTLHGAHQLKNPDAFAGTPDPYASVSLNGRTELGRTKTVHDTDSPRWNE TIYVIITSFSDTLTIQPYDWNEFRKDKELGTATFPLDRLEEQPEHESVYLEVMASGRS RGSIHADIRFFPVLEGRTLENGEVEPAPELNTGIARFTVEQAKDLDGTKSLVGQLNPY GVLILNGKEIHITKKLKRTNNPIFQNASKEFLVTDRKNARLGLVIKDDRDLTRDPILG TYQIKFNDMLKMMEKGQDWFHLHGAKTGRAKLTLQWKPVALGGISGSAGYIDPIGVMR FHFKSATDLRNLETMGKSDPYARVLLSGYTKARTVTFRNNLNPEWDEVVYVPIHSTRE KLTLEVMDEESVGSDRSLGSLEINAADYVRENENGEYLTDDEKQHISSDLRIGHGRVK GKLNYTVAFYPSMPVVNPDDEVEEDDLTEGANGDEEGGDQPRKSVDSRRKISHTKSPS TDSKAATTNGTNGTAESKTSLDSSRPATIKESETMSVKSMKEVPKTFISLEDIAQYES GFLVFKFHEVHLSRSNVQVEVLMDDYMFPAYTSPKIRSKSAKVEDIGDAFVRELEFSK ITLRIVDKEDPKASSDEHTVAKLTGDTLSTLQRILYNPTELTLRSDSGEVSKVTVSAR YIPVHMKLDPSESINNMGTLRVDVLDAAELPSADRNGFSDPYCKFRLNDEMIHKTKVQ KKTLHPAWNEYFETPIKSRIAADFRVDVYDWDFGDKADYLGGTHIDLRTLDPFQSQEV SLPLDGKSGAIRLKMLFKPTYVMRSRQGSSTFSGTFATPGKIVGAPVKGVGFVGGNVI KGATLGASFLKRGITSRFHKDDSSSINEAAEDQEDASQLEAPSVLVEGNTPPSSAQSN SLQHSRTRSVASHYSDRLGLAGKGDTGTATITVVSATDYPPSANVRVIVKALGPKPKE VLKSKAHKASGGTVHFDSSHETCRVHNTTADAQYQIRVVDHATFGSDDVLGEALFFVD DQGSVAGQEKVVKVGSGAVAIRSSFSGSESTLRPGTAHSNGGDAASDVMDSPDSKKPG RRSFLSKRSVSGA AFLA_071010 MADALKAEGNKAFSAKDYPTAIDKFTQAIAIEPENHILYSNRSA VYSAQSEYEKALEDANKATEIKPDWSKGWQRKGAAYRGLGDLLAAHDAYEEALKIEPG NEQAKSGMNAVKRAIDAEAQADGVTGDPLGGLGGIFNDPQLFQKLASNPKTSGLLADS EFMAKLQRIKQNPNSVGEEIKDPRFLQVMSVLLGIDMSFGAPPEGAASSSAAEPQEDV PMPDVRPTASAAKKEPEPQPEPEPEDEEAIAKKKAQEAGDAEKKIGNEFYKKKQFDEA VQHYEKAWELNKDITYLNNIGAAKFEKGDLQGAIEICQKAIEEGREVRADFKTMAKSY TRIGTAYEKLGDLTQAIEYYNKSLTEHRTPDALTKLRNAEKTKAKTEKEAYIDPAEAE KARELGQKKFQEADWPGAVDAFTEMTKRAPQDPRGFSNRAAALIKLMAFPQAVQDCDE AISRDPKFIRAYMRKAQALMAMKEYNKALDACTEAQENDDGTHAREIDQQQQKCLEAQ FSSRAGETEQQTMERIQNDPDIMAILQDPVMQSILQQAKSDPAALQEHMKNAQVRLKI QKLMAAGVIRLGR AFLA_071020 MASAGASGASVTELASKLYEHCLNNYPPDQLFYQQDLLGLGIVP KSDLALLLRCTQSLVDQKLFRLLQGKNDRLAWKIISREDAEKLQNLSPDESLVYNVIH STGRNGIWVRAIGTRTGLHKSILDRCLKSLEGKNYIKSVHNVKFPSRKMYMLAGLAPS EDVTGGAWFTDGVLDENFINTVAGYIEYTVSRKSWFEVPSADAHRTKRIKTSDGSVSV KQEAGQKTFIPFPAGYRGYPTVSMLTDAVNESGITPVRLGEESITQLLDMLCYDGKLV ALNSGEMYKSVKNPEAVKQSQARKPAGEDKGIDDRLVTNGMTEAPCGTCPVFKLCAPG GAVSPESCEYFDPWLEKALGF AFLA_071030 MNGSLTPNPKEEQYGKVILQNLDKSQQLQDEKIQLSEKACVLLD RQIKKLDIKIRDLQNDGVLSNDPPLPSLFNNSDQYRDPPKIFFPDSASDSNSYNSPLH PTSGNANVIIGATQRLNQSLARSAGVAGLGSPTAARSSAPATPAGGTAHFHQRQRESS AGAVENKRRRLNPSLGTLPAASSNLRQSSLGPGTPKGGTPASSRAGSAGPRSSSTTKK ALTKKVAPHQQLKKIKASSGLNGKSTKRSSSASGRIKLTTKKSPSAPGGDEDEDDSML SSADVSDSENNSDARRGDDDMEEEEEDEGNEDTKVYCTCRSVSHGDMVACDNENCEFE WFHWKCVGLTREPVGTWFCPQCAAKLNS AFLA_071040 MDQEGSPPIPEGICSLLDTDLYKLTMQCAVLKYFPDTHVTYGFT NRTPHMKLTRGAHKWLLKQMDRLANIRITEEEIKFLKTRCPYFNDAYLDFLTTFKLKP SEQIEIKFTPVNDTGSDSDTGDVEYLVKGLWVDTILYEIPLLALTSEAYFMFSDKDWD YSCQEEKAYRKGCTLLENGCIFSEFGSRRRRDYHTHDLVMVGLMKAAEEGKRQGWKGK FTGSSNVHFAMKYGVDPVGTVAHEWYMTIAAITDDYENANELALRYWLGCFGKGVLGI ALTDTFGTPAFLDAFRKPIPAFTSAGAGAVSTSASGPATTNESTVQSEAETKAPITAP LRDGGARTSHETYAQAYTGVRQDSGDPVYFVKMVRDFYDREGITDKKTMVFSDSLNIE HCLEYKVIAEEAGFQPVFGVGTFFTNDFTNKSNDEKSKPLNIVIKISTANGHPAVKLS DNMGKNTGDKQKVQEVKKKLGYVEHEWEEGDESNRWSKR AFLA_071050 MITTKRTASDADIHYGEPVAKRNSLGYDARTPWLQDSKPPPTWR TLGNRAVRPSMPLENMVAAVQDLIDPDFDPLIAILDEEPRFLKPLPSHISPEDLEFLR FRGALSIPESGLRNELLRCYIKWVHSFMPVLNLQDFLRCVAENDPNGNISLLLFQAVM FVATAFVDLKHLQDAGYATRKIARSAFYTRLRLLYSLDCEEDRIAILQTLLLMTYWTD HVNHPQRDIWDWIGVCNTQAHSIGLNRDPTTSPTMDLKTKRLRIRLWWSLYSRDRLIS LGMRRPTQVNEGTSNVPMLRLDDFEYDSFHPSVINMFHCRQLEDPSHQKRLATMFMEK TKLCQCIGRVLFAQYSPSQRLFGITNRTTITLAPRQASESELARCSQRLDSWVNSLPK DAQFIPASKTNFNDGEDVLLLHGAMVRMLYHATISALYRPWAYGSNKGQSKSRIELTN TARSKMHDAAIGITHIIQGLNQLNLTRFLPQSGVTVIIPATVLHLANSMSDNPTVREA SIRNFHRCVQVLQGLKELYPAADMEVANIEAAVRVQSDSASTLLKIMQSNSINLSQPQ PAEPYRRGSDVATVQTLSPTEDRTPKHWTSPIETDTVNESHNPGLEPRKPSSADQRSK RNSTVISTTVNTTSTSPSKAKQDYLTPTNDFDDHFNSAFNSHSPLPDPSFNPSSFLDI DSNNTEFPLFQSSSHPDIDIDWAEDFLRGADFKIDFSSSALEDHSRDFFSFSDKQERS NAPRKEEITGDLDKDLGLNSGDEMF AFLA_071060 MAPRQRVVSAHQEKSFLGAAYDEVTHPENATIVRSVLVFGAGVA FLYSSLSELLLPPL AFLA_071070 MTSIDELFKKPTPAASAKRKLDATTDPNELYKAAKLDVNGDVKS KGKEPMVEDENDDDGEAGPELPPDFDAEDIPDDEEGRFFGGGMERQTAQAMQYIDQQE EGDVAPEKFDTTWLRRFALNFEKKISKNAELRGKYENDPQKFMASEADLDSEIKGLSI LSEHPELYAEFSKLGCVSSLISLLSHENADIAIDAIQIISELTDEDVEAEQEQWDTLV NAMLDADLIELLAQNLSRLDEESDVDRAGVYYVLSVLENLASQSSHAERLGDDSNLLP WLLARIQKKERPVSQNQQYSAEILAILLQSSSKTRSKFISLEGVDILLQLLSQYRKRD PAKDSDEEEFVENLFDCLICLVDEEFGKEKFLEGEGIELAQIMLKEGKFSKPRALRVL DHALGGLGGRPACERFVEAACLRTVFGMFMKKQENQTIEHLLGIFASLLRLLPGGSAA RIRTLAKFMEKDYEKIEKLVKLRRDYASRVLPVEQAIEKERKNFTEEEREVMAVEWLS RRFDAGLFSLQLIDVILAWLVAEDDGAKKKVVSLLADRDEGLSLIQGTLKEQIEGLSD DDPGQKDHKEMLETLLQFL AFLA_071080 MADYDDAYEDEFYDDMEEGITSEDCWTVISSFFDTKGLVSQQLD SFDEFISSTMQELVEEQGQVTLDQTLPPDEDEVDPVVVRRYELKFGTVMLSRPSVTEG DGATTIMLPQEARLRNLTYASPLYLGITKRIMEGRERSVADRDDEEIEEDEDRKARGT YLQWEQKELPADQAKEETVFIGKVPIMLKSKYCILKDLSEQALYNWNECPYDSGGYFI INGSEKVLIAQERSAGNTVQVFKKAPPSPTPYVAEIRSAVEKGSRLLSQLSLKLFAKG DSAKGGFGPTIRSTLPYVKTDIPIVVVFRALGVVSDEDILNHICYDRNDTPMLEMLKP CIEEGFVIQDREVALDFIAKRGSSQSSMNHERRVRYAREIMQKELLPHISQSEGSETR KAFFLGYMVHRLLQCALGRRDVDDRDHFGKKRLDLAGPLLANLFRVLFTRVTRDLQRY VQRCVETNREIYLNIGIKASTLTGGLKYALATGNWGEQKKAASAKAGVSQVLSRYTYA STLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCYVTV GTPSEPIIDFMIQRNMEVLEEFEPQVTPNATKVFVNGVWVGIHRDPAHLVNTMQSLRR RNMISHEVSLIRDIREREFKIFTDAGRVCRPLFVIDNDPKSENCGGLVLNKEHIRKLE QDKELPPDLDPEDRRERYFGWDGLVRSGVVEYVDAEEEETIMISMTPEDLEISKQLQA GYALPEEELDPNKRVRSILSQKAHTWTHCEIHPSMILGVCASIIPFPDHNQSPRNTYQ SAMGKQAMGVFLTNFDQRMETMANILYYPQKPLATTRSMEFLRFRELPAGQNAIVAIA CYSGYNQEDSVVMNQSSIDRGLFRSLFYRTYTDSEKMVGLTVVERFEKPMRQDTIGMR KGTYDKLDEDGIIAPGVRVSGEDIIIGKTAPLAPDAEELGQRTKAHTKLDVSTPLRST ESGIVDQVLVSTSNDDLKFVKVRMRTTKIPQIGDKFASRHGQKGTIGITYRQEDMPFS REGVAPDLVINPHAIPSRMTIAHLIECQLSKVSALRGFEGDATPFTDVTVDSVSRLLR EHGYQSRGFEVMYNGHTGRKLVAQVFLGPTYYQRLRHMVDDKIHARARGPTQILTRQP VEGRARDGGLRFGEMERDCMIAHGASAFLKERLFDVSDPFRVHICDDCGLMTPVAKLK KGLFECRLCNNKHRISQVHIPYAAKLLFQELASMNIAARMFTNRSGVSVR AFLA_071090 MSAVQLKFTLRTSSNVKTVHLLGSWDNYSRQIPLSRDEGKPGSW VGKFRFQTSMLKLGGRYWYYYIMDGYHVSHDPAVEYTIEPTTGRKLNILDVPGGSKKS SSSAQKPRRTSDEVVKGRAPSPSKIHHPKPSKPYASRQIRETDFAPTMEDLSMRFAGS RLSDEYSLSNSPPSSVGSSLSSRSSRSSGSTSPSSLSSMSDPASVCRCERYGITRKGD RVKLDCGGSRCGYVTESSEASCSESDSDEEYRRARRGVRRQGIVVRR AFLA_071100 MLGNSRMVSIPQIEDCLSRGWIVVVPNHRLCPGVNILEGPVEDC RDLLTWVYDDSYANEGTVKGYDVPKPPRAILDFYGAVHFTHPFWTEPLPHVAEKLPPG LSPEFMNRVYEDPVPTDSSISLEGQTESGRAKGPDFSRPRDAFAFMQIANGRVLSACF PGRDVREIDPVCCVRERFPPTCVVHGVEDRMVPIYLSRELVRVLEENGIECEMVEVPG EDHTFAMGMEVGSRTWELQRRGFDFLEMVINRE AFLA_071110 MARAHLGRSTLQVARFVTLDLIRSLCPDRPMLSSALRLETGGRA LAKDRAILPEW AFLA_071120 MLPNLGILILPLLALPASGDVADDKIAEYKKWWWPNCTEYGVVE KDGTESFFKATCPDGTGNNVSTELDLKPCCDDLLKEKDETYIEKCILRNGPPEQKELG CFVYKDNPDNSKWTRLTWWDKQHVTVEDGVLTCYDHKGERV AFLA_071130 MYRRRVLAAVTDLEEFAEGGEQQDSEAPVVGNRFQAINFGLKPS GAAGEFSFAQSARMAESRVPWTIYQNLRSLYRIILGDTSAIMNVAQDWVEATISLTVW WDGEDDDESSAPSNGLGASTSIHFLRPRVSKPQPSNSNPEDAYLRRLDLAFNSATNAE SDDGGFRVNSLSSIEVGLASVFEGNVDGVLELLQTWSLCVASAVAEVASAGGWLETTT GAKPLTGLSENDLMVLSYGQDGKSQKGSVSKDDILSSYASGLFERGSMDNGVRQGWEL ALEVLSRLNDHEKMQKSVSELLDKLPLDTAEQMDRAVLLCSELGLEKEGKRVSERFGD LTVSKTEEYGLALICYARAHNRRKVKSVVDLLISYSLVQSRAYPAPANLDEQLHSLIR EPKACLSAVAGVDEEAAGILQFYFSGYATLRRYYETRDEAIGLKEGQRPRFKPLARRR AAAQALVAVISSAADSIYGGLYDPDRDSAVQVDGLLALLGEALPFVEQSTPTLSVSQQ SAILSAIEDLETVTPRVYAQCEECFRSTLIEYHSSKRAGADSASNDAFVLPPSPRALL KKSVSSLTTSSTFSFIGSDMIESARNRSGSGSAGSSGVLVPRSGDDARSAYHERGWDW RAGLPEDAKGEDILRMLRLGLAKGLSFGALGSV AFLA_071140 MNPSKSISDQESFDGSLLNSSSNSRLFHVYHTLWRYDYTVTSDD KTPLFFVDTSSFTPKKPDLTFHAGTDKKAPVVGVSKFLHFSRHMKVGLGDPQSIDQVE WEDLISQNIRSNKYRWQMTVRGAYGAERRSFMWKRTHSVAVEGSSASKWSSRNFKLVD EQTGQIVAIFTSTAFKSVKKSGKLQIDSTNYGEEFDLMVLITGLSLYEKQRRRDNSNG GGGGGGGAYGGLTSTTVAARWAIRLKFKFVNLIRARSLALIILAHLCAILLSP AFLA_071150 MSSTTPDTFKGWVANNATSPLTFTTFHPKPFTETDIEVAISHCG ICGTDIHTLRSGWGPADYPCVVGHEIIGTVTRIGSEVPTLASSPASREIRIGDRVGIG AQSMSCLKADSLNAQTDRRTTVPDSRGRTTRAYGGFAMRWRGPAHFAFKIPGSLPSAE AAPLLCGGVTVFAPLRKYGAGPGKSVGIVGIGGLGHLGILFARALGCDRVVGISRTSS KRKDAIEGLGADAFIATDEEKKWGRMHSRSLDLIICTVDAPDMPLSQYLRLLKVDGTF VQVGAPEKPLPQLTAFSLIQKGVKVTGSNVGSPEDIRLMLQLAAEKRVLPWIQKRPME DVNAALADMDAGKARYRYVLVNETEKQAKL AFLA_071160 MEPHNLQAASTYINNVLLARGLLKSGRPIDFAQPENEEGGTGAA MARVINLVNDLVLRRDREAEHRENLATTIRTLRAEDAQKTLEIEKLKAKTSELTRSVA LAEAQERAQKANVASADATVRQLKDQVQRMKTTIQQVRAQCANDIRKRDLEMQRLKSH LAERQRGKREGLGVTTININPAVDRSSKSKLLSGGDNVNDPGYSLKQETNDFLTELCQ NLSDENDSLIMLARNTVQTLKYLQGLPQSEDNEEYSNGASVGIQKSSQGPVTTLPASC EELSNQMDRVLDHLRTLLTNPSFVPLEEVEVRDEEIGRLRESWEKMESRWKQAVTMMD GWHRRIADGGGSVQAEELRMGMRLDLSVDSAQDLAPADEEETQMQSPIYEDQEAEEEE DSVNKASQEGAELPPASVDTQLPVREEKSQKSTDRALKERSENVRPARLPRKVSFTPG LHGSPCEPSGGDDTLPIKAHQSETVTRRPSRRKPETKTSRQVPSQTSRLHEPKKSGLT KEHGPSSQTRMSVSQKLAAAESEARAAEQARKEGESRKRGRAVKGSKGSQDRRRSTLT NDELGELMGMTSR AFLA_071170 MLGILDNRAATYTKLGQYDRALKDARHMIKNDKQDERGYLRCAK CLLLEGKLEKALELYAYALKTLPSNHPRRELVEQLHNKLRDKLTSKCCDPFSVLPLEI AAMVLEHLNFKQIVAILRVSKQWDSFLSSMRDLWMRIDLTGARSKIHWCSVRAYIRRS KSMVTHAIVKNISSSSAERVLQFFSRCPRLEYLEVGTPFSCQNFYEMFKGSRRMKTLI ISRDMPVPQEYITKFLGSLPLLENIKIYKARTSPSSKVQWPSELPHLRSIILGTTEGS WLNGHTSALHIPRKQPDLPYSIANLEELCLNSDPDVFFPYPPSFNPIDFSRLLRLDLS GIYISDEFTLPPSLEYLRICGGAATEEFPFSNQRPVEFHKLKTLMFRDVPWVSNNTML IFLVEAKAPLEVLHVDSCFRLRGTAFWHSLCQHANDLTELNVSHVIGINDNFSNQIVE KMHKLKVIYMSYTEITGISIKTFADARVSEGNVMRIERLHIKGCELVSPDAIAYGRAH GIEILT AFLA_071180 MTQTKVPPTSSTTVKRFPHIHDDPATLPKSLDPFTITTSTGFLP FIMSPTKLPDAFKPLESLLERLPVEKLDGTPGLLATYELGPAVQELPDLTAEVDKLVT ADGSPDLYAVTAVFRDYSFLASSYLLEPCWENWSKNPDKGYGLGRDVLPRAVACPMYR CAQLLDIPPFMSYAAAYSLFNYTLADPKKGLVYDNLRLVRAFEHGLNPKSSEAGFILT HIDMVKDSNGLISGALKVVDTIEQGGSRAEVNDGFREILSSMEKIEACMEDMWANSKP SEYLSFRVFIFGITNQSMFPNGVIYDGVLDNKPLNFRGESGANDSMIPLLDHLCQIPM PSTPLTKILHEFRAYRPLPHREFLAYMNSKAAEVGVRDFVVKDTETVILFLKTLNHVR SFRWRHWLFAREYIIRRTPHPTATGGSPIVTWLPNQLSAVMDMMISIYDTYLAPQKEP EYSANGLTGYDASFQKQVEPMMEMVRDQKDKLAKEVEKWCQERGNGF AFLA_071190 MVQNLQRIIGDYHNGGDKWQNTDRLQLTIRGGLGEIIGASPRLR LILLCPYFSPPSLFRFSIVSREDILVKMSAQNSAGIQTLLDAEREAQKIVQQAREYRT KRIRDAKSEAQKEIEEYRNQKEQEFKKFEAEHSSGYKKAEEDANKEAEVKLQEIKDAG NSKGAKVVEDLISALTDVKPEASEKILSQA AFLA_071200 MRCAVATLRADTRFASLKRVCQYHRFNHRIPRGFHSSTCRYQLP EGTGSDPRLEGLGKVIEDEYAVIREVYDTPKHAIVLAHGLLGFDELRLAGPYFPGVQY WRGIKEALSVKGIEVITATVPPSGSIEARAEELAKDIAAGAQGKAVNIIAGLDSRYMI SHLQPKDFKVLSLTTIATPHRGSAVADYILKQIGDERLAQVYYALEQIKFETGAFSQL TRDYMEKTFNPTTPDVEDVRYFSYGASMQPSFWSVFRLSHRYLEQVEGYNDGLVSVAS SKWGGKDGYKGTLMGVNHLDLINWTNRMKWLAGKITGNRRRFNAIAFYLAIADMLAKE GL AFLA_071210 MSATLYIDRPHTHFTNLDFLTGKVVVKLTSETQISGIQVKLEGE SRTRLSGPRHPHHEQSDKKRTEIEVHKILYKVLNLFPTPAVFNENTPNTVWTFAAGTY EYPFQFKFPFNNACSFQNSMLTNLNISGLKVEVARDTHRHVKKTLPPSLSSFPQMADI KYYVKATVVRPQFYKENIRTITNINFLPIEPPRTGNPGEETYARRQHGFAKSPSTSKM KSLFQKGSSSSLRDSSGDSPRVSADIRLPNPSILTCNEPIPLRILVSKTSESFETIFL QTLQIELIAYTKILAHDLKRNETNTSVIFSRSNMAIPLGRGGDPVGTEWTIDASMWSH IPLPSSVAPTFETCNISRTYELEVRVGLSHGTVGNMKPQLIVLPLRMPVKVYSGISPP QALLDAMAENGHIQPTVSPTKPSRPSQETSAPPPMPPRPTRPPAPLNPEDGYDDAPPS YEDAMAETLSPVDGPRREPGLPLHPPSHGNKVCSRFTNSRLFRKTVHLNISL AFLA_071220 MDGNVEYNDDEDEDEYEDYHESHEDTGNGTVIRHHVDNTEDAEA SESDADASDIDARDLEDELKDLKEDLDISAMPETESTDDEQHETERRGYALRSRLSTS HAAPTKSSVAQVIQASSEAHSFRRDSKAVRFSQQKEGSPMLPSLESAIAKAPPASDSN SDSSDSASSSSLSDSSNTDSGDGSSSSDESSSESSDDSSSDSDISTSESEDEEISTAP LVANPPGYGSVRTKKSNQRNKMRRRLSKLKELGALPAEADFAALRDWEDKNKGQRFVP EISGSNKGPEMEEFEERRQKLLRDIDSGGIDITDGTFSPLKPVEESNQSQSKELAKQH EATVEEAGEVVPEPKRRTLDVASSRRLLFGSLGVRTPRTKEDEEATRKKLAGKANNFV PQKKVSDELTDAPESDLDEDWENKLIVRATECVYDDIQLTAPPFPFEQRWDYEAGDLI RQRKGLGKKRKRRQQLQVYDEEEGDYGGGEDSFVDDDLHHNYDDSEQLYSEANDHEAA QIAEEKSDDLPSVPSDPSSLRDLVEDDLKCGCVLAFKQLDVSKATNWQPTVTDFRVAE IHDVYDDGTLNLRLAKRDRRQVKEIELEEDGPQYSGFEMPGYEDEGDANPEIRSPTFD GFQSSSPTSGQPLKASLYDSDSSCSDPHSLEGKDVQFMSHSSPPPILPDNFSSLPPSD DDLQMLSTPRSEVSFSRLMDQLFDGSKEKSSSPSIKREVSQLPERPASRSSTGSVVPN PVDKIVKTPETRRRRSSQKQRCSIKEGDTTLDYVSAVEFPSSPSPRRSGRVRTRKSYP SQDDPMVSAAMGNVPQGKQKISGTHDSSAPQGIIDLTQSSPAGSPGGSGQDFSRTRRL SRGPGWMHKDISGTRRETRLSSAGPKVQVMKEVSIGPSPRRGRKRRPKS AFLA_071230 MHVPLSRGARNSFSSPVFYSLSSPRHILPASYSPTTPRCRCGCR NSTTCSCSCCAHPTSPRRFTSARSLSSSFPCSKSTPLPSRHLLLPAEKASIHSRPFSS TQSRKLFHTTAKMAQEYKLKDISSLADIKEMDKVESEVEGIEDGKVLVVNYEGQFHAM SPKCTHYGAPLKLGVVAPEGRITCPWHGACFNISTGDVEDAPAPKALHKFEVFEKDGA VYIKGEESTIKSGQRDPVARCSASAPEKLVIVGGGSGTFGVVEAIRELKYKGDITIIS REPNLPIDRTKLSKALISDPSKIEWRPREWYESVSVETVTDEVTSVDFNKRTVATKSG KTYPYTKLVLATGGVPRTLPVEGFNDLGNIFLLRFVTDVQSILKAVGEKNKKIVVVGS SFIGMEVGNALAKDNDVTIVGQEKAPMERVLGEEVGRIIQGNLEKAGVKFKLQAGVAK ATPSSSDSSKVGAVHLNDGTVLGADLVILGVGVRPATDFLKNNQAITLENDGSIRTDE HFAVPGLDNHVFAIGDIATYPYHGPGTDLKGTLTRIEHWNVAQNAGRGVARAIVHSLA NSASSLQSLKPKAFIPIFWSAVGAQLRYCGNTPNGWDSLVLKGEPENAKFAAYYCKGD TVVAVATMGMDPIMVKCAELMRRKNMPSKSQIESGVDVLKVGLPEGVKI AFLA_071240 MKHYPGEYGVLYVYLTATFLVFRPQRGQILEGWVNVQSEGFLGA VVLNLFSVGIERKRLPSNWKWVPPGEEGSVSGDQQKTATTSEDDESEPSASFDQEKEH FNPVSLANPVSDTLNEEANAEDDESAAEGYFQSVSGHRVRGTVRFRVVDVDVIPGSER DRSFLSIEGTMLSPEEEARVLEDERNGILTTSATPRRGRSQEPRVSMSGALAAPSVAA IPEPETPSKTKEPKAEKEKKSKSSKSKKKEKKEK AFLA_071250 MASLLSFAGWAFLPNYATSIVQNIYYGITIRAGEPRPQPPSPRY ARHRRRIFILVVTSYLLYTLYETFHRVQAAGDFYKALGVSPLADDRTIKSRFRRLAAQ HHPDKINQGDGIPSDDYFVYLKLAQDTLLDPARRFAYDRFGPDVLGWSNVKTVQDFLF TGLQRSIPQYVGGFVTIIILNFTWWSNWGRYWRFFTFAALITLELALTTQPRALFFPA SYIPVELQKLLGISTKTPSFYLLPFQILTLAQRASVMLHIFISQVTPPEVSKGSSSAA GERIHPQTMQRLAQLAQLSRATDGESTRLLQLGFAPFKGDRESVATLRKGMKEGLVLS SVRASSGVQQAVGEVIERKRQEKKAD AFLA_071260 MTIRPDGCEGEVKAVETRSGSVGGRQKVGYYLNGDCDVGDGQVG WLEKWFQTSNAAARLGQGEEEERERGGGKEKGRGMEERDGDAPCGGFIVARLSHALSV LCGQSSDCPGDEKLNLLRTDHPKKIYRPRVSYRFLRVASVARD AFLA_071270 MPAATATNGRSSRSGPSSKGVVVLKLSPDLLSRFASPPPPEVKD RKKSIIKDDESRADSPVKEKEPSSPASSSVEAPIPPSDNASDAASTPAAGTSAADTPR RKGVPGPKPGAKRGVNQISETTPKPRGKPGPKKKPRLDDGGSEPVKIAPSHRLGPKAN TGAINAGLRALDRSGAPCRKWERKSLQLKSFTGIQWQLPTWRTPRPQKTDDNGESKES VLETGDSDSKANQSASGVPSEKSNSGDGDLTPVPPNIAEASSPAIAMAA AFLA_071280 MWLRGAGKGKSTGRPELLEKSKEKSIHRYIDLRDNSSDSVHIIQ QIQHDLLRPPPEMHPASGLC AFLA_071290 MTDAPFPPSGGARGAPVTTTYSGPSISTIPRRSSYASVLSGTAL SPPSNSGPFSQLLTSNSTSYPPPFHPDGRHLRPSADVDADMQMNSSWRMSSGDTLPPY SRKYASFTRSDPFPPNPGSFSDAASSSFTPSYLRNSRYISRLDAARRAKLASQRDTVY SSSTSNPISTSSSQASLPRIAPSHRGMTYDIIEREPPGDDEHVMPLPSRWNDGDKYSG LELTSGGLEVRYTGPVNKHDHEAAAVRADNPMPPQCGIYYFEITILSKPKEGMIGIGF SSNKASVERLPGWEQESWAYHGDDGKSFFGESQGQGRQYGPTFGVNDTVGCGVNFSTG CAFFTKNGVFLGNAFRELRNLKVYPSVGMKKQPPVHLAANFGQHPFMFDIDGMVKKEK FAIHSEIRATSTANLQPPLDESALLQELVAQFLAHDGYVETARAFAEEVAAESAALQN GRAEPLKKYEVEEDVEAINRQKIRAAILDGDIDKALKYTNAYYANVLQNFPHIHFKLR CRKFLEMMRRCNEPSWAASRRDKPSNGLSDGSAVFDEEMELDEHMHHGGGWNADGMDT EEPENAAKFNELLTEAVQYGQQLRLDYPNDERGGNKKMLDDIFSLVAYPDPMQSVHGH YLDPAGRIAVAEELNSAILVSLGKSSSAALERLYQQTEVLVNEISEEGGAGAFINVRN DFLL AFLA_071300 MATLTTARSPILSHCVVALLHRHESYMAEAEEDRHRFLASIENL EREKREVQVENARIIEENRGLLEQLEALNKAVADADSHAKSLEVRLENSEAELRKVTV SAARAADLDAQLVQMENEQTRLQESLESAEEESRSAVQRWKKAESTLRDLNDQIDRIE KEAREERERHAEAVQRMERKRTVERELDGAARRLKGAAATHELGRNHGGTVVSRFVRD ILQDNANLQLGIVELRELLESSNQEVQCLRDQIISHQLVPMTEGDGQVPQLAPTLSQE LESKEPRRAPQEFHIHHHYHTPSIKKERPALFRRSKNRHTWGHPNTVHSPSGTKIARK PTHRSQSSHSSASTMMSQPPVQIPSASQRWSSQSPGAESMASSPQSGYRSSSIFDRVE RGFDSSRATSPDSTAFSPLRVSRRRSSFYDASFRSPETDVSVDPLDDGVFNKGLGDAY QPVIPEEREDSVHYATSERAFSPAPDDVFASPYRPRRRQSHESLFSVAGMDIHTPSRR PSRMEDVSRPFSARVPRRIFSSNDRFSNPVLSTSTVTANREPSKIDQSSQTLLASMAA SRQSETESATSGHSDPTGTPTRKISLTRRVGGWMREHLGNAPTAAIGDANPHQEQPIP SASQASSDTTPPSTASRKPNPKADTVPGLRYRYPGVNQKGPIMGFRPNSRAPFAIHAE AIDESLLRETLAE AFLA_071310 MSPDNDIHSLKKLAAQYAQQLDLTHLSIPNASTIVHPDIQCAIY EHMFNEAAVWPLPPVGYRTRVLKTIIARIEDGITDPEEDELNSDLIESWTNLISLPKP SQIQQAQQLTYIKYTAPTTSQDPQTVITSESRGLIYSSGTTGFRTWEASLHLGTYLST PTGAAHVTGKRVIELGAGTGFVSMYAAKYLQPQFVLATDREGTLIENMKDSKARNGLG GQFGVGAWEWGTPLGYPTEDDTEGIAREDLFFDVALGADLVRYVLLFKLFGVLRSTGL MESRPMTQTYFLCYSLPFMTFLITTGSKSLFSRLHYGTRRPSRRSWTLVVCAPFNSPA LVPGYGIDCFADNHRFKAVCLSFESPVTGSQTGFFHETGIPIRTYRVTR AFLA_071320 MADTPVTLRTRKFIRNPLLARKQMVVDVLHPNRANVSKDELRGK LADLYKANKDQVSVFGFRTQYGGGKSTGFALVYDSQEALKKFEPHYRLVRIGAAEKIE KPSRQQRKQRKNRSKKFRGTAKTKGPKKSKN AFLA_071330 MNGTLPSAHQRQGAYISSVATRQELLSANPSNFRITSLSRSPAF DIGSTEPQQSYSRPSFGQDHMANNDEEPSTVYGSQPAAYGVPTTLPQGALGDYYGVPW SKTGHSGLNVTRGGLLPDHEAEGTFHHPDYAYMMSAPGTQATDTTFVPSMVALSSEGQ GADRTLPTPSGRNQLQLGLSVLPTSSDAISGLPQQDCRVGHPWTPKAIITANNARSMK QYTPEPFNTGSRNRAKPPPSNAQEMVLGYLPMTSASASSPLMPSCGTFTRFNPANPGE ELQRNEEAPMRRPIFTESARFSLMEDSSNIYGYCSSERRKGPKPGTSGMLMNGLPYTR PPEQLQSTPSSLAFSLPVAEGLSGPGATTEAHRTQALSNPGGFYSEAC AFLA_071340 MSVLLETSLGDIVIDLLVDECPKACENFLKLCKVKYYNFSPVHS VQKNFTFQTGDPLGPDSSESDGGSSIWGLLEGPPKRTFSLEPPPKLKHDERGTVSMAT VPSPHDPDQRIAASQFIVTLGENLDYLDGKAVIFGKVVEGFDVLEKVNEAFIDDRGRP LKDIRIRHTVILDDPFDDPPGLVAPAESPLPSKAQLATVRIADDEELDDNMDEESMEK LRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLHLIFSRFGTILS CEVIRDKRTGDSLQYAFIEFENQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSSTILVS KLSESWRNATISKRSGQRGGFGGVASLEKKRQYRASDNAREKENDYTLVFDKGDKAPR RRSYSRSPQRSSNRDRRASRSPRRDSYRDPYRRRPGDRSHSRSPARGEYRDKDRGRYN HRERRRDDERYRERRRR AFLA_071350 MAAAIKETVSNLLHGHSDNTPRQPSPEEFHQLQQKYTDAGQGHV FKFVDQLNQVEKAQLFHQLSNFDPNRINELADKVLNPAKTQDGPVTLEPLPEVATASI MDSDPKDIQRWYDEGLQAVSENKVAVVLMAGGQGTRLGSSAPKGCFDIGLPSQKSLFQ IQAERIAKLQLLAQGTSGKEAIIPWYVMTSGPTRKPTEEFFEQHKYFGLDKKNVVIFE QGVLPCISNEGKILLETKSKAAVAPDGNGGIYQALITSGVREDMRKRGIEHIHTYCVD NCLVKVADPVFIGFAASKKVDIATKVVRKRNATESVGLILQKNGKPDVVEYSEIDKET AEAKDPKQPDVLKFRAANIVNHYYSFRFFESIETWSHKLPHHVARKKIPCVNTETGES FKPEKPNGIKLEQFVFDVFPLTPLEKFASIEVRREDEFSPLKNARGTGEDDPDTSKRD IMNQGQRWIEKAGGVVVTEGEAVGVEVSPLISYGGEGLEFLKGREIKAPAVIEKEE AFLA_071360 MLLSRRACYKCGNIGHYAEVCSSSERLCYNCKQPGHESSSCPRP RTTETKQCYNCQGLGHVQADCPTLRLNGANGRCYNCSQPGHLARNCPAPASGAGRGVG APRGGYNGGFRGGYGGYPRAATCYKCGGPNHFARDCQAQAMKCYACGKLGHISRDCTA PNGGPLSSAGKVCYKCAQAGHISRDCPNNEAATQQPAESTTAATPAAPATGAAAAAAA AETSTEAAPVAPAAPTTAVA AFLA_071370 MISPRTGVGAADITKLKTNGFYTVASVHGATRKTLLKIRGFSEV KVEKIKDAINKCLPSASGFITAMELSHQRKRVVRISTGSKQFDAILGGGFQSMSISEV FGEFRCGKTQLSHTMSVVAQLPKEMGGADGKVAYIDTEGTFRPERIAQIAERFGVDAD SARENIAYARALNSEHQLELLNTLSKEFTGGEYRLLIIDSIMNCFRVDYCGRGELADR QQKLNQFLMKLAHMAEEFNVCVLMTNQVQSDPGASALFAGADGRKPVGGHVLAHASTT RVLLRKGRGDERVAKIQDSPDCPEQEATYVITNGGINDPDKV AFLA_071380 MSFTTSHPDPGGFIQPSLSSPAPSTSTATPSILPRPRLHPLKAG STKETTVINHVDKTILIVNRRHAKKFSSAFDDQAQQESERGYESFKEVAKDIEGLVDI LWVTGTPSLQIPYLISLAVYINTYLPEYPFSPKATFRLLKKLDSVFASLLTGEDADSG APLPGFESRRNVVSMTEKVRIKSIAETCRVAVVEAREQVDGPDDEDDLSDDDDDMDDV FSTDDYTAPGRWEMETARVYEKTIQLLGDELGKAGEFCDTNLAPGDACQAEPSE AFLA_071390 MSFQNFESFQNQHPAADAAAAAPGAPATADTMAGQADPTTAQFQ GPAPGEPTAAPVQPAQEGKTTLWYVLSVKGNPLPSWLGTIWLTVNVRMGELEPWIDEN FVRNLWFQMGEQVNVKMIRDKFSGSNAGYCFVDFSSPAAAAKALSLNGTPMPNTNRLF KLNWATGGGLADRSRDDRGPEYSIFVGDLGPEVNEYVLVSLFQSRFPSCKSAKIMTDP ISGMSRGYGFVRFSDENDQQRALSEMQGVYCGNRPMRISTATPKNKGPGVVPGGMGMP GPAGMYPPMGAPPMGFYGAPQPMNQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEI TYVKIPPGKGCGFVQFVQRHAAEMAINQMQGYPIGNSRVRLSWGRSQNNSGPAGSPYR PAPPPPPMYPSMGMPPAHQYGGFAPMKVGDPEATA AFLA_071400 MRYENWDVLLFPENSKVPIQEFKTQCFVIKDRDIDKSGNQDNLR FPPFHQEILEQQHWDAGELYGRIRVVISEGFARPHRNPPFERVKEVVAFAFQHAPLQD SHAHSPTRHSIRQLATTSSQISNPAAHSAWTYRNYQGPIPQMQGSLRESRWLQQEQLM PDPFIDPYVLDPSARHRGARPSSEDISMPDYVSSSTSSRAISHMTGISYEHSKHPSII SPIDEESYNQYFEVLSPPKPLSCGTKAPTNTPSATLPMGRKLSAAAEARSASYINNGN RGSLPKDKSHLGTRDVSEASAKSNLSTEPVTDTAATSKLPVGLNVQVKGRKEGMVSDK KENEITVETPRRESDKAHHAGSKTAVRTSGNLETPTGSRRRRSTGSARRESLSFPKGE PILLSPAQELSEVDDLLRRAGLEELLGPGSHRAGSVAEVAEID AFLA_071410 MNQMNVAGMNPGAGGPVGGVPMINNGSTAPRNDGNVNNIPELMI NNLNTYIYDYLLKRGHHECARAFVKDESIKLSTEPPIKSSPGHRRDGEMNGVDGDTMM TDGKDGEKMKIPDDLPRPNLPSEGQQSSFLLDWFSLFWDFFWAQRKKGNSNDVRQYLQ HTQNMLRFREQQHNQLLRQQPMMPAQMQQLNLRRNGSVAPNLQKTVLQNNTSGLSQQQ LAQLHKTQQVQMIQQMQREHSDIDMNGHRPQSPSSAENAPSPSKRPRLESGHMNGQQL APNGRGQGQGMPGQPNPQALLMQNGLNARPMNPAQFQAFQQSGPAAQQKSIQVYAQNL ALHHSRSAMNNQGMPNGGLINPGVMPNQTDLVPLPDGQGMYPMNGDYYPPNGQMAQVR AGLQTPGGQHGNHALQDYQMQLMLLEQQNKRRLMMARQEQDSMARPDGQPPMPGQQQA LPPGTSPQGSRAGTSPNPNDQMKRGTPKMPQTGLPGSPSGGDAMAQGRGSPASMNFNG GQMPPEMGGAFFMKGMQDGMAGPNGMRPPSSNPAFSGPQMGQPIPAGAGNRVPSGGWP PQQGGQPMAPQQSPATQTTGTPQERNAMPPPQAPPAAGANAGRTQPPSPQTAPAPPTP QQTNKPAPKKKETKDSRKRPSKKANTAAAAANTAATPSSEAEHPPTPTPSTPITPQHP NSFNKAGTNATTSAPQQPTSAPAPQPLVQPPPPPPPDQSQQPFNDLSIPDASAFNLDF SALENPDILENFDFDTFLNTDADTAGFGFDPNISYPTDGVETGAGDGL AFLA_071420 MSATKASRIGEELWKTRVDKVNAELVTLTYGTIVAQLCQDYDSN YQEVNKQLDKMGYNIGMRLIEDFLAKSGVGRCSNFRETADMIAKVGFKIFLNISPTVT NWTSDNNQFSLVFDENPLADFVELPDDGRAQDELWFSNILCGVLRGALEMVQMQVEAH FVSDVLRGDDTTEMRVSLVRYIEDEMPPEEE AFLA_071430 MELTGHSGEIFTVRFDPTAQHIASGSMDRSILLWNTYGQCENYG VLSGHRGAVLDLQWSRDSRTLFSASADMTLASWDLETGQRIRRHVGHEEIVNCLDISK RGQELLVSASDDGCVGIWDPRQKDAIEYLETELPITSVALSEAGNEIYSGGIDNAIHV WDLRKKSITYSMTGHMDTITSLEISPDSQTLLSNSHDSTVRTWDIRPFAPTNRLMKTY DGAPVGLEKNLVRASWDPKGERIAAGSGDRSVVVWDFKSGKLLYKLPGHKGTVNDVRF SPNGEPIIVSGSSDRTLMLGELGK AFLA_071440 MILILVIVFCHSLIRFLMVVFRGSRSAVAMNRIPSSAGPTGYAQ PERPIHVILAGDEEILTENNGASREKVTPLPPAYGLWRGSVRINPNLLYWHRVDINTS SLPQHANEAQGLGSKVPLPRPPSYTSDNGIDYVIEAQPRSLTQGPSAGWPGHP AFLA_071450 MDSHSRKDTEHMFYHGFDNYITHAFPEDELRPLSCRPLVRDRDT LANAGLNDVLGNYSLTLIDSLSSLAILSSSPDDGARAWAHFQDGVRDFVKLYGDGSDG PAGQGERSRGFDIDSKVQVFETVIRGLGGLLSAHLFSVGDLPITIYSPPEAEVAFAKA WDKTSFPLNTQGIKWENGFVYNGQFLRLAVDLANRLLPAFYTETGLPYPRVNLRYGVR RHPFYANSPLNAAFSCDNTKDHENCKSRRIPLVETTETCSAGAGSLVLEFTVLSRLTG DGRYEELGKRAFWAVWARRSDIGLVGAGIDAESGKWVHPYTGIGAGIDSFFEYAFKSY ILLSSGERFPRDLNSSWHAFDNDFPPLSEYENSAEAFLQAWQESHASVKRHLYRGEGY QHPHLIQGDVFTGATRAFWIDSLSAFYPGLLSLAGEIDEAVGIHLLTTAVWTRFSGLP ERWNVVTGDIEGGLAWYSGRPEFAESTFYLYQATKDPWYLHVGEMVLRDLKRRCWTKC GWAGLQDVRSGELNDRMESFFLGETSKYLYLLFDPSHPLNNIDQPFVFSTEGHPLIIP KSTTTAQHPRKTQLSVSKQINSAVCPIAPLPPLFGLSSTAARPDIFHAANLARLHLMP SRGPPEGPILDYAKGRPSVTMADLSSPTNYTFFPWTLPAELVPFNATSSPMTVRPTLD ISFPSLPGMFLGSGSLERVQDGILIKAIGGLRLSMVQDVSPQNEAGTKNAEFRIQVIN NVPLGKDEKVYISREITFDVLDPTDPNFTRVRDSAMIDIVIDVIPENLRRRNHSGDPQ GSTAEHSPKHIIGESSADDKLGAVDPSASSVKSALSSFVNHVSWLLWDESQPQSAWPA AEKKPFLRLTLPAAMASGLGSAPMPDVEDSSTFSVTGDVPKSRLPWSTIYFADELCDH RILRDIAQTHQVLVIKRGGCSFSQKLRSIAAYPPSRHALRLVIVVSYEEEPIDENLEP QVNPFSSLAAVRAEPYLVRPYLDETQMTAGGVPRRHLISLVMVGGGAETYELLRQATG VGIKRRYTVRSQGIPNTRAFIPYGEKTMLIGAVPE AFLA_071460 MHIQDTTIVKPPTLNQQDIKLDPTAHTKITITTDPSPSDSGITT PQQTKPRPLYFAYGSNLSFTQMRLRCTCDPDLSSKPVAIARLDHWRWLICQAGYANVV PPAELRVGREADEGEDVPVSGDEDTVYGILYEMDIEDERLLDGYEGIDRSALPSRATH KVPAHIRPTEQGHGDYNKWYVPATVTVWLDEEQRKRREGGVIETLVYVDEERVRVGPP RDEYIPRMNRAIREAESLGFPKKWADKVMRKSIPLN AFLA_071470 MSQPTPNSHQQPDAAAAQVAGQSPSSSTPTAELASLKISLRAAL RQFPDFPSPGILFEDILPIFANPTLHEALLRSLELHILQNHGDQKPDVIVGLEARGFL IGPSLALRLGASFVPVRKQGKLPGPCATQAYEKEYGQDFFQMQADSIKPGQKVIVVDD IIATGGSAWAAGELIKKMGGELMSFLFILELEFLKGREKLPAPVYTLLSGQEEKH AFLA_071480 MSPAPVAASQQQDSQLQTLAKAFEALLLTTQQYICKERILQQRL EYAYDEYMKLAGRLPGGLDTHAKIVSEKIRGHSSESENQESVSFSPPDVVRALAESGN VGDQTLKPIADGVVCYKSVLNSQSVPDLNPCLVATRAGAPGSLEKDFTTKGTQGNLHC PFAKSKIMPSQNGMANGIENPFKIQNGDSCGHESLDPIKAERNDRHSSQTPSVRTSTT QCPVARCPIRYLDQHSPEEIADYVERHKHEIPRSHAICVQRYQKDSHSMRHLDAKYGS LINMIRGLSVKHQAFLPNRGNSGAPTSSSSAERVEKWAEEVGMNPELQPSTKEAETVD DDGREGRFDRPLREVRVGESPSRPWGIPVPMPLPPSASPPPAAALPEKSEKPPEEKTI GFSSIPPRDATQQAPTTAPKSGRCPFGHGAAPAVNVVTETQTIRDHGKMNGTIENAQQ DSGEQPPVHPPPTNSSASIVFNGPVFFGFSPEQTSSFLQQLGSLVNKH AFLA_071490 MPWISLVHTFTKTIFVTSSISLLALILYQTLYGHHIYPTKHLPS FNEVTSIGIADHNCSLPTARYDISTGRAACYPSSGGIWMAELSALELQYLNIDRFNSS ERSWDRDEENLFCEQLRPFGGSWYPSHLSDGLWIDGRCSELHKLEPAFSVFRRIGYPE GEGVWVLDRELPTSDTAVRNALSMEERCIVLERLGAIFCRDIKCCSALTDLSREPPEL VEEGMRSRNYQTAKHVS AFLA_071500 MLRISSRVLSTRIAHSQLLSSPTSSFYRILRPQLPIQIVTMSGM TPISAAGACPPAGPYSQAVRANGQIFVSGQIPADASGNLVTGNIGDLTQACCNNIKAI IDAAGSSVDKIVKVNVFLTDMANFAEMNATYEKFFTHKPARSCVAVAQLPKGVPVEIE CIALA AFLA_071510 MSTASDQSRGINRRINSIQNDSRHTRTLSGSRRRPVNPTAAYTY ALRVAYLSYLLQPRSRRVQNVPAPHQRPKRSSTSFHDLMSDFSLVRDSKSTRFPHGSI TELEKRLTGVLTKKEKRKEYQDPLVVRTFAAFLNTLKEQSFKKRMEKDRRAEDLVLIF YSNATKELSKGKDPDDDHWKFMVDRHVALFVRLISHILKDNDWAKERPELANRLSILE NKLLSQDQDLVQSNGPSTVEAVVPLSYEVRDMPLVQHVARIFDISTAQVQSDIDKHRE IWTAQAALRDLKAYQTHLSLNTRKTMSKEDFQNEDAYESWRKSEGPDLSQMMLAIVQA NPELAKSSPGGALLQFNANAGDNNDAAPGDLSRTNSDRPVSYVIDQPVDLSLLSMGDG NSESDESDTYTYIPPDPRSMYRYILAQTLSHDLKDREVEATQFASEPPSMKLLSKQSA EFLNEVCLRWRIPHFSRLVLFLEVVRSKFVDNEIDLDTLDSAFTYIKETPSSEKKRSS FVATVLFDRHRWTVHDLLSMQQLLSSLHEALLRELYDVMMDCYEGKPRPIGPVMYVLE NHIQLDPSYTEDLEDIDRFRCYVQNGLAQKATEKYQDLLGQYVPMDQDTWEFDHIIQL CESITKLAQKIRKRYRNNPEIMGVNPYQILLSNVLPIFAEDAHEMVARIVEQGKLRGE EIPIEDGFDLYKQLTAIRQLFTEALPSAPFPFRVEDLLEEFVWRWIRMTEEKIAEWVE QAVRQDVFAVRADDSTDVMIPEEHRHSVSVIDIFRSFNQVVEQMIQLGWDDDLQYAKF MTALSKSISNGLAKYCESLEQMFAREMDRLSPDQEAALNQTAQEKLMQIAKEAWASKE KIEPFQFFPESLVKLNNVEYALTQLDKLEREINVDGCADVIAKHAPPQMQKMRRSTTY VFTIKVVEAEDLKACDMNGGSDPYVVLTDEYQKRIAKTRIIYNNLNPRWDDSVDITTQ GPLNIIATIWDWDAVGDHDYVGRTSIKLDPLHFSDFLPKEYWLDLDTQGRLLLRVSME GERDDIQFYFGKAFRTLKRTERDMTRKITEKLSAYISHCLSRRTLKSLLSRGLSISSV SNFLSRNKAQATATGPSNVDVENALTPLFDYFNDNFAIMNKTLTSEAMKMVMARLWKE VLSTIECLLVPPLSDKPSHQKPLTMQEVDIVSRWLVLLLNFFHAVDEETGEANGVSID ILKSPKYHEIQSLNFFYFEPTENLIRTSERMASATISRQQANRNRASAPAHLGSGGSG GFLGVPGARRAKSIMLSRNLGTMKKMKEEKWREAQAEPNDDMILRILRMRPEAAGYLR DRSRQKERLAAAAAADAIVKQSLMAGGGRMAGTLGRR AFLA_071520 MLSQRFLTRRLPQVAVRYNAPRAFFSQGRTLAAAELDDPLQNGN YQNPPRVKRAFRDPHGDWWDKQERRNFGEPVHEENEILGVFSPEQYTHVTSRKGFFHL GVFVATFLGFCGLVSFYYPDKPSVPRTYPEGLEKELGGPNAVKARKSGEDSW AFLA_071530 MQNGSPNGISQQDERVTPEIEPVPSELSASHTFVDPVNRDPILA EVKEDEATTDTTEGPPSKKRKLAGSATSRRSSSRPASPPWKKAGVDGPTSFIQDGRRK SSRVNALPLELQPPSDKRHTRAAQKQSVGRNVLGGGKAVASSPSAFMTPSRPDSNGKP ASGTAAVNGSPRGLSIRGIAAGQQRVSQSPVSKETNARTRSRGSGTSTAFLRTSSVAL NSSSALATSGTSDSRKGVKDIDLDDGDGGLRIPRLRIKVKRPSINIQHPSHVLNPRKY GSFKQWLESEEGRVRDDAVITPSEALEEARRRCRIATAIEPGGLLSPDVCSAYLPEQQ DEPLPQYSHQDYLVAHALYFKKLLDQEHKRHRHTARLFAQWCADAWKKRNKDPEDILR EQQEEMRGKRKQLAKDLQKMFDLARADVDRMRLARWEEERKAEDQQALDRAIKQSTML FERRRMEILGETGSDALESSDEEGGETDDTSDDGSDNESNMSSTESGSEDDNAIDDDE GLTADELRSKYANLSSLKDDSDHRSQASDDTASSRHTDISQVGRLADNVGDANLPLAE LSPEKVQLEDVDPVLMDDSDEASTDMDDDMGESEEDEDSNGSNSDEESDDGPGLLGFF SAKDSPYNNNDPQADDENSDDDVVGDGTNAKIVSEEEDLEDTDEVSLVPNGPAQLDST PPDAANDYTMVDNVSTGTKGTDVEVVDASILGNPGVPNIQDGTIPIEPPGDMERVQRE TYQSGEPSSEASPGTLATKPSEPESVSSYDAPGEKPAQPSESPAPGLKTPIPHLLRGT LREYQHFGLDWLAGLYTNHINGILADEMGLGKTIQTIALLAHLAVEHEVWGPHLVVVP TSVILNWEMEFKKWCPGFKIMTYYGNQEERRQKRRGWMDDTSWNVLITSYQLVLQDQQ VLKRRNWHYMILDEAHNIKNFRSQRWQALLTFRTRARLLLTGTPLQNNLTELWSLLFF LMPSDGDETGIEGFADLRNFSEWFRRPVEQILEHGRETMDDEAKQVVTKLHTVLRPYI LRRLKADVEKQMPAKYEHVIYCRLSKRQRFLYDGFMSRAQTKETLASGNYLSIINCLM QLRKVCNHPDLFETRPISTSFAMSRSVATEFETKELLIRRRLLFEHPLDRLDLDFLNL VPISREDISRRLADDSTRLMAYGPFNVLREQQYHRTNWEMNFDGSTIQSTLDVLENDC RKRRMAELERCLYFESKRHGRRPVYGSTLIEFLTADSKQRPTCNGPLRKRSLADWLSS RSSVLASMILSIKERSHVMDGYVQRFACVTPAVVAAGITEAALTPIETRHLTKRERFP SYDPFHEAQMRLSIAFPDKRLLQYDCGKLQRLDKLLRDLKAGGHRALIFTQMTKMLDI LEQFLNIHGHRYLRLDGTTKVEQRQILTDRFNNDSRILAFILSSRSGGLGINLTGADT VIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEYTIESNILRKANQKRMLDDVV IQEGEFTTDYFAKLDVREVIGNEEMPENQDEASAAMDRVLENRVSSSSRVFEQAEDKE DIDAAKNAQKELEHADDGDFEDRSASQNTPAQTPAQTQVGTPLAAGDELGNHGPGVDE FVDAEPQTAHIDDYLLRFMEWNLKDEPLVLPPDKGKKKSKKGKEHRLRKRRR AFLA_071540 MIRDDDDNAGPPHSKLVEDALVSVRIGGLHAIVSSNSKLKRVDN LIHIWLTGFLTGRIAETTENPLNTGIALKQPELAIELYVAG AFLA_071550 MKNTIPSDVWERKKALIAKLYKDEEWPLKQVIKQIRSDDFNPSE TQLRSRLKKWRVTKPSRQTRKKSQDNQQDVNGDSSSHEAGSPKDEIPISPKTQLYSTQ KEATGFFRASYL AFLA_071560 MRAIQVKEYVKVSEHLSTIDHVFIADQFLKGPLDLQVTTLPTPS PSPSDYLIQIRSAGTNFFDLLQIQGKYQHQPPLPWIGGAEFAGTVLAIPTSKSASQAR FKVGDRVFGATQGAYATHILAPEHTLLPVPNGWSFEDAAGLFVTAPTSYGGLVHRANV QPGDWVLVHAAAGGVGLAAVQIAKGKGATVIATAGTERKRQIAREFGADHVIDYRNTG WPEEVKKLCAQHRTGNGKAGVDIVYDPVGMIDASLKCVAWNARLLVIGFAAGKIEKVA LNRVLLKNVSIVGLHWGQYARFEKDTVGTVWNGIFDLVAQGKFKGTAFKDESFVGLES VPRALQALGGRETWGKVVVKVIDEARSKL AFLA_071570 MSKHLSRFSHHPRAASGPLECPYEGRDIITSCQFNKGSAFSEEE RNVFKLHGLLPPNIQTLEEQVQRAFQQYKSRPDALAKNTFMASMKAQNEVLYYKLIQT HLKEMFSVIYTPTEGDAIQNYSRLFRRPEGCFLNIKDQDRIEECLCNFGRGIDVDYIV VSDGEEILGIGDQGVGSILISVAKLVLATLCAGIHPSRQLPVVLDCGTDNKDLLNDEL YLGLRQPRVRGDEYDRFVEKFVTTARKMFPKAYIHFEDFGLHNASRLLNQYRPHIPCF NDDIQGTGCVTLAALMAAFHVSNIGLADVRVVVFGSGSAGTGIAKQVADTIATDTGRT KQEASAQIWCLDKPGILLKSLGDQLTAAQAPFARDDNEWPKEKGTDLLSVVKEVKPHV LIGTSTKPKAFTENIIREMAKHVEHPIVFPLSNPTRLHEASPEDINHWTEGRALMATG SPFPPVERNGVEYEVAECNNSTCFPGIGLGAVLSRTQILSDKMLVAAARALASQSPAL QDPNRPLLPDVENVREISVHIARAIIETAIEEGYAQEKDIPSHEEELEEWIRVQMWEP IYRPLVKAGEK AFLA_071580 MKIASISAILLLPNAAIGIPRSDLAEDVVFVPKSHEPVYLEKRR GGGGGQGGGGSGGGSGGRGGSGGSSSRTGSSSNSGGSSRSGSGPQPAYGRGSYYAGGA RSPYTSGALSPLGIAPIILPATALAFFGGTWLYGAYAYPYNYHYQYVDQTSHHNASMP VVCLCEKYAECACDDNSERSYYESIFNGTQPANSSVAKIVEVNGTETIYINGTLPNGT TVADSSAPSGARTGIQTSGYWPMVALVASTIWGL AFLA_071590 MKPAAATTPAAIALESLMAGAPPVAWGTVYDEGVTEGVAEGVFD KSGVEDALAELEGVWIEKAEELGDAVEVGAGVEVVTGTTWMVRVLVGASSASAKGAST AVTMRRALLNCILRLQWNNGLESLCLALS AFLA_071600 MIATLGRLLATSTMTGEYTCGRCLQVLRRRVAAHGPVIGSQTTY NSRRIYRPSVFLVRSFGSRSDSRFTSKNLQGHVKRATTPSPCISTDVPHNVPQCVQRA TSATSTYSPETRALLQPNNLFHPFSRSPSPSIRQRAAFIKQNAFCPHPSHQQTRAPVS PHDPESRKNQQSTSPPAHSHFECPDCGVPIYCSEGHWMDDFEAHLEICETIRQINEDD HDLHSGRFFPEFSYPGLQDDNFVINMTNWDTFLYTREFDAINHDRSMRQVTRMLTYPL TIGSVLHELSPYGVRKGGRLTVEGLKSVSALRYTLHPPKTGEGVDIQGLRLKAPPVRI FILGARAESSLPREVWLQLSYIFPRSLIHLIFIGPESMANRDTEFPLPERTPENPFGG IVEDRLGGQMKITTYVDYFHTMYKAQYFQPFDPYLDCIMLFHPGLGHPASSHEWEETL PQLLETKVPIISTGYTQWDMERDMNWVHEKCAGEFDILLEPGENIFRSLRWDLNDLDP HDVSCGNWGLWAFRGKRYEATFKG AFLA_071610 MTLPVSFNEPTSLLQRVAEDLEYADLLDVAADRSDSMERMVYVA AYAASEYASTIGRVAKPFNPLLGETFEYVRPDKGYRFFVEQVSHHPPIGAAWAESPKW DYYGESALKSKFYGKSFDINLLGTWFLKLRPASGGEELYTWKKVTSSVIGIITGNPTV DNYGLMEIKNWTTGEACYLDFKPRGWKASSAYQVAGRIVDKGGSPKWSIGGRWNDKIF ARHTPGYEVDVSSQDPESSKTFLVWQCHSRPSGIPFNLTPFVITLNALPEDLKQYLPP TDTRLRPDQRAMEEGEYEIAADEKHRVEEKQRAKRRERETKGEEYQPKFFTRKKCPIT GEEYWAHNGKYWAAREARDWSICEDIF AFLA_071620 MPCIPQAAAALSRRIVSIATRHNTAISRSFHFSPSKTAIAHPVT AHGPPPKAPSAALEYNELMRPQDGNELHTRTAKPTPLNKRFWKSVDVRIKPEGDYQVL LDTRPVRTPTKDVLYIPPTKPHLAHLIALEWDVMTSAQQALKNHMIPLTSLAARAADI VREDANGETTTRDQIVKTAMRYLETDTLLCWVPEKNDYSVEEVDEHGRRPESLREAQM RVAKNVISFLSTMVWPGIEIRPILDSESILPVSQTQATNDIIKQWIFGLQAHDLAALE RGILASKSLLVAVRLVSEWSENLRHVQRQNQKKFGIEEAAEASSLEVKWQTDMWGEVE DTHDVDKEDLKRQLGSVIVLVSGVTKHKRSRSALALAILHRDKSKDDHEGWSGRDSDS PESVASALVNNTPHFSALAAAQSSTHQSTRQKVKTPLMSDENSLEQVRSNQSGDHTEK LPALTTADSISMSMSLDQSVRTFRLFEILRGGDTTAISRAIKETQDPQGANSLSGTTM LHLAIQCAEPQVVEYILSSGYELDINARDRDGNTPLHLAAQLGRGSLVRELLNSPSLN DSVVNYRGQTALDVARTPEIFQQLQLARSLFIDSKSQEIQSLISQGDYAQLEKVLEEP RVEGILDINSLDLVTDTATAQSGGTLLHEGARRKDTKLIQLLLMHGADPFRRDKKGKL PQDVTKDDRTRAIVKKSPAAVIAQRGIQEKAILGTNSGQSVSGRASVGEAPFAGKDSR EMRGYLKKWTNYTSGYKLRWFVLEDGVLSYYKHQGKRLEIS AFLA_071630 MRAFIALFIYRYLRLIVNLASFWTFKPILPPENPRLTAQDVTVI LPTLEGCGDELVETIRTILDNHPYELLLVTIESNRKKAERMLSLMPASKPRIRLFTVT HPNKRRQMTRAIPEVRTPITIFADDDVSWPSTVLPWILAPFEKDERYGGVVTCQRLRR AAAPTFSQRVWGFLGALYLERRNFDCAATTHVDGGLPCMSGRTVAYRTDILQDEGFTY AFTNEEWWFGRYQLNADDDNFITRWMVSHGWETFMQYHPEAEVLTTLEDNPKFLKQCA RWSRSNWRSNLTSMFHEKHIWYRQPWSAYAVHLTTLSPPALLGDLLLVLLCHKATTSW EDDSRALAMQALGAWMFVSKFIKLLGHYIRYPVDFLLLPVSILFGYFHGGIKMYAVMT LNVTTWGSRDGADDYDAERMKKRTDSDRPKSPYYPKFITQ AFLA_071640 MANWKVSPTDGQEAATNYGSISPPYLNWQEAVPDTASFPPPPAL GFLSSRNGNASRDDAERAHEFCDKFPLHLPVKPSATVYTCVQEHDLRPVLPKEFHGTF ALVSQGYWKGSTRARNGDTLVSTNLPLYFPTVDSPFITEKSKTIYFEVKLLGLREGPG PVSTDSSGFSIGFTAHPYPYWRSPGWERGSIGVFSDDGCRFVNDSWGGREFTSAFAVG ETVGLGMTFRRTDNSSTDLKSNIKKCKVDIFFTRNGHPVGGWDLHEEIDEDAGRIEGL EGDFDLYGAIGLFGGVDFEVCFDQAGWLWKM AFLA_071650 MYDYLAKVILLGPSGAGKSCVLHRFVKNEWRVLSSQTIGVEFSS RIVKLGVGPRRTRIKLQLWDTAGTERFRSVSRSYYRGAAGAILVYDVSSYTSFASLPT FLMDARALASPNLTVLLAGNKMDITSDMSLHSDTTEDATRPPPTPSSTSSKQSAFAFG SGGGSVRSTSHLATGTRMTATHAPHGREVYFEESSRWAAKSNIPVVVEVSALTGEGVE ELFNRLARIILTKIELGEIDPDDPQSGIQYGDGGLYGHGTSDGSSIRSRMTLDDNAVQ LHNRKPTNASRWKSGMREWEDVFRLSGSHNKNGKGCC AFLA_071660 MEPGIPCRRCEPCKEGKYNLCEKMAFAATPPYDGTLAKYYVLPE DFCYKLPENINLQEAAVMEPLSVAVHIVKQANVAPGQSVVVFGAGPVGLLCCAVARAF GSPKVIAVDIQKGRLEFAKKYAATAIFEPSKVSALENAERIVNENDLGRGADIVIDAS GAEPSVHTGIHVLRPGGTYVQGGMGRNEITFPIMAACTKELNVRGSFRYGSGDYKLAV NLVASGKVSVKELITGVVSFEDAEQAFHEVKAGKGIKTLIAGFVIISFMGWLREGDVT AFLA_071670 MIRSNALPLRGTLRALQPRINNYRLTAAQQQRHYRVSARNCANG RPQSFKNQLYESTQQRLKRERAEQERYAQYQTQSQGGRYTALTFALVFFSTGAYFLGT LKPPSFPTSSTTNILELEPPRHNISQSNLQAAWADFVDIIGKENVSTENGDLEIHSGS DWSSYSRKETEKPFLILYPSTTEEVSQIMRVCHRRVIPVTPYSGGTSLEGHFASTRGG VCIDFRRMNRILAIHKEDLDVVVQPALGWEELNEQISQDGLFFPPDPGPGAMIGGMVG TGCSGTNAYRYGTMREWVLSLTVVLADGTIIKTRQRPRKSSAGYDLTRLFIGSEGTLG LITEATLKLTVKPKSQSVAVASFPTVQDAAKCVAHVVEDGIPVAGVEILDDVQMKCIN DSEATSRRWKESPTLFFKFTGTPTGVNEQVSTVRKIVSSSAGQSFEFARNDEEMRELW SARKQALWSVMSMRRGPEDQVWTTDVAVPMSKLPSIIEATKQDMTQSRLLAGICGHVG DGNFHAIILFNDNERKTAEAVVHRMVKRAVEMEGTVTGEHGVGLIKRDYLEHELGEST VDAMRRLKLAFDPLCLLNCDKIVRVEQPMPEEVKAW AFLA_071680 MSSSPTLLGAGNIASISRIEGQNWRHGDIEDALLTLFLARVAGG RKFNKLDVQRVYFGNWLRDYSLAVDVGTLKYVGAEAIRILIWEFEVTTDRLGCYEPTE HIDNPLVDEERELLVDSMTGLKNYIATEGVGIATSAGLARRVFCRSIQLGRQYAKSWN DEDLHEAFRLLGTGLHCLEDYAAHSNYTELSLIEMGESEISPHVGRNTIVELHGAQND VYPVVTGTFGGVDFFHSVLGELSDKVTQSEVQSLEGVITDSQSGSPSESFVQDLLSMI PAGLVDDSEAQANKMEEFKTQSENAKQYSQDVSLRELEEWTCYLEGLHQKIYPVLEWH DEIIKSINSAIEKIPVLPELVEQIQDHITVFVFSVLAPYVLPIIKQVKVELQTGSSEV IQSSREQQHIVFENDESSNPTHSMLSKDHFSNVLNEPAGRVASEVIKWTVPQLMECWD NEDIDITRTLDRIIVGVFHHPALREYGEDGAADVRQIMFHTVEEWWHGKTEEEQEHLR EQLTRQGVFEGRNHKEGVHDTGHGCGKPLVLRKGGHGNSLESNGTGPSSLEEAAGEAA GDGTLRGLVSGLVSSVGSMLLKDPESHSPDGTVMVDRYETHGKLDNRGEQHQYEY AFLA_000010 MLEQQQAWLVHGLKELYRRTIEAEGWPGDSLKPERNGHPLTHDL LTRLGALDNTKDERFEENQEVIAQELWRHDLQLREFSDGSSDSAHSPVASSRFPSDAS PSSHQTMAPTPSTYSPSTRPAPTKQHALSMHSVVNPLALQGGPQQWPGSNGFHAFNEM DLMTTADYANLNFDDPIPSPIYRPIPVDCVQSSDYGDFNQFLNPNPTGITSV AFLA_000020 MSFTEALKAATAPGPDQRIPGVVVMAADASGKIIFSEAEGQTSI DTDVAKPMTTDTTFWIASCTKLITTVAALQCVEKGILQLDDPVYKVLPEWKTPEILTG FDDLSEPQLKLATKKITLRQLLTHSSGMGYDFLSPDLASWRRWKGQSLGASEEPIINR LALPLLYEPGDGWMYSVAIDWVGVMVERVNGGVRLGEYMKQHIFDPLGMTLTGFRLAE NEHIRDRLCETAQRTPAGKLSMTNPYNALSPADDLGGGGLYSSVADYMKVLISLLKND GLLLRPDMVTIMFEPQLPDPRPLLAKTQDSKSGAMLRSGIDCDAWNFGLGGILTTADV EGICRKGTMSWGGLPNLYWWIDPVAGNCGMYASQILPPGDQISMELALDFRREIYARI QA AFLA_000330 MDLKIEETAPSPDRRLYRSRSIYTEEWTALQAALAGFAKHTWTL SAIRDDGEITDGSQGAMQQQERIVFLMLEQGCDPNAENDSGETPIETAVRLATARVVQ RLIESGVEVPGDDSLVSLAAKRGSFRIVLHLLQAGASVPRTENGSLTPEMLKVLHPRL KSADFNDNPMSMEEARRFMNRGMRALLRMILGRYSSQRARKSGSGMRAGTSGRGFLRL ASNAVFGNLLVVAATAGDNESVKLLIDHGVDVNSNSEFSPATALSGAAHYGHRQVMNT LLEHGADVDGGNARTKEPLIMAIRGRQLMAVKTLIEHGARPSPASPSADAYQEAVALD DTRILDFFLKHSGPPETTILVSAAADGKANHVRLLLAAGARVDDLVSHPRFDNAPAGR GTALYIACLNGHTKVVEQLLGEGANPNLDIKSTNGLGLPLTAAAGAGHLDIVRLLLDN GADPNGHSIAPTDGEASSRDGDEEWETDVDELAPTALSEACRNNHPAIVKELLSQGAT ISVGTTDVVTNPLTVLSTGPWSTARKEMLEQLLETVSEEATDERKQAVRDALTEAALD HNCDAFELIMEYVASSPYTLGLASICGSERSVLRCLDQGVDVNAVNPSGELPLQHAAY NMHSGVVQLLIDRGADVNQLEYIVEDNGQNSTTALMAALSSFLLMLQGDHTRQRTRDR LAILDMERIVQCLLDSGANPELGCLSNHIKALHIACCIGDPSLVNLLLDRGARFDSDG SDHGTPLFSALDWKRPRVVRLLLERGADPNAPRVLERDVRQDEGGEDGNRLQTPLEAA LGKVDNMVLLKTFLQYAKGLKVSGRALVAAAQQFASEDANPTVSELQVILESEAGSLV DGIPSEVLDILDRSWVRNEHAVWDIVALRSGDRARVDQLRKERRTRFPPSWSSRPTGM LLLSEYRTMMEGGRFQDVL AFLA_000340 MTPLTGVRASNKQLTAVTVPQVAVFVGATAGIGKAALTELISTG FPVKAYIIGRDEAAFEPALSELRASYSSATLVFLQGEISLLAEAKRLTNIILRREGYI DLLFLSAGFLPFLGRQESTEGVELSTAVAYYSRQIFIRRLLPLLRAKAKTTQSQHDAS FRPRIVNVLAAGAETSTENLFLDDLQLKQDGHFSVPSYAGHVATMTSVSLRRLSEEAE NKNIVVIHHHPGLVYTEIFKKSWGDQWDSSREHAGPPAPDDIERSTPAQAGERALYLM TSAKYGGVGVPMGEGEAAGLTVRGTRDGSLLCVGDKLETLSSVSRILDSLEDSGAAET IWSYTDKVIGGYL AFLA_000350 MLVLVAGVTGNLGSRMIDSFISRGHQVRGLGRNPSKLPSELRQK LENFVEVSSSVDVTGLEKACHGVDAVVCAYQGHPELVVEGQLLLLRAAERMGVKRFVA NSWNCDWRDMTLGMQESYDPMLTFQQLVKLTSTIRPVYIFTGVLAEVLFSVPGHGAFT PANKGVWDPKDKRMEYYGNGEDIWYWTSERDAAEFTAEIVQLEEYKQGGYWNIYSGAH SLLDIARTYEKVKGRKVTVQCKGSIEDLRREALEARSKATKPLEYFEYCGLFYQLYTN DGTYRHPCVISKRLHVETISLEQFLSQNPSVAVLVVVVVVVVVVVVVVVVVVVVVVVV VVVVVVMVVVV AFLA_000360 MLVIGAGCYKEYSTAHALYCAARHFVTMHVGLRCTLTLALLLTE PRWEMPLPRHLKRLFGRSCSATQCRGRQLWPVYDLSTDQVCRTEYDVKL AFLA_000370 MVIRTKWAAFATLNILTVCFNVTPALLVHEMSNIPLPCNGFEWA SPTMESWLQVRMGCVRGWTCLGEALAALLCPSPLQADTVSVFGAYVLLHAILQGMWGF QQNSWLESCDLAKYFQKFEVTLRGWHTCWKDNVESSISPRNPYSAVTANPAALFRLAY MWIGADFSGVRASIASLDPETIESSFKQLVIPVPPTDLTMRIVTHAIGALKQIKLVRE ILSEVDLPASRTQKPDAARLIYMWALILNRRAVWKLQKIVADSLERYADSL AFLA_000380 MTLSGYVVVVTGASKGIGKEIALRAATEGANIVINYLSDVKAAN ALVMHVGPERALAVQVDISSVEGANTLIDAAVSRFGKVDILIPNAAFVPDRNLQDVCE ADIDRAFAVNVKGPCFLTQKALPHMPPGGAIIFISTDMTDADVVPPHFLLYVSAKAAM NQMVKVLARDLAGAGIRVNAISPGPTSTDSFQKAVDEKKARLLANQNPFNRIGRADEI AAAVSLLWRKDSGWITGQVLRVNGGSIV AFLA_000390 MATNAGTLGQNSVRLPPSSELKDLPPVPVYDVTKFPDARTQTLR ALLEAGHVTVAPLRDPELILHSHLPHLLGSAYALGANSDQLKRSYEHEITQLVPIARG FTRGDAISKDNWRSFLGHKQYTVAYQDFFDNQVQERQGDWGKVLEEYLYSGSQPLING FTGGLGHPFIHLAYAFEFRSKEVATQALSQGCTEYNPLHYLLDQPSPDTATYKTTSLS AVFENVPTDARLDGLFTEPGFANLEVLQKPRHLAVVLEHWNAWEMIDPLRCFEECCDL SVLVALSNGNPHDSFDFYDAHIMTLAHALRVLWHYLPPQHRVSILRQYALFGIMTYIC QQHPIFGLKPIEAVKLSGRDWEWVLDTALPHKWALDVHFFKAIRAPKVFEETFGRKDN FYLKAAVKFVTEFRGWEGYCEGVVGFVPSRDGYRPE AFLA_000500 MVLEVISSVTEIVNVPIGEVWAVISSFGCERLWFPDMKSVDLKG YGIGSVRTYVFHEPGRIAWERLDYVDVENHVVRFAVFRNDLLTESVGTMKLKALDEGR TAFTWTAEVDLPEGLTKAQLQKELDPMFRGLIHAVAEAVKT AFLA_000510 MAVVKVYEYTGTVHAPIGEVWALLSAFGSPKLWMPDCILTTVEG FGIGSTRTIAFGANPNIMIRETLDSVDVSKYSVRLHVDRDDLPGVDSYATFFLKQISR HETEMKWIGESSIPDEEGRANLRVWLERTYSGFERRLNKLLAH AFLA_000520 MSSLSSLSNSSKSIVFYDIAMRPPREKTCCAPNPWKARLALNFK GVPYSTSWVPLPDIAKVRRSLQVPACRKFADGTDFFTLPIIEDPATDASVGDSFDIAV YLQKTYPTSGAGDLFPPQTLDYTFTHPTILIPLSECRDNEFPEYAKFNMNVDAAFTAH TQLALQGFPFDPATAETSKAEFVRRAGMTSWEDFALVGEARQKVMDSFREMLGGLAKL FVRDPSGPFLLGTRPSYADLIVGGWLRMMRATLPQSEWEEVSSWHDGLFGRLHDALEV YAEVK AFLA_000530 MSNSDPNDVFPPGTTKLEERQGVESEFKLSPTPSDDPDDPLAGE LIGVSLLAGLAGSISEAVVMITIVDLFFVHQHARMNGIFLFMQSLGSTGGPIAAGYIV VSMGWRWMWWMIAIFLGVNLILVLFFFEEPKYIPLVVGHSGRSGLDPESAIKNDDGQK IATPSTLRVATRSTVDAGHSRKTVRQRLAFVTKTDMPIVQHFYQPLLILFSFPAVAYM AITYGTILAWFWPMLHPALTSSWMNPMSSAPPQLAYSTLGAQNDWFIVRAAKKNGGVF EPEMRLWMSIPGALLNCAADVALTYLTDCYPDILGDALNSIVFVRNGFAMVVRFAFTP WVTGMGIQNSFILISMLALLTVTLPILLMIYGKRARASTADKYWKYAARQPVHRKA AFLA_000540 MGSNEESSWQQRVEQKRQRCANKIPAEWRMPDEVIASLHTPLAD HRNDLIRNDIIRKSGIMTKHELQITEDYTVRELLAALANGSLSSVEVTVAYCKRAAIA QQLVSCLTETMFAEALERAQYLDQLRSQGQVVGPLHGLPVSIKDSFHYKGTEATIGMV SFLDEVSTGNSPLVDILLKLGAIIYVKTNVPQTMMVRLLNPMIVSIVSKLRPLGT AFLA_000550 MKNEPTIVPHLHIQNAFSLTGIDVNSTNSTFWSKMGLVELVLET RWLVVGSLFILYFLKKFQAYYRLRKFKGPLSCGFSHFLHTKAVLSLRCERWYKEMTDQ YGSIVRIGPNALITSSPELWAHINAVRSPYKRSDWYYHAARFKPGEDHVFSETNNERH DRRRKQMLMGYSGKENLSLESDIDLRVLDFLDLIRNRYLSTEDCLKPMDLAKKVQYLT LDVISTVGLGNSFGMLKADKDVNDYIKSGEEGLWVSNFLMGTGLHWIMQIEWVGRLLG PSTDDLKGFGKMMATTGQMVAKRLQSPTNARSDMLASFIRHGLIGNELWMEAFEQVLA GSDTTASGIRGILLCLLSNPRVYKKLQAEIDGAVQDGRAPSTGIISDAQLRRLEYLQA VIREGLRIFVPVVNIFARDVPPEGDEVIVDGESVQIPGGTWIGYSALGMHLNKATYGE DAEVFRPERWLNDDKDHLANMTRVNDLIFGYGRWKCLGQTVALIEIGKTIFEVHDELP LLTIVGLDILTVLEGAA AFLA_000560 MADTPSYLTTKAGKYALGAYRGPLEQQHGDGSQVAHVELTESST LKGNGKIRRHWIRFWCCYLIGAIIFLAIFLPLFFTVIIQAISQRVIDDASLVLVEAKV MQPRPESVLLSIQTALRLGVNVPVRLDPNVLHLFNNDQPGNSTYLKVYNDAIVIHGNA SIGVQNQPSPINPDPWKHYIRSVVFEPHAPLSAFGTTNIYLGKLKSHISLKKDLPQNS KCGPRSQKDRNVK AFLA_000570 MLFPPRDDGVNLVANATLPNPSVMTIEIGTITMDLKSKDLTIGN ATINNLTLRPGNHSTPLEGVVDMHTVTENLLPLLQAQRDSLRSGYLSLDAVTREVEYD GVMIPYYTEVMRDLVLSAKVPVNDLLINSVQGILHDNSSGLQSVLDDIRERSAAKGDI TSSVGIKHRR AFLA_000580 MYVYNIPDHHNSRPTTCKRQTLRSFTFNQTCRMGDGSGAGQSGE GSDKGISFCLAFRGVVM AFLA_000590 MDTTSNEAAIRLEPLGGRGTFTIKTTSVVFLAIALYNAVELLIL ILANFHHHHGIYFWSMLLSTAVGVIPFSIGAIIDLYNLSPLWVILVMIDLGWIFMVGG QSVVLYSRLHLVSRNDRVLRYLRYIIIIDTILLATPMSIIYFATAYSKPTVWARAFYI MEVIQVIWFSVQECVISTFWIVETAKLIRLRPGYDRHRNRTMYEILAMNLAVISMDIA LLVLQFSGYYYVQVPVKATVYSIKLKLEFAVLGKLVYLATHRVDSMGLEISPVMAPVD VAPSTSPSSGASKLHQSMKDSFGLWKPTQTHNAASEGLM AFLA_000600 MDPSSIQTKEKPIEDPNALYLEKGEIVDDVTYDAVFGEITDEGP NYRNVGFFGTVVLMMKTQIGLGVLSIPTAFDTLGMVPGVIVLIAISCITTWSDYMVGS FKLRHREVYGIDDAGALMFGTPGRVVLAAAFCLYWIFVAGSGILGISIGLNAVSTHGA CTAIFVAVAAILGFACSSIRTLGKITWLAWIGLPCILIASKFPYTCVSPVDRYYRPEV LIQAVSHLSLVLIVTIAVGVQDRPPTAPQTDGPWVADFKIIGNPTFAQAITAVSSLVF AFSGTPGFFSIVSEMRDPRKFTPALLICQAVVTAVYITIGCVVYYYCGSYVASPALGS AGGLIKKIAYGLSLPGLIVTTTIVTHIPAKYIFVHLLRGSKHLISNTVTHWTVWLACT LSITVIAYIIASAIPVFDGLVSLIGALLGTMMCFQPMGCMWLYDNWHKRREGLATKQW WFMVFFSVFVVISGTFLTVAGTYGSVIGIMDSYKTEGGSAAFTCADNSGSV AFLA_000610 MYASDTCGGTDDSFSVLNSSSQKCVVVPSNKRSIRVSQNDGCNV TTWSGSNCAGSSYDVPDTDCHAVLYAAVSVDC AFLA_000620 MTAVDITSNYSLFISLYIPAREDESSSRWRPLLRLPLGRHRTPT PKFRHLISKFKFMSAKKELLLAVPQKLQLLKSAGDLVRLNTRNEHARECAGESEEYPE L AFLA_000630 MNRRLLDVEVDENYRIRVVKRENCGTNHNHLVDPWLKEYYGVHI HSLYKALQ AFLA_000640 MTSRDGYQWTATTGLRQGVPSIGVISPPTNVLSTTEDWDVVVVG AGYSGLTASRDACLAGLKVLLIEARDRIGGRSWSSNIGGYPFEMGGTWLSWGQPHIWR EVSRYQMRSELEPSFDFSRGVNHFELRTGSQGSSIFSHLEEDALLAGALEKFVDVDGA MGRQIIPYPHDAFHNPAARQYDDMSALDRLNALAQSLTPNERAVLESFILLCSCGTLE TTSFFEFLHWWALCDYSYKGCLQHLISYKFKGGQSSFAIKFFRESLRTGRLSYAFNSP VQSINDHGDRVVVETRDGRQYSGARLISTIPLNVLSSVHFSPPLSPQRMAAANIGHVN QCVKVHAEVSCPDMRSWSGISYPFNKLAYAIGDGTTPAGNTHIVCFGGAHNHIQPEED VKATKKAVENMSPGNMDIKRLVFHNWCKDEFAKGAWFFAPPQLLSTSLDELRSRHGNV LFANSDWAVGWRSFIDGAIEEGTRAAVTVIEELRPPPAVRSRL AFLA_000650 MDASETKPKHAYTESIQHGDLDCLEGLNFQPEGTRKLTPLQTIS ASWIICDSWAGVAATVALAVVQGGPVTLIYGLILIFFLVGACTLTLAELASTYPTAGG QYHWTSILAPKHLSRALSYCCGVSNMLAWIAICTGIAIIPAQLILGIVLFYNSEYQSQ PWHYFLIYQSINGLVLLYNTTLLKKSLWFHDVSCTLRHLIRLTVFMTLTSFVIIMVTC LARSASRYEASSSVWATFLDGSGWNSGGVAFLTGLVSPNYMYAGIDGALHLAEECRNA TTAVPRALISTLVIGFVTSFAFMITMLYCTSDLDTVVASSTGVPIYEMWHQATRSTSA ATVFICLLLLAAVFALTGAQQTASRLTWSLARDRALIGSQWTGQLHDTLEVPVWALVF NYAVMFLIGCIYLGSSSAFNAFIGTGLVLQHISYAFPAALLLYRCRSATWLPDPRPFR LPSPVGWGANLITVCFAVLVLIFYDFPTVMPVTGSNMSEFDYHHVSCTRYRLLTCRTG LPDYTPAVLGAMAIVAGINWLVYARKWYQGPRLRRSSGEIQK AFLA_000660 MTLLQNIVSETPLAAGSALLTAIVVAYVIYQRYLHPLAKYPGPF LASITDLWQVHQFLSLQQPYNLTALHERYGPIVRYGPDKLSITHESAVPTIYQKSAKS MPKTEFYDAYGAAHPNVFGMRDEVMHSVRRRHMSHSFSLSYIKEMEEYLDLNIRILKD KIRYHSEIGEVFDLKKALHYYMIDVLGELAFSRSFGVQEADDESRIPPVIEHSLLAAV TGAWPTMTMTLKRWLPYMPHAGLRRLFAGRKACADLASSSVQRRLRDLNDGGSSVGVQ NRKDILTNLIKAKHPETGERLTQTDLETEAFGFIIAGTHTTSATSTLLFYHLLHNPDF MRKCTEEIDSNLPSLGPSETAYSITAAEASLPFLRNCIRENFRITPVFTMPLARRITD PAGVTIEGEHLPQGTSVAVCNHAFHHNPVVWGEDHNIFNPNRWNDPNVGAKARLLMHF GLGGRQCIGKAVATTNIYKLLSTLLKEFTFELADEQERVDVDKGLYKGRIPKLFSVGI SDLQGPLLVRARVR AFLA_000680 MLTVTLHYFAMLIVKKHEPEVFDTASVAEIRQEIEDALRIMKHI GKNSLITQKAGCCIERLLTVFDTLGDHVRVRSSVSLDFWNTDCIMRNIGQASDDLLMH FSQDDFIGQDLSFLDLYSRLPLCE AFLA_000690 MAPTTATALVTKGGKLSKETIPVPTPGEHQVLVKISYVAQNPTD VQSLDANAFGDDAVLGCDFVGTVEKTGDKVSRIKTGTVIAGLIWGGEIKGLGGYSEYT LADERICFPLPEGVTPEQASTVPLAACTALIALFSKDCLNIPQNSGETVLIWGGSSSV GLYAIQIAKYYGLNVVTTCSPRHHDLVKSLGASHAFDYRDAKVVEIIKAATNFGLRYV FDTIGNDSSSVTASHAVSEQGGGLCTVRPGKAFTENVTKQTKVTDVLVWTAFLKEHKY GEFYWPPHKEDHELSAHFFEELPKLLSSRVITPNTPKLLEGLDSVPKGFQEYRDGVIS NYKIVYKV AFLA_000700 MKSIHRYDSPAFVRDKFYVASSCDFFADYIVTSIVNNTQRRARM TLPYISPLELLAIQNVLEPMRDGLAASAPSMASPW AFLA_000710 MKKLLNILAAGFFGFAVQATVPSNGTPEAKLAQIKELLTPLLSE NATIVFPDSEKWYDVTHRAAAPPRQPGLSRRCRLANEIGLPFLAVTGTHGWTDDISKI QDGIQIRMRGLNHVGLGPNNDTAYAGGGVLQYEVVQALYPYGKQAVHGLCECVSILGP LLGGGHSVLQGDHGFAADSLVSAKVALHNGTVVTASATENEDLFWGLRGAGHNLGIVL EFEVKVYDIHPDPWTFMTLVYEADRIEEYFEAWNELEDTIADPGLVVLNGYYRNLPEI NAEKPVLVMELIYQGYDTAAPQYIQAYRAIGPIHEETITDIYWNELFDVTNFGRDDRV CVPSQNWAGYSNSIVRWDPASMRESYNIFADLVAIETYNTSTFIFESYGRKGVRDFPD GFNAVPPEERNRHNMLAAFLFWSGDDPTELAVARDFGERLQIASRNGDIAHSYVNYAM GGEQLPQVYGRDVDRLEKLQAIKTKFDPYNKFGFYASLADA AFLA_000720 MSSRSVKNRGCFQCTKRRIVCDGAHPTCYKCQKKGIECSGLGRF RFSTGIATRGKLKGCAIPATVPPQSHCNNQSPTGAPTPQKIRWKDDGPNRNKRKPRTA ATKASTYPTVQEVKDRQAWLDSESQSKSAVPRASETLIAPDALGEKPRVGSVRYFEGT TTQQDQVEWQECSGSYNNDGGKGCDYWSSRAPDLCSTIIPWIPSLGPEDRMYLSHCKL HLSRVLPLSG AFLA_000730 MLVASQVAPVMVIFDNVSNGYRDIFLPLACEDEVLRGAIRAVAA QHLALRHPGFQGIAEIGRAAIISRLRRDSLQASSDSIVNLRTWATLIVLLVGETITGS PEYSHLLRTLLSLMPSLCQMEETPAYRFLVQQTHMFQFLGQPLLNETQGMNALGFHPS HYLDWTDYELPPESRHNRVLWVVRQAFIEASQIYILRATTNHDLWDRLESLKQLVSRV DPDEQGAHALVWVCFIAAADSTDPEHRRFFTERMNQVFAKTKFQNIVAAVRTLPEIWA QQGSGRWTSNLIQLTPTLIM AFLA_000740 MATQVRLAAAHVAPIFLSAHETTQKAIHLIEQAAKNKANLIAFP ESFISAFPIWSALRPPTENHDLFQRMVRESIHADGQEIQAVRATARKCNIIVSLGFSE KARTSSATLFNSNMIIGNRGDVLVHHRKLVPTFFEKLTWSPGDGYGLRVADTECGKIG ALICGENTNPLARYALIAQGEQIHISTWPAIWPTRLPSQPVAEKHNPQSDAMGKSNYD NVAANRIRAAAHCFEAKCFGVLCAGMLGPDAIDIVSSSSSSVKQSMEQSQRAATMFLN PTGAPIQGFVIDEVTSAQHSADFLQAREGILYADVDLTDCVEGKQYHDIAGGYQRMDV FDLQVNRTRQQPVRFTDTVDLQPDQVPHKGSKGRRD AFLA_000750 MNCPARRFPLRRPANHVPPIPRWYAHFADGIDRVFTTYIGVQRH HGLDIGLTKCLAAIERWLATDQDNAPAAVERFRVIDGDDTPDSLVFACYWDTESKYEN GIKGLNLTQLYQKLDKSIQPTVGLWCERFVSHVSRLETNYSGTDYLPGLARLPGTKTV AHSYSAYWGAARDRIPDSAFELFEQDENPTATAIPDAMSTSLGKHLTGTNFHNVVHIR TGQFWNNCDEDEKRSYEDKLEPTLREGLSYLWQNPAETGSMGLRYLSNIPTSSPEWSG QSNESCVTGFFRSLADLETWAKKHPSHLAIYTGAIRHAKTFGDRRKFRTWHEVSVLRR GDAHFEYLNCLPGTGMIKCVSLTEVSDLRPRN AFLA_000760 MPSPLLALSIKDCLLQASSIAMATTSPHDSSMKNDTDHVEYLGD PEKSSSAPQYDRFGSFAKVDPKEIALVRKIDLYMMPILWLMYFLNFLDRNAMVNGKLN SLSEDLKLKGTEYNTCISILFVGYLLGQVPSNMLLNRIRPSWFMAGFMMAWAIVSTLT CLVKDYHSMLVCRLLLGFVEAPFYPGALFMISLFYTRKEATTRMAILYTGNMLASAFS GLIAAGVFAGLDKKHGLAGWQWLFLIQGVITVGVALVAFFLLPNAPRSTAWLTPEERQ LADERIKRDTTQREEGTSTWVGLREACMDYRTWIFALMCNLHLSANGFKNFMPTVVKT LGFNSTITLVLTCPPYLVATFTSVAVSWSSGHFNERTWHVTVSKALAIIGFAVACGTL NIGARYFAMILFVGATYGVNNINIAWTAATLGQTDEKKAVAIAITNTLGNLASVYTPY LWPDSDAPRFGLAMYCSIGFSVGVVILAWVMRIILTRENRKLREVDSGVINLYAY AFLA_000770 MEVISTRPKKRRCVKERVRVTRACDACKRKKLRCSGTLPCSLCQ RSSQDCEYTTSYTRGRLPPIPTLQKPTGNDNVAEHHIQQKSAPDIDRMPASPDTEVIE GHSSSRVDGDASNLPSRDSPEPHQTDMEGHYVGPSSGVSFLLRIQKRLHECISFPLNT PIFSFGDAPLPKSDPSFLLLPSKNEAKELVHRYFDFAFPTHRFLHQQQVESWLEEFYN CLRVPHSLGPGDREIRALLLMVFAQASQYQPESSSNLEDSHMSAVYFGASEHHLAAET GPVRVTSVQARLAQCFYLLSQSRINHCWSLFGTTARLAIAIGLHRKRRREHPNTVNLI EQECSKRVFWCAYSLDNYLSAALGRPRIFHDDEIDQEYPEVAEDRQITLTSILPPTSS CQSIMLAPVYHAKLSKIISGVLHDLYGIQRSSLTVQASAAAKYGAEMARWRKELTGFL DLPNVNIMKVTYQRQYTVLNLAFYHAQILLYRPFLLKGFTLLTKEPSRRNDKLQGTID QNIKSCLEAAMKVVSIVRDLSTAGRMYRAFWFTHYYAFSAVVVLYVHYIRSRSRQSTT DADLAYYMAGKQGQDDLASCGSQSSFSQRYVMVLEELRKEAHKATIRGNQESIDQPPT NRPGPGGTENATSRLEELDQLRNIAGSQDAEAYPAASERLDDIPGSAQVSYRGVQPVG SFQFPAGPQESEVGSLQGISPESYIADLASWGEFDSLAATGFGDFGNLFPFDVPPGLE G AFLA_000780 MSTGQVSALLAPVVALNIWTFVMEAWMYKTRIPIYSKMNMKNTI TKRELDAMTPASVRWKADNYNHLMEQPTQFYAIALVLALAGQDDKTNILLAWSYVAIR VVHSLVHSTSNHIMSRFSIFVVSSVILAVMTGRAALLVF AFLA_000790 MHPLCARCKTIPLHDILQQGHSLWKKSNEPQLSWEIPWYSSLSE LTASSSSSDCAFCKLVRQGLERSFEYEAAQQEARGEIPPASSTEKDAKDPLRKMEGYL DFPMDMSLQLRSSLTDEDDEGDWDISRHSGTANAILTVRISSGVSWGLTLQAEFRVAT DHDDPLAELILGRTIAQSADSEQAYSVINTWMDSCINDHQMQCADAAQSPLLPTRVID VSPVGLDAGLVCLRDDRNKVDRAARYVALSHCWGKCIPFATTTENLEDRKREVRIEDM SQVFQEAVLITRRLGIRYLWIDTLCIVQNDQHDWEVEASRMAKVYMDAFVVIGASNSN ADDQGFLGPRDHSGSIDWVRSLPNGRTSCLALSLLPPAGERWTFGRDPVSSEPLQSRA WCLQERYLAQRILLYGARQLFWECRAISRAEDGDLRLGNLHNLDRLRGTASIKRTIFD PRPDGDSEVNYRGWYEMIEEYTQRSITHQSDRFPALSGVADAIAQTSRDAYLAGIWRK GLIEGLLWCAMNRDEPLKKPTSYRAPSWSWASAEGPVQFIVYHFIERCRWKRGIADYE QLATFEDCDVEKDGPDVYGTVSSGHLRLHAPLLPVKSLYPADDRPPFSDELLPPLKNS IVDKVVEVEVGNETFYLQAGFDMAPEPVLLQQQLFVLFLARLPDGNHGFSPFMDHRFG LLVRRTDKDSETYERIGIIDSPILTKDTSGGVSMTLVRLLEKSLRFLERLSPSADKSP VFNLTPRLFVPERVLTEEPEEEMPPDPLPELKQHSILVTLV AFLA_000800 MGISAAMSQADSSPLVTKMSLPAPSQVTYPMLSASATIVDITFN CPSPHPGPDQVSPPTMHARWGHCPLDPGGHPGDLALLILSKVLRLVLQAVPGRVHQCA LSSPMVLPLVEMRDVRVKSL AFLA_000810 MALDIDYVLSHISQEDKIALLAGIDFWHTHPIPELNVPSIRSTD GPNGIRGTKFFAGVPAACLPCGTALASTWDQNLLREVGVLIGKECLAKGAHCWLGPTI NMPRSPLGGRGFESFAEDPHLAGAMAASMITGCESTGVISAVKHFVGNDQEHERRAVD VLVTQRALREIYLRPFQIVARDAGPGALMTSYNKINGKHVVESKEMLDMVRQEWKWNP LIMSDWLGTYTTIDSMNAGLDLEMPGPSRYRGRYVESALQARLIKESTIDSRARKVLE FVQQASRAPVSAVETGRDYPEDRALNRNLCANSIVLLKNQNDILPLPKTIKKIALVGS HVRTPAISGGGSASLEPYYTVSLYDAVSEALPHTEILYEVGAYAHKMLPVIDRLLTNA VMHFYNEPVGTERILRATQRMSKTAFQLMDFNAPELNRGLFYATLTGDFTPDVSGVWD FGLTVFGTGLLYVDDELVVDNTTHQTRGTAFFGKGTVQELGSKTLNAEQTYKIRIEYG SANTSPMKAIGVVHFGGGAAHLGACLHVDSAEMVRSAVKAAAEADYTILCTGLNHEWE SEGFDRSHMDLPPGIDALITSVLDVAANKTVIVNQSGTPVTMPWADRARGIVQAWYGG NETGHGIADVIFGDVNPSGKLPLSWPVDVKHNPAYLNYASVGGRVLYGEDVYVGYRYY EKVGREVLFPFGHGLSYTTFTVSPDVVFSQEVFRPEEPPTAAVKIKNTGKVAGAQVLQ LYISAPHSPTPRPTKELHGFTKVLLQPGEERVAHIRMDKYATNFWDEIEGMWKSEEGI YEALIGTSSQNILAKGTFRVDRTRYWLGL AFLA_000820 MPEYEVEPNLKGFLGAAYSLGAILSLPFVPWVNQRFGRRWTVMF GSCISLVGALLQGFSNGVGMYIVARMLLGFGIPYCIVAGSCLIGELGYPKERPILTSL FNSSYFIGQIVAAAVGLGTVTIASNWAWRIPSLLQLAPAMVQVVFVFFLPESPRYLIS KDRHEEAFGILAKYHAEGDRNSVIVRAEIAQIERTIKLELEEAKQSWWDMFRTAGMRR RLLISAFLGLFTQWSGNTLISYYLSDLLDMVGITDSVTKSKINIGIACWGLVSGTALA LTAPLFKRRTMYLTCATSLLCVYIGWTISMERFMTTEVRAAAILTIFFIFAYSPAYNL GYNALTYTYLIEIFPYFGRSRGLSWFQFYGRGSAFFATYVNPVGWYLSTSFSRKRLEG HWRSCRLCSRAKKKPMKWLLQCTSRSKWMGRREARLRCFSILIPYEIVFTVQCYLM AFLA_000830 MIFNLWILGLGLGLFLAADARGPQPIGIDLGPELLTAAYAHTSD NVSVIAAIAPGPEDIYVRHMLLLRMEYADRHDIMRPWRKPAQWTLKGHFSNLIQQWTT ELSSFLDKPALQNSQMLSFARTGFRTVLQAVSYTRRLVNPGYKEELRLVDVKAGLVDM LRQLKQKAATDSDVDLNYAVIAVPEFFNQTLREMVVDASRKAGIRSALTPVSRTTVAT FVSTSTIANPPGLRYAVIDHGMFYLDVSAGADAGKSERERIHQYFPALHMGSTMIDSY ITDRLVDRVEDLSIEIAIGTSKPALYGPVRQARTLIRDNLDSQLLGSENDQDHHFDEY PLDLTKWGSGQSQAVLSWEDVHAAERRFVEVVATNLRTYLAVLRSNRRLVRRLPDASP DDIIPEVVDRVVILTTGPDGHLLAQAAREGLGDHIEIIGGTLRESFSLAAFGAARGAL VAMSPGICRNTPKQRGVFDHEL AFLA_000840 MTDDGIELSPTRQCSADIMPMGNPSQSCDNYTAVQQAVSSLDGL EGERGKVQVLAIMVALCLSLFIAALDQTIVSTSLPTISSRLHSASGYTWVGGAYLLAS AAAAPIWAKLSDIWGRKPILLVAVLWFMFSSIVCAAAVNMRMLIAGRALQGIAGGGLL QLVMIVVSDLFSVRSRSLYMGILEFMWTISGGLGPILGGVFSEYLSWRWNFWINLPIC GLAFILLFFYLNVHNPKTRVTDGLKAIDWLGSLSIIGFTLMVLLGLNFGGETFAWNSP QVICLIVFGSLFSIIFFCGEKYVAKYPLMPLKMLKHRSNIAVSLVTLFHGAVFIACEY WLPLYFQSAKQASPMRSGLMVLPLVLAEGVFSGISGWLIHRTGKYAEQIWIGTVLLTL GTGLFIRLNPTSPLVELIIFQVIGGAGSAILFAPPLIALQAMVAQDDTASATAMLGFI RTIAMSVSIVVGGVVFQNSMAGERSRLEAAGLMDEIVEELTGASAAASTEVIKTLNDP SKIRTVAGAFSSSLQNMWIMYTCMAGVAVLASAFIVNQPLSEEHTETRTGLKEE AFLA_000850 MARTQWPSLGVAALQILSFSLVNQALAQSCAANYPPSAPVASAT ATLGPVPAPDLDTSDPIHLIPAPKVSLYYGSLDSEPSTKAASGSVNMNLELNHDTVVL EYIDAIGSVKCTDESVTVAFKNAAGFEIALQSWSLEENLILITNHLGNCDAEFERGFF KVNQIASDKSNLSITCNASKHPIDKIAETCELSFSSVPAGKLAKRLTLNPSYSIPFSK SLAHNTVLLNEPPYLTVTADEAAFSSEITFSGYLYYDFWAFKLRQLYFDIDAGFSADV ALSAHLAASYNKSFTYNPSDLTYTLVNIPGIVQLGPGVAFGLEMDFSASADVDVAAGL SISMPNGNVHVDILNSVNTVATGWTPTYHPYANISAQANVQADASATVTVEMALSFLG GLIDLSSGLTAKPGIANTFVLDAKLDTEHATNATRVTNSTATGVPTDVHGGGNPCGDG VSLKSDFIFSLDAFVTRSWSTTLVNTGIPLWDFCYQF AFLA_000860 MRSSFLLAGVFGSLAAPALAIVHEKVAAVPNGWTLVKQAPESDP ITLSIALARQNLDQLESKLTALSTPGNAEYGQWLDQSGIETLFPTASDEAVLRWLRNA GVTHISRQGGLVNFATSVGTVNKLFDTKFSYYKNGQVQKLRTTQYSIPDDLADGIDLV APTVFFGKQQESVALPTHHKFAAGTKTAAGNVSCADIITPDCLADMYNFRGYKPSASS GSKVGFGSFLNESANYVDLALYEKRFNIPSQNFSVELINGATNDQNWTTASLGEANLD VELIVGVSHPLPVKEYITAGTPPFIPNALQPTPADNQNEPYLEYYEYLLAKPNSALPQ VLSNSYGDEEQTVPEYYAKRVCNLIGLMGLRGITVLESSGDTGIGASCMSNDGTETPE FTPIFPATCPYLTSVGGTQAYAPEVAWDASSGGFSNYFSRAWYQESAVSKYLDQQITA ETKDYYSQYTNFSGRGFPDVAAHSLTPRYEVVVKGKRATSGGTSAAAPVFAGLVGMLN DARLRAGKPTLGFLNPLLYSGALKDFTDITAGSSIGCDGVNPQTGKNVTGGGVIPYAH WNATAGWDPVTGLGVPDFMKLKDLVLSL AFLA_000870 MESKHNTRKDSDRVRLRDDDTGSTEPEQVDQQSSFADLDPTKEY TASSQTAGTGFQPEPAAPRSSSSYHFQHDQLAHPDEEFHRPQE AFLA_000880 MDNIHVPSPDTQCDLRIYVSGLAKRLATQSSETDAFIIGDIVTS PGCVPVNLANDAVRNYLSLADMKSIALDTNPTIIDVRDAKNMTYKLD AFLA_000890 MHSSLTFLTLALCLFAWANGSNHHVRTTGNTVRFNLTLTWEEWA PAGIPRKMILGNGQLPSPLLELRQGDDVEFLVINNLPTNTSVHFHGIEQHGTPWSDGT PGFSQEPIAPGDHFLYKWRATQYGSYFYHAHNRGQIDDGLYGAIYIHPDESVEKPFGL ITNKSSERQAMRAAEEKTQPIMISDWRQLTSEEIWQAEEKSGLDAYCVNAILINGKGS ISCPGQEKVNQLASAEQRQILGNLSLTDIGCTSPLVVAMQGTFRHNYSAVPPSMFSGC VPGNGGTARVLVDPSHRYVSYDLISAAGVSMTTFSIDEHQMYVYAIDGRYIHPTLVDA ITIANGNRYSVLVKLDKPAGDYTIRVANAGVNQVLYTAGVLSYNTLEKTQQHASVASI NIHGAVVSSNYTLLDETKIIPFPVEVPSQEVAQTHILRISHYDASYTWTLGNTTLPLV LEEVSPLLFYPSTAKPDLTLKTLNGTWIDLIFDIHGQIQPPHPIHKHANKFYVIGQGT GAWNYSSVAEAMQHIPESFNLEAPQIRDTYITPPSATEDTWLAIRHHVVNPGAWLLHC HIQVHLSGGMAIGILDGVDEWPEIPEEYSIQV AFLA_000900 MLAAIPYTVGGGSVEDMWSSRPRIWVMFLWTIASNLGLCIGPIM GTYVSTLLNWRWLFYIYAIIIGLMSVLFTFIRESRPSLLLTREVDKLCRYTGKRFQAL NHDHHPDLRTFLKVALFRPAILFLREPLVFIISIMIAFAFSLLYIFTEALQPIYQTIG FSHTQSSLAFLAIACGIWFSTLTRLLDNRVFDTRRRKNLPFKPEDKLLGLAIGAPVLA VSLWWFAWTIPPKASNTHWIVPSVPLAMMGYALNEFDTVLYCYLGDCYLSYSASATAA VAFLRALLSGVFPLFTKQMFEGLTANVAVSVLAALATVFCIVPPLFIFYGERIRDRSP FAKHSVFIAGELGNKEEDY AFLA_000910 MKRAILSQSPQFIFYCESKIKKMVVFSRTLFSLVAILVPIASAS RCSPAFIVKPNLPGATILDIQAQERHNFSAVSLGPGTNEGGRYTISFCNITVTHTHAG WNDTIHTQVWLPLEEWNGRFQALGGGGYATGFGETYLTYAVASGFASASTYGGLPVTN GKDSMPTDLSWALSSENSVNWFLLEDYASKATSDMAVIGQQVTQSYYKKPANYSYFAG CSGGGRQGLQMAQKYPDLFDGILAVAPALNLQRFIPAGFWSSQVMNEINVYPLPCEVE AFTKAAVEACDRLDGVEDGIISDPDRCHVRAFDFVGKRYTCDGIQKSLSADSAKIIQA AWSGSHSVSEIDGWYGVNKDAALGTAYVSTKCSVNNTCYSSGSDLFGNWLRYLVAKDS NLSTSNMTQKEFFDALRSSNLEYSGMLGTNDPDLSRFKANGGKVIAWQGMADEVIPPL GTIAYYEEVLKHDPKAHDFYRFFEAPGVGHCYGGLGPVPNEAMSQLLEWVENGHAPAV LHATKGSNNTARHLCPYPLRQKYIGGDPRNATSFTCAK AFLA_000920 MLHAELFYHLLTETLPSLCKSGNSRGLLHKEVMNHALATPYLMN ELLALAALHLSIIRDTQKEFYRHHSTQLQNHALRMFYETDPHASMEPPVPAFILSSML DHDFQAFLDRFIHYLRIHRGVRTVIGGNWDQIKETSLKPILKDAEASLQNQTGDGEMC NRLLELIKASKLGPSLNETYEQAIKALQSSFNASQFGAIVDNIQGALAWPIMVTGEYT DMLVHRRPEALVILAHYAVILYSCRDAWLFGDGGKFLIRSIDRYLGPQWADWLYWPNR VLAESTTPCLDGTSSLPQ AFLA_000930 MPELHTYKGYYLWHYIPSRAAAVIFLLLFLAATIHHTWKIWKLK TYFCICFAIGGFSSWVLMGLIRRFVGMTGGQIFHEMMQRHGVKHIFGYPGGTILPVFD AIYNSKHFDFILPKHEQGAGHMAEGYARASGKPGIVLVTSGPGATNVVTPMQDALSDG TPMIVFSGQVATAAIGSDAFQEADILGISRGCTKWNVMVRNVAELPQRINEAFEIATS GRPGPVLVDLPKDVTAGILRKTTPTGPAIPRISTYANTDANQKLLTKSISHVAKLINT AKKPVIYAGQGVIQSPNGPQLLKELADKASIPVTTTLQGLGAFDELDEKSLHMLGMHG SAYANMSIQEADLIIALGARFDDRVTLNIAKFAPAARAAAAKGLGGIVQFEILPKNIN KVIQSTEAVVGDIAENLTHLLPHVNRTSMTERQAWFKQINEWKTKWPWNAYTRDERPH LIKPQYLIEELSNLTAGRKQETIITTGVGQHQMWTAQHFRWRHPRSLITSGGLGTMGF GLPAAIGAKVARPDALVIDIDGDASFSMTLTELTTASQFNIGVKVIVLNNEEQGMVTQ WQNLFYEDRYSHTHQRNPDFMGLAGAMHIQHRRVSKPEEVVDSLKWLIHTEGPALLEV MTDKKVPVLPMVPAGSGLHEFITWDAHKDMERRSLMRDRTCGLHG AFLA_000940 MKATDLFHVTVLVAGALALEHQQPLIGDLSQDLNHIIDSSPLLS FHRALVQIPSISEHEKNVGEYVLDFLSSQNLTVEKQIVTPESDTEEERFNIYAYVGKN RQPDVLVTSHIDTVPPFIPYSLHAPTSDTSFIRTDLVIAGRGTVDAKASVAAIVFAAL ETLDENPNASIGLLFDVGEENSGVGMKHFSNSELNPTPPTYHTVIFGEPTELSLVAAH KGTLGFKLVAEGKAAHSGYPWLGESAISSLIPVLAHLDTLQDLPPEKGGLLRSETLGK STLNIGRVHGGIAANVVPAHAEAAISVRLAAGTPEDTRTIIERAVAKVTSGDRSVYPD FGDRKAGAPPQYFDVDVDGFEVITVNYGTDAPALKIHDQRTQRVKRYLYGPGSILVAH ADNEAITVGELEEAVRGYKRLIAASL AFLA_000950 MTNARCSSMGESLLLNIPSAVFAVILTVVFGILADTGRIPQPAI PLAFMIVIEACYGVLYAFPNTGGVYAATILAGGFSTAWYVMMWPWRVQTTEGATGSAF AIAFANSYGQIGGAVGSQLFNSRYAPRYTTSFGIAMGFVGMAIIMNLITWGFTWRVDV DTRKLKRIRLAAAKQNQAVLDDVDIHAGEKRQ AFLA_000960 MSLGLSEKNDTPDATSTTIKSSDISVEESPDQRRGNLHYVKRTE NGSLPEYEGDVIPGYDANLMRARASLSSAEEKKLLRRIDWHLIPLLAIMYMLKSVDFT NVSYAQTMDKGTSRNILTELKMTSNQYNLVTTMYYIPYIIAEAPSNLLLKGVRPSIWQ ARIMVSWGVVLCCHAAVTNRQGLYAVRFFLGLFEAGLWPGMLVQLCYWYRPDEIAPRI VLVTLLGNFSTVISGVLAFAFNGVTTGGLSGWKWLVLTEGIFTVILGIIVYFLLPDFP STASWLSERERTFVEARLPSNAPRAAEANFNLRELLTTLQNKRIWLFLLCWAFFTVGT TGLTFYQPTVIANLGFT AFLA_000970 MDRGKSASGSTSLHPEAGQDQSRDRINVQPIKSWKGYIWDTWEL PPDQRWLLFKVDAFVLTFASIGYFLKNLDLNNINNAFLSGMKEDLHMYGNELVTSTSI YTVGYVIGQIPSNLLLTRISPRWVIPALEVGWGIATICTSSVQSYRSLYAIRFLVGLF ESGFYPGIHYLLGSWYTPREIGKRAMVFWLAGSIGTLFSGFLQSAAYTNLNGVHGHAG WRWLFIIDGIITLPLALAGFLFFPNLPQDGKKTWWTTEDEHILSVKRMEAIGRAGKEP WTVAKAKRIFLSWHTYLLRSWHPSCLYIVWNNGYPQPGMGYWLKSFNTNPPPVPGTSF SVPQINNYPMVTTGIFVVVALSWGWLSDGCRGIRWPFIYAGAIITTIFCVLLRQMPLY ENINGRMAIYWLSNIGSGAGPLILSWINEICSADTEKRALIVGLANDLAYVVQAVAPN FVWKTTDFPAAKKGYLWDIVLQCLLGECARYPLFQFTSAEWTNCTLVVVTAAVQLQLW RDRRKSSTDREDDSFSSDWQNEEASQQESGIEQGKTVHTKVVAVGN AFLA_000980 MRDMDTVNVASDRASAHVGGGVIAGHLQEILNSHGLFTSTGQAK ARVVLAGGCVVETNADPCKSLAPKIKEFANQNQNVRFFKVDVEEQRSIANEALVAFVP TVIIYQQGEIVKKLQAPEPALLGDVIQML AFLA_000990 MAFNRIAVYGHRGFVGSRVVPALIASGAPITVLHRPSSDTSNLP NHVRKIEVDVLDEDALVGALQNIDIVISLVGDEGTDRQYGFVKAIPRTNVQLFSPSDF CLRYCEQGMRIPCMKAKAKVEKASKDAGIPTTVIHVGNFAEFTLSTPAVGVDLQNNIL VYTGNSASEKVTMWCVTFYPEAPHLNYPFGLIKPFPCSTKDYVAAAYVDIFTTRPIST IQNRTITLSELAPTGMEIAAIMKEKNGRDPSIATRSLEEVNRKIEDCISRESNLAVAA YCRKIWGTGEMMKMLPNDLWEVKDYRKASLEDLVLGGRLESYRVLPDRVLEFLKTL AFLA_001000 MTTRKLLLFLGLAGLSLQQKDILKDFCRLWGHQTAVIDRKLYID GGFVNYNPLSEYPDNATNTALLYADFDVNNQGMPAVYNNLTKPTDAPDVNGGILWPDT VNKMIYLYGGEYSQGTPSNFSLWSYDALYNRWQTVSADSTQAGIKRASYGAGVTIQDR AVAFYYGGWLSNTSVPNWGSQSSRALSTMLQYDMLQNTWTNSSGPDTIGRAEGSMVYI PASDGMLVYIGGVQSANNGTTIGQPMDEILLYDISGGKWYTQKATGDVPDQRRKFCAG ATWAEDYSSYNIYLYGGLGAPEGLGFDDLYILTLPSFQWIKWYPNGTDSGYPKNSMSC TVVDGAQMLVMGGTKPNDTDCDIPAIYGMHNVYLGKQNPQDAIWALFRPNLTSYEVPS EIISAVGGSATGGANTTQPADGFDNRDLSVFFTRTYTAATRAPTRTIPTSESSSGSKS KHVGAIVGGVVGGVAGVSLLAALLFFYLRRRKKNSVPTEVEQTQQDVKDPAAAALVGG TGQDDSRRSELAGGYPGQQEAMRSELPAEVAGQRQSARSELGSSALVELEGDIAPYSP TSPTSPPSSPPPSHPLSPTSPHRGS AFLA_001010 MSSEPVYPRFPFARPSGDEPPAEFHRLLRECPVSRVELWDGSHP WLVVKHKDVCEVLTDPRLSKVRQRDGFPEMSPGGKAAARNRPTFVDMDAPDHMHQRSM VSAFFNDAYVESRLPFIRDTVQYYLGRLIRAGEDGKEVDLVKHFALPIPSHIIYDILG IPIEDFEYLSGCDATRTNGSSTAAAAQAANKEILEYLERLVDKKTTNPSHDVISTLVI QQLKPGHIEKLDVVQIAFLLLVAGNATVVSMIALGVVTLLEHPDQLSRLLEDPSLSNL FVEELCRFHTASALATRRVATVDIELRGQKIRAGEGIIASNQAANRDPGVFPDPDTFD MFRKRGPEEALGFGYGDHRCIAEMLARAELETVFSTLFQTLPSLKLAVPKSEIQWTPP TRDVGIVGLPVTWDRD AFLA_001020 MMLNSINIPQNAISWAVACAAAATFCLASSVVYNIFFHPLARYP GPLSHAASRVPYFIRVFKGTLPFDMLDFHTRYGDVVRIAPDELAFSHPDAWKDIMGHS KGGLYLEKASWFYRPLEYDPPHIFNEGRQAHSLLRRQLAHSFSDKGMRDQEPMIRGYV DLLLQRLREMGGREDTVDISAWYNYTTFDIIGDLSFGESFGCLKGSNYDEWIESIFRA FPFITALQALSFTPLVKEVLLALVPPSMRDRRERLRDFTRQKMLRRMAIAEERPDLIE GLLKKKEELGLTIDKLTANAETLVVAGSETTATLLSGVTYLLLKNPEAYQRLREEVRS TFSSEDDINLSSAAKLPYMLACVEEALRMYPPGAIGPPRVTPKGGAEILGARIPGRTY VSIHHWALYRREEYFTDPHTFHPERFLGDPRFSNDRHNVLQPFHIGPRSCLGRNLAYS EMRLILALLFFNFDMELSDDSQDWIQQKIVIMWQRGPLKVHLTYIHRNSA AFLA_001030 MNHKFASSNKHALRIKNHLNAQEDIEDGKPPFSACTTDREAWRL VVEKDLGRLKWKYLNTQNERDSRPQDLVSRFFLGLPLAIPDSEATKSPSQSISNGLRF HSRLQVAGRGCWADDLKCIVFVTPMLIMSWYITGAEIDEAYAIELANYLFTIQDPTDG GFPTHIGGKTTLMGTMLIYVALRLMGIPSDEKHLIKARACFLEMGGAVYLPSWAKFWL SLLGLYGWEGTDPYPVELWLLPEWTPISPWRWYNIVRQVYLPMCYLSSKRFTMPSNPL LDEIRTEIFTEPYSSIKFASLQGCVLECERHQPQSRVLRTASWALSNVWNPWLRPRVL AVSAERRALEIIKASDNTFNGTGLISLDCFLNMIVFYCEEGPNSKKLKQSQERTLEYL WFSPQGMQVQSIHGAHTWNTSFALQTLVISGVSDHPDLRGCTEDAYKFLLEQQFLDDW PDSPPCHRPSRLGGWPFTTRYHGSTCSDCTGEALKAILLVESQTNIPRLSTEKNIRLA IDHMLMIQNASGGYSSFEPIRSGPFLEHLNGTELFANVMTEYDYTETTSSCITALSLF RERDSSYRAEEVVNAIDRGVRFIHQNQQIDGGWLASWGIAYTYGAFFAMEALHCANET YENHAVVKRGCDFILDKQKEDGGWGETIESIMKKTYIQAESSHVVQTAWCCMALIYAD YPDPEPIRRGIRLIMSRQKPSGEWEQEAGVGAGIFTCQLFYYNYIYSFPIRAIALYTQ KYGDDKLL AFLA_001040 MRAFPVATFKNVLNTAPPPAQSPRKLTISDTEDDIDALRRFTSG RWLWREQEQVACRYVKFELQELLGIAASVVAAQSCARVLKTSEGQYNKVFLLTMDNGH EIVAKLPNPNAGRPHFTTASEVATMDFLRNGLNLPVPQVYAWSSRATGSPVGAEYILM EKQPGVMLSDVWDSLKGKQRAQLVLQVVDFEKTLAATKFNGFGSLYYKDDLHSSVDTL SLYVDNSGNEVQSTKFAIGPTNHRTFFDFGRGSLDIDRGPWTSVVEFAKAVAKREIAT VKSELKYPLMPEGLFYGPRQYQPIAAKKLSTLHNYLKVAPYTLPENSATHASVLWHGD LNLQNIFVDPKEPTRILGIIDWQSVSLFPLFTQATRPAFLEYNGPLPETLGKVRLPEN FESLNPVEQQKAKVLHQAQTLHNLYLARSRQINPVVFEAIQGQKTLRHQVSVIPGLTI MDYEPCLNSLLRDIQKEWPSIVGQDSDGASSIPCPLQFSADEVEEQERDVELWAQGVR LMEEFTSDTGCFKHWDGRVNEQDYELSRKQLDEGVERFLQREARNEEEWKEWLEVLPF VD AFLA_001050 MQSPASRAIDTMGSSSRPWYRIRWFADEDTPEERRLIVKLDLLI VPYAFLAYWVKYIDQANINNAYVSGVKEDLNLQGNDLVQLQTMYTVGAVVGQIPFVYL FTKLPISWLIPILDIAWGVFTLLQFRASSFGELAAYRFLVGWFEVCRPLFAAFFPGMH YIFGAWYRGDEIARRGGCFYVGLTLGTLTASLIQSGASARLDGVHGLAGWRWMYIVCA IITIPIGILGFFILPGTPDKPNRMVLRRKDVDLAKSRLARAGHGFNPGFQWRAVINIA RNWKFWAMLLLDIFFWNGSLNTTAGGYLLWLKSLNRFSTARLNELSAISPALGMFYTL FICFASDLVLGPAWAITVSHLWNIIGLVILVVWNVPESAKWFAFQTTYAAVAMSSVLY GWINSELRASPVERSLALVITNTIAQSTTVWTPLLVFKTVEGPRFTKGYSFTLASAIC LIATAHLIQYFLKRERHVSHSSFRIE AFLA_001060 MQYAESDCHGQPPYLTSAPFQGELYPVKKIGVTIWSHDQGWISY PQEHSSFNNSWTWFDLKITRPAGRDDISKDANLRLETNVHASEDTMCHEIIYRSDQDL RLVQNLEPGDRISIIPRALFPGWTNFVENACIDIYTTPVLI AFLA_001070 MTKWETMEIVSAAIRLLFFSLMVGLYMIKFIHKPNPHETVEFGS ASEVAGLLSEEDDSAPEPWIRPTTTPETSWWEYVSSYSLFFPYLWPAKSRRLQVVVVI CFVLLIVQRVVNVLVPYQVGVVTNTLSWDKGEIQIPWIQIAVYIFYRWLQGSTGILDS IRSNLWISVSQYSYMELSTAAFEHVHSLGLDFHMNKKMGEVLSALTKGNSINTFLEQV TFQVLPMIFDMAIAVGYFLIAFDAYYALVVAIVTFCYVYVTVRIAQWRAEMRRQMVNA SRQEDAVKNDSLMSYETVKYFNAEDYEFDRYRNTVSNFQSAEWHSLYAQNLMNISQNT VFILGLLITCFIAAYQVSTGQRPVGQFVTLLTYMAQLQTPLTFFGTFFRYIQSAMINA ERLLELFRQQPSVQDEPFAVPLENCNGSITFQDVSFSYNSKPALQNLSFHCKPATTTA LVGESGGGKSTIFRLLFRFYNAGGRILVDGHDVQDITIASLRKHIGIVPQDTVLFNET LMYNLKYANQAATDEDVYNACKAACIHDKILAFPEGYETKVGDRGLRLSGGEKQRIAI AQTILKNPRIIMLDEATAALDSETEEHIQEALAALSRGRTMLIIAHRLSTIATADNIL VLNEGQIVESGTHQELLAKNGKYTSMWNKQIKAQKAAD AFLA_001080 MQTIPPSSPFTSNHPHDADKINHNDNDDLCITALPEMATLGEVV DTILGQRVQLRRLQGSGAAGQSGCSIYFARPCDEDDNDTEDKTKRPIAVVKVYPPHRH SDLLDELASYKTLRSLPSPPRAVHPIGVGRTRDEESGALAGVVVYQVATGKAVNTILC ELGWITRLRSLVRDAAMDVTNRHKLQIFMEQNRGDIPVDWEAHKALEKQGVDIYFSEA LPAFFEQRYQHLLRDLRSAMRAVASVLAKLHTGRRGEWCDAAENALENIRKRIRGWIE EIQTDAAPGYDNAGIGVDRREEMQDMVEYAIHQAKHRATTSLTHGDASPGNFFWDSNI GVTMIDYGGVRLSIDESGKPTGLAEMDTAGFYERLRKYAPSFGVSDDDVTSLQETFWT AYHEHNMSLDPDIVRLVRLRIQMSRLWSAVDKFNQSQDGNQAVVEGEFQRLRSIAELL RDQGARKRNILVVSNASGCGKGGIPFLNQELVNGLAGLNGVSVTLFLVGDNNSVSQTH HANVTVVGLPGSEANGELLYYMAKVHQPEEFGLPTHRDANCRSPFDLIIGHSRYSSAA AALVRDRFYPAAKLALITHTSPLRKADAAWAWYGGSRQQGYEEATRLAMLDERILPKA DLAVGVGPVLTNEAREREWVGQLTRPRWSLTGPRFHELIPGAHIVKDDKDIRARRPDD PFKVLLAGRADDPAKGVDDAIHAVWKLAESGVENITIDILGVPIGEVEKRQEEVDQMT GIPALVRFHPFSNDQHVVRRSYQAADLVVMPSTHEGFGMIFTEVAGLGIPILVTEDSG AGQFALDRSRIPAELGDAVVVMDEKAYGIPASIKSSRVGLWADRINQVRQNPIQAVRN ARELQNVMRGYSWAHAAEALLDAAMEHHEGDTVQTAHGSLLPYRPLLSPEVDASLRCA TTIRNHNGVPEREQAAAVVKSLPEIAPSLNALNEFVSKALGHQVILRPLDGPHVKGFS GAPVFFAHSTFTHSYQTRQTDAAILSQGEELAVIKLFPAGLDNGIAEELSSLEWLLHQ TKGDINTPTPIAVGRTTWDEKETGVVTYRVAQGVSLYQLMARLGLLDGPERDDSVTVL KRGVIEAAKTLAKLHSYAVQGRSSEGYLEWYYDAAPGRVNRLRSHTGILLEHTGIDVD KLDEKVHTLIAQSRQEIYRHPQAAVVHGDAHPGNFFFDPRSGRTTVIDVTTLHCSLDE NGNPAGTPERDVGHFLHMLRRTGEQLNMREKEMDECSRAFLTAYKGTAAGKLGIHTLR LLGVCSALSFVGHALQGPSIDGQILKQQAKILDDMFCLDQSGLLG AFLA_001090 MDPGLDDDLFPGNKYCPLCGVVLLCEELEDDPETRRPWYAEVRG VAKVNFSDIFMTGVGFMSARETLCAPLDEELDYLTADEEALYDVDLLQAESERHGFGL HDSCWILLQDRLWHTIDSDKIAHSLYNQFYCTPCPYRSSLSFGHDYGGAKRWQRALDD MGMPEDMPPLLQADPYAIPLLEKLEENAPDVDGRRESPPAYNTTSTDINTGYRNSFGR LSSELLYEVVSYLSVPELLDLRCTGRELSQRLVFSSLPQSFWKRQFARGSSMDFLFPD LEQSRDWFRLYRGTLSILQAKDQSPEQLSLLNRKRIRELLEPIASVVEADSGRSKEPR GRRANCMELRPGQWFVSEGLNQWRAENIYTGYLTLETEYLPHGCHVPRYRIGTFPQSM PRGGEMKISTVQLGARVFISGISLQEGAVGYGGLTGLVTIKVPPRAKFNQIEVAFCPE GLRGIRFHFGGNTTSDWVGDTLDKDMSYGVLRIPHSPCAKYHIFAGTDVSASSTTTDL MTNACKAHKITAIGTIHNESREERSSKPFWLDYPLDLTLPDSYLWQSERPSHDNLRIY QFPTESLGTPYRPLMNIDFGGPNGEWLDSLLSIEVHVSSEFSPILGMTFNYSDKTLRF GECTSDKKLTFSIDGSGGERITDVVTTNRNREMEFQPRDPSDSSGSPTGVCPNSCYLE NLPQGRIITGFVATQRYGERHFKELGIQIQLPSGSNEGQIP AFLA_001100 MYDDTIPDLCMFGGPMDDLDEKGLNEAIADSIHINPRNGTLGLP IAGSGMDQRKPRLAMMVGKFWKPGSELRIAFMSGTPWQHDQVKKFAPIWTQYANLKFK FVDYDKSSPPDILINFDPSRGSNSYLGTDSAGVARHGRHSMNLGWMNEKTSENARRST ILHEFGHALGAAHEHSSPRAEINWNKEAVYARYSGPPNKWDKAKIDHNVLRKIPDKYT KATEFDPDSIMLYAFPGSLTMDGKGTERKHFLSARDKEFMRFIYPFDTQDVGMFNTIE VATGNKSQQLFVTTVPWNRKYSSPPAMVWGFNHLDIPSNRNLRIQANITDKGPEHFKA RIGTWMNSEIHSAGMTWMEVGPDCGFVHTGSVSLRDIPGWQKKPSQNSKRVTFSTPFK NQPKVVCFLTLLDFFQGEDWHVKTYATNIDHTGFTIHMDTRSSGVMHGVCVSWFAYEA GRPDMISGRFSTNDIRVPSQHRHDATSAVIFDKTFSRTPKVLLALDELDYAQAKDLRL RVSTSMVSKDGFTWHLQSWDDSIMYSAGASYLAWAETEKSKSNLNGSGIVIV AFLA_001110 MQTAASQSYGRKRTKQTARKSTTVWPKKERCRSRGAAVFFEEEA GVDEGEDSDDDYDTDNGREVFNPKNPMDAKQNLLHLLATDILIKTQLEGELTCFRSKY DSLNPDLSHATIHAVLGFFGVSEKWLGFFQRFLKAPLKFIDDPHAEPRQRQRGTPGAH VLSDVFGESILFCLDFMINQKTEGELLWRVHDDFWFWSSNHQTCVTAWNAIQRFNKTM GISLDPLKTGTAQVQHKATKSPTSLDPALPPGQVRWGMLYLNPDSGHFEIDQQMVDSH VEELNRQLKDQVRSVFGWIQAWNSYATTFFTSNFGKPANCFGRKHVDMMLATHERIQR VVFSLDAEGGKSDVSVIQFLRDIICQRFNITSVPDGYFFLPIELGGLELSSPFIQLVG LRDSLIEDPTTLLDKFLEAERDAYASAKVRYEQRLNSTQHAAIHNQGFYPDDAHTFIS FEEYIRYRELLGYGFTGELKEVYEKLLQRPEQQHIECDPNGAVFRELNQLSGHQNLRG IKSNWRNMDAYWKWVAELYGQEIIDRFGGFNIVDPGLLPIGMVSLFRSGRIQWQE AFLA_001120 MRIAIAGSGAMARYICDEFPKYGHQVVILSRSEKPIFNGRPNIS QVVTDYSVDSLVAAIDNCEMLISMILSYGTDFIDAHLNLIKACQLSPKCKRFVPSEYG GDVETYPDMPLFYYHTREPIRKALREQSELEWTIVSVGWLADYVVPSRNRYLADIGPA SPIDLATNQIVIPGTGNDHIDLTAARDLAAALAMLANAPSWEPYLYISGEKTTWNDLA KLVQQRYPSMTEVKRIGLGQLLNTIQTSTDEEEILLSHYQISTPLGGGSLDPELVQRH RRKFFAGLHFRKPEDLIREAFTNGNTIV AFLA_001130 MSSSPFIIRDIQTLITVATNEHGKPLGQGARWRSFVANVPVEVI MIIIDTLYESRPPCPERIQDTRNVLEAFQWNLPDLYSQRRCNPRLIFEAQDAIKAGT AFLA_001140 MFCPPREEFQIGWICALPVEAAAAKEMLDESFGILDEQDIRDPN IYTLGRIGKHYIVIACLPAGQYGHTAATMVANNMIRTFSKSLRVGLMVGVGGGIPSTA SDIRLGDIVISCPTGTCGGVLQYDTGKVGADGKFTRTGSLNSPPRSLLAAVSAMRTAE LTDDPHFPEYLRSAIGRTRRTQEAFARPDQQSDRLFQIEHDHPVAGDNCDACLREWEE TRSEREDNKPHLHHGIVASGSSVIKHGWTREQLRMQTGALCFETEAAGLMLDFPCIVI RGICDYSDSHKNKQWQGYAALAAASYTKELLGYLPKGQVSQESLATNVCRK AFLA_001150 MTWKPTKNKQNLIYNVFCHIQQSTGLTMFEGCPRHNSKKWLIWY MAYTAPQRGDIECGSLYFGNLLIITSEENTINKKDSTGATALMWASYNGYYEAVEMLL SKGADINAQGRQYETALQVACCRGHDQVVQILLEKGANIHHFSGKYGNALQAASLGGH DRIVQMILEKGAYVNISGGYGGTALQYACLGGHDQVVQILLEKGANIHHFSGKYGNAL QAASLGGHDRIVQMLLERGADVNAGGGHDGSALQAASSEGHVQIVQMLLEKGADVNAG GGHDGSALQVASSEGHVQIVQMLLEKGADVNADGGHFGSALQVASSKGHVQIVQMLLE NGANVNDVVLPDVSGKVHSRFPVGCVSS AFLA_001160 MAYTDALRLEVDDETEAKKQIHVSGCDIPRLCLVAAYSWLQEHA FELTNNDIGKNISFSALQEWEPGQPIEDLEIDEVYERGFQDDNAESYKEVYDRYNQAT PIQTDSPNDSGKLYIPDDFVVLEPTARQMQKMDEAIEVMAGRVLAEYEKNHPN AFLA_001170 MPYTMNGQHPVAWGSVDHPGYSSNARQKESGSLVDEGTLAPMLS STSMLAIAAAWMLFDVARRHLGKQYWAGDSAPPNY AFLA_001180 MSQPYESNDRYHATAPGAAASYHHASHSSSDLGDGDNIPRPRRS SPPSFLNSLANPFRALRESGARLAARLGRPTDGGDALDAAGHPEQYGATEDVRLLDMM PEGRVPRDSYQSKLQNTLVSEARFLVRKLGVGGKLPGDADVPDERDVNRGVFSYLLQH QMDQGHRRNVSQTSISTVASQDEPSPSGSNNKKRRKQKWYEDEEGDRSKGKRPMKQIR LTKHIAAILTRQRYIMQLCRAMMKYGAPTHRLEEYMRMTANVLEIQGQFMYLPGCMIV SFDDPLTRTAEVHMISVVPGLELGRLARTHNIYKNVVHDRISVEEAISELNQLMERKS RYHSAWSLIFLSGLASVAVGPWAFDARPIDMPIIFVLGCLLGFMQNVLAPASPVYSNV FEVSVAILMSFLARAFGSIRVGGEPVFCFSALTQSSIALILPGFSVLCSSLELQSHQI VAGSIRLVYTIIYSLFLGYGITVGITIYGLLDSNATTESSCPSESLSIYGNEYIQHFV FVAIYCAVAAVLNQARWKQLPVTVFLGVSGYVANYFSQALDSRLASTISAFAIGLLAN LYSRLWHGHAAAAIVPGMFTLVSSGLATSGSIMSGLAYAESVKNNTVSKASTSNTAVQ QSLYGLGLSMVQTALGITVGLFFSALVVYPRGKQRSGLFNL AFLA_001190 MSQSNAVDGGYGWIIVLAVFWNNAHHWGILSSYGVFLEDFISNS RIPGGTSLDFALIGGLSASQALVISPLITMIHRRFGLRATMALGVLFETAALLGASWS TRVWQLYLSQGVCFGWGLGLQYLSTTFIIPQWFSKKRSLAAGITTAGTGTGGLIYSLA THAMLDRFGSGWSYRILAIIQFVVNTICVLLLRDRMEKPRSERKKATFRLSLCKRYET WLFIGWSFFSVMGFMVIWYSLATYSRSIGLSASQGSIVTAVMNVGQILGRPAVGYFSD VVGRINMTTFATFTSGLLCLLLWVFAKTYSAILCFALFAGILFGTFWTAVGPLGAEVV GLDDLQSFLTIMWLICSVPATFGEAIGLELRTSGEDEFLRTQLFTGFMYIGASLCTLL LRGWKISQDKTPESGDCPGSASTHGECNISGRLSPIVAWGKV AFLA_001200 MASSFKSVVSITHVTTATAIINIDGINFLTDPVFCPAGSQYIYD GWAKAPNLKDYGFEGRPPSGVLRSTEGPALQLHDLPPIDAVLLSHEDHVDNLDPLGRQ LLDGRKVFTTPDGAHNLQPRPGVVGLRPWETVSATIGGKEFRITGTPCKHFPGGEVTG FILETESFGFNAAGLPNVVYFSGDTVYIDEFEKIKEKWNISVAVLNLGNALFSHPNGI IQITFDGKQAAHFMRVTGAEIMVPIHFESWEHFTEHRDDLLRVFEKEGVANLVRWLTP GVEARII AFLA_001210 MFWSVVDWSVHLVAHAITLTKKCIRNQRWGLSESRLGSWWDAGG LPAAFTVAIKVVTPVTGARNIEPDMRASTPALEQQIVPSAIAVSPAGIVILQVPRRKV PTDYHSDTLRRHIRRDHEEEQLETSRATRACHSCRVGKVRCRGGNPCKQCREKGHRCI FEDPIPSQPNAPDTPPCLDESIGPEPSELHQHDPGYQVDQYIQLYFARFHCRWPFLHR ATFSASHEPSLLLYAVVMVGMWVSGKDSSRRAALDLHRRLGTLIRQQQVSFLYFSCLV WLRNANGSNTIQATWQDLSNHQQRPASAWPIATYQGVLLYLIFSLLSAPHYSHSLDLT IQLPVSDRRILTALVQTCLRHNVFFYPAMVGRYQDIDDVTCIWVGVEEIKRLGLALYK VCNRCRGGLQGESEESNSRLLCLSDLRFPAPDSNHLWEAKSNMELSNLLAQTSRDMNP EGGHEIKLISESGGWLDNVDPGFNWI AFLA_001220 MASNAQSFRQTIGIPRSTASVKDSTLIIIDAQNEYASGQLKVEG VAESRKVIADLLSRYRNGGDGSNIVHVVHEVPAGAPVFTPGTSLAEEFEELTPRPGEK IVTKNFPSSFAKTDLHDYLIGLGDLGKKIVLVGYMAHVCISTTARSGSELGYDVVIVK DAVGDRHIPGVEAEQLVAVALNELADAFGTVVSAEEISS AFLA_001230 MKFTKKILTTLGLLGVTEANLGSINTEKHVTQHNAVSKRPPNFL FIMSDDQDLLLDSLSYTPLTMKHMRDKGTTFNNHFVTTALCCPSRVSLWTGRLAHNTN VTDVHPPWGGYPKFVEGGFDKNFLPVWLQQAGYDTYYTGKLMNAHSIENYASPHVSGF NGSDFLLDPYTYDYMNATYQRNHDAPVSYLGRHTTEVLTEKAMGFLEDALSGERPFFM AVSPIAPHSNMNGTYGAGSGPLWMDEPIPEDRHKHLFPEAKVPRKANFNPKEPTGVSW IHDLPFRNETEVDYNDHYYRQRLRALQGVDELVDSLVTRLEQSDKLDNTYIIYTSDNG FHIGQHRLPPGKTCGFDEDIRVPFFIRGPGIPEGAVEDSVSTHIDLAPTFYELAGIPL RDDFDGAPMRILRNNMGTLHEHVTVEYWGQAMLEGGFAYIEPGKPTVPNNTYKAVRIL SEEYNLYYSVWCNNEHELYDLTNDPYQINNLYAKAQTDNSQETRMMGYSLSRVITRLD ALLLVLKSCKGTSCIEPWSVLHPGGSVHNLRDALNEKYDSFYQAQSKVSFDRCEYAYI IDAEGPQEALAYRNGYSLDAWV AFLA_001240 MNMVHHEKSAVEELLRALTLEEKVSLLAGKNMWETANIDRLGIP SLKMTDGPAGARGSKWTYGSLTTFIPCGISLAATFDPELVQKVGSVLGEETRRKHCQV LLAPTMNLSRSPLGGRNFEGYGEDPYLIGKVSTAIIRGIQSQGVAACMKHFIANDTET RRFNVDQTIDERTLREVYMKPFVMALDADPLTAMVSYPKINGYHADLSPSILKPLLRE ELQFDRIVMSDWGGLNSTVESLIATTDLEMPGPAVRRGEKLLAAIAQGQIDVAKHVDP SVRRILELLDRVGLLSESSANGAVKNDEAESEKAPDDPNFHRIAREAAQDGLVLLKNE GVLPLQPSSFEKIAILGPNARRPTAGGAGSAAVNPFYITTPEECLTTAIRQVNPEAEI VYEQGIPFSLRPPLLGSKLTTPNGSRKGLEVTFYAGHEFQGPPVATTYWDDSLVYLFN DGDVPESLKGTPYCYQASGIVTPQVSGWYTWSVANTGKAKLFIDDEMIIDNSEWSRIT GGFLGCSSEDRTVRMQLQAGKTYRLRVDNIVTLPPIESFDNTLFPNVSGLRVGLAREE DEQAMLNRAVDCARSSDIAVLVVGHNKDSEGEGGDRINMELPGQTDALVQAVCEANPN TIVVVQAASAVTMPWVNQARAIVMAWYQGQENGNALADALLGHCNFSGKTPITFPRQL EDHGSHAWFPGQAANDSCEFGEQVLVGYRHFDKHGIKPLWPFGYGLSYTRFEVTDIRL DGHMTTAPASTISIHARVSNVGEQDGSEVVQVYVSPSEQIQKKGLVTYQKTLAGFCKV FVPVGETRDVAIQVSKEELRWYNAEARSWQLDPGRYYCFVGTSAVDIHGELEFHLPYN MDPSSSPSSTLPPSVPVACLNCREKHLKCDGNLTGCTRCKDLSLFCHFVPSRRGRRGR PWPYSGAMGDYPPLPVEPTGNAMMTPLDSLACAAPQGDATCSSLPPRIDNQLVTLFFL HFHQAHPFLPPRDAFLHSSPPIYLLDVVQFISLHYLPASNVPDHTHQLCTAVQEAEAS LEKVQALLLLSIIMHAGTQPREAKKWLGDAISLSLDLGLHCREFSEALEIQNPVRAES ARRTWWEIFIIDTLLAAVQVDGALQLTVETLDIPLPCEMDEYQDGRLGIVPISLRDMD RQALFHNDGDFSSASYRAEAATILRKCLIASGNHVSHETINILDVTISAWFHRLPSGK QAMLHHNGDVDQMIFQSFMIMHCASIYLHFPKSYLLAFLPVTSHIFCSRPPTFTSSSA NPQIHTAKVYGAAVNLSKLASLTTSVTSHSPFFVCTLVLSSIVQLAVFTADPQQSSRT GRNFLALNIGVLKSMGHVWTIAATSMARIRDVAVELESALARESRALLDDHLTQSVLV DSQGLDVTFL AFLA_001250 MAPRTDTTMSSATSNLTWTIFMVMIFGSLSSAGFGFDQAWWSAI MSLDQFLRRFGSYDPAQEKWILSSRNQSIGTGMGYVGVILGVICGTPLNERFGRKMTL YIQSFAVAVGVIIESTCTTSYAQFVVGKAIVYFGGGIATNAIPTYQGECAPPSLRGLM AGTYNAFLMIGGFAAALIVYLCRHITTDWAWRVVVVAQIGIPFMGWISLPFLPESPHW LIRRGRLDEATKALRRLRGSGFPAEEEVVILQQILEEERALREATSWKDCFSNAVNLR RTIICVGAQVFQQAQGISFVANYQAVFLSQIGFREVLLMSVVVYVIGVVANLLSMFTA DRSGRRSVMLGGAALLCACMMVIGGLTTRGTSHMSYSMQVATVVMLMLWFFCFQTTWG PLAWVITSEVPPTSVREKMVTLSGFSAYGVGLIIVFVNPYTQDAIGGSVAFIYGSLSV VAFLFTFFFVPELRQRSLEQIDEMFNEQLPTRSFASYVCNIPDEGRVEKQTETIEYVE NLP AFLA_001260 MQINRAIMALSTPDTEINSMTSSLEKQEGELPDWTTDPSNAQNW SLAKKLYNTSIPSLLCSLVGGWIAEYKDFRWSQWTTLFLGAALWVYSMGAQETYAIPI RRRLAKKAGLTPPPKPIPDGLAGIKILMTVTLARPLYMLLTEPIVTLCSLYSSLNFSV LFCFLASVPLIYSTNYGFSPGQSGLAFIGIAVGCIIGAMALVIVDACTLSKHRARHPG EPAPPERMLVAAMMGSPLMPAALFWFAWTSQPGIHWISSIIAMGLFGCSNIMIFVSTM LYLTNVYGAKAGASALAANGLLRYGVGVYNNLGFTWASSLLGFLAIAFAPLPWIFYRF GSKIRQSSAYTA AFLA_001270 MSPSRQRKIREELLWEEDDLEQVVKNAHKWDIPELDKYGVISAR RFLEFTDWLVRSWVPTESTKGRDIYYILRVFYFALSQEPLGSRLTKIQPLSLNKPLKL LSDWVVQFAKEIGSSMDKPSSIH AFLA_001280 MYQIKNVAVAGATGNVGSKVLQALLGVARFKVTVLTRKEGHPFP KGVETRVVNYDSIDSLSEAVKGQDAVIDCTVSIDGDSHIHLMDAAAATGVYRFIPSEF SFDPTNKNRCSIPVFTGKCRAFEHIRQLAERGKITYTTISNGAFLDWNIKTGFMNIDL NKRTIALLNDGEVVIAWTTLDSVGKATAAALLNPRETENKALYIYSIQKSQREVADIA QEALGKDGWKITSVDMEKVFAKALDDFRTGHVSFQVIGDLIRYGNSRPDYGFPWRKDD NELLGVKALDDEEVKQLIQDIASQAV AFLA_001290 MVTYGIPNDMMKIAGAISGIIVAPIIQKGLYTCLTRRKVAFGPI ARMTVGFIVLTLSMVYTTVVQKLIYQTGPCYEAPLACPGSHDSTVPNQISVSLQIPIY FGGALAEVFCLTTGTEYAYNHAPKSMKTLVQAIWLAMAGIGTCLALAFTPLTKDPHLV TMYAILAGLLGGATVLLWVLFRHLDKSKDVAG AFLA_001300 MSVVNGSAVVSAESDSQSRLVMAPPARDAGDDTDPGALISSSYI DKNPEELPEKDAPAVVDAIRSLADSDDDSRVATEDEVCDLLHVVDKIPVRLWVACIAG ILEGFVWYGATAPLQNYLQNAPGGEVPGALGLGQAAASNIVNALIIGSYIMPVPAAVL ADSFLGRYKTMLYSAIIEAIGATILFATSLPVAIHGGAALAGVIAACVLLAVGSGAFK TTVVPFIADQYDETEFRIQTRNKGEKVVTSRELTITYIYNVFYWAINVVGFVADATPL LERYVGFWLAYLIPCCLMWLALIPVLLAAITLFEYHRPATSCHRLWLPCAVEFPGAFE WMLPSHTPNFNTVA AFLA_001310 MTPSQLDKDIYPLQWKQAIAEGPPDISGLPSIDHALYLFNTVKF HLGVKYRFFDEDTFVAHIHEFYHGNAAEKANESRLWFVQFLLVLAFGNAFLLQSRNVK DPPGSKFFVRAMALMPDHASLWKDSLLASHAITSVIFYIDLSILHTMAGHAQEIESII HMKVPSSGEEDWQNFLAPTKTLISTGIKSAAKTLQILTDEDSLLGTIPLLAFTYVRQR PSNPGPMTGNTKEAHQRYSLSQSTCLWLETRAPRLMFFITQPVT AFLA_001320 MQDAFGLDHPDYGFLLASTSVYEGTTLNLKQYIKPFVELEPAFI LRGPLRGPNCTVADVINAVDYAIPAIEIIDSRVKNWAIDLPDTLADNGSTGSVILGGT PRRLTDLTLRDTRGTLRFNDREVMSGNTANILGNPLSATAWLFNRLAAYDIEFKPGQV IFPGSCLQAVPMEEAGHWTCTFEGWGTVEFDVV AFLA_001330 MYRISWPYKVRGDSGVRLHNYQSSQTESTGVRVRKRAYTPEDKG SNAFCFPLILAMNGIKRRSRTGCLTCRARRVKCDERKPMCERCEFANVECAGYPEKRS LAVQRSMRRSTSTTTTASVSDPGHPRDATIRPQVRLDGLPLIALPNNPTSLQLPHARA RDVLAFHQFLFRTMPILFPPASLSFWRDYVCQEAWGIEYVFDAVVALGCMHRATLLLS QQTESDRDHGFDTKVIAVQMYSNALKGVSDSLACTQISMALLLAVLILFAYTEVRPII ED AFLA_001340 MDSDIKALIWCPVAAHRRLMPEERIIGFIKQLKEWKNTIGILFH KLGVDEALSEPINFDLATLANISIPPPPHANLPRDFCLILVLYVFYRTRLSWALSIYN GGESDLELETYHFTYQLLRFVTTALDSPRPSSDDLPFGCEALRVGLSPILFLAGQSCP RPTWLRWILFELDRSGREGVCNSKAFATSLEVLSTLEKRVRREPRRPDVEYFTPPHQR VISVIFPGLNGRGYVTYYAQIRPGEQIDSQESHIPLCIASWASSANGGRPVVTTCDGT DVPFSEWVMDRPLVKEWAQWLTFSEFDLTQTLHDHINGSRLLVDRDKVAGSKW AFLA_001350 MTTTALHGWHPGEISMQRKLGYTNAVKDAWPTIRNIMPEQHRVF HTSKLPFIPITTVDEDGRPWAAIVAGPTGEPGFVHSPDSQNLSIHAHLWDGDPLLDTV NAWVDPSSALSTIAQRSLAAGLGIEFSTRRRNKFAGTIQRVECQSSVDYKLHLRVTQT TGNCPKYINVRKLIPHPNTLPKVEHRYRHWEPQGRLPEEVVAFIRQADTVFVGSIYKS SPSDLHKFPPHVGMNARSGLPGFIRVSPSDGRTVVVPDYSGNRFMSTLGNIETSGVVG LTIVSFTKGDILYLTGTAKNLVGPLALEIMTRHAAITTVNVTGFVFVRDALPVRQQDG TPVERSPYSPKIKYLVEETGAKGLDSKEHKAKLREVVQVSSDLAVFKFQVISKPGAGD LRIRPGQAIVLDFMNWIGPPQYQHMKDTAPSSINDDRVRTWTVSSAHEERNATWFELI MREMKGGAVTGALFDRLRKYRSTRLGQRIVFDPPVVADIVGVTGDFFMDNDKLDALWV AGGIGITPFLAMLRALAERGSAAEGDVMLVLATREPSIMLYMMRPSLERIASTVRINI TIFTHDSEFDYGNLKSNQNICVHRDRVVPDFWRDIPRGKDVFICGPSAFGDSVADGLR AVGFSPSQIHREGFY AFLA_001360 MAAPMTVPVYHYFDLGRLGRGEVVKLFLMDAGIEIKEVRYPYDE TWGENSKKLQQQGITRTRKLPALEYQGLILSQHIPILRFFARDLGRYDGETNAEKFLV DAVSDIYIDWRFQWVANLTEKSEKYKNEVAPEYYNLLDQYYRDQAGPYLLGNSVTYVD FAVYQSIDNDERTGTLPSSLPESLIKFREAFEQRPNIAAYLKATRLG AFLA_001370 MNSLPPSILLVQVGFTLVFTGILAKLGVRQPFKVSSLPAGEVFR PGILVIIEDVVAVDGARDKAYRAALLTRYAASVRFQRLIEALNWFWGLGGCLMGVLLI AVISTVRDQTFAFGLGWVIPWIWAGVWAVITTYWVKSALREEKRSWPEGRWTNAV AFLA_001380 MVGIPGRSKGCKTCRWRKIGCDLQEPQCGQCKKSGRHCEGFDKD IAFIHRTPQGLLRKGQEQCQGPAPWETSCGANSTSTKQIPPQINNAAIYVDGMLHTFL VAFLPSSPILPLSHHSNITVPPAPWMRIAIALPRRGPLLSTALQALCMTKIARAQGDQ ALLMQGMAAHTQALRALQNATNDRNTALTDETMAAIRVLGTYELHEGTMGSVVGWTSH EEGVDQLVQLRGFNSSQYESELGQALFGEVRRSAMIRGLQFFKGSFFSETRWCTEPWG TKPKDYVQQLYDIGLLLPPILEEPHTIQSLANDPRRAQIWQSDIRPGPFEASFDFLNI HVADSLDFFWALRILLHTVLRRLSGAPEQSDAIIGACACNIARSVPYFTQPRCGLLGV QWLIFPLKTAFSAFRQMGWEIEWEWSRGVLVAMKNRGISYGGDIVDAQWGERVR AFLA_001390 MTRLPLPVPAPIDPSYQPTASIEKVPSTTPIEYILAILERDGGV ILTDLVSKDELSAIEQDLKPWKQKHRRHLDPTVDGDAFTTIPPQTTLIPGLVGKSKTI AQICEHPVLEQLRQRILRDDFVLYREGNAEPNTLDPLLSLSVSMNIGYGAPRQLLHRD DNVHNIRHTRNPFVPWSFKQASQFGCLIAGCDVTRENGGTMFVPGSHKWDDDRWARAD EVCFAEMSPGSALIFLASAFHGGGHNSVPDSVRTMHSLFFIRGHLRTEENQFLAIPRS KVREMSPKMLELLGYKKPTTALGIVDNMSPDEDVDGIWERAVQ AFLA_001400 MVSIAALHQAQRELSNSRLIGNEQFLGELDTVEGARNSPRFYEY LYHKQQALYLLRTRYHEAPYQDSYGVIASVFLFIWLACLESGRNTWAYHLNGLKEIMQ AQILSMDLAQSTSLTAAFSRFYEYFDTSYAMLVQTIFPFQPVYA AFLA_001410 MHGSLLKLALLSFSLASSAAVLPRDTGRTSAPSGCSTVGTSGDY STIGDALTALGSSTADACIYIAAGTYEEQLVINYAGHLTLYGETTDTQTYKQNTVTIT HTISSPEAGSLDNSATVNIKSDLVSVYNINIANGYGSGAQAVALVANADQLGFYACQF TGYQDTLYAKAGHQYYINSRIEGAVDYIFGDASAWFENCDIVSNGAGYITAMSRETTS DTAWYAIDHCNIKAASGVDLTGDVYLGRPWRVLARVIYQYSVLPDIINAKGWHSMADG ATPLYYEFNNTGAGSDTSDREYLSTIDAPVAKETVLGDDYKNWVDSSY AFLA_001420 MISLNSIFLLSLVGLSRAAPSRSETSPDRTIKPRAACTPTAGGS SSTDDVPAIQEAITSCGDGGTIVIPADTTYYLNSVLDFKGCSNCDFQVEGLLQFTSST DYWNGKTAMISVSDIDGLKLRSVTGSGVIDGNGQESWDKFAEDSSYKRPTLLYITGGS NIEVSGLRQKNPPNVFISVKGDTSNAQFTSLTMDATSNSDNLPKNTDAFDIGASTYVT ISSVAITNDDDCVAFKPGANYVTVENVSCTGSHGISVGSLGKSSDDTVQNVYARNITM INSSKAAGIKTYPSGGDHGLSTVKNATFEDFIVDGCDYAFQIQSCYGEDDTYCEENPG DAVLEGIVVKGFTGTTSDKEDPVVANLNCGSKGTCDVTISGFEVKAPSGDAKILCGNT PSDLGVTCSSGASG AFLA_001430 MIHNILLTGSSGYLGGTLLARLKRADLPPYGKVYALVRSEKQAR CVQEYGAEPLFCDVDDHVQITTAIVDREISVIYFLIDAYNQTRQQVMIRALGKVKERT GKTVHFVHTTGAKQFSRHGGVQHDRPLLDTDPMLYDIQKSAAPPYKWFAQGLRTNVAV IDTAEENGVRGYILAPCIVYGEGEGFGNRTSIQDVAVVKAAKKTGRVYKVDLDDPIWP VCHVSDNAALYLQILRQILLGSDIGYNKDGFFLAASGSIAWNDIYDAFAKALARRGVV DDSTVEQADETALKGMAEALGVDPSIVPFQVGGKCTFTAVHGKQIGWQPQYPPEHILE AADAEVELILKSLELDS AFLA_001440 MKVSLWLTLLGVNLSLALAVGTDFPASCQAFSPDTRAANAHREF TEYVPAGTNLSLPYNDATCARPNQVVTVDLCRVALYVETSNRSSVTTEIWLPRNWTGR FLGTGNGGIDGCIKYEDLAYGAANGFAVVGSNNGHNGTTAASFYQNSDVLADFAWRAL HLSTVIGKEITQAFYGEPHRKSYYLGCSLGGRQGINSAVEFPDDFDGIIAGSPAVDFN SLVSWRASFFPITGSANSTDFISVSTWKDLIHAEVLTQCDTLDCVNDGIIEDPSLCNF CPEALKCTDDRINNCLSPAQVEIVRKVFSPMYGEDGQLIFPAMQPGSELEAADQLYTG KPFRYSKEWFQYVVYNPSWDPAEFDIHDAKVADDLNPQNIRTWPNDLSNYEKRGGKII TFHGQQDGKITSFNTERFYNHLATAMNMSSSELDNFFRFFRISGMSHCSSGPGAWAFG QGGSPAPAMTPFNGNENILAALVAWVEHGVAPETITGTKYVDDNPELGISIRRSHCRF VIQLNHGRHELCY AFLA_001450 MNNLVFKHYHPTVTSEEMHGTRRLRRGSRHRQPSPKRQRTETPD ASTSASIAQPAAELSEAKPAQPNAPVDSPGESKKQPTPETNQRGGDEKTPNERHHVNH EQHRSIGGRTAVFQNQHQSANIKCPGYPLFWFLPERFAAYAYRSTDILSFTESPPEIP TYKEPRLGTVPVGMVLNVPDHVDESAGIRARVAFDVAVLHVSLEPPFWTIASRTGRLL NSTDYCLNPSFATEKLRLIKVREMIQTHIIELTTYRHRFLLVLRSWRKERRLWEVRLA ISQVRRGNNAIFQHPLTHEDLDRLCLWYREQQAADDRGEIDPSSFGRVTGMVNPCAKE LQPNSQGGFVNDSIVTRFEKLKEAIRGVLARISTLQAQEHEERRRQREQHAKREQGAP DRGHNGICLPGDALLVDFEFDTEDPFPSASVIDPLPTDLEHRAAHYHWHVERHGVWAP KGLFKRATYLNTFQLAGPDDLSFFDKPRAEWPRGHQGLLFEHVDYVDDFQVHPWGIPA TRFAQALRQQPRYTAAAIYSKKARMVLKSIEHWYFTNKEVAFGGIQDWPLPCGVRDGL LEVIQELQ AFLA_001460 MKGALSVIGLSRVAFLYSFPLSSRARSTLTPTIIRNMPQKRKPS QNGTRENGTNSTSDTNKRGKSDLSSPHPNSRQAEEFGIVLRQFYPPEMSNERCQAYND GTLERPMETLNRVCEETVDARLSIRPNAAVVHWFKSDLRLHDNRALRKAYEVAREHSI PLIALYILSPEDLTAHLSSPARVDLTLRTLEQLKLDLGELDIPLHMETQESRRDIPQR IIHLCQEWGANHLFANIEYEVDELRREAKLVRLCVENGIAFDVLHDTCVVPPGLLSSQ QGKQYAVYSPWFRSWQMFLMDNPEHLEVSEEPGSNPGNARKVFKDLFASEVPGAPNNK RLSDEEREHFRQLYPAGEHEGLDRLESFLEEKATAYDDMRNSLSKQTTSVLSPYFASG SLSARTAVAKAKKANKNQLDRNELGFVSWISEVAWRDFYKHVLVRWPFICMNKCFKPE FTDLEWEYNTDYFKAWCEGRTGFPIVDAAMRQMKSSAWMHNRSRMIVASFLSKDLLID WRRGERYFMEHLIDGDFASNHGGWGFGSSTGVDPQPYFRIFILTSERAIRPGRGLYTA LGTGAA AFLA_001470 MSLEKLPNEILCLLPFYIDNIETFTNAASSCRRLRDCLYTALPN TILRLAAASAPTFFSPHPHFLVAATARQVSDWALGNETRTLALREAFQGGIDSLYEFC LQHAGLTLEDIRRTHLARFSIINPLSDKIDKMAGKQWFATPNFWNGGVSEPYTIYTEA DRATFQMIIYGELFGRSMDAFLQPEKNLPYFDIRTRIDYFTYCLPDWVCSSYPGFARL ATGPYAPHLERPSEGDQVALHHILHCGRWRRMWAAAIRSVLGGDDAFTDEDEEDEHWR KRMLRNALQSQGLEGMQLVTLPVDKIDNEYVQKVRKMKQQIDQLIEPPRVEMLGKGAL TPVSFAPDPPHDVEIPCRNMWGPWTFNPDAASDTDSEEESDPDWDQPKVIEMPSRTTS SLLESRWAPRPEETKRSSSTPRGQNHTRGPNHPPPSQELARFMKIVGRLKWKLPFLAE GYRLATLPADSGVDVAHAEIMFKIDFFEYYALLERAIVHLLGVFGVTVTGAITRSQST PRLGRNRSIAAMHRYHANVLDTLERESCPLHSVLGTGNVREQLRKAKELRNRWKTADM SKEEAEKDSFHRPEESAMPLESYDFDHILSEIFVGLEDGLALAREQVARVENGTGNAL SGDSEADWDFIVDAMDWEAV AFLA_001480 MKVYQIVLSLLALGTGLHAADPNPNELPSSAISCMQQLFPSSEW NSTAYLCADDSRQTALVDCVTSNGTTKEEFITQRLTEESCNIKPRQGPPLIEGSTLAP LILATFFFTIRISAKSFNLGGGWGWDDLTIIISYVMGVAMFVLNIYIAIICACLPSSR ALLNHFFPGIFSGSTKRTYATGPSNWYAKGQSNGQSKINKSVSYTVQYSSSSQRDDSN DLNDSNDSNSVVQLVDIDRKPNY AFLA_001490 MKAVLSLTALQLLASYVTGLPLQDSSLAKNIKRQNTSSWNPPSE LVTPLNEVWEHEMSTYSDPLGFRNYGFDQVIDGKGKINYCVRWDSTQSVTQAQREQVE TAVRRSFNKWIGELAGFDGFPYETVDVNVVGWAVKDKGLLQGDTSGIEVYTTTDEEGI PQCDPGCGRFFHQDNDYSACAAGADRHYDQSLWLTDGFEGGAGGDWGQRIGQEYFMQN LDLEDIHILLHEMGHTFALDDFYDWTPTGQTSFIMLAGSAMKITEFDAWMARDWWRHL KSRYSL AFLA_001500 MNLPQVVPFVLLSTTFVFAVVELALTGHLVSYFSQGSKEYRYDP SRNGYTSQTVTVAVPPILAFILFNSVWTMLVSAATAVIPWVFRTKADTNAALNKLITI GLLVLYFVTTVFWLASFADMAARLSYTAGKSDYVNAVIAFAVLIWFLFCALTLVVVLG ICGVLKSDLPGYRALGKQDPPATAPQSTQMDQV AFLA_001510 METPKEKPSWQEIAQGVQKTRDDSIARIDPPIPSLPTALPNRVV DIPRQLLSSSEVSITETSAEDLVVCLAAGELTATAVARAFLRRAAIAQKLTNCIYELL PERALAQAERLDNYLLEHGTPAGPLHGLPISVKAHVGIAGRDNTAGFVGWVGRKNTDD AKIVKILVDAGAVVYARTTEPQGLMALETCSNITGNTVNPHNTALSAGGSSGGESALQ ALYGSPLGIGSDIGGSIRSPASNCGLYGLKPTNGRVPLIGCAAYVIGCETILGTLGPL SPTLGGIKLFMKTILAAKPWTTDPSLHQIPWRDNESHIYRDGKKKLTVGVLWDDGVVK PAPPVARALQEMMDRLKAFPSDVEVIEWKPYKQKEALEILTRLYAPDGGKAFAENLAL SGEPYLPLLAWTLRDTPGVEELSLHEVWEWTLKREMFRYSYLQEWNTLAPKMDVILCP AYPTPAPLLDTSRYWGYTSIWNLLDYPAIVFPVTKVDPTRDAKDPTYTPRNEFDSWCH NHYDAQKQQEAPVCLQLVAKRFEDEKVVQALEVIKEKIGLPFVDCLA AFLA_001520 MKMQAGTASVHEDRGLSLWVVNSIFIGLATLAVIARFVARKLKN LVLAADDWAILIALLLDWVLYGLFIASIGGCTHNFVYHPGRGYGLGRHREVVPEADIA VFLQLLYYFQIFYILAPPTVKLSLLLLYRRIFLSSRFLKIVYTIGAIVSIWAIIMTFL AIFNCKPISAFWTGQGECIPFKQFAIGYAIVNIITDLAVWLMPIPNMWKLQLPTAQKV ALTLIFVLGLIDCGAALVRLLSSMLVLGNWDVTFDYARGFMWSIIEVSLAIVCTCLPT MRVILKIIFSRSFARALGFSSLTPRRQSSSKRGWRRASQYNEIQGPWTVRPGAENQPH SDVTTGVERGDGATTVRGIRVLEEVKVELQHIKAPPDAAP AFLA_001530 MSDTFSLALIGNFPSMSEMGMQEKFSGQPSRNDDHAQHADEPQA QPEHPGTGDTCARQGAEQEKPPPNGGLQSWLNVAAAFCVFVNTWGLITTFGAFQEYYR TVLLRNESSSAISWIGSIQATLIPMVGMVTGPLVDAGYLRILILSGSFLIVFGMMMTS LATEYYQVLLAQAFCTGLGGGISYIPAMVVLSSSFTTKRAVAIGCASIGSSVGSVIFP IMFRRLQPAIGFPWAVRCIAFINLLMSIIACSILCRHPGKKTQARSLVEWKALKHPTF MLLSVSLTCVMLAYYVPIFYVATYARVKLNTETNLSFYLVSIINGASAFGRTVPYLLG SRINTIFVLISCTAASAVAMYTWIATSNTAGFIVWACYWGFLSGVLVTAPTSIVAHPV FTPDKNYLGTRMGMMWGISSFGALAGAPIAGALVDLEHKNFVRAQVFSGSLMVGAVLL QVWPTIAALRYDRNKDREAK AFLA_001540 MEASRVEWPTLRMADLNAPGNVTRNLQQRIRDHHQDSNDILQYA QDNQGSLPDEAVKVIERWRDLTQDLLDWMGAKQELHDITGDIKCDDYALTTETEPPRL ERYVQACKTAPPPAYYQSSYSSGSSPPATPSNLAQDREIAVKMWDPYVASQYRCLTPA DITSQAEKARKSTARRDGGATLASIQFVAAKHLRSGDLSLTLRSAQEADIARTHRRWV KIFESDSVIDLPLWGVVVHDMPLKWVGGLSTPAARQRVINDLLAANIFTWGGDVDVLH VRWLSSPAPGTESSAMVVEFTHPEAANCAIRHGTIWESKLLKTILYFVSQYNINWDER WRNWEIIWVYIVFNIIVATVLYYIFRVRGSMVQRR AFLA_001550 MESSGEIKEYDASEDSHQDAEIDRLVDDFLQNQTTSVSERLGDI IFKDLSVIGAGAGHQRMHDVPKTLQRLSKLANLATWSSRKPPPCRAILQRLTGTIRQG EMLMVVGRPGSGCTTVLKALANIREEYLAMEGDVWYGSMDAGTAKQARANQVAFVGED DIHFPTLSVSTTLKFALNTRRSTSDPDRAQHLQQDLQTVLELMGLAQAAHVRIGSDHI RGVSGGQRRRVSLAEALCTRASLFCFDNPTRGLDSSTAIRFLTTMRKYTTRSQCMTAM SLYQASDLAVAMFDKVLVLNDGHVAYYGPATSAKAYFESLGFYCSPKISVSDFLASMS GTPEGRTPREALDRPVPIHPADFETRFRESSLYQQTVSDAATPPQSKTVGKPKASGYA LPLYRQVYECTVRHYQIFLTDRAAWIAEAAGTIVQALLLGTLFRNQRDVTQGLYTRGS ALFFCVLIMGLQASAEFGNTFVQRPILLKQKSLRFYRPGAYALGQILADIPWKFIFIM YSLPIYWMINFQRTAGHFFTWLVCLYMGLMALSVMFRAIAVFTNSITRAILPVGLLLN VFIIYTGFYITPPGMKVWLFWIRYLDPMYYIFESVALNEIGTSSYQCSSGDIVPRGSA YNETSYQACAVSGSVAGELSLSGRLYLMAEYGFKNTHLWRNVGINAGFFVFFSVVVMI GMERFRNAAEHMSTIFYRRLPSWVSASASRSADIEEPPIVAETKDSKPSSNHDVKTIG RLETTQSVFAWQELSLQLGDDKRLLHEVSGWLQPGKMTALMGMSGAGKTTLLDTLAQR IQIGRLSGGLYLNGQTLPASMGRRTGFVHQNDIHLASSTVREALQLSACLRRPATVSW DEKMDHVEMLIQLLEMEDIAEAIIGVPGAGLNLEQRKRVSIGVELAAKPDIVLFLDEP TSGLDGNSALSIVQLMRRLSDAGQTILCTIHQPSAQMIEQFDNLLLLVPGGKTVYFGP LGSRCQKILDYFARYTRRCEETENPADYLLAVSAEPDKDWFQVCCSHPFLLPLTWRQS PEYGSTQEQLQKMLQVQEIKDSSSSESDRTYAASYLNQLRVVTQRAFTNYWRDSDYVL GKVQLNIWMGLMNGLTFLQLSNDLTGARGRMFSIFVGVITGPVLSLQIEPRFILLRDQ FLARENESRVYHWSIFTISALLVEIPFTLLGGLIYWLLWYYMVGYLTISTRAGYAFLM YELYSLFVASLAQLTASLFPTVLAAQVATGFIWLVVNTFNGPLSPPPLTPRGWRWFYN ISPLFYFIEGIGTNAMHALQITCRDSELTTFQTPAGETCASYTAEFFGLANSTGYLVD PNATGLCEYCAYADGDEYVKQYDMSYSQRGNNVGIFIGFILFNYTMAVLATYLIFIFK WRKRRSN AFLA_001560 MSDSQIDPDQFTRAGSITKDYYRDVYPTIDPTQSELSQTDKVTI VTGAGKGIGRAIARAHAQSGVKGLVLITQSAQSAEETKAIVQAEFPSVEVLALPTDIT DEQAVAQTFATIKEKFGTTVHTLINNAGVFTSVAPVAESDSTKWWKDFEVNVRGTYLM TAAYLRLIADEAADFQPTVVNFMSTISLTPPGLSSYFISKLGVAKFTEFIAAENPRVA AYTLSPGIVLTSMTLDGFKPFAKDTPELPSAVTVYLAAKRPQYLNGRHLSANWDFAEL ESRQSEFASSEKLTLGQFI AFLA_001570 MSTQPSPHQPIESAPADIPCSSRDLLRRVEPVLSQLTPDSLNEL QATLFDIFWTRYYFLMPIVSSEDLANRSDGQSEPLRQALMAYCLQSIYHAGLHNRLLS IQKGMVSSDHGENPSQSPLVAKLFVTLFQQALATNNAYLLYAEPTLADVQRHLLMAAF LLNSGEPQAAYNILGVAMRLTQSLDLQRLPRTHLPPQELETRQRIWWKLVHLDFHCSR LLGKPMAVSLNDKTITMPHPTPESSVAAPDLTYYSASISLTVAARQVAESLENHLHAV SGTVDSVNMIERYAHHLSREIKHLYEWKDRILNAKLFPNMTLACGAYQPDAVTATEDG LKHDHDARLSFHLAPAVILQRTLLELQYHDIVLWVHHSFIQFPSRGLVPQRSPQADVH ATTAIQHALTVTDLIRLRMLYHDVLYGSSEIYQYLWNAVLTLIGFMLAYPLCYWFPRA QQHVERSLQIFEAAGPVNPIASRAAHLTRYLLGRVSALMELLSSQSSAPHDRNDSRGV QTLDPVEPEKRQQQQPPLSTSEDDALWSCADIVNPNIWYGYCHEINDMLMDVPEISLG TELFTS AFLA_001580 MPPLIPYHVSAGSNPVVKFGGALVTEFLEPPPGRCFCFRQRYAL KPPIDEGDPDYERINEALNHPSGPPVHFHPFQNEYFRVEQGRMCLEVDGQTRILTPED GEVQGRAGCIHRFYVAPDSTEDMVIILSASDPGMDYQLDRVFFENWYGLWHDYLVHEG KMDFIQLLCTYDAGDAYLLPPAWLPDWLRKKIGYWGGIIVGRWIGGLLGYRPFFKEYT TDWEYAVKKMESSFFTRRLVGRSFSSALSWAQLEDCARAWGDGGGTDRFVKPHDKLLD AFLA_001590 MSSDINLGIGGMAAALALGLRGHHVTILESAPKLMEVGAGIQAS PNMLRLFDRWGVSSMVHAKDIALESITIRRWEDGAVLSTMPVNKAYGQQTVVHRADLH NALITKALALENVRLKVNSRVTGIKSYHPAAVALMDGTVVTGDVVVAADGIKSVLRGE MLGSLAMQPIPTGDAAYRIMLSREVMEQDPELKALLDSPSATRWIGPHRHVIAYPVRN HDLLNIVLLHPDDHEAEESWTTTASKQTMLRQYHGWDPRLLKLLDLVKEDEVLEWKLC SYPSLNLWTKGHIALLGDACHPMLPYVAQGAAQAVEDAAALAILLSIIPSRHAIPEAL RAYEMSRKPRAEAIQQSGMANRTILHLPDGPEQEARDKQFLASRSSAANPDKWADAET QKLLWGWDAEEHALATWSENMRPLHLESSL AFLA_001600 MTQSTSFHLTSYLSAGPDPFHKPTPNAPHILVIGAGVTGLTNAW HLLDAGYKVTIVSKEWASYGRTQRLTSQIAGALWELPPTQCGGVRLTDQELTEADLKT AQRWALESYAIYAKLAANTELARAFGVRMPLCASFHTYHVKDDEPTHAKMEVARKLAP GRFHWGMELAGKYGVDVSSNGGMKDAYEHPAPVIDTDVAMAFLMRLVRSKGARMQTDS IVGDLRDQESHLLRMYRADAIVNATGLGARETASDLGVHSLRGGILRVINDGSDFPKI ESSIIVAADEDAEGKYIDIAFVVPRSDNILVLGSIEQAHEMDLDLTPDSPVIKAMRKR CEDLVPVLKNARLDPQYPFAQGLRPYRNSKIRVEREGRKTLGGQDSRIIHCYGHGGAG WLLAFGTSKACMELVEGVVRKPSSRL AFLA_001610 MAGGIFTGANPMSVPRELAYQLEDSGATYIICARASLDTAIEAA RLVDLSRDKVFVFDNTLCDGHGVGERPVVTGAS AFLA_001620 MAQMIMIAATLALNTPIYIMPKFNFIQMLGYTQKYRITDYVVVP PIVVALAKHPAVKKFDLSSVEDIGCGAAPLGKKVSEQLQALWPPGKVNIRQGYGMTET TCSMVNWDPREKGFSAAVGELNANCEAKIMAEDGVTELLERNQRGELWLRGQNVMKGY WRNPEATKATKTEDGWLKTGDVAFVDDHGKFYVVDRLKELIKVKGNQVAPAELEALLL EHAAIADAAVIGITTDNDEGPRAYVVLKPGQVASAKDIVQFIEGKVSPIKRITGGVVF IDVIPKNREDSA AFLA_001630 MPEAPPRRRKRGRRSMTGCRTCRARRIKCDEAPTKCNNCTSTGL KCEGYDLCRLPIKRKSPLGRIPEVELCLAWVPTADERRCFSYFQYRSIPSLAGFFDSQ LWQQLALQMSRADPAVYHAANALSALHEDSETTKLLLVGENLRRPLHRFALEQASRSF ALLNRRCASQDPERVEIVLICCLLFVLAELLLGQYTRALQHLRGGLRILKEAQNTQKQ CIPPCVIQAFRQLDVQSAHFGSGPLLFANDGLKELSDEDFRRPLHRLEDVRHNMSSLL HAGVHFLANRWRLSSTEVQTNYGKLCLKQQRILSLYTRFRHQFETFYDRYYPSLSYRA QQSADIVRLQYRSQLVAVKTCLMKKPVPNDLIPEYEALLLAHEAYMAKFSERPTITLD LGIIPGLHTVAVNCPRYPLRLRAIKALLAWPHYEGIISSTFAASIAIVSLKAELEAKD QKGTSCVIGEAEEKLARYLFDTVTSTQNIPNWTTVRASRFLEHYKETKPSPVPESNQK SDTHRQKKLVYR AFLA_001640 MSPSPRSLLAPLLLATCVSAESVAISRAETALTTLQTWYNSSTG MWNTCGWWNGANCMTVLADLALVDDSESVNNTVKEVFANTFSVGPVSNPYPDRNNDSY YSSAVQSKRSVDATQWLDGSYDDDAWWGLAWIAAYDVTGIEDYLDLAAGIFKHLSQAW PSKCGNGGIDSDFQHIYVNAITNELFFSLAAHLANRASDRDYYVDWARRQWTWFKDSG MINVNNTINDGLSSDCKNNGGTIWSYNQAVVLGGLAELDRAVSNESYVDAAAKLANAS IAYFADDNDIIHESCEPDSCDSNETQFKGIFIRNLKLLHSVAPNDVYAKVINASANSI WQNDRNAQNQLGVDWAGPVSQVDASTHSSAMDALVAAIGI AFLA_001650 MVADTDAVAQINPSQEGQDGTVSSYQVIPNNSDRQPNDHGHDEE SALLSSPTANGERKVELSTSVGTIVAVLILGEFISNADSTLVMAATAKVSSEFNKLQD ASWLSTGYTLGVCAAQPMYGKLSDIYGRKALLLVAYTLFGLGCVVSGIGRDLWTVIIG RAVSGIGGAGIMTLGSVIITGMRSRKTLLIMGPCSRNRLFLLQIPFIVVGGLLVIAKL NITYHATSKASIRRVDFLGAGLLGASVVAIIMLLDRGGHAFPWISLPSFLLGGIGIAL LILFVWAERVAAEPIFDLRILARPNVASSYMVGFLQITSQLGMLFSVPLYFQVTQRAS ATVAGGHLVPAVVGNTVGGLLAGTFIRRTGRYKALLVIGGLVAAITHVLMLIRWNGHT NFGESLYIIPGGMGTGIASASAFVAMTALLEPQDMAMATGGYMLIVSFAMTTGITMTN TVLGLGFKHQLEQNLHGKGAEKIIRRATSDTNYIAKLEGNIWEIVVGCFVAGLKNTYG LCNSWMVNGTTACTLRYKQRMSVSQGSVLYRKWK AFLA_001660 MTPVRTKTSHSLQDSRPKTDYERWLEEKDEHYQIAEDPDYHPPI PGIHGQNPILHHVPDNSPPETVHSTKPDASNLQTHGFHGEFLHGLNARRPSLLNGAVT DVLREPCVWQLASVFVVIVAILHAGKSLRKRRNSVRAASSPASTQPIAPALDEKELV AFLA_001670 MSSTTTSVTPFLMFEGDAETALNFYVQTIPGSNIMSITRYGPGE AGTEGKVSMARANIGGSLEVMAIDSYVKHAFKFTPSLSLFVKFTDEHGDDVIDRVVGA LSEGGEVLMPLDNYGFSRRFAWVNDRFGVSWQLSLE AFLA_001680 MLWWLCVALLLVHHSLAGCPAVVLPQPDIWPDGKFLYPNGAPQC FTQGSIMNISWETKYKTTNLYLVHGANYDDPVALTLNTAATWHEWRVGYPTRDDTKPF VLRLVNAQGTPEDMAVGGIWSARFWIGWNQDTSSSSTLAPTPTPTEPATVTDASRSHT TTSASPTNSPQSNSGSSSHGKAIGIGIGVGLGVPLCGAVILALLFLRRRRNLPESVVH GGPFAPPASDKSYTNSTVPEDMCLEGKQVYELCGESSRTEADSSHVRYELA AFLA_001690 MTLSRFRNVPLIVAPLVVFLLLNYFFWFRKDTHDAGEEFLQPQN NTNVDPGSNSSGCPKLPGIHDVLVVLKTGATEALEKVPVHFNTTLKCIPNFVLFSDFE EQIAGVQALDVLRSVDEDIKQTKAEFDLYNRLRDAGRAGLTAEDINDDPSTPSGKPDN RGWLLDKWKFLPMINETLNIRADAKWYVFIEADTYVVWPNLLSWLEKFDPSQPYYMGS GVRILDVVFGHGGSGLVLSQAAMHKVSDYRAPRVKEYDDFTAGQWAGDCVLGKTLKDA GVGLFFANPMLQGDTPWTFSHYGPNNNDHHWCTPVVTYHHMTPDDIRETWAFEQSWWA NTQSHTLLHADVFEEFVRPQIEQMKENWDNASSDENIEGSNSREDCQAQCEKDRECHQ YSYETGKCLTSKVARRGSSKAGIGSGWMPERINKTMESLGHCRKVRWITPKS AFLA_001700 MITNTPLPQQACCGETNQIQLYKKNCFRFCSTYGNQSNVTSCLE DRGVKKVAEFHNDKGGQSMAPHQLSKPALGLIFMVLMGYLMGPLGEALLVA AFLA_001710 MSLPTAKLGKSGPEVPRLGLGLMGLSAFYGTIKPDSERLAFLDT AYELGETFWDSADMYGDNEDLIGKWFQANPSKREHIFLATKFANRRRPDGSFFVDSSP DYVHQACAKSLARLGINTIDLYYCHRLDRTTPIEKTVQAMAQLKAEGKIRFLGLSECS AESLRRAHAVHPIAAVQMEYSPFSLDIESPQYRLLETARELGVVVVAYSPLGRGLLSG EITSPDQFEENDFRRFAPRFSRENFAKNLELVRVIRCLAERRGVTPSQLTLAWLMAQG VDIFPIPGTTRVERLKENLGSLRITLSEEEERQFREACSTVEIVGSRYPEAISATLFA DTPPL AFLA_001720 MLIPLRRAYPPLRSVGYSWAGRLSPEVINASVVAQFWSTTHVED EPVSK AFLA_001730 MNRIPRSRNIVHNIFSQGYRSRPLPRSPLLKSLASQPTATISSA GHISRPLTPQLRTRLPPTSANMSSRVPIEPQRVDAPLTQSATFLVVSVKDSSDAIKTV RSTLASVDDLSKNVSIRDLAAQFACTVGIGSNIWDRLTGLPRPAELHPFREIKGEKHT AVSTPGDLLFHIRSDRRDLCFEFERQLMDLLGDSVTVIDQTVGFRYFDVRDLLGFVDG TANPVGPSVSDTILVAEEDTSGTGGSYIVVQKYVHDLQAWKSLSSEKQEAIIGRTKWE NIELDDADEGQQQSHKSLATIEENGEEHEILRDNMPFGNPSSGEFGTYFIGYTRRLWV IEKMLERMFIGNPPGLHDRLLDFSKPLTGVTFYAPPASVLAGLEDD AFLA_001740 MAIPSKGPAQHDREIFPNRQPKSDGPAIDNNDVARQQEYIVSQE ERQNLSRGLSQRHVQMIAIAGAIGTGLFLGLGGAIATGGPLGALLGYIFVGLIVCCIQ YALGEVSALMPVTGSFVRHAELLVDPALAFAIGWNVVYGSFLAVPSEISAAVVLIQYW TDINAAVWVTILIIVSATVAITLVRVYGEIEFFFALLKLLLVVFVVILGLVIDLGGIP GKPRLGFHYWKEGLFVEYIATGAWGRFLGFWAVMTNAVYSFSGVESLAMAAAETKNPR HNIPKACRRVFVRVTVFYLAAVLVVGMLVSSSDPRLQDDSGTAAQSPFVIAASDAGIK AIPSVVNAICITSAWSASNQSMLAGTRTLYGLAVKGHAPKVFLKTSSWGVPYMCVAAQ TAVSFLAYMCVSNSALTVFYWLLDLTAAGVLVSWIAIAFNHIRLLQALKAQGIPTAEL PWHNRITYFSSWFAFLACILILFTGGFAVFTAGNWDPASFVSSYLDIPLVLLAYGLYK YIRGTKIIPLTEVPVHQALEEARNDPENVPIKPAKGWKRLNVLWA AFLA_001750 MLFIKAIALTGLAVSQVSAHGLITRVKGHNGIDMPGLTIQDGIP RDCPSGACGAQKDTAIIRDAEFGSVKASPLGRTLGAGPVNPAIVINNFMGAGTHKRSR VPASHRRRQLINDAAGVITNAGGAILNGVQDLADMTPVGGVIKGVQSTIDDAMAILPG TESGAVTGKGVKENGMQLYAGKGANIGLPTASPDGVVTMIYHQVNQDGAGPLSAEIDP SSGGTDPKAFKSARVIQNIPGVAGFSTSSAMDYAVKVQVPQGMKCTGTVGAAKNVCIV RVRNNAISGPFGGSGAFTQ AFLA_001760 MAYNPQRAPPRAPRRRRPPQPPRYPGSHIVTLFTGNQELWFVQV KLDPGVVPSFEEYENAVKQGKAELTLQDNRKKDKEGQMPPPDGIEKLLDGIGEARLKS QRLPHIQPALCPR AFLA_001770 MESCKLTSSVLLRVLKGVAAATLLDESSYERLVQCFACGDRVAE GADSHTGNDVAHGRPVGDWLAMVPDISCEDKEKQLLVQHLAELVLAIALLRESGRRTQ NPSLAAVSDADLAIVWSMIRGALLSDLFPDSKIRASRSAQGFLSVPLCSIVQNGNIEE LFRLHVWLPDGQRGTPDFAVHSHQPFGQSWILAGAGVDHSFDVHPTTDGTAATHAEYK LVWQDAKGTDKTYKTHQISSTIENTGNLVQVTAKDSKLHVRNMSYAIPAAAFHYTEVA PDTLHATLFFFDASRGFVKDAPVLGPKDLDSSTQQRDPGGVTPAVLATMVDAVRSWET LMEEGDQHAQRAEWEHALRSFSHALSLCGPAGNLPASGNYRHIVLGKLGYTNRRFGRY EKAEEYLQSALDGLGSTSFHVELRGEMGVVYRHMNRLDDAKREFEIQYNMAVELNLEY AMCRSIGNLAMVNYQLSRDLLPLAIDQLKERVRLARSIRASPGSGEKAQAIIWETVGL SRLSLCYTACGFANDAIATSLESMKVALSTKDPTVVAMSRLFYGRALYLNGQREEALQ QFNPTGTCTPAMALCKEPSDEHLGYLRELVEAGADMDLIDEQGYSALDYAVFCGDMQT EEVVLDGLRRQFGKQANDKLLQRQREARVRKCYRELFQESLRPVLLESRDEVSQLQHL RRVYAASLAADEEKIKIFDGLKFVWYRDFLRNGRLPRSNHGLTQNYRDIEPECAPEYI VFISYRWINGDPACLASPDDTNHTQYHRMITAIEAFLEAHGSLNPERLGIWLDWACID QDNPLPGIAALPLNLAQCDAVISLLDNSYHSRAWCCVEVMIIQILRRSYQLHSWYQHT KIENSEQWAIEEGPLEFESSVAGKQLSSEQDRPMILFLERQARLLGRD AFLA_001780 MVCPITIVRIFPFPIYDRRELDESERIEYINAIYCLRERPSYLP NEEFPGVRDRLDDFVAYWNWVLDAYTLFDSPTLNGNPTSLSGNGAFEADEVLSCNSQN TECLPRGTGNGCVKSGPFANFQVHLAPINASLAQPYSRPPSYAFDYKPHCLTRSLNPF IMAVFNNDTVGDRLLQANNITEFLRVMEPSGFDDMGAHGGGHHSIGGDMQNLFISPQD PIFMLHHAMIDRIWGIWQQQDPPNRRNALNGTTIIYDPPDAPLVTLDTVMEFGVLDST RKVGEVMHPMDYEYCYRYT AFLA_001790 MSTDEGHIGHGASLETKNSDVYRENRPLMVTEEEAFARARNSPE EALPLCITFSHNDRENPRCWPKWRKWYITIFVSMLNVITTWCAGSISSGATAIQSEFG VSEEVTTLCLSLYVLGYAVGPVLLAPLSEYFGRQPVYVVSWFLLFIFQLPIALAPNIG TIIVCRFIAGFAGGAPLTNTGGSISDLWERNHSGGPMAIYGLSSTFGPPMALVVSGYM ALDLGWRWIFWNMMAISGGWWVLLVLTIPETRHTIILQRKAKRVRELMRKENLKSAET VTDASASGRKGLDELFKITLTRPFRFLFTEPITTFSAIYNGFLYGLVYLFNEAFPLVF GPGKGHGFNVGQQGLSFLGMAIGPIIAFCFYPLQERYYLRRVKEHDGKGVPEARMWMA RLGAIFIPISLFWFGWTSYRSVHWIVPIIASAFFGAGIYIVILSILNYVVDSYQTYSA SALAGVILVRNLVGAGFPLFATQMYERLGYEWASSLLGFLAILLVPIPFIFFYMGRAI RLRSPWAREHFEQNEDNPH AFLA_001800 MECGQRRTDSGQSGMSLEPDYNPDFNLGMRTEVQQLYRSDDRSP WNDSPPDKSVVNLEAGSYAQECALIIRREPHPITKQVALHSITIQSPLIKKVLDNTFK GFDGINTHLKQLTFKTPFHSFYYRWHRFEKLYEDEQDEEVRDHLDLLYPIVREEVMPH IETMNDLTMNRVITFDYLWTIFAPGMEVYTNIDGQDRFMELIDSRYGANMGGEFFTLD CRYIDCNGSSFGYVSNSVDIDKFEGAIKLTDLDVFPSHLHPDVERLVDRLHARVAEEM RQPLYIMSASELGETAVEVEEALEQVLELTSKWNAILLLDECDMFLEARSTSDIRRNR LVSRHLDTKIRDKDLKALAKLELNGRQIKNIVKTARLLCKQERVPLAMEHIQMVLQVK KGSLL AFLA_001810 MSIPEQCTVLVVGGGPAGSYAAAALAREGIDTVLLEADVHPRYH IGENTDFIAAGGPNHFSWNVIRSEADELLFRHAAHSGAKTFEAVKVNSVTFTPSPTLD TGPGRPVSASYTQKSDGATGVVGFKYIIDASGRAGLLNTKYLKNRKYNQGPKNVAVWA YWKATGKYGEGTPRENSPYFEALTDESGWAWFIPLHDGTHSVGVVMDQGMMSQKKAVS ELSANARYLSWLKLAPRLNGYLTGGKMVTDLKTASDFSYDSSSYAFPYARVAGDAGCF IDPFFSSGVHLAITGGLSAATTICAAIRGDCDEVAAARWHSTKVKEGYSHWLVIVLSA YKQMSNQAEPVLSDVGEDNFDRAFNFFKPIIQGTSDVSTKLTQAEFSHTLNFVLKAFD RKIGGDLTSEEEEKIYEDIRKTQSQNMSNIESFTMDIIDGRLPRLLRGKLGLESVARM DEDTKHCPTATVSSVMGDTATLA AFLA_001820 MYSARITGTDAASARKFWSEAEKKPALAYRTNPKAVASAVLAWP GWVATVVSTVVLFMSKKHDDQYGAKSNYGRNLENGENIPETEAKPMTM AFLA_001830 MTISNKEKPVFVLVPGASQNPAHYAHLLHLLQSAGYGATTGLLP SIGAQGEVTAADDADYVRNRLILPVLDIGNRDVILISHSYSGMPASAAARGLGPADRA AEGKTTSVVGQIFIATILPRGGLSVIDSFGGHLPPHMYIDQEHNLLKCDDPKPPLFYD VEPNLADAATQTSLSQGLISFSSPCPEASWDTEAFRDRVAYIHTLKDHAVPYEAQVAM VQATGVKWITREVTAGHSVQLSAPEELTKIILELAEQFHST AFLA_001840 MLISSVSLLLASAGLSQALPHAGTKRAESDGLNWAPCNLDLPEG LEPASTVPVDCATLEVPLDYTNPDSKPLDLQLVKISASREPVKGSIIFNPGGPGASGI DELYLQGKGEVYRDLFGGHWNVVGFDARGTGHTIPFACDVPRPGIKRSLSRRNNETLP QADMYSLLKRKAWNDAKVLVDACYEDQQETGRFLGTTFVARDMLKIVDALNEDGKLRF WGRSYSTILGQTFAAMFPDRIDRMLLDSVVLNDDYHAGHWITATKAAEDSLYHFFTEC INAGPTDCPVIANFTGPATTPDALMKEMRTAFQELVDNPVTLPDEYEPLPWWQPGGID LLTEFKYTVFSLLYRPEQYPTLYAYILTALTRNYDVVINPSQAATVPPTWNQGPNNFH GIACADAHFRADKPEDMYSLVQSQAVTGSFADGFSPQVWPCAQWKFKAAEQFEGPYHG INTSYPILFINSPYDPVTPLSNAWEASAHYLGSRVVVHEGHGHGFMNHPSSCSQNIVK EYFDEGKLPEVNTRCKPDMTGFEYAKLLVAAASGNSTVARRGLGSNQMRRSGQGMFGH QI AFLA_001850 MSPLTDEQLSAAAGACLELQKTGQDIHSKRPFAALLLAPDNTTV LMSSLSLSHVRHAEAELARNAADNYARDYLAETTFISTWEPCAMCAGTIYWANIGRLV YLASEKALQGVIGEGNIENLTLDLPCRTVFANGQTEVEVIGPVSGWEEKVVEDSKRYW GKGK AFLA_001860 MYLLQPLLTFLLLTLTTSTPIPTLTHDATAVINDLTKITTDLSA LAKSIVAYNGGIPAALDIQVKEMAVERDLDQATRDTTAATPFTVSESATTTSALLGLE PDIRTALVTLVQKNKATAVDKDTLATKTTELDAGFESAIKAYS AFLA_001870 MDAKHSSPETPDTEKDRPVVDLEEIGEREGYMLYTPRNKNQNLK TTDDGQTVLIPQPTNFPHDPLNWSPFRKNLILFVISATAFLPDYGSATGAVTLLQQAK QWNMTEDHVNHSQAGNIFMLGVGGPIVVALSAYFGRLPVLFYFVLMALATAIWCTAAQ SFESFMAARILNGFFSTVAQGGGLMFIKDMFFLHEQARKINIWSGSIILSPYLGPMFA AFITNTQVWQWAFGLYSIETGLCLIAIILFVEETYYDRKNIQPDLIPNAPRWKRMLGI QQRRSGYMVNTPKDAIMRPITVIMKPVVFLSTIYYMLTFAWVVGVNTTLSIFVGPLYG FGPKQIGFFYFTPVVAAILGELTGHWLHDYLAKLSTNRNQGRLEPEARLLAIWFATPF ILAGIILLGFALEGAYHYMLTALGWGFYVFGVMIVTVAINAYVLDSYPEASGEVAAWV CFGRTTGGFIVSYFMVEWAGKQGARRQFGTMAGIVAGAVLIVLVLQVRGKELRGWAGP VRFKTG AFLA_001880 MGDTTTPSNCENRQWVIKVAAWPLFSVCTVLVALRIWTRARVIR PLGWDDAFIVLSMVGFSMIKECACSDSAASAFSDFALAIYPLRTIAGLQMPRKVKIGL SCVLSLGIVAMAAAIVKTINLSSLTERAYLTWDTVDLSIWTSIEQYLIILAACIPTMT PLVNILLHKRPSKRNTARARTHPGNPYGRGQGYAQFGGRSLDYALGTYGDAWATARRD KGDGDSEDPIMNEETSQGIMKTTEIHIQSDVDVDQRGFEPLCRRTF AFLA_001890 MDPRAGCRAICIGAFVLVSSVGAIVYLGLDPADVKCCHSSSELN PWALFIMKLVNRLLASFLSVSTVLQSCWAQSGTPVAYTDTETGITFDTWSVPAGTGTG GLVFGVALPGSALTTDATEFIGYLQCASQNASSAGWCGISLGGGMNNNLLFLAYPYED TVLTSLRFGSGYSMPGVYTGNANVTQISSSINATHFTLLFRCENCLTWDQNGQTGNAT TSKGRLVLGWAQSTESPSNPSCPDNISLVQHDNQGIISATLDENAASVSYEDWVKLAN KTVPGDCSGDGGGGTEPTPVPVPDGATYDYIVVGGGAGGIPVADRLSEAGHSVLLIEK GPPSSGRWGGTMKPSWLDDTNLTRFDVPGLCNQIWVDSNGIACSDTDQMAGCVLGGGT AVNAGLWWRPNPVDWDYNFPEGWQSSDMQAPADRVFSRIPGTTTPSTDGKLYYQQGAD ILFNGLQSAGWSSVTLNDVPAQKTKTFGHAPFMFSGGERGGPMGTYLVSASERDNFAR WSNTTVKRVVREGGRITGVEVEATLNGGYAGTVNVTANTGRVILSAGTFGTPKVLMRS GIGPKDQLSIVKNSTDGETMIAESEWIELPVGENLVDHVNVSVKRTGEVAIMLIRCLQ TDVVVTHPDVVFYDFKAAYKTPIESDATSYLNDRTGIFAQAAPNIGPIIFDEVTGSDG IKRQIQWTARVEGGHDTPDGHAMTISQYLGRGSTSRGRMTITAGLDTVVSTLPFLRDE SDVNAVIQGIQNLKMALNGTGFTWNYPSRNTSIAEFVKTMPITAGTRRANHWMGTCKI GTDDGRTGGSAVVDLNTKVYGTDNLFVVDASIFPGMITTNPSAYIVTVAEHAAEKILA L AFLA_001900 MMSGMMASNTSFYVVTGAEIHWRQATSFHADAAAMRTLLTGLAG FLIVEGILLTVNWFVAGFIHRVTGGILHVLAWPIRALVERLRPCFHRVYGSVRRQPLP DPEIYEQIAVDDYLDDKSDDGESDHLLHTGTATGSTAPTERRRTDTPMRRFVVLGLFA LFLLLRFLRPWAPAYLYLSGTLPLTPFFEGGHRKSPVDTTALPGDYGWLEERSSLHPA PGWDWMPQKGLPGFSDWDKTDRFALHYTPSMDPLHISNRDNPVLDSIRPVLEDNSVKI KHVIMLKLESTRGDVFPLKKDSFMWNRIAESHKDKQIPPEIQDRLSNLTRTAEWLTGF DAGFEHTDSLHADRKAYGGISARNAHTTGTYTLKSLVGTLCGVTPLVTDFNREYKHHI YQPCLPHVFNALSQQHDITNQTDDFTAWPWHSVWMQSVTETYDNQDKLTPALGYHDKI TKETLEEPSSKHFPVKSEEINYYGYADTELRDYIRDAIDDAEASHTRLFLTHLTGTTH HPWGMPHDEYEEFMGSSWTGHNNDVNRYLNTIGFGDNWIAEIISILEEKGIADETLIV MAGDHGLSLPNDGGVTPYDNPHVGSFHVPIVLAHPKLPPVEVSTPVNSNQIVPTILDL LIESRSLSEGSGRAARDILSLYEGQSMLRPLVQEEDGKQNWQFTVMNTGGTWLAVRSA ARPAFRLVIPLVDDLEWRFTDLEKDPIELKPIKHFSLMDMANELDQKYGQDVVDWLRD AAHVTEWWVLDNWHRYRYIPQIKISKDGKISKGKDSGDKGSDDKDSDDTDSDDSEDSK R AFLA_001910 MKLANTLHALRQRWVPVFHKLRSSPGEAFDLFWEFARRYFFSLA FISLFGAKFLHLFAHLHSLPVSKFLLWGVTFFFQDVMILLLFRTLAQRVPWRSLAVVG AIIVIPFRCVCPDG AFLA_001920 MAGFQAGRPVGNHDYTSATVVIIGAGISGLCMAIDVIKRSQCRN IVILEKGNQVGGTWTDNRYPGCACDIWSTLYSFSFEQKTDWTREHPGQEEIRDYLIHV AEKYGLYKFIRFNTTVQEARWDDKQLKWKVSVATSGAKEPQFHDSYDITTDFLVSAVG QLNVPSWPSIPGLDDFTGKLMHSARWDWTYDFTGKRVAIIGNGATCAQIVPELAKSVS QVTVYQRTPNWVIPRYDTSVSSLQRFLLSYVPPIRWCKRALMMQAREFSHDAIAKSNS ALAGYIRKISIATMKSQLCDKPELWDKLIPDYSPGCKRLIPSDDYFPALNKKNVHLET RPIQRVTESGIETVDGALQEYDLIVAATGFRSVEFMHPIQVYGRNGRPVSDVWKDGAA AYYGVTVEDLPNFGMLYGPNTNLGHNSIILMAEAQSRYLSTLIGEVVRAKARSDSLVF QPRSDVVAAFNERLQEELERSTFADPQCHSWYKLENGRITNNWPGRVVQYQKELSRVQ WEDYTIEGTGKSMMERKKTTNIGRVQEELPVRSSTLVLGALGVALAVGGYYMNGPKAL QRRR AFLA_001930 MDVVSSPFPSVDIPDVDLWSFLFERPDRPYPADKVLFTAADTER CLTYTQVRQRALTFGHSLCRKWEWQKGDVLVVFTDNSIDVPPIVWGTLAIGGVVCPVN PNYRAEELLHPLQDTKARALVTQRARAPVAFEAAKRANIPRDRVLLLDELTEDDFHDG RMNGPHSAPIDRPAEDLAFLVYSSGTTGLPKAVMLSHRNMIANLLQTASVDNGVLAWN GGLHGEGDSTLALLPFFHIYGITYLLNHTVYLGLSTFVMPRFQFDTFCATIQKHRITY AYVVPPIILELVSNPRITEYDLSSLRMMLSAAAPLAVELIQTLHQKLKLSVRQAYGMS ECAPCTHMQTWNETHTHLGSVGRLLPNMTAKYAPVEGETGRSKELWVKGPNVFLGYLN NPKANSESFSEDGYYKTGDVGHEDENGHFYITDRVKELIKYNGFQVAPAELEAIALGH PAITDVAVTGIKDGQSGTELPRAYVVVAPGYEGNQSTADAISQYVSDQVINYKRLRGG VRFVQAIPRNPSGKILRRELKKLDRVAKL AFLA_001940 MITMIGVGVQYKGGTSIYATQHTNIYHGFTAVTNIVFAYCAHVA FFGLIAEMEEPKDFTKALCLLQGFEIVLYVTAATVIYYYVGTGVASPALGSAGPVLKK VAYGVAIPTIIGAGVVNGHVGLKYIYVRIFRKSGRMAKRDFVSVGSWVAIGVTCWIIA WIIGEGIPSFTNIVSLISSLFASWFTYGLSGVYWLHMNWGYWFSSPRKIALTILNFLI VGIGGTICGLGLYASGKAIHDDSSRASFTCANNAD AFLA_001950 MARLLNKVALITGSSSGMGRAIALRYAKEGAHIVCADLSLTARS LVPEEAEITTHDAIIQAGGRAIYVQTDVSEAQQFERAVQIAVSEFGRLDILVNNAGIA TDTRNPTRVHETDEHAWDTMLRVNTTSVFLGCKYGIAQMLKQEPHSSGDRGWVINLAS IWGLVGGLGSPAYCASKGAVVNLTRQMALDYGPDRIHVNAICPGFIWTAMTRDLEEAS PHAIESLHQKHPLKGFGYPDDVARMAVVLASDDASLVTGVALPVDGGYTAQ AFLA_001960 MPLYLLTWKIAPCLAFGCTAVAKPSELTSVTAYLLSAVFQDVGL PPGVINLVYGAGNPTGSALVRHPLIKGISFTGGTATGRQIRRDTVDDIGKHLSLELGG KNPTLVFDDVDMDKAVATAAAAAFENQGEICLCGSRIYIQRRIWSEFVSRFVSYVKEH YELGNTVGAVVSLSHYNKIRSYLSLVAEDPISVFHLGSIPPENPEGGFWIEPAVLTVS ESSPLLTDEIFGPVVTLTPFDTEEEAIQKANNSQYGLASILLTKDGARMRRVGERLEA GLVWVNCWLVRELGTPFGGMKASGIGREGGEYSREVFTSVRTLHIPQV AFLA_001970 MPPSLPDLSSYPSNSTDSPWLSLRPNTKNPEDTDMYVGDHFFRT VNRNCYDVNTRLAEMDAAGTDIQVLSTIPILFFYDQPAEPVTILARHLNNHIAALCAQ HPARFLGLATVPLQDVPAAIAELHRAKNELHLHGVEIGTTIDGMTLDDPQLDPFWQAC EELEMPIFIHPLGYTWPKENPKLWSKYWSSWLVGMPSETALALHLLICSGTLLRFPRL RLCFAHAGGSFPALLGRIQHGYDCRPDLVATDAGGVTPMEHATVRDNIWIDSLTHDVD LLEFLVKKVGAHRIVMGSDYPFPLGEVPEAGRMIARDKRLEKFLSWKQRADMLAGNAL RFLNLDADEKWRDLVEMRLRASEKRHGSKHYLS AFLA_001980 MGCRGTTTLHFYYSRSIDANTYCSKQILNVWRRGKDINDPRALW GDELEYVMVQFDPSQSRATAVLDQENVLSRWNDQVSSKLGETLKDEYMDLQPEWTNFS VESTPSKPYTDETRDLLNVERNMKRRRALIKDLLGPTQYPLTIAHFPRLGTKGQFTTP HYHTSQRDVHHRMIPPQVEAPGLRYVYTNQQVLSRRQRPFRLHAPIYRDRNTPRPFID EDCLAEGALLRKDNVYLEGQAFGVACCALQITVQAANEPDVRWLHDQLVVLGPIMLAL TAASPIYKGYLANTDSRWDYLAASFDIRTQEEECKFLGTPKQCCSSPLMRWSSNRVYL SHERPAGIANACGQVQVDESARRQLLDGGMDETIASYFSNLLWHDPLWLNQDAIELSS PDTTHIFEKFQHGVWRHVHLKMPEASTGSGWRVEFRPMEVQPKDSENAAFAVFMLLLS RAILAYRLNFYIPIDLVTESMQRAQKLDAVTKERIWFRGRDWAPDGLDSIQCNPQHGC AQSQKEDVYALMTIDEIINGEHSMSPARFPGLVPIVRSYLLERDLLPNEEAKLMQYLN LISCRASGSLQTPARWMRDFVAKHEDYQHDSVVSERICYDMLREVVNMNEAD AFLA_001990 MGQAESYEGNINCPVNRYRYSPLPQGCIRLLRLLPSEKSDSRLQ GHLFNYPLGLLAAKTHLYEALSYVWGDLNNSRLISLGNYDFPVTKNLHTALLYFRDQY IERIIWVDAVCINQEDLNEKGEQVRRMAEIYSKASRVIVWLGHMENHSNEALEAIRIA VLQEVASARQILIKGGDTEMDGHVFCTGLTSKSFEYLYKDFPDLHTVVRPVIFLMSES LFRPKVVERTSNEFSLNIRPLGELLDMYHTRKATKQHDKLFALIGMSSDASIPTDLLP DYSTPWARLFERLIRYLLGGDVAIRTCDASERVLISGSGYILGKVLSVTSSQHEDGQD VGLATRDIDGSFGPETQWRLPAIAKPVQVGDLICQLRGAAKPTIIRLCHDHFSIILIA PSLELKQPVSDTSLVFFLSWDWQDAYGKLSNLDDAQRPVDYSLPWHWGNQCAACSSEL HELWCTGLILDEAEEYRLAENKLQQVLSGYEETIGAEDPRTLVCMDKLALIHKKLGLL NDEEPNMVPEELRGLRLSELSSLNDWTLRLKFKQKGSF AFLA_002000 MTRPNQSRYLFRFGIPSIVGGILGLLIWSLWFGVNSDRDNVSSL INHLIPAGHCACQTAVSFECGSCLRCASGLPEDPTPQARAYNPVYDAHNLSLNAAQCQ GFFPGLFEDVHRAQAFWTAKHGISMHDLNDIHLVDGMARAAVVNEFIFSVEDKVDDVA SPHHPLWVLARKPDEKAVWLMPDFGFWAWEHGKVDSKIGPYTQVVDRIRQKDVPWEEK EAKLVWRGKLSFAAKMRRALLEAARNQPWADVKEVVWKDKTNFISMEEHCRYRFIAHV EGRSYSASLKYRQACGSVVVAHKLQYIQHHHYLLVSSGPDQNFVEVERDFSDLPAKMQ TLLDDPASAERIANNSVTTFRDRYLTPAAESCYWRELLDQWTAASRHITDAISPSEFV LRGIRYESFILLDSQKMMQFP AFLA_002010 MRLTPSLISCLSLLHFTSALVAFPGAEGFGANAVGGRQGEVYVV SNLNDSGEGSLRDAVSQPGRIVVFSVGGVIEITDRIVVSKQVTILGQTAPGDGITVYG NGWSFSNADDAIVRYIRIRMGKGGSSGKDAMGIADGKNMIFDHVSVSWGRDETFSING DVSNVTIQNSIIAQGLETHSCGGLMQTDGGVSLFRNLYIDNKTRNPKVKGVNEFTNNV IYNWGGGGGYIAGGSDGESNVNVIGNYFISGPDTSVTAFTRGNENFHAYVETNYYDSD KDGTLNGSELGVDSTNYGGMDLVTEKYDYPAVASVLSPDDALTYVTKYAGASKVRDSV DTQLVAQVESYGKDGALISDEADMGGAGDLDQGTTPTDTDGDGIPDDAEAELGTDPNT ADSMDLDTSGYTFLEVWANSLVPSSYA AFLA_002020 MLSVYVLRILSCLLLLFQVAQTAIIPIRQYDSEDQDVSTNPDAD TKSSEPSFTLMAPSASATSAASQQSGGHLAGGTDIIIPYYVYPADGAWTPLEQLAANN PNVKFTVIINPSSGPGRDALPDANWRKAIPKLTAHSNVAVIGYVATCYAGRPISAVQR DITTYANWPTASGDASFAVNGIFFDEAAAELDDTKVTYYKQLTSQVKENKSFGPQNNV VMNPGTIPDNAYLDIPDSTVIFESPHSRFQEALAGHEFDRVKNMDKARLSSMVTSVPS GTDLAGLVGQLREITGHIYLSNSGSYLEYSQALEEAARIIGSS AFLA_002030 MRRFPQYDNFELTSDLDLDVRSAVSEPLADNLDESRAVSAFPDG GREAWTCLLGSFLMMFPSFGFQTAVGSVQDYISTHQLSEYSVSDVGWITAVLVFLTLF LGVQVGPLFDRYGPRILLICGSLGSFTSYILLAECCEYWHFMLCLGVLGGVSAAVITT VSIAVLSHWFYRRRALASGICMGGSSAGGAVIPLLLRTLFAKYGWTWAIRVIAFIALG CYTLGFALVKGRLPVSTQSRTTIDIRAFKSPRLCFLAVGVFSFEFIIFGCAALLPTYV RYAGLSLDVQFYSLTVLNAMSFLGRVLPGFAADLVGRFNILLTLVIMTLIVMAAVWLP FGSRDDATLYAVVAIFGFGSGGWLSLAPVCAGQLCKTEEYGRFYGTIYCVAAFGVLLT VPVGGELLQSTTPQVLVGFYSAVLLAGLVSIALSRWALLDWKWRWKVKV AFLA_002040 MMNQRKVINDHATDVASAHRVCTAKYHERFIALVAAVEDLRDIQ AKDPCFLEYEYEFDMPPSSGYYSDSSDAEESGEDGDEPANVYGSDLDFQEKWRIYHLK RNKIMGLCQSLHFARGKEVKSITSP AFLA_002050 MLDWNLTPLLTEAFDTVISRLAFLKLDLRSVKEWRSLKGETASW LLYEKLMIGGVHLDGKSPKEKDTEESFSLRGYDLRKLASQLAIRFRGTETVNELLEWP HDLLYQHCLRNSVSVAAYSGDRALLASFLDKGADMDIYDDYLGTPLYAAVCSKSAAVV ELLLGCKSNPNTEGESGPPLTLAVREGNKEIVQLLTQHESLDVNALDIKDYTALWWSC TLGHTKIARLLLKHKDVKVTCNPTGGDLCLMWHSVCGGNPQMVQLLFGHSDTRPDDCK HAGETLLWWASRYGHASVVKLLLERTDVNPNAHMDEGSTPLWEAAVRGYSDTVRQFLQ RQDLLPNILSYGGSTPLLAAIRGEHEDVVRLLLSCEAVDLNLKGESGMSPLLRAAKRG SMRIVDALLARKEIEVNSMSDDGDTALSLACSRRHEAVVRLLVAHKDILLNIKDKRGY LPIRKAADAGHADIVQLLLGLHATRGDLQNSDHDDCLCDSLGWGSRRAVTILLNARVE PTFKQQGIETVLSQLRERMKNRADIEEFMEGVEGFNGYM AFLA_002060 MMKALVYTGPNKLEVLDRPMPVIQSPTDAILKMLHSTICGTDLH ILKGDVPAIPYDRVLGHEGVGTVISVGPAIDGLSVGDNVVIAAITACKVCASCRKGLE AHCITGGWQLGNKVDGTQAEYVRIPHATSSLYKIPEGLDLRACAAISDALPTGLECGT ISAHVQPGSTVAIIGAGPVGLAVMLTARLYTPSQIVMIDLDDTRLEHAKRLGADHAVN PGKLDAMETLNTFTEGQGFDCVIEAVGIPKTFEMCQKLVAPGGSIANVGVHGHPVNLD LHKLWDRNISIKMQLLNAVSIPTLLRLYQSGHIKPSNLFTHRKWPIECPYTLPGFVVL YLNLATDYPFSEVHKAYHSFQMAAQEGALKVAIDF AFLA_002070 MITAQSDFTVQIKEFRQVGRRKLLKRTASLRVKRDTLANSSGYF QVMMGGRWTESEGDTITLEEDTVRSIEIWLRHFHGTLNVVTLDDISVADIWHIILASD KYQFDRNDLLQWFIRWYRNATAAGIHHDNLANKLMLPCYAFDYAHGFQDLTKRLAYEE KGHIMEINPIDNVRLHLPPRIIQQVNAAKGRLRNILTRELFAKINFLIETGSCSCKDE TVFNYLRELGKIKVQPLEERSFSLVSVQSYLNRLQHFDQERMLRGMSRQPCSSCGIEW KRRVGKAIEVTRGYFDGLCLDCMKTTVKADEEDWYHASYRSRHDENCRITHGEPTHYF SLMARRDKRGLVAD AFLA_002080 MSDSSEPEDNDVSLKHDPSLKADPDDELNLHNVPASQPDLDDLE DHERILHYDLLLAQTPSITGPRPPSPRARPSSASVAFPSTPALTVSPAAASPPVSPVM SREDFNKSQIADQPRLPWNWSNLGKNREAYTPTVVGKWWYRTSFELEQLTENRRNNFG HIFRIMETELGEQRLGDARCARCKTNNQECWVYSKEGAAQVSRPGDACTRCRIIAQMG GCSLSKRRKSHRNRTLPAGRSEASVRRLLPLPYPPRSPRSNSQGHGE AFLA_002090 MHSSLKLLLSAASLLPLAQAFQYTPLQLAYPELRAKQQLTPESA VKQQSTTAEYPEYYLSVPVDHFHNDSRYAPHSDDHFDLRYWFDAQYYKEGGPVFVIAA GETDATDRFPFLSQGIVTELASAYNGIGVILEHRYYGKSYPVANLTTENIRFLSTDQA LADYAYFASNVVFPGLEHVNLTSKTTPWIAYGGSYAGAFVAFLRKLYPDVYWGAVSSS GVTEAIIDFWQYYEPIRKFGPSDCIWSTQTFIDVVDRILIDHADNKTLGQQLKTSLGV SPDKDDVSFVSLLSSGLDSFQSRNWDSNIGSYSFRSYCDNITSSDLLYPDTEAVKPTI QELIEVAGYDATNSSFVNGFLNHVGLFKKSISSDEDSAETEQSDSSDPKSLPKDDGTS WEYQVCTEWGYFMSGASVPKDIMPLISRVLDVASVSTFCETTYGITSPPNVTNINKHG GFNFSYPRVAIIDGLADPWRDATPHADGTKERESTDDEPFILIDVPAEDVWDGIRGAV HHWDQNGLSKTDEGKGQEPPAAIVEVQKEVLRFIGVWLDQWKKRSRDSSRPLGGIRGP GRSQVPL AFLA_002100 MARILEPEKRLPLSNQDLLSYVFDRPAYDRTQSIYIDAHNPSRS ISWNQARTIIRQLIKGLRNAGLQNGDCVAVHSLNNIFYSVVVLAIVGSGGIFTGTNPS YTAGELQHHLKTSQSKFVFCESEFVSPLLESAKQASIHNGNIWVFDTTGDSILPPGLQ SWTHLLKQGESDWVHFDDLVIAKKTTAARFFSSGTTGLPKAVEITHHNLLAQHSLVFE AHPRPYSMSHLIVLPAFHAAIAPLMHIGALRSGYVMYIMRRFELNSYLEFVDKYNITD LIVVPPILTAVLKSEHPEKERRLKKVKNIVCGAAPLDKAIQSQARDLLPKDTPLTQAW GMTETCCASMIFPYPEKDETGSVGRLVPNVEAKLIDDQGRNITAYNVPGELCIRGPTV TPGYFDNVSANSSAFDDDGWLKTGDIASCDEASRKWYIVDRKKELIKVSGFQVAPSEV EAVLLSHPGVADAAVVGARNPGDGTERPCAFVVPKSGMKVISVELKLYAARRLAKYKE LSGGVKFVDAIPRNASGKILRRVLRDMCDDDGGLKSKL AFLA_002110 MIMDYSFWFHTGHLSLISHFALTPPQYPKMTEASYIIVGVTAVI SCLAIFWAKFRNQGSGTRPFLAEDKVVLVTGGLSGLGREIAELYRVQGAKVAILDIKD EASLPWVPNTMASKYYKCDVSDGSEVEATLKKIGVALGNPDILINCAAMPINRLPFCE LQSESFARTIRTNLLGPVNLTRAVLPLLMQSTKRGSIVNISSVVAHLYPAGLSDYVSS KAALSALHHCLEAEARWFGYDKQVDFFLVEVGQMDTPLFSWIKPPNSLLAPVLEPRYV AEKVFAAVSSGGGRIIRLPKYAAWVCGYDMLPVPVQRFARYVMGVDEALENYK AFLA_002120 MEKHLEMRSDISTYAVIAISALLEEVALTRALLDDNFTAHIGNA IVCLSTRLIGSDLTFEQLKNMFPGMLFTVFAFSYSFDIANQAFSVEEDSTNKPDRPIP SGRLTRDGAYRRWLLSWIISLALVGLEVGFQAALVLLEWEVWVALFYVWPKFHNWVAR NLFTAVGATIQLRLLDAVLVKTLPSFRTDSSLAWLLFTWLVWTIHVQEFHDMEGDERV GRQTLPLIVGHRGQFPLRVVTAMIVGGTGISSILLVQLWRTSNPVLLCLGLGHLLFML TVAVRLVVLPFKEADKITYKYYYTLATYSLLLFRQHTGRLGSFGGNTTELW AFLA_002130 MAPYNDGLLAFLFRQLFYTIPEVPPVELHGKSVLVTGANSGVGF CLALELASHGAKVLAVVRSVPKGEAAKQRILERVPTAQVDVQECDLASFDSVKAFANQ MKQESKTFDLVILNAGVWCSQWMASTDGFDISLQVNVLSNALLTMLILPHLRYKSGKP RIVFVTSEGHAMVPTHFMQHNSILDTFKQSPESFDHYTHYYTSKLFGLLWALALSRRI DREKFSVVLASPGLCKSELFRHVSVSRFSSSAEGLLCQDRLWQEVASTLVQVDPDFEA YLQLAC AFLA_002140 MAFQFTPMELTPLDHTMPICYIAFFYALVLDDPHRGVSILKEGL HRLLGECPLLAGNMMRSSSKRSKANVREVRPPTIDSLREFPILRVAHHLNQYIIKKVL DSGERVSSDSLFDERYLPLPLSVATREICPIIRWQANILQDGIYVAVCFHHSVFDAAG FYFIQDALARCCREPDSPALTIPLGSDLLEGRRRMVSSPVVSSDVRGDAPTEDPHTIR VADFNAVEGLVSRRLVLQPAHMECLKDVCNSILRDRSQEEYLTSNDMISALLWLAIIR ARYSPSPDSGGGQETRPVQSSLILITEVCRTLTPPLPISYVGNGIVQSVATSPVQRAL ISDASNAVHPHLPSVTKGDLQLLTDLALKVHSTQSSADNTYVKGIIQEKQRSPDWSPT FKQGDVTSTSIRRMGIYGLDFGETLGRVVEFESPDNRIDGTVCILPARSTSILELRVT LQADTMHRLLQGPLLQWAMRRTLGKL AFLA_002150 MSTKEYDIVIIGAGIAGINAAYRIQALLPQHRYVILEARETAGG TWDLFRYPGVRLDSDIHTFGFSWHPYDGDTNMMDGDSVFNYLNETAKQYGIDNHILFN HRVRRAAWSSADNLWNVTSTHLDKEVCIRATYVFFATGYFDHQEPLRAQIPGLDDFGG VIVHPQFWPANLDYLGKRIAVIGSGATAISLVPKLAETAFTVTMVQRSANYILPIPSP GGNRWARFLSSTLPHKVKRFAWLAVTLVGYYACRKFPGAAKDYLLGLVQKQLPKHIQA DPHFTPRYNVWDQRLLACPDGDFFESLHRGNVRIETANIQTCTPNSIVLDNGKSIEVD LVITATGHKLQFGGGSTLEIDGKVCDISKRCLWNGMMLQGVPNAFFALGYLTNASWTM GVDVTALSACRLINYMAKRDILAAMPHAKGPLDPTVRPIWNLNSTYMAVGGDSLPKSG IVSPWQPRTNYIADYLQAKYWSFSDGLEFTRAA AFLA_002160 MKIVIIGGGISGCTAYLQLKKHLPKPPSPDEDHEITIYEAYDTN KDTTCDERDGPTHSSTLIVGGGLGIGPNGLNVLKRLDEDLLRDIVRGGYVVPTSNMKN KNGRLLIRMDASDEPTSPNELPMHMLGCSRHWFWRCLRMRIPDRDIVTKRVAEVVASP DGRNVVHFADDSPPVEADLVIGADGLKGIVKRALFPEAEGDPFPPHYEGLSGVGGFIS ADKVKDDVEKGSMNFIIGGNGFFGYFYSDSARSAPHRDSPYHVSEPGESLGWWSTYQV DECPNPKTIDKEDVARQLRERYSSWKDAVIQKVLHSLEVSNMYPTWTMPPLPTWERNG VVLVGDAAHALPPTSGQGSSQALEDVEAFVLFLSHYLRKEYETRGPDIDVKAVISTAA KPYMELRIPRVRAILEDAKHRQNIKRDMSIVEEYLMYSFLWILGEYCVLHSSPGFHSL CWNYY AFLA_002170 MNRIAICHGLSRIKDPLDVTTSLATVAARGKYEDLSWRKLMERR EKQQQWDACFYDSSTRLCLAGISNYHQSKMNLLLLTLTLLTTLTSATPTPRQMNILYP YETYRYWVQSGNWKLDPQDQLLVVKNGNAADETTSIVTFNIPPAADGHKCKLLFDLWD RDVSSGSKQADVFTATRPTGASASDSDTGSVSLQSVSKEVADVIVQSRDEHVGRISVS APGTADWVLAYQGYPEFDCPAGQIVGFEFVGVGDEVAIRWDIGVTGPRVQIL AFLA_002180 MPQTAKTGNENINIDLASPPGWTYVGGDTVIGNVVRRSHIVTPD ASVTLTLVGRVKTKITVKRNNGQSTSTSHYRGRWQLFSTSRETLFRGPLHLPEGSVNN PLTWPFSVEIPTRPSDRVLEGHCKEESYLPLDKEILAKNTLPASFFSSNRGWRTSSEG FVEYYLEAQLKYSRSGSFEVETATFPITIRHVPQAGTFNYELQSRLLAGRAKSQRLLP GMEHAELSLKQKTQKLFGSSKVPEFHYTVEVSWPYAIQLDNPMPVPIIISIKPASTSP EISDVVQKVQLNWVNMVIKSQTMVRAPGNLTPTYTHNHSHSSSHPIGLKKAFLRLESP VVFTTGKGNEPVDIGSMFGLLFHSNGSTVGGLRNTTYSGPSPDFITYNILHRNELELE VSLTVAEETKEFKISSGLKILAAE AFLA_002190 MRTTVTALLTMESLQVDNPQPEPQTPRLLLKLISAGFAFFVAGV NDGSLGSLIPYIREAYHIDTNMVAIVYGTTFCGWFFAALSNSHLSQYLDLGVFLVMGA TLQVLAHALRTWLPPFPLFAVTFFFASLGQAYQDTYANTFVASVKAAHRWLGFIHAMY MAGCLAGPFISTAVASAGARSRWELFYTAPLGLGVINFALVVFAFRESLALKRPTQGE MESSREARQKGPMQEIQKTLAQPSVWILSLYFFFFLGAVITAGGWIVEYLVHVRNGDL NDMGYVPAGFYGGGFLGRLILAEPTYRWGERRMVFIYVLLCVGLELVFWLVPNIITEA VAISLLGFFSGPFFATGISVASKLFTVDIRSSALAFIFVLGQVGGAIFPAVTGIMAAK VGVSVLQPMLVGLLGATGVSWLMLPKSRLHHD AFLA_002200 MKLYLLFFFFLTLHALPGLPRSTADKPPFFLLAGDSTTAVQSTN GGGWGNGFIQTTLAKGAKGQNYGHNGATTVSFRAGGDWDTLLKKVEEVKANYQPYVTI QFGNNDQKPTANITIAQYTSNLETCVGDVRNAGGVPVLVTPLSRRQYDNSTGVPTIIR SLENERVATIKAAKKTGASYIDLNRASTVYLNSIGPVSAHMYDLKTGDSTHLNAAGSQ VFGGMVAGLIIQDFPQLGDAGFVHVDPKLQTALDKGQYYWPQ AFLA_002210 MAGIAATLHLRSKLPPFVRPPTLQSLSSCRCPAGSFSLLLIVLL CQLERMKSSPFHRQMSKMSLIHLMTWLLITIDYLLLGVSAQTTTTEASATATETSKGA ATHTIQVGPRSDPHQYVPSSVNASVGDVIVFEFYPRNHSVVRADYDAPCVPAQTTTHM VVSCERYEAYLLLLYGYRLMQREWNGRGDKSDRKHDMGASKQESDKLSLPARTMGAHP CRRRESELISDLQPFPIVVRKPPQRRRHRRDSRWSCRIHRPSGGIFLRHGKKPGIQEV DDIAGWDHGADRSLGSV AFLA_002220 MDKKSVIDDHQVSKEDTGNGTIIQPRETQRGLSSRQVQLMAIGG SIGTGLFVGIGSYLRDTGPLSVFLGYMFYGLLFVWPVNLCVGEMCAYLPIRGSIFELA ARYIDPAFGFAMGWVYFYGGLMLVCTEYSAVATVMQYWTTSVNPAAWVAMALVVCFLL NIVAVKWYGESEFIMASTKILLLIGLVMLTFITMVGGNPKHDVYGFRNWTHGVMYEYY TDGATGRFLGLFSVMVYAAFSVAGPDLPALAAGEIQNPRWTIPRVVKMTFYRIVGFYV VGVLAVGIICSPQDPRLLSAIDSGAAGANASPWVIGIQNLDIHGLPDLINVLILFSGW SCGNAYVYSSSRTLYSLARDGQAPKFLLKCNSAGVPIYCVITVSLLSCISFLVADTSA VTVLYWFIDLTTCALIITYTSMACIFLGWYRALKAQGVDRKTALPWVAPFQPYFAIGA VTIGSLITLFNGFSVFSPFSVQGFITSYFGLAFFVVMFLFWKLYHKTEFVDPATADIY SGKAEIDVECRIWEDGGFEERRKAELAQMHWARRMWEKMW AFLA_002230 MTTETIQIRRMTRPDIPYMAHLASTTYFNSPLSQFLSPYRHAYP EDFTRRFVQMIRARYFNPRCIGFVAVSVSNPDIPVAYAQFIRLGNDKAARDLIAAQTS IWNTLRRWFVTVQTWIENLLWPDRSGDADAVRRFEKSAEVDGLRFWDSEEMKRLYGER WHAQSVVVSGDWRRMGIGRRLMGEVLRRAQEDGVVVGLEASEDGEKLYRSLGFELRGR FSLLLGGQDVGGIMMWRPKGGL AFLA_002240 MAKVVLISGINGITGSAILDHLVKYTTQEEWRRIIITSHFPLTL VMQDPRVDFLALDFSKPVDVLAQDMSRLCTEVTHAHFSSYVHKDSFAELNEDFLRERQ RGTTWSRNLIRPEAIIGYAVKPNGMNEALTLALHFLACKKLGVEAGMPTN AFLA_002250 MKVDALNAHISQKKVLKVSRVEALDLLSEDGNGECLCIEKRGFS AQVIDLWPAETRGRRVFAMEVGLRVMVATNSLQKYYGRPIALAVLWLRRCSAKAGYYR P AFLA_002260 MEFKTMRLQRYTLAVAEQGKQYKQLLNQERAARKAVEDIRKEKT TMVYDQTENCDDSEKKKQHEKERLQREIERRAKEAELERLRKLREEAEKQRCKEQEAQ KKLRTMGVCCMGFRWIKQAQGYRCAGGSYYVSNAKLGL AFLA_002270 MRPSTLAAGTIASLATLIQAFTHPGLLHTNTDFERIKTKVHSNT EPQLTGWYKLTNSSFANPSYTPRPQETIYRGKDGTHAENYPNLYKDIAAAYALAIEWK VTDDKTYADAAVSVLDAWATTLKSISGNSDKFLASGIYGYEFANAAEIMRDYDGWEES KFAAFKDMMVSVFYPMNHDFFVRHNDAKIDHYWANWDLCNLASMLSIGVLADNETMFQ EAVDYFKNGTGNGAIEKMVWKLAEYAAKYNLGKDVPYTTYQNSDVTQTVISENSRGDV RPIWELLYNHYGVLKKLNATWTKQYRDMVVEKGEGAEGGGGYYGSTSGGFDQLGYGTL LYSL AFLA_002280 MGERKMTRNDFIHNQLFQTVIWRLAYTKWSNRSDWSDVDAASRT VQIDRMRTPEIAELIGDFDCESIAHKPAKACGEEKRWREEEEGGMKEKRGQGSGMVGV GGREVVAEGQSKGRKMNGEVE AFLA_002290 MLLDTMVGQDPATSPRTEDLASISEVPGDMSAPSTKENTKKAET NGQTTTPQNNIPPPKTDKPRPHVCTTCGRSFARLEHLKRHERSHTKEKPFECPDCSRC FARRDLLLRHQQKLHMTTTPSSRPRNARRESTGAAGTGTNRVRKNSIVNASSNMRPRA NTISHIDGASLGLPNATHPSPAAPTHGHAYHPSLGSAPVGSNLDYRGFSSAHPPVNGL TKLETHGLPMDLSGGLRTAPVYGSFDVSLGDMFMGHSTINPAQLHFGGSPQGYGNDSP SSPYTQGAHQMPPTDPMMEDDFSFDWMNGFDPSMQMGKGNESVIDESSPSAMSTGSQS GISEAMMDGGHRYSVSSASWHNPGMLHCRDLFLRSSSFNSSSPLPSTSDMQRYISAYI TYFHPHMPFLHIPTLDFQAPEYTNNLRTPSGHLNLSSTGVAGGGGCLILSMAAIGALY EYDTAASKDLFEAAKKMIQLYLEERRKADMSAAFSRANSARDNSVHNTPLWLVQAMLL NVIYGHTCGDKTSADIASTHCAALVSLARAAELTHHLDARDLPQDYLKAGLGSRDSSQ DASPDPETWASSMGPPRERKDWLDWKIVEERKRTLYAIFTLSCFLVSAYNHAPALTNS EIRLDLPCEEDLWAAESPQAWRKKGGPLASQKGLSFPSALTTLLTASQREQSQSQTPT SNNTTSEDSSNNDLKPSTFGCLVLIYALHNYIWETRQRHMGRQWTARETDAMQAHIEP ALRAWQAAWASNPVHSLERPNPFGAGPLSADSIPLLDLAYVRLFVNLGRCKEAFWQRD WNAMSDELARGTDIVNHVEEIPPDVLDPSITESVFHMDNRRDSVADLGVADLAISKTP TQEHPMQTLMGVHRPGQSKRERHLRKAAFYAADSISMSDRLGNTFAEFTCRDLPIQCA MCTFDCAQVLAEWITTVQERVGPYLGLLGRDEVDLVQASRVMLLEEEDCKLLEKIKEI LASVETKMQAEVQTSATVSTLSVLQRLPSVVEGGYGCKILIATASLLDRAAVWPVTKL MARSLEAQAMRLKERAENSAMRTD AFLA_002300 MDLQPPSVLAQLPRPLHASKGKTHIGEVYSLSDSKKRKRYEVAV AVDGEAVNIYNIQTPKLVTSYAVPPQSSFSCEPCSVRRKLSDKSVVKRQTYVAVNPQN EIKCFVEESGGNGSSAPVISSASFSVKDSSTPTVFIGIVPTGSSQVDERNPFDILTVH QDGRVRRLAADLDNQHWSLQHSELAKISSSHAVQACFLVEFEDAKKALFKRRQDLAAL ALGDLVDSNVDEPSVLLLVSHPTGSKEIKLSDVKVHMFSVPAKTPSQERTLDESQKLK HLLTFSIPDIKGQELFESSGLEWDFHTGSAGLNLSFAKGFVNFDLSQYKPTVTSQFIL ENEDFSSLMRISPQSVIGAGNSIVALFDTQYKSIQRSISLNDIHESNSSKARTKFISY YAKLGIAVATKGNTLFAFDLSSSNSLLGSSLKRSRDSLLIDAIGRGIGSSASQWDVAS KKPRTEQLTSLGLTSKEQISRWDRLVTDLRAASKSKNGAAFDSAVQTYFEASSSDALP KQYVNPEVMLFLLSCIFSLKDAVNKDQLSVSSSSQLYIDVWPEHTCQWLTQLGHLSLD NVEIALRRSLKPRILPALPAGSFVQALIDTDPTFKRLIDILQGPVTWSSDELAYTLQF FLNMARSRSAALEETTQAITSGEESSTEAIQNPEATLQDIFRGLNTTLRKIHTHPLPT ITTSIRSTLSRTEIIAMVHHLRLSLATGGYASRFTENPPTPICPDQTTPSLTLDTITD LLNVSVDAVGPSGWISATAFDDTPTREMDLIADMKSEISAALAGVEEASYLKGVLGEY LRYTDSVAKSAKSAANQKPKDSDEPVSSLVRFEKLNGADLMVFRSAEEEGFEGDASGK MLPLSLKAASTDVGKTKVKKSTGEVQKRSNREIGYLRRKAVGKYSFERLIV AFLA_002310 MLEHDPNASRRKRRKTDKSNDVNHSEGSIVQYITAKGSPSTPNG ESTTPAENDTPKVTETGASALPSTPSPDVVAPQDKFHVADDSALDKATPRRSPRQKTI KLNPNGKLLSSPVADKSEKSTKKKDRGRGKSGPQRDVGSKHKVVIIKYSNTNDAAESL GKRIDDIINDRTKYAPPVKRQPTVRPTAKDEPGKPTHPFFLKKATRKSDALQHQITNQ DSTAADKGEEGVIKAPSPSQKPPTPSLGVNKTTICTPFAQRASKFPEPMQPVWPPRDF VHVRGSQSGQPNLQYSPYVDRKKSKMATINIQDKESVLSPKMQGLCQEPAKILRIPDR HVASGNILKKAIADQLSQSTLSNPDSYTLSDTCHPAIAKLYTSMPYSMTAFDRGEYDS CLWTQKYAPTSAGQVLQTTREASMLRDWLRFLMVSAVDTGKASKDGEAKRSLDTKKRK KRKKTENLDGFIVSSADEASELGEVDESEEDELAGGVTVSSRRTLIRSGDLTLEKGRV SNAILLSGPSGSGKTASIYAAAKELDFEVFEINPGNRRSARDILERVGDMTRNHLVHN VNGNDEKSSRESSDIDSLKDEACDPKQNKLMGFFKSTSAGANKDKEIKRQNLEKQTDL KRSRSQKQSFILLEEADLLFEEDKQFWSGVLALINQSKRPIIITCNDESRIPLEDISF HAILRYRPPPQHLAVDYCLLLAANEGHMLKREAIEDLFKSTGRDLRRTITELNFWCQM AIGSEKSGLDWMIDRWPRGCDVDEDGCPLRVISVNSYEPFMGWFSRDMLLLKGLDSEV ESQQEAFSWWALSLQDAERMSDMDAAHATPVASNKERLDRLQYQSNLMDMRSALDVLS LDCSLDLKLDAVDTSIPPMPEKQKANYVDGYPLLHADVVSDHSSLSTSIASTFEVLLG RVFRPCQEANIESLQAEKVLDNVTKPKSTNSPQAEFTRAFETVMRANYVFPLPTGRLS PSFENGLRPISEDLGPYVRAIIAFDLRLEQYRMQLSGLLSQNGQGSKRARTTRASRAA LEGGSKAETRKERWFPPDANPTLILATGGQDWQDLLVQNGYFVVTGSEPSRECNDHAA TESSSEGGI AFLA_002320 MSLFGTSPEDSSAGNSAHRSKSSLFADEPSLGTGSNANLGSSSL FADDDDLSSGSPWNSNVNKRTARHKLVKTLLSDSDAPESYIDAYDLVLSAGDRVGAGI GLTSVREILSGSGISASDQEKILNIVVSGDIDSANGLGRGEFNVLLALVGLAQEGEDL TLDAVDDRRKKLPAPKSLYLDALRANQESGTPAPSQERPITPPRPASPQQAPNSAHSR RSRRESMTGLESDPWGSPELHRGHAHAQLESDHPVLNGYGSVRSATNAWSSRVGEDNN PNEISNSNRANSQTDSAPSHGSGFGWGESLGNTPSDGGLGGTARAGLGGFGPPSSDHS DSNPRRRSLGIGRVASPPVEEHVTVTLLPEKEGMFMFQHRNYEVKSARRGSTVVRRYS DFVWLLDCLQKRYPFRQLPLLPPKRLSVNGTHLAADSNAFLEKRRRGLVRFTNALVRH PVLSQEQLVIMFLTVPTELSVWRKQATISVQDEFTGRDLPPDLEDSLPSTLPDTFETV RGGVKRSAEIYINLCTLLERLAKRNEGLAADHLRFSLALQSLTEVTRDTYAIDTNDVP LLNEGIRATANHLSVSQSLLEDEARAWEEGVLEDLKRQRDCLVSVREMFDRRDRYARN NIPQLERRIENNERKLQDLRSRPQGTVKPGEIEKVEDAIIKDKESIVQQHARGVFIKE CIRDEIVYFQQSQYHISRLHQEWSQERVKYAELQADNWRSLSDQVESMPLSG AFLA_002330 MAWDHLDIDKPHLAYMILGGFTGLFMLCSLFVKEKLYIGEATVA TLCGIIFGPHAANLFNPHEWGNVDKITLECSRIVLVVQCFAVGVELPKSYMNRHWKSV FLLLVPVMTWGWLITSLFIWWMVPPLSWLESLVCAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSFYILRYRPDAGKVTLNWICVTILYECIFGAV YGFLIGYFARHSIKYAERKQLIDRESFLVFYFVLAVFCAGSGSLLGMDDLLIGFAAGV GFSNDGWFTEKTEESHVSNVIDLLLNLAYFVYFGSIVPWEMYNAPELGLVPWRLVVIA ILVIFFRRIPIMLILKPIIPDVKTWREALFAGHFGPIGVGAIFAAILARAELETDSTQ PLTEAELPKAGTADYYIVQLIWPITTFMVISSILVHGSSIAVFTLGKRINTLTITLSY TTANEEGPSWMNRLPRVQSIAKGSMSFRKPDDTDASSNEQEYPPGTLPPIGVPGNFLR RVRDEDTESPTGRTSSRRPRRRRRHASGAGGPISQSAIAPQRTVESEDAEEEDERDKI EREGSPPSKERDQFGREPIVEAYLEGHHMIIEDEEGNVLKTEDVSHVSPEERQRHIEA QRDRLLQEKSGEFAKSKSQPHARSEGEEVEEALEEKAGHPLEKARKRFGQWIGLGKGR AQEVEDQEVEKAPTTKQQPTATDKKPKGKSRSAHAYQFGNTIIVEDEDGEVIKKYSIP STDKPETGAPVRRGLTRMGTWFGMDGEGEPSQAEKKSANDDWLADDGLRFTVADDDNI SKKGVSHKGRRMNKHEFVQQLRNLGPRARRELVEETDVPQHVKEVARDEARDAERKES LAGGSAQDTQHGTTPARPASISSSESSNGSDIGVPGDNIAASLARFTRGTAAEERRST LNPSTRPRSRRDSEDDGTERVPPAQLREAAGLSPPPQQDDDDTGETPAERRRRLAALG ELNLDDSDESDAAVESDSEDNTGFRRVGSKVQFVEGTKPSDSGNGSNSNQGNGSSSSS SAHQRSISWGGEKGREA AFLA_002340 MSLHTSYHADSDADDEYERSVITSPHLATDSEASPSESDFPSSE QTPTTFANADEHPKSPKTIITEWTVEECAHFLASLGLRQYCPAFLENEIVGEALIALK HDELKEMGIASVGHRLTILKSVYETKVKQDIPLDADHYIPLSADQSMNETASQEDVAR LIQSIRLRDERIVTVESELRRMAEDYRRLREELLPVFKMAKDRSQPLPPPTSMGISGP DGYHDSQQTLVSPSGITLLDRSGTLSRQISKRLNTGGTTPKNNSPTHIPPSIHEGRMY QDSGVLDPSSALYTNGKSQLSPGIPSPTSPGVQYTAAQTLGSRSYQPAPNTSRSPHDH HDEPSTSQNRERLNPTPTQPNRPDIPTRSDSRAGNGNDPPSVEIFKSFRVSMEDPCYK VLPAALKKYNINADWRQYALYIVYGDQERCLGLDERPLILFKQLEKEGRKPMFMLRKQ LQHPVESNYPPVNPVPNSAGFESRQAQINLPGGVL AFLA_002350 MLPFNLPIQNVLVDNLASLHLDLPQPYLNPPTTPVLFVTMYGNR DSLALQSCQPSENTQTPVQENRYTSLWLT AFLA_002360 MATSQSTGITPASSESLESDLLAHLASTTALDDLHATLLCSLQR MGWTEKVRKLSQELLRGGRCERFDDVVEAVVASAEGRKHPSLAGLDNVNEEDKNSNGD ADGYFEKVDVRIPEAVVEQGVRAIKEVLREVVVLDDDSDLLDHHDSHHHAGDGKQEGG GETKTKPSKSSKTGDQSLKNGDTSPVKKTDKKPKPGKQGTSGFGILVWITCHGSSGEI GV AFLA_002370 MSAEPDHTHDKKRVHLQDASGAEKKEELDTATAILKKKKKPNSL IVTDAVNDDNSVIALSNNTMETLQLFRGDTVLVKGKKRKDTVLIVLADDDLDDGSARI NRVVRHNLRVKHGDVITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFREA YRPVRQGDLFTVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQREDEEGNLNE VGYDDIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLMARAV ANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKT NGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDP TGRLEIMQIHTKNMKLGEDVDLETIAAETHGYVGSDLASLCSEAAMQQIREKMDLIDL DEDTIDAEVLDSLGVTMENFRYALGVSNPSALREVAVVEVPNVRWEDIGGLEEVKREL IESVQYPVDHPEKYQKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPEL LSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLL TEMDGMTSKKNVFVIGATNRPEQLDAALVRPGRLDTLVYVPLPDQASREGILKAQLRK TPVAPDVDLPFIASKTHGFSGADLGFVTQRAVKLAIKQSITADIERQKQREANGEDIK MDEDEEVDEEDPVPELTRAHFEEAMKTARRSVSDVEIRRYEAFAQSLKNSGGSSFFRF PSAGEVQDNNTFGEAGNDDSLYD AFLA_002380 MTRACISGYMLYQISPKSPPVVVETSNPHDTVHGMLVLGLDVNQ RNLIYELEGGLMNLVDVRAQIRLKDGSLPCHDICSGRMVDAGMFVWHGSKEGLIPVES TAWPLDWFLKEKFYENIVNSQHRNLLDGSGLFL AFLA_002390 MADTENPPDSSAPAVAEPPNVGKSASPAGGSPPQPSSLSVAQRQ LLGALRATDVTIGRLDKLMSSAYGQERVFAVTGYLTHALHHLLASAPWIALQTRLGLL ARLRSKTKPVASNSTPSQSRLLALSALMSETRYSLRLLGLFPLWTWGSATLKSPPSDR ILYALTLLQVAVNMIYQALENAGFLASKGVISKKFIDRWGGIDKWYIWSTRAWFGHIF FQFFVLWREHVLRKKRMSGEVSDEKKEEALRAEVRAWKKSLVNNTCWAPLCLHWCFEK GIGFPDSLSGVVSFMAGGWGVYDLWTATAKS AFLA_002400 MADSEQGDSGGNTGIHQTGSLPILQVPPLTSNYRLVRQYQVSTQ EDYVWPAPELQLPLDYQNYYTGHPLMAPPTTQSAVRQRRCHHSVVERQFCVDRMETCN SCGRRPFLGWLYLCVEDTSGFSDPLDPINGPFLSPWILKAMEDGHYTTEQKEIVIHQK LNVVRTAERERGPVPPPLSMLYAERSAQNGHNLDDPWVELVEDVSQRDESAPRTSEST VRLDHPEQTSQLLQRSHPSLPCTFQACRHCERRHGNLEERTWISLNEICNDPSIPPPD SWELLERPVSDANILRNLELPSGHFWPGSPNASSSDYSITESCEDISARLYSQENTTS TNLHELLHQSLNISVRSVESEQAVASYTSRLSESIVVGMAISAFDDHLASFPWPDSLE HGHDDGNTE AFLA_002410 MSVKFQDKFQDQVTQQVTEQIKGQIQGQFQEEAAKSIRQDTKEL VHKVGERLTGGNPQNGYMAMYLRQLQKNPLRTKMLTSGVLSASQEYLASWIANDVSRN GHYFSARVPKMLLYGMFVAAPLGHFLVGILQKLFAGRTSLKAKILQILFSNLIISPIQ NAVYLSSMAVITGARTFHQVRATVRAGFMPVMKVSWITSPLALAFAQKFLPEHTWVPF FNIIGFFIGTYVNTHTKRKRLEALRKRYDQRRAGPGSEYEPKDYR AFLA_002420 MPPYSGLQRQQIAQFMNFTQAKDAVAAKFLKASRWNVEEAIDAF FQSPQGAGGATSSINKIFDSYRDSPDDNPDGIGIEGAMKFLGDIQVQLDEVTCLGIAE LLKSPSMGEFTREGFLNGWRAVGCDSIDKMVAHADNLRSRIPTQPDLFRRVYRYTFPL CRMQGQRNLQFEIAAEQWKLFFTPDKGGVQWETETTPWLDWWIEFMEERGKKPVNKDL WEQVEVFMRKTLDDERFGWWSADGAWPGALDDFVVWVQKKRGDNMEVE AFLA_002430 MADCDKDQEAQTRSESEDKELREKPKVKLPLVPTVPGNAWSQMR AREQEHIRERELHKLEFGELGYDPNTGISCLPFLFAVGLAFLMEVVITRPTASYSSSS STMRKSSGLDYDEALALPMPKPRLMAVSSSVPVLEPTVSKPNVLTKLSGLKTQGDKEP HPGDKGAGTKVEGEKKKSMMATLRSKLSFKELGKEFRKAQDPPLSAMPRLPSNAGTPQ VGKTKQSPPESVDFDEERLYVPKPRDPGVHPASAPVQTTRFSDSGSFVSSKQSASSCP AQQAKGNDRLDAKALRDTQEQHRPHKKVGNVEPMTRLDTVLIDGSSPLASTGDTSRGH PAVVDAERRYISLKVENETSVPSKPKTDATSTESPASYKLSTSNAPKGIAPVSSSCER KQSTVEKNRPLVVSSDQQRPPSVRTQDQTEVTTPSGQYSRRSSSRSQEHMASSSGQAD PAFDPTHMRMPFDSQLPIDDPRFYSGVKTHGGYAPPPPHPGYQNTVTLEQQISTYMDS LHIHVDGTANKLARSFENSNNWSTDQILRGTGHLSELMRKLNNRVMSDTEVMRELQRV MMEVKIQVGAIQREQRQMEDRMTQLFQSEYNKLKGEISALASTVKSNLPYNPVEDARS MNTRLQGSRDVIRWSKDNERPQQFMKMKSRQMRKEEVVTKNTESRGEEHNCESVALDN KHAEEHTLTDDVPTPMAAFPTPGLHSDDRKDHFTDPHPRRLILKDPSKISTGSPEPLH SSSEKGKERTASSQTLVHKASAEIMKFPAKMGLFYPFRRGRDRRSSESKAASRSLPPA KRGKDDKTPEEQDSMKQEPLPFTPPLQTRAIATIGAEHHQVEVSPSRVHPALRNLAQQ QIMAERERLNNQETMTSRQLLRSSRSFQDLNSRSRNAASFDWIDSSIESPSESTSRSQ NQQDLAMGFPALTTASLRSSSRARSPLTAFAEARMSQASDVSFYYRGPTPPPRGSEAS ESSLPTWYQAAYAYKSIEKPEDD AFLA_002440 MVESITETVTISVRRPTDTPTIFVAGTFSEPQWEPLELNVKTIE VESELDPGLYSTEYLFFRDFKLAPGQYQYRFREGATGSAWSTITLPSSLQPSRRLRLR MVPVRRRRRPQKNPRRMLRPRVGR AFLA_002450 MEYFTLTAGPNTDLWRKPPNGDTSTAPIIFTSLRNPFILAEVTV SADWEMEWDQGGLVIFAGAAPQSCSSESVPLDSGTRSSRSGYPQIARPCKWVKAGMEF SSGTVNASSVSATADGADWCLSPLSLPDSGPSTVQSLRIKLERIGNSLWIWYQIPSAV PYALTPSAVSSTWKKLREVTWFFYGVEDKFIHVGVYASRPNNISRNSTMWEMMNGPVL SESTVGSQDSLVVDAATTHEWLMSLRLHVLRRWLGPTC AFLA_002460 MKPKMNRMHIPNTYLLTRALDVFQFSTSVQRCNAKYNQGSRWRA SKGVP AFLA_002470 MSSGSNGARRIASVLRPSIADPKVCRSCQETLVRRNYSSAAAQP SSESTSTATTTFPVVKPVYTINAGVALSRPPQITRDLSQFEKAYYFYQKRLNERLALP FTKYFYFKRGTPADEDWKRKIRERQTAARDIGKYNAYSKDAWNDELLVGAVEAEPEHQ VEMLVQDAEATVNATSQDTSKKEEIPRPFPRVTEADQKNDQRSLNRALQRTLYLLVQT KEGYWRLPSSPVEQDETLRLAAERTLAQTAGVNMNTWMVGFHPVGHHVYNFRYPRVDK ANGTEHLGEKTFFMKARIMAGQADLAANTQNLQDFKWLTKEEIAPYVLPQYYSNIKNM LAER AFLA_002480 MELRSIEAVEFLLENKFCLNSLYSDGVHYLSREEETIAIARAAE RYGRTSVRKVIDVKETEHDSLAFLGAVRYLVDGWLALGESLTMTKTARRLSKSRGWLG HESESGSRSGSDGLWKRYLVKLQQRLRTHRPVLVGHNLFTDVVYLYRCFFGPLPDKLE EFQAIVHHMFPILMDTKYMATHDCGSITPKSSLSEINDNLLHIKTPKISAENASPYIH SKYNSQRIDHEAGYDSLLTAQIFIKLSAQLRDGGISKLPDPVELKGQSDTMGLNNTTL AVPESLKGSVEKESAARKKPLKTPTSTKLGTRFDALDVEEINDRVESMALKSRKSSSS VDVTRKVANGELIPRHGAEFWKVYGNKLRVFGTEERVWNVV AFLA_002490 MAADDSVSQSQLSTSASSLSPSKICSKTYKKASQLYLTRRLQET LTTLEPILTTSQTNDDQYANGNESLPPIAMAQASVRIKVWNLYITLLSAILDLGPEEG KATFGQKEWKAISTKVREGEIWETVVQTGYKGREGSVDAEVVYNLATLLLNHSPSQKL NQQRLEHYLSSYGQPNLDIAEHMQNSPSQQSKARVNGGTDTPRDLTSRVRIIELFTLH VLPRNEEWDYASEFINFSEVLDEERKEMFLQTLDGLKEEREQGELRAAALQREKDAQL EREMQEAERQRAEEEAAAAQHSQKGHTRDNSEVDYGIEKTNPNGSVKSRGKGEKQSGS KANSSSNRTNTLSPTGSKKRPEKPVSRPGQTRALANVLRNLLRYISKTVAGNPMSFAR TLLFLLGLLVALSRQGVRERLRRITGAGWQKVKGTVGMGVKVSYI AFLA_002500 MSTKSAQGNGNGNGNGKKPASAAVNLIAGGGAGMMEALVCHPLD TVKVRMQLSRRARAPGVKPRGFVATGVEIVKKETALGLYKGLGAVLGGIIPKMAIRFT SYEWYKQMLADKETGHVTSKATFLAGLSAGVTEAVAVVNPMEVVKIRLQAQYHSLADP LDAPKYRSAPHALFTVIKEEGFIALYRGVSLTALRQGTNQAANFTAYSELKAALQRWQ PEYADTQLPSYQTTVIGLISGAVGPFSNAPIDTIKTRLQKTRAEPGQSAVSRIMAIAN DMFKQEGARAFYKGITPRVMRVAPGQAVTFTVYEFLRGKLERSNWAFVGGKFEE AFLA_002510 MQSGISVSSELHDAFNNFASDSSIFCLPVNITAESLTPLSPIPF SSPGAFYPSLSQLSSVLQPKTPIYLLLRRPESGSSSLVALTYIPSNAPVRAKTLFAST RATLVRELGSEKFASTVFATEEDEVVGQEAWKERDAEKNDSSKSRSQREELMGEKERE LEAVRRAEDEARSGTPGRDIGIGGSFARRNGGIGAPSSLQYKMPVDDEAKNALASLQP GDLVQLAIDIPKETFVLAGVENGTVPSSVESHISSSSPRYTFYHYPDTDVVIFVYTCP SGSSIKERMLYASSRMYALQLAEDQGLKISKKIEASSPDEITGDRLQEEVSPPQNDGL NRGFARPRRPGR AFLA_002520 MSRHHPDLVMCRKQPGISIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCIVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KSFRNH AFLA_002530 MAEGTLASAVVLPEQDNLPPSPDAGLKRRNSVAEADSESKRRRL SSQQDHTGDRSPAERKQSSPDGAERKPERRPGRGGREEERKRGQRLFGALLGTLSQSS TSAAQKRRADIERRQQDKLKLQDEEYGELKKKRREERIAIRKKEQRLYEEESMRTRHS NLLAMSHFLKTRTEPVLYYKPWQLRSGDEAIIREQVEEAEATVAREVAEFEARYPARE EDTSKKQDEVTQEDRDQAPEPEAEFKENKDTTHETGAVGAETNHNRDSEAAPSDATAI NNNNIPINNDHADVHRGAEDDGGEVVEDKEDTVIY AFLA_002540 MIVDKIHVTGDLRVERRFADINGKTYGYLYSEPDTGVCRATIFL LHGFPDLSMGWRYQIPMLTDMGLRVIAPDCLGYGRTDAPEDPHHYSHKSCANDIKELA FQLGIPKIIVAGHDWGAALAYRVALWHPELVTHIITVCVPYAAPTRRYFPLKDMVEKI TPHFYYQLQFISGELNKYVETKEDIKRFLSAMYGGRTPENEMAFDAELGILPDKLARV LPSELLSEEVSYFQSIPQPINPGRNSNTMRRSSRGTVSMVHLKTTNKRHLVNWYRTRE INYKEEVAILNKRITAPVLFIQALRDTALPAHLGRGMTKTIPHMTFKQINTSHWALWE KPEEVNEIIAWWLDEVVFRDPRMFKL AFLA_002550 MESKREFDLILLGPTGYTGRLCAEHIVKNFPTGLKWALAGRSLQ KVGDVAKELKNLNPDRVEPANIVDLLLEILAVQLNREELHSLAQKCRVLLNCVGPYHL YSTPVVEACASNGTHYLDVTGETPWIKSIIEKYHETAKSNGAIIIPSVGVESAPADML SWALVKRIREELSCDTKEVTCAIDELKSSGASGGTLATIMTMFDTLSLFDILKAADPF ALAASSPPKSIPSEPLAEKVLGVRSVRDMGTLTTAPTAIADITIVHRSSTLMPEFYGR RFHFRQFLRVRNVLIGVALHFAFVTGLLLLLLPPVRWLLKKYIYAPGSGPRMEDSVND RLVYRAVATADQDTPHPKRALGKLKYDGTMYVFTGLLLAEAAMTILENEEKVKKVSRC GLVTPATLGQEFIDRLEKVGCQIETEVFNY AFLA_002560 MTKRTKKVGITGKYGTRYGASLRKQVKKMEVSQHARYVCTFCGK NTVKRQAVGIWECKGCKKTVAGGAYTVSTPAAAATRSTIRRLREIAEV AFLA_002570 MARLFCVRPNFRSTFKRPVAFSPHHSTCSLSLLLPLLCLLSLSV SCSSCLLLASLQIVLAQNPLLMSDTCIVCLGDLGESASDPLAVSAEAAPRLDVQVNGR STDTSVKADGVDGSEDSGQIAQLLPCGHILHNNCLKPWVERANSCPICRRSFNVVELS DRIGGPVLSSYAVQDRVQVADVDPSMVIEYIEEDDLAGFSPCLICGDSDNEEFLLLCD GCDAPSHTYCLGLDTVPSGPWYCSRCETQRARALSPDSADRSSRAQDRRGRRTRAQQR QLQSRSQMNSLHWARVWQSVWDHLNLDLDFPFDDDRAAERVRQQQRREEANQREFRAW QRRFEVAERQGGSNRFRDTAALLDIEAPRPSRPRVPREPTPEPESLEEMRAWNAFERA REIENDPSAARKRKEPTMSPSPEPTEPERKLKRPRTRRAEDLAALATQNGESSRAAGV QASARINAETSSEPSFLQSLLKEVEDASNDTTSHGNSAQVSVAATDHATPGPSSPSIS PVPSNHSSPRLSSTTPPPHPRSRPISPLQLVTPTHPSSPPFSPDVSPTFSTEITPSQG FSDNTRRRIPRAAYRSNLLRANDKSPSRPNLSLAVKTDIQKLVSTALKPYYRSKTVSK DEYTEINRNISRMLYEQVGDVETIGADAKTDLETTAKEQVTKAIDALKQRHKMEDNDP IDDSS AFLA_002580 MSQTYRCICATFLEATFRLDFEYSIYLICDGRIPEFNIEMKWGS LLLRGISGLHERIPGLNSEYARSRTFKTT AFLA_002590 MSATNRDGATQHDLQSSARLDKENEGPMQSSDITLRSESSSSIG EDPEKDAGGTRNTDLDMLERSMSTPHSRKGHEEKAPEKDPNLVEWDGPDDPENPQNMP KWRKWVLTMTLSSLTMWITFASSVFSTATLVTAKEYNVSTEVMTLATSLVVFGFAVGP LMWSPLSELYGRRIPLFSGYAIFAIFQIPVAVAQNVETIMLCRFLMGVFGCSPLAVVG GAMADFWDPVDRAVAIACFSAATFVGPVIGPIVGGFITESHLGWRWTAWITLIASASF GTFALLTVPETYGPVILQKRAARLRRETGNWALHSFLDEHRPTASEIVTKYLLRPLQM LFLEPILLAMTIYLAFIYGILYLFFEAYPVSFQEVRGWTNGGVAGLPFLGIMIGVLCG VALIIWQTKTRFARKLEKHGRVVPEERLVPMMIASVLLPAGLFWFGWTSDPSISWVPQ VIAGVPIGMGILVIFMQGLNYIIDVYMMFANSAIAANTLVRSGLGGAFPLFAVQMYHR LGVDWASSLLGFLTVAMIPIPVVFFFYGAKIRAMSKFSPKF AFLA_002600 MPARTRQGPSAAAEVVESEETPGGLRRLRFNEPLSWRVGRSAIP IVDLLQRLQTLAQELRKLEQEEVEKDSLQKVSQELATAQLLAHKDKGVRAWTACCIVD VLRLCAPDAPFTGNQLKDIFTCIVTSIIPALGDPSNTYNAQHIYVLNSLAEVKSIVLM TDLDHPDALIIPLFTTCFDIVSGSSKGSTGEDIAKNVEFDMTRLLVTVIDETPVLAAD VVDVIVAQFLRIDPRALENPSRRGKKADAPLDAKQGTLLLKDYPPAYNMAKAICQACP ERMTSHISQYFNNVIIDASATGANGPSKNSHRRPNLDDSDEEGEDIKELSKAHRLIRE LWRACPEVLQNVVPQLEAELSAESVSLRLLATQTIGDLTAGIGVAGPPPPPPMDPAAY PPVTLSDYSQIVPQPNVLIQPFSPKPFSQAHSSTYEGFLSRRLDKSASVRAAWATVVG RILLTSAGGSGLAESEEQMLIKNLASMLRDADEKVRVAAVDAVGTFGLSHIVHKLGAS GGFSSHDSILFILAERVKDRKPQVREHAMKTLGRMWAVAAGEIEQDNEQVVSLLKDGP SKIFDAFYTNDMDIHVLIDRVLFDILLPLSYPPIKPKLSRSSSTQSQKLKDSQTSEGD NETDVDKIRVRRILTLIRGLDDKAKKVFFAMQARQIQMRTAVTVYLQACEEYNGGVME KDDERITAQINRVIDTLSKLFPDASRASADLWKFAKVHDRRSYQLIRFAMAAVSDYRT VIKAIRELARRLQSSNNSPLLETLTPLLYRCSSLVFNRSHIPAIISLSRTDENGLASP AHEMLREISSRNPEVLEAQVQEMCKDLESQAPSAKTSKDTGTEEILKACSGFAKKLPA KLPKERKFFQALVNYALYSPSPRAAKHAVSILMATADRKEMYAKDLVQKCVSKWEYGT DRFLTKLATLSQLNLLAPREADEESDAIVSIAVNKVLLTNRSPKPEAGYIWSDTVDDE TAAKEWALRIIVNRLRAKEGSDDENDFRAHAEPVYSTLNKLVVGEGELSKKKDTPAGQ KSRLRLLAAKSILKLCASHSICDHLLAPQDFNALALVAQDRLAPVRIGFINELKKKLV PNSRLSHRWYIITFLLAFEPNASLKDSTLTWLRSRATFFSQSGGKKKDPVMESIFSRL LSLLAYHPDYPPQDLDEEVKARDLTDFGRYILFYLLAIANEHNLSLIFHIAQRVKQTR DGITKSDEITTRLHTLSDLAQSTIRRFADIYSQQRRFGGGAGGTNILQTYPGKMGLPS SIFAPMSSHREAQEVAEKNFLSEDVDDLLDRLVRSVMRSKGGSQGQAAKKRKPEPTDT TGEAGTTTKKVKKVREKVTRPRKSSGATSRTPKRKNKDEDGWSSDEGAAKTSTATARR RSSRGTSRRVSYADHDSDEDDVEMDDWDQDKDEAEAEEEEEDDNEENENNHANNNHVQ DSEDDGSDLSSPPPSLPPSSPPPSAKKARAEKATTLPSRRSSRRG AFLA_002610 MPSPELSQSGQLPTDIQPDMVQNGSVPPMAAGNHNGEAASGSEQ GPNGSNAIAEGKHNAKAVLAASGVSVTPAGSSHDASNGNPSTGSQSNSANGSAASKKR SRGGSAIHPSSSAEGLAVRVRETPKDKILAEQYVNREFQHSALTAYHNQNQEILNQKR AERDFYLTLRRENHMNPAALYGVGYEGFGNARTDLRGQHPQLLYPSNRRRPGNRRTRE LRVSRKDLKTQSEQIEDLVPIRLDIDWEKIKIRDTFTWNLHDRVVSPDLFAEKLVEDL GLPLESCAPLVRMVSQSIQEQICDYYPQIYMEEEPLDPHLPYSAYKNDEMRILVKLNI TIGQHTLIDQFEWDINDPSNSPEEFAARMTDDLSLSGEFTTAIAHSIREQSQLFTKSL YILSHPFDGRPIDDPDLKSAFLPTPLASSFRPFQAAKEFTPYLYELNEAELERTEVSI SRDQRRQKRSVNRRGGPALPDLKDRQRTIRTMIVSSVIPNSAASIDESNVFKRSGSSR ARRAAVGLRDTGDDSDESDSDESSITGSPAIGPHLAQGTARTRGMRGAASAAHAALRA NLGQSATPEPHHEGRASARRRDYREESIEEPEKLIVKLKIPREKFRQLLTHGPQSIPS LSATPAPQPPSHQGTPQISTPTPSNMAPPSHIQPQARVPGVGTPTQRTVPAQQIGAID ATHPPQPGVPGPPPPNWLAAGLARLKRSYPNDSFEGVMRYTAVDTETMLPVANTNTES GHKLKYQYLPRIRCHDCPGKLYTPGPGTTVENFEVHLRNRQHKERVEERIARTGGAGA NNAP AFLA_002620 MRSSTDLPLRILTNNIRYATKSPFKGEKPWEERRQPLLNQLLYN TRNQDAFICLQEVLHDQLIDIHSGLNREHSAASAEAGEDVWAYIGVGRDDGHEAGEYS PIFYRPSVWQLRHWETVWLSETPHVPSKSWDAASIRIVTIGVFTHHTSRQTILAMNTH LDDQGSRSRFEAAHIILRKIDEYESGEHKDVISAVFLTGDLNSEETQEAYSVLTGNES TLIDAAKAVDPAEHYGNHLTWTGFGYEKEDPSRIDYVLLGPRPMEVLNNGGQPWKVEG YSVLANRFDDGVFNSDHRAVVVDMRLCSKTRTMDPRKPMVSATIDNL AFLA_002630 MCPALIPTSCCTQKGQCITLSLACHDQYPPVVKLTVTQLAFFDR RDFTILPNYWQKIITFSREEHGPVATGGRERSFIQYPRSSAGLTPLGVQSGASSHLAK EPTCKLCPQSFVDLTSYHERGVNHVRDSFLGKPDLLSLSPNFQG AFLA_002640 MFLSRVFCVLAALACVSAARETCVVPAGGSNATDDAPAIVHAFK KCGRGGKVVFKPTTYHIGSVMNITWLEDVDIDLGGTLLWGTNIQYWLNHSLPVGYQNQ STAFILGGNNVRIDGHGIGTLDGNGDTWYQWIKEQPNTSNYPGRPHAITFNGLTNSVV RGLRFLRSQMCHHVEFDSILVNNTGNVVSSSNTDGADTIRSSHITFNNWTVYNGDDSI SLKGNSTDITITNSNLYNGLGIALGSIGQYKDQFETIERLKVSNVNFHNTLHAIYVKT WTADQNGYPPNGGGGGLGYISDVTAKDLKATSLRGAAFAISQCTRFSGAPGEGNCTNS EFQVRDISVLNLSGTTESNRVASLQCSAVAPCTDIALSGINLELKNGTAASEYLCGNV ENQKGFECTGAVCEGGSATGEC AFLA_002650 MVPCLTSPTSVPELRPRDLHYIAIGQQSFLELTLHAQIVIDQQL RLLDSAKVLVAPSYHISILFTLPRQRCHAYGHKGSFGKIDGL AFLA_002660 METHINTHSQLIKRLRAQPVSVPNLLPIFSSWPGAVNPHWRALV PVMNARIDSLFPEPAKATKLKRCDFAHLASTRWPLAGFNELYILAFLSLWLVTWDDQI DDTKRSLSNDFEAAEQYRRETLYFVAQCLDLDITEGLPRSYNDSIFVPDDPIVQSFDV IGEALRDAYTYEQRHRFLREMSLFMVTSHMEQKAKLEGHIPSLEEYWRVRMGTSAVGV ICAVNEYSLRSVIPCAIMEDHDMRTMWNEVNVIASM AFLA_002670 MADTQAQQPAEVDYTLNNPDTLTKYKTAATISHKVLDAVAALCV EGAKIVEICQKGDELLEEEIAKVYKGKKITKGVGHPTTVSPSSHVTPYTPLVSDAQEA ETTLKAGEIAKIQLGAQIDGFGTIVCDQVVVGQDEVTGREADLITATHYANELLLRLM VPPGLLATGTEEEKKKAAAERAPTQAQISQLIEKVAKAYDCNVVENTTSWLFERNEIE AEKKIILSPGTGVKGEGVPDVGEVWGVEIGLSLGSGKVKTLPLRSTLHRRTTTTYGLK RPSSRQTLSEIVKKFGQFPFSLRQLDDEKAAKVGVVECVRGGVLRQYEPAGDADNAPV SRLLTTIAITKNGITKLTAPAAPDFTKVKSDKKIEDEEILKILERPLSKSTGSKKNKN NKKKAAKKADSGDKE AFLA_002680 MAPNLRSSSHVRSNDSRPSTPLHAPDAASPASSFTESTRPRKQR RTGRHSRVATDPPHETPSQGQSQPGQLETGISDTVSDSQLLEKSAEWVEPPVRAPAPS YRDTPWSAVSSDNNPVLATMRPLGTMPSAADMRKAGLGPLRPSTQNTTAKKDQRSVQN GDKEISKPQTPLTPAEESIPEPVIPKDNTAQDVTAFTTLPVPDSTDVDVVKLRSAVEN ALHMASETGNRPVIRGLLRLWETCGKDSFALSVLEGVCGANPGAREQSVFQTVMRTAW KEVQSEENTEVLPAATPAMGRTRSASSVSSLSSAKSLDAETFAPGMGPGPANARSRGR GKHSKTTQKAMDSEASEPPSRRSAFPSSDSTLQRRRALEENPEFSAEAVKAKRTRLQR SFPKITATESRLRSSLASEPPSSITTPAATTASRSQTAAEGAVDDQRERSESPASSDA GDNRRLTPTMATNKENGEENNDFCRECNGSGQLLCCDGCVNSFHFSCLNPPLDPANPP EGDWFCPRCSILKPMGTLLVAVDKVSHKDFALPSRLRSYFAGVQTGDKGQYDEVLPFP RINPRSGRNRSGRYDDPFLLRTVDAKGKLILCHGCGRTTNGRRPIIQCDFCPLAFHMD CIDPPLAIPPAQKPGSDRTYHSWMCPDHSWHDKFFIVQDEEGYDLVKRIRRPKNPRLI DVEILPDEEEDEKIEEQEEEGIMYRVSEKGVKLDFIQRVKRENEELAMKKAVADKYYE YVRQRHDELTSKAHAFYAAQNPEVIEEDTTTAILNSRTAAEREAAANLITFARGNSAE VEDSKISLLIDQLKANVPPDFMPSAESEIASLRSLQRLIELRITDLRTQSAPTESPAA NSPDVELMDAQPTNPSAST AFLA_002690 MKFSASLPLFATLAAAQTVIEGSSFGHGQTLSPTRDTIPGWTIG GEGHSPQVLSNKLILTPPYPGNTRGFAWSQAPVSQSEWSAEFQFRASGVERGGGNLQL WYAKDGQSKIGTSSIYTVGQWDGFALVVDMHAGRGGSIRGFLNDGTTDYKSHRSVDSL AFGHCDYSYRNLGRASVVRIKHTNANLEVTVDDKLCFATDKVSLPAGNTFGVTAATPE NPDSFEVFKFVLQTAQSGTAPPAQQQNNQQQQQPPPQQQQQQQQQQPVAAQAQTQQQP RTDQFVDLAARIELVNKATGNIIREIGNQGKQNENRHLELQQKLATKEQVAGLDARLQ KIEQMLQTIQRDLEGKDYHSRFNQLQDTLRSSHQTLTEHLQGSVLSAITASTPRMGFF IFLIIAFQVFLAVSYIVYKRRRANMPKKFL AFLA_002700 MADLYPSLAQCAIVATAFKILLFPAYKSTDFEVHRNWLAITHSL PVKEWYYEKTSEWTLDYPPIFAAFEWLLSQVAQYADPAMLTVKNLNYDSWQTIYFQRA TVIISELVLVFALNRFIKSAPQSNKHLAHISSLSILLSPGLLIIDHIHFQYNGFMYGV LILSIVLARKQSTLLYSGITFAILLCMKHIHLYLSLAYFVYLLRTYCLDPKSVFRPRF GNIFKLGLGVISVFAVAFGPFIYWNQLLQLKDRLFPFSRGLCHAYWAPNIWAMYSFAD RALILLAPRLGLSINEEALTSVTRGLVGDTSFAVLPEVTKEHTFALTFLFQLLPLIKL WRSPGDWDVFVGAITLCGYASFLFGWHVHEKAVLLIIIPFSLIALRDRRYFSAFRSLA IAGHVSLFPLLFTAAEFPIKTVYTVFWLVLFLFVFEQVAPVPERPRIFPIVDRFSLLY LAVAIPLIIYCSLLHQLIFGLERYEFLPLMFMSSYSALGVVGSWIGFMVVYFTA AFLA_002710 MDFYKQRRFICEITGHSGLTFFEALRSELEESREVNNTFPDALK EPILRRIQFSTVSRVDNLGAYGKFPLDEIYEEFKQDFYPGEPVLILLDDNTRLHGMIR DKANFAEQLHPDGTVKSPAYATYLVKVLDRPNEEALLDQEHITRDRKTFTKQMLRAFI KNNVTRESWNGAPWLVKPSIAEEYRIPTEVPKHLQYGAKVAEKKAMKKADQEGFFGFF ASQQLPELKPAVKGQKSKLSQQDLARSKEAQFLEYQRSLNGNPSFVVSSKTTGAARSS KSQDTEKKSQTATAVIVKTETPRPPSPPPIKYPIEDLDIAPDREKKKQRPTLTFLKVD ETDSPDDEDLLHDDIDMKSVGLLLETWNTLNVYCEVFQLDSFTFDDFLQAMRFSSEDV DCELFVEVHCAVLKKLVNSEKDENGAVQISLPDLPADDSESDEEDQEEEVEETPEPEP VVTRMTTRSSLAKAEAENLKAQANRSRSNSVEVKIHRAAEMFGDYGWIDRLRKRDFRN GGWELVMVGLLHQLSARPRMEKVCNDILKHLAPLDAEPTQDTAQRQYATLDINLRVKA LQIICMLSLDTKAIRNYLEECSNQMTEFRKEKIEYQKARKAGLEELRRLHQERKALQP EPEKSPSPAPELEALEDSKMTGVDVESDQVADTEEEEEVPQRSLRGGLDRVLERKRKH EEEQKRKEQLAKQPKGTKQYQRVLKKIDEQKANIEKLEEKIDVVDNDLREADCPRTRC LGKDRFCNRYWWFERNAMPYGGMPNSSTAEAQFANGRLWVQGPDEMERVGFIDVPEDQ KKQYQKEFHTTPADRKKAEEGPTRLSNADEWGYYDDPDAVDKLIDWLDPRGNRELRLR KELLLHRDNIVKYMRFRAEYLAQTAERADSEEMPTKRMTTRNKTYVDDHKHRCLSWHN TTAMSENGHLHIDASRPTKRAKRATDDPKEIKAVNRQGKPLTRQGSRYHF AFLA_002720 MSAVAPEHVHDSSPISPRNPQSYRVTTDAVSHSHPQMEQVADSR PARGDVSRNAAVATTQTTAVDDASSPGYKKNDHGGPQQMNKRSLDYVLRSGLAGGVAG CAAKTMVAPLDRVKILFQASNPQFAKYTGSWSGLLYAVRDINRHEGRRGLFKGHSATL LRIFPYAAIKFLAYEQIRAVIIPSRDKETPFRRLISGSLAGMTSVFFTYPLELIRVRL AFETKRSSRSSFTDIFRQIYRERVSPPSVPSGLSSSSSASAAATATAEVSSAVNKVVP SSGLANFYRGFTPTLMGMLPYAGVSFLTHDTVGDWLRSPALSQYTTIPGSESQSKKGS HRTQLTAAAELFSGAVAGLVSQTSSYPLEVIRRRMQVGGVVGDGHRLGIAETARTIWL ERGFRGFWIGLSIGYLKIIPMTATSFFVYERMKWSLGI AFLA_002730 MTTRKRNEFLDLAPSDDEDNDRGYDSEAAEESKARISKRRRTQT QDDESDQSDNDSVASDEDLKLSKSKGKAKKPQQRSEEDVISDNDDEQQETSGTQYLDV TEQETKSSKRKPLDKGKPPKKNKTGVVYLSSLPPYLKPFALKSMLEARSFGPITKVFL SPSVRPASAPRRRSNKRKTYTDGWVEFASKKTAKLCAETLNASIVGGRKGGWYHDDVW NMKYLKGFKWGDLMEQVQRERQEREAKQRIEDARARKEDKVFLQGVETGKVLDGMQRK NEEKKKRKMEFGDAGGQQTEELKVRRTFKQNEVKKGRHTIKDGEAALEDDTKRVLGKI F AFLA_002740 MMHRTLLRGPWCRQEVILPLRTITSASKLRRPFPVPSSYKHNPR AFASVSYLASEQPSHSTSTEGKEISSDNEPSKPTSHVPWYLQEDTPVSDSQISSRDQI PDLPEDPPAILSPFLDYVFRDLGLDELKLIDLRGLETPPALGANVIMIIGTARSVKHL NVSADRLCRWLRSNYKLSPYADGLLGRNELKIKLRRKARRARLASRSGVMFDDKDDGI TTGWICVNAGVVEESPVLEKDETFEGFGKVGRGTRIVVQIFTEEKRAEVDLESLWQGA LDRAEREKQKYSEVTRNAPPEEVRDSNSIYLPSSDRESGKVHRSTVSLLFEQKRHFHS TRPTGHPNGRENVDSVARLMASEPPSGRLAHGDVFSAGISTYSLFQYLEGLPSEAARH ELGTGPEDQGSTLFLQLFFNRLSTLSAEKSTVARVKLLCMAISRQHPAYSKEGLWTAF MRCTASGYHVSDDLSFDVVSTLLTESPPDDRNSGSFSPDSDRELAVRVLEHLSLRGTN VLNMKVLNMLHRATSTASPEVAQRISRIIKTLDLPFDADHSRVLMATLFQNQDYNGFW KLWRKLPLTNSPRTGADYEMLFQLHAELGDELRARDCLSTWVPMMAREETPIPLQGRL LQHVKQCLVVADPDIEQSAASGATSDLARIWNECQRNI AFLA_002750 MEYLPSLQQEFDELKPSLFELLAEQQLSDLLPPSIRYILAVATH RHPRYLLRILNSYDEIYALLSLLVERYYLRNFGGSFTENFYSLKRERVLLTKNGEIPR AQLGAPGPVRETLKLRSSDVWKNLLIMVGIPYLKRKLDEGYDIHAAPQASLIMSGGPR YDPNDDLPPNPTIRQRLVHYYKWFLRNVYPSVNAAYYFSILAFNLAYLFDNTKYSSPF LWLIGTRIRRLGGADHKAIADMLEAKPAAGPGGRGRSRPGSGLLGLLSPQNLYPQLLT SLRYFLPASIFALKFLEWWHASDFSRQLARKATEVLDLPAPVTNGMVLPSERKKLAEE KEKKKQEPDSPTRKSALKSSRKRIQPPISATSYLPIFTVPLPPPDSDAASTCPICLNQ LANPTACQTGYVFCYVCVFHWLNGEHQRQIDFMNGEGAGAAGEDESEDDEAKGSDEKK GDGQGQSREGKWESGKGRCPVTGRRVLGGTEGLRRVLI AFLA_002760 MTRSSAALIVALAACWNAQGSAGLRDDPAILRRACPDYLTYSTA PHPPYSGGPLNLPFQRPAQECRTFSSPAVEQVIEDITSRIEDKDLAQLFKNAFPNTLD TTIRWHTDGTSAQPSRRAKRAGSQWNGPQTFVVTGDINAEWLRDSTNQLSGYQALAKK DKNLHNLILGAINTQAEFVIQSPYCNAFQPPPPSGIQATDNGQDDKVHPAYEPSVVFE CKYELDSLANFLALGTEFYENTGSTEFLTDRWYLALDTLLKVLDAQSQPTFNNDQFVT NQYTFQRTTTLGTETLNLAGVGNPLNHGTGLIRSAFRPSDDATILGFFIPPNAMMAVQ LKKTAEVLRKAGGKADLAQQLQDRGENLDKAVREHGIVNHPTFGDVFAFEVDGYGSRI LMDDANVPSLLSLPVLGYVDKDDKVYQNTRKMVLSQDGNPYYLTGSAFHGIGGPHIGL QNAWPMSLLIQAQTSDSDAEIIECINLVRNSSLLGLVHESINVNNIEKYTRPWFAWAN SVFAQTILKVAKERPHLIFGEGAKPYVIE AFLA_002770 MSAGRMLYDFHHNENNKKPQSVNATYIITGVQKAPAPATNGHTN GEDNRDDVFPSSPYLSSSMPNQDSAPDTVATASVLLVREEDLEGRAPLVSHAGLDLQQ TVLQDLNVLTDVSRETVSNHSQEDPLEYGGQWGMIQNKNVKRRTGSRPPPAPAATKSK PTIPSKRPSEATSSQIKPEPKKEETAASEQASTRESTPSTASKPTEKAAPLKREKSNL FSSFAKAKPKQKKEESATPAESAEPSGAEDVFGDDDDADEEPEELFPDSGKSASSAAA TRESRKEREEKLKQMMEDDDEDEDEEMPDATEPPGESKPIDQPPPKKPELKEEITVQG GRRRGRRQVMKKQVRKDDEGYLGKALVGCRGILANHCCSVTVEEPSWESFSEDEPAPP PKKKPAVSALKGKPAGKGQGNIMSFFGKK AFLA_002780 MEQTFDLPDSTDWLGTPLSLLTPLESALRCQVCKDFFDNPVITS CCHTFCSLCIRRCLSTEGKCPACRSSDQELKLRRNWAVQELVEAFQNARPSVLDLAKR AATEDREDAEVGTAQPASKKRKVDERNGSNSEVSEGRQTRSRTKGVERQTEPATMDVV EDSQDEEYVPGRFFYICSGFRVKSNELWLEDGLVACPICNRRMKNEAVFQHLDNCTGD PVPPKKISFGHSSLQPMSPASRTLNKAPERLPTINYSLLKDNVLRKKLKDLGIPNWGP RPLLQRRHTEWMNLWNANCDSKTPKSKRELLHELGVWERTQGGSAHPSAESAGSVMRK DFDATAWSTTHESDFKQLIANARKRSDALVRTTIPSAAPAQSQESPTVEQPVEATIPT NMQKPEQPLVDLTSAAYPKIQHAQEPGESEEPYTLPG AFLA_002790 MATMTKQVEGLENKISELNSAAKAALHNKNRISALSAVRSKKLA ERNLQQRLDTLAQLEEVYSKIEQATSQIEYVQVMEASTGVLRGLNTQIGGAERVEDVV DELREEMSKVDEIGNIMNEAGPQVDEAEIDEELEELENKERQAVEEEEAEKTRQKLAE LDNLEQKAKEAARIAGSEQNLDSELEERLSRMSVEENPSTAAQ AFLA_002800 MTSPGDAAPVRPPPSFSPGVPRVASKTRYPDQRRDSSPNLDSDL VDSRIATSPTQPPLSATAAELPIRSASPHARSIRSSTPQLTRSSLGSPTEGRLDGSED IRSLIIRSFSPVVGVYSSVDTDELVRQKGFAGGFWELIRPFGETVPGKIVVRDSVGAS RGWEDYGVRFVDLGGNPQVSSEPRAPPLTQLEEALERQLESPDDPLGGILRPKDFLSF PTTSPLYKSFLRQLLSVSSPTPHETFRHPVASVIAISSRNTSPLETLRRLYADTSNGD RKLPEWVHPEYLRYYVLVHDEDRDDITESTKLYDQMKRHFGLHCHLLRLRSNQCVVTD DDSCQVPEAEWLSPTERLSGRSEPLVDLDTDGQPYLFESDVTAIKAFVRELVAQSVIP YMENRVTVWNDQVASRRRGISGRFMSMSRRWAGFGSGSRSSLIGSGGGTSGNYDLAHG FYKPDVPEAILRKMADFAFMLRDWKLSASTYELLRSDYANDKAWKYHAGVHEMCAVSM LLNPLSMPGKSKINDIDQMIETACYSYLTRCSDAPNALRCLSLSMELLKSRGGSGIES AARWAMRAMDLSLVGSIGQALLSERISAATPPEMQSTESDLAHGIEKLACGVFSLRTD GSD AFLA_002810 MLDQDQMISNADKAEGGGPCYLIAKYKKTGRMTEVINAGTDNKL IESYCNFLLSWKRSMFKPTSLRILPEIYQFSPTQQFLRTVYVSTQSTRFPTNTRSTPL VLNPPICPWRQTVCNYSMNHKKPRNSSPERATKRRRISSPISRKNPSDEMTATPDQTH PIIQLTPIENTLKSLLLDVADYIRERSIAEGGNAVDTPRTVLRFTGGWVRDKLLGIDS HDIDVGINNMTGYQFGLLLKDYLDIPENLQKYKKNHNNGQLKDAIVSLHKIEANPEKS KHLETVTTKIFGLDIDLVNLRKETYSDDSRNPQMEFGTAEEDAMRRDATINALFYNLN ESKVEDFTRRGFQDMRDQVIRTPMEPYQTFKDDPLRVLRLIRFASRLGYRIDEDTENA MKNKDISEALKLKISRERVGTEMTKMLKGPDPRGALQFIDRLELYPTIFANHQDDVGV DTSSWAPAYNALQKLLHPNNNSIPIARVRDLLIRDAQEAYYAWVIAAFAPWSTVPDRV AQGPKPRPPPARAAEVARDSLRSDNKTINLLRDAARHWRSIVDVKSSLLQGRMSGTAA EIRQQIGLHIRTWSKDWRLCCTLAILQEVAQGGEFNKGEMIQEYNQFLSYLVEHDLEN VYDMKPIVNGVEIAQNLASPKGPWMSKALDMVIKWQLLHPEITDKAKALEEVSSRKEE LDIRSK AFLA_002820 MSTTTTTTTSPSTIMIEGAGAASTIGSRPTQQDQYTFLLPENFL TKSGDKIAFFAVYDGHGSSKVSKHANENILRFLQESPELESGRYEEAIKEAIKKEEAE LLKDFRDGEEQFATSGSTAALALVNLTQGFLVIGNLGDSHILMTDYTSSSEGATNIRR ITRSHKPGDSDEKNRIMDAGGMVNTDSGTERLGSLNMSRALGDLQYKDPLINSGTGPL NEAQIRADVSSSIDQWNLLSNEPYLSRVDLNDGSQHVLILTSDGVTNSLEDEIMVHGL LTCYRSGLNATESAKYIVDEAADVPGSDNATCIAVFIGGAS AFLA_002830 MVTENKKKRGLIIVSNRLPLSVKEENGTYKSSLSSGGLVTALSG LTKSTNFRWFGWPGKAIEDPEEQKKVSDALAENSAVGIFLSEQLAQDHYNKFSRKNCP IGFTLHTPFPAEDFWRAIPVQKDLLKGLLACDVIGFHTDEYRRNFTESCERSLGANAE KEGQLEYEGHTACVGTFVVGIDPQKFNDSMQDPDVQKRIKELERRYEGKTVIIGVDRL DYTKGLVQKLEGWDHFLKTYPELEGKVTLIQVAIPSREDVKEYQELEKEISTLVGKIN GEHATPDGTPLIYMHRSVSFTELTALYCISDICLLTSRRDGMNLVASEYVACQENKHG VLVLSELAGAASFMSGGSVTFHPSSVQELSDAVHQAISMDDKERKERYENLREFITTH TSAKWGEAFIEALSRHIQE AFLA_002840 MAPRVSDAIKEDHRELEQYYERITQSSDQDEQTRYQNLFTWELA RHSIGEELVIYPALEKHVANGKALAEKDRKEHQSVKEQLKKFQNLKASDADFIPTLEA LMKDLAPHIKEEESTDLPALEEALSPEESEKLSKSFGRTKMFVPSRSHPSAPSKPPYE TAVGLLAAPIDHLADLFRKWPDTSTMPNPSTE AFLA_002850 MPSKVNIDTSLKDEKNDMLNGDGPNITVVAKEAPITVKRIPATH INEAIDNPGVARADVAVSIEKPSGDQEWANKVRGYTPLQQHVLFWDRDGDGMIFPWDT YIGFRELGFNILFSFLAVLIININFSYPTRLAYSWLPDLWFRVYVRSVHKAKHGSDSG TYDPEGRFIPQLFENLFAKWDSDNDGALTLRELFQLMHGHRCAADPFGWGAALFEWGT TWLLIQKDGKVYKEDLRAVYDVGDHSSRLSWVFLDEGSIFWKIREARKSSPGWTQGFG LGGDGFVGGEKIYS AFLA_002860 MALVAQSATFIHQYISSFLHSKPRKSPEALKLGILSSAQINAAA VIHPAETHPDVILYAIASRDASTAAQAAKQYNITKSYGSYQELLDDPAVDIVYVSTPN GQHYEWTSKALQAGKHVLCEKPFTSNADEAKGLVSLAKEKGLTLEEAFHWQFHPAAHA WRHILESGQHGRILRTKAVMTASPGVPKGDIRWQYDLGGGSAMDMTYALSFTRYALRA RHPKAINSVTARVSSDDPRVDAAMYAYLTFVGPQDTEVHSQIYTDMERQWVVGVVPRF WELPSIEVETERAIIFFYNAMMPHLYHYISVTDKTTGQTRYHKQYKGGPLWGNVTTTG GKGGSSHWSTYRWQLEAFVDAVRGKTPTYWIPGEDSICQMECIDALYQAAGLPVRGAP AKKG AFLA_002870 MEELRRACREALSQRDSTSSTFPEAQVVNIALPEELDLLWQDVS SQGNSSNLEICESLLVAYNFFKARGPKDLCRADEHAANSIYSWASDAALPSPVYAQIE ETSDEKNDVILEDQLRSRIALSVLATLSESLPVSKAENVADIVIALASFSSTEDPWTT QEAFTYATTLLNAFASTTATNKESNTTFWSVIEKILKDRIRPLFAKTRNPAITSAGRK NFHPVPLPRFDAGILDPETKPWKIQDIYATAVLSWIIQQYKPTNQTNLETHFPLLVPP ILALIDDDTSAIKTKGCTLLRNLLTPIQQTKSPILHRTNLTSVFEDALKPCLLSLPTI TPEPDSIDLLKEAYPALLTLQKTTYTNTPSPSPQSQSKTQPNKLETYISRLTTTLREN LIPSFHHISSSNTTSLSSDFASFPYPRLSTLLLEQMVPVLGELGIHTTKFLQDIVPIL HNTLANPFGPAYPPMLLRAVEVARGVVLNAHPRVWRWRGELLDALCSCWIHVVEEEGE IVERGKRGGESESEGAVMERLKKELRGVVYLLKFALQNSIQVDGGEGQLEAKENLDKE LRELVDADESLKGLLLEGIDANDGGFFGEA AFLA_002880 MESLEEITRPRDPAEGTVDDGSSRTTSVADQSLANSLASLNIGS ADASFKSISSNKVPDEQVDRKFTEQEDSSIPPTRVLSPYAPVPYNPPSAAAISDDGAD EDEEPRIATSFERDSTPTSLQKHRSMFFDTGEEGINRMHKFSLYETATRFYMVGMDLS DTRFRILKIDRTTETGDLNVAEDDIVYSKREMSQLLDAIDDGNKISGGLKLRCSAWAM LGFIKFTDTYYMLLVTKRSQVAMLGGHYVYQIDGTELISLTNSSSSKLRPDKNPEEAR YIAILNNLDLTRSFYFSYSYNITHTLQRNICRERKAHRDGYPKPSQQDYNTMFIWNHH LLSPALENLKNPYEWCLPIIHGYVEQAKMSVYGRLVYITIIARRSRFFAGARFLKRGA NDLGYVANDVETEQIVSEMTTTSFHAAGPDLYANPLYTSYVQHRGSIPLYWTQENSGV SPKPDIELNLVDPFYSAAALHFDNLFERYGAPVYILNLIKSRERTPRESKLLKEYTNA INYLNQFLPEDKKLIYKPWDMSRAAKSRDQDVIGTLEEIAGEIIPKTGFFKNGHDAES GLRLQNGIARTNCIDCLDRTNAAQFVIGKRALGHQLHALGIIEGTTVEYDTDAVNLFT DMWHDHGDTIAIQYGGSHLVNTMATYRKINQWSSHSRDMVESFKRYYNNSFLDAQRQE AYNLFLGNYIFSQGQPMLWDLSTDYYLHHANPRSWSNKPRPNYIRWYTPDNLKEKEMP PPPSPPKEPLSRYDDHWLEYYRPLAISSFSKIFSYKMNSTLRYLPFRPSSGALYDLSP FVARIPNEQVNRERQHQQRSVRIQEPDFVRDRPERTQFGAVTPAPNWHLSTNQGSGLM RDSSFGASETSNSTQRSTPSKAQIAQWTLGQLVSDSLNPSVTSAEAEEYERYINHPLK VPLVVTSRDDLTATSARERESNLDLIEYVNKCNVEDSALKANADQNMADYAEFLNISD EGLTVTAEDHEMKRYKRYRQWLRGKSLFKQRVDI AFLA_002890 MNSNTPTDIVSFCFETQADHNATGPILIDGLTPTRSLTLHQFRQ LVCQLIAGLHEQKIQQGQCILVHLENSILYPALFLAIVGVGAVYMGAHPASSATELEH LLSLANPSLIITGRDTLSTVLQCTMSPSGGKKEKIPSDRVWVLNDIDQVLCEAFSSTP DASMGDAAYHHRRDITKLLHSGQRPWRTFDDDGQKSKITPAAMFATSGTSGLPKAAIL SHHALIQQHISIHHPVPYPVTRLLTLPLFHRYGALVALFFPTRYAQPLILLPGFQLRP FLSAIHVHGVTETYLSPAMVHILIQSTPQSSSIRESLRSLRYVCVGGAPIDSRPLQSL QDMLHPEACVAQAWGMTETATVFQDRYCLPSRQFDKGSVGVVLPGYQVRLVDVSGSGR VLDNATEIPGELQVRGSGLFTSYKGHPDHTDGDGWFSTGDVMYQKNGHYFLVGRMKEM IKVRGYQVSPVELEAELAQHPLVKDAAVIGVLATDGSSELPRAYVVPLSWAERPSPED IYDFMRQRLAGYKFLEGGVVFVDSIPRNSGGKIRRTKLSELDDQRDKLIALLT AFLA_002900 MGVPRHTPPAMKVDERVAIIGTGCRFPGGSNSPHELWELLVNPR DVARKVPPDRFNIAAFHHPQMGHHGTTAAWESYFLDENIQRFDASFFNISPTEAAAMD PQQRLLLETVYESLDRAGLRLEELQGTQTGVFCGLMRHDYHRLLTADMETNPPYALAG TAGSVLANRVSYFFDWHGPSITIDTACSSSLVAVHLACESLRKGECSLAIVGGSNLLL SPDPYIWESKMQLLSPTNRCHMWDASADGFACGEGVASVVLKRLTDALADGDHIECVI RATGVNSDGRSPGLTMPNSNAQSALIRDTYARAGLHPKQNPHDRCQFFEAHGTGTKAG DPQEAAAIQDALFGCNMEENQPNNETVYVGSIKTIIGHTAGAAGLAGVIRASLALQNG VVPPNLHFNRVSDTVAPHTTHLEVPTRAVRWPELPSGVPRRVSVNSFGFGGTNAHAIL ESFDQASRHPSTQVERVHQSQQALLPIVFSAASPSSLADLLEGYVQWLFDNPNVDLLG LASSLLLRRSTLRYRKAFIAASPDELRIKIQHELKRNTTDAQWAIMSPPKREGGNCIL GVFTGQGAQWPQMGLELIQNCPQARMRLRELQQSLDDLPIEYRPGFTLLDELSAPESQ SRLGETALSLPLRTALQIIQIDLLRALGITFNAVVGHSSGEIAAVYAAGILNATDAIR VAYLRGFAVKHAASRGKMIAVNLTEHQANAICSQPMWKGQVAVAAYNSPSNVTLSGDP ETMDELVWLLRSLERHTHPLNTDAAYHSHHMQPCAGPYLQALKSCNVGVSSPSSVQMF SSVYKGLVVNSTDCALDSTYWCDNMLRPVLFSQAISTCLDQIPDINLIIEVGPHTALQ GSIKHILHDTLSEGSVVPYIGLAHRGEDSIQSMAAAIGRLWAYLGMRDLKLQQYIQLF GPFRESCCVQSLPTYPFDHRTSYWAEPRLSQARLHCPIPPHPLLGVLSSECGRDEWRW RNYLHLEEIPWLTGHRILSDISYPPMGYIAMAVEAAQAASRSKPLQLVEIHSLIIERT ISIPVEGPGIETLFKMDIESADNDTMTGTFQCQISCGNEFQKCASGRVILALGEANPT VLPSKSKGMSISYPMNVDKFYNQLQIVGGNVSDIFRGITELTRQEGGMQGVANVPSHD QPTFHPVVMTTALQVLWGAMMSDEGRLSALPLPVRIDSVTINPSCSHSGHVCLEASIT RTGSGRNGCGDVLVFNGQGDGIAQLEGIHLTLSKPKNSSDDQALAFGTTVWGPLNPDP SIGYPKNLPYNLSIQNLQARLAVLYLRDAQAGLTAQDRERLVSHRRHYVAWMDSTLSK IRDGVHPHYPRDWLLGTIGELDSQTTSHETLIHVTHIVGQNLLQFLSGGEETILLKLR DNNIDLLTRYYQDDEAMRIMSDSLGKVVSQIVFRNPQLHVLEVGAGTGSATRAILSSI GRNYHSYTYTDISPAFFEGASAAFHTHEDRFIYKVLDVECDVTDQGFSMHSYDVVIAS NVLHATRSLRRTLMNIRKLIKPSGYLVLLEGTDPDRVPTPFIFGAFEGWWLGEDDGRS GGPLIRREEWDVLLQCTGFGRCTSYTPTNQANLYGMSVIVSQPTDMPAIPVIEMDLLL VGGSTETTRQIILDLKTILRDSFVQISSCLSVDDFTPGPGISQLAILCLAELDHHSEE TRWQWQDMRLMMTAASCLLWVSPADDPHSGVSKGLLRSLALDSSSGLLQHLTVIDSTP IGAEMLATTLMNLVRTKQEGMGRPEIELGWGEGILNIPRIVRDPTITQRLLASRSPCV FNLVDVREQAVCRLVSTEGSQKEKVDNATTSAAKLFSNESLVQYQVHYCTQAALTITE KCSLFLIVGQNVFNGARQLALSISHGSIISTPLSWAWDVPASVSTDNEPKLLAAVAAT ILAFAIADLAGPSSTLWVHEAQAMGPTFMDALVSAVSDRQDIKNLTLTTSQCGLSDTR VHFVHPHSSTKTLSSVLPRNVSSAVLFDDGRLSRRSRLVLPRCANFRSFSDFFRPSSI IEEIDIQSVATILNSACAFVTRKGYEKGSSPRIISPREQSSVDSLEVVNWRQPTWIEA QILPASSLVSLSPTMAYVVVNMNHKLRRLVLDFLVRQGARHIVWVGEWSDEDFAWIAQ LSRDEVHVAVVQMWAFLSSISDLYDQLTSTRKAEIPISSLQTYMPIGGIIYDGLKDSP QQAAENTLLLDELCGNDATFFILVGSLLGHIGFTDSNSFIGSTTGVLSGVISRRRQRG YVGSVLYLGEQNAVEDITLSAGDIREAFSEAILLGPPESTSNGEILAGLRNSEKWELV PKLSAWNESKTLLESDDKSQSAGQGQAHDADAVTQLKLATSVAEAREIILQLFKEKLR RKLGLSSDVPLRRETLLHELGIDSLVAVDIHIWFARELGAKIPVVQIMGAGSIGSMVD EVVKRRNGFT AFLA_002910 MSLRSLFRSKLIGTWVLLEYRTESLKSKQVKWPFGSSPKGILIY SPAGYMSAQVMRPGTPQHEGQEFLSGTDEELAVAMRHYLAYSGRFTVPDMASTENSTR RVIHEVEMSSYPNWIGTTQERVVHIKGDILELSTVHPLVISGIEQRSFLTWRKLPRLT AFLA_002920 MLVLVSLVLCLTGFCLLQWALKERKIVKGLPPGPRPKPIIGNLL DLPPPGALDWLHWLKHKELYGHNPHINHIPFSIGPISSVTIFGQTIIIINGHRVANEL MEKRSGVHSSRPHVPIAELAGWQYTLGFIPYDSRLRAYRRALHQEMGNATSISKYHNI LDMETHRLLFRILKTPDCLMQHLRKEAGSIILRITYGYITEPEAYDPLIDLVDKAMED FAQVILPGGWLVNFIPMLKYLPSWFPGCDWQRRAKAFKQRAKAMTDIPYAFVKQQHEQ QKHIPSYVSRLLEQNNIKLGSEEELVVKWSAQSIYGGGAETSVSVFACFFQVMALHLN VQKKAQEEIDRVVGASRLPDLSDCKNLPYINAVVKEVLRWHPVAPMGVAHASSKEDIY HGYVIPKGAILVPNIWAMAHDPDFYHNAMDFEPERFLKSGRNEQNPEYDPHQFIFGFG RRTCPGQHLVSANLSLGVARVLAVFNITNAVRDGKKVPISPEFSPGVISRPAPFELSI QVRNAECKRLIEAVGMKFPWEESHAEALAQLRI AFLA_002930 MWLGVMPVSMVIYGWTLDLEVGGMAVPIISVFFAAGGIVVAFNG LNTYTAEALPEHRFAAISGKYIIQYGFGAVSTAAVVPLIDAIGVATIWSVIECAVAIL CACLPTITPVLRIVCLKLNCPCWSEGRSGSQGTVNNDNPAPVRRRVFKVWDSISFSQG ISTLPTQNTRTTQSDQQSLVNTPPSTENTDLELSHLTVCSTVRSDRSTLGDPALPPGH V AFLA_002940 MRCLSFCQVGLAVLVFLSGQGALADLGIDVNNVDSLKQAGKAVA APMMDFYKKNETEGIPGKLTDTWYVAGSMFMTLIQYWQASGDDTYNAVVSNDLMFQAG ENYDYYSKNVSDWLGNDDQMFWGLATITASEAGFPEISGKPSWTSLARVVFNMEVERW DKSACNGGMRWQLWPYQEGYTMKNAISNGGLFELAARLARFTKNETYSEWADRIWDWS ASTPLLQTDRWYIADSTSNLNNCSDAGDQQWSYNYGTYLAGAAFMYNHTNGSDKWLKR VDGLLNSVLTTFFPKEGNGVVLSEVACEPILTCDRNQLCFKGYVAMWLAFTAILVPST RELITPKLQGSAAAISKQCSGGDQNLCGERWYSTEPVGPTGLEVQMAALGGITSNLML FEAQSPKTIESNPNATETEIDHHSDEEPNKPKPITTGDRAGAGIITVVVAIAVAGTVV WMIVP AFLA_002950 MPIMNRGRTNTDHERHPGDEPRDAPSPTKFTPQRVTSTPTNKGK RPKKARIYRESSEDTGSSSGTREGTEPSSRPVDNEGFHIARPVSDEEVERFLLLLQPK SSQQCGPNAQKTPDVQGSNDPKSATTKGQDHDFFGGHQGEV AFLA_002960 MEQLVNIIELTKDRPTDDVRIGGMTALLKVMSTRALEKSVREAQ QILGGAGYNKAGKGARIEQISRDARVHVVGGGSEEIMMGLALQEETKALRTRRKALEK KARL AFLA_002970 MSMFRSPADFSSDSESEESGLDKGPSEQEDAPSSRSTAKKPKAT GTGTKSPPLLEGSSYESLDGLANENNDLDVDTEVHSNMMTAALLEFYCLSRAADILNA QEGSHKRYTRDSPEVQYLGRKMYNYKSRFLSSHGVIAGGIDKDELRTTRQYYRDNLDV LGMSALEDLSIDDAQRRVPSRTTTGDIVLASKASNTVQHNPDQAYAGPAKRGLYRPSL ADIGKRLPSTENIRALENVHLDVGSLPSQSLHLAGSSPASFPLFETNLPTPHNHLSRY ATEFAEVKVLGRGSFGEVYHVKNHIDGQPYAVKKIPISQRRLEQLQDGNENQLESIMK EIRTLARLEHTNVVRYYGAWVEQAHVSGYVRTRHEAVGVESENTQSALAGPEPTDSES FGVVFEYSQASAGDIEDDEDTESIPRDFDAFTNSQVSTFGGTDDDIFTDGLSYDPSKL QIQRRHRGGPQVPAVVLHIQMSLHPISLSSYLNSQPREVEDGQVPRRHCYHLAPSLRL MLRIISGVEYLHSKGIVHRDLKPANIFLSCPESRDSEGCSPCQLDNEHSSWYSHPRIG DFGLVADISHFNENQSDSTSLQHRTKVNRVVGTEFYRPPLIHPYVDSPENSEETGSCY KIDESLDVFALGVILFELLYRLNTKMERQFVLGELTRCQQDQSTGSAIGRASFPCDFA QKIDLGLETFNGEVCIAEELMTCISGMLEPLPQKRWSLSDVKQHLQALLTVAQRAPCS AFLA_002980 MESSKTTADIAIVGAGIVGSALAYFLSQTDKRVVLIDRSFSELK GSTGHAPGFVGQFNESEVLTRLAIETVGEYTKVPGGFDVVGGLEIATSCEGVARLRSR CEMAKRAGLSAELISSGQATSLAPELVNDDNQIALYFPGDGAANAIRITTFYHENARA RGVELIEAEVTEVQQANGCVNGVMTTSGLIPAKKVIIATGIWATNLCKFDIPIPIVPV AHPYMYGEHHAPKLRKAPWVRWPQHHVYARDHGTFFGLGSYDHAPVFNEPRDTAIGDW IGQFDETLSQAMRFIPEETQLVPRERFNGIFSMTPDNMPLVGSIPSIEGLYMAAAVWV THAAGAAKFLAQILEDQPVDDGIRRALDPSRFQGRDMATLTRESLNGYNNIYKTEESR AQSM AFLA_002990 MPEAVATPQKRVLGDASNNPRGILKSPDASKKRKIDNRLSARVN PPSQNGQRKVPGSSQLQKSQFEEEVLEKLTQDINDLKDSNAEKDQQWERPPLGEFDPT KENVCFQQIDAEEGTLLGGKPAVRLFGVTEAGQSVLLHVTGFQHYLYIAAPVNFTKED CDPYRAFLESRIGNFQTMIQSVQVTLRENIYGYQGNQKSWYLKITVTEPKFISKLRGA LENGGQSMNYKGLWTGIEKIATFDNIQYLLRFMIDTNISGMSWVEAKAGKYRLIHEKE KHSNCQIEAVVDYHDLIAHPPNGEWAKMAPLRILSFDIECAGRKGIFPEPNQDPVIQI ANVVTRYGESKPFIRNVFVLDTCSLIVNTQILEFEKEEKMLMAWRDFVQKVDPDVIIG YNIANFDFPYLLDRAKHLKCTGFPYWTRLNGVMSQAKETNFSSKQMGNRDTKATNTNG RIQLDLLQLVQRDYHLRSYTLNSVSYEFLGEQKEDVHHTMITELHNGTPDSRRRLAVY CLKDAYLPQRLMDKLMCLINYTEMARVTGVPFNFLLSRGQQVKFMSQLFRKALEQQLV IPNNTPESEQEYEGATVIEPVRGYYNVPIATLDFASLYPSIIQAHNLCYTTLLNKKAV ERYNLKKDEDYIVTPNGDLFCTTKVRKGLLSQILEELLAARKRAKKELAVETDPFKKA VLNGRQLALKISANSVYGLTGATVGKLPCLPIASSTTSYGRQMIEKTKQEVEARYTIA NGYSHDAKVIYGDTDSVMVKFGVTELADAMKLGQEASEYVSSKFLKPIKLEFEKVYFP YLLINKKRYAGLYWTKTEKYDKMDTKGIETVRRDNCLLVQNVIETVLHKILIDRDVDS AQEYVKETISDLLQNKVDMSKLVITKALSKSDYAAKQAHVELAERMKKRDAGSAPTLG DRVAYVIVKGAGGSKNYEKSEDPIYVLENNIPIDTKYYLDNQLANPLGRIFEPILGEK KAGQLLTGEHTRSISVAAPTMGGLMKFAKKTATCMGCKKPLSGKAEMAGAVCEHCQPR LGELYTRSLTKVSDLEVRFGRLWTQCQRCQGSLHCEVICSSRDCPIFYMRMKAKKDVE DAQKELSRFDFDAGAW AFLA_003000 MYLAAHLQRSRAPPLLTTGDAVASFLERPDDTTKGIFVGCSVVA IGLLAVRLPSAVANPQGLWDYESNVKGTGAFVLGTVSEMQGVVTANSVQLLVTVGYYF YNSVLTSMLASAEYSSYGTDRKPLRVTWPVKGSQQRSTYWLSVPYKYGIPVMILFMVI HCPDDQPLYGEKFSSLGASVLPMFIAGLVGLVLFILLLCLAFRRLKSTILLAGSCSAA ISAACHPPEDVCNATAAHGELLWGETSLPRDCVDDQSDGCDLPRGHCSFTPLDARQPS LNKLYA AFLA_003010 MTNHLAAISPAKGGPFELETRPTPKPGPDELLIAVKSVALNPAD AIMRDQGLFISTYPTVIGFDMSGLVLEVGDNVPASYQPGTRVAAYAASVWKSCDPNYG PFQERCLVPWQHAVLLPEGMSWNHAATLPVAVQVPLSAWDAMGIPRKGEDTAKITMGK REALLIWGASSSVGTMGVQTARLLRDNPNSSFAAVYATAGSANRSYVGSLGADRVFDY KDPQVVNAIISAAKEDRLVIRHCFLATGQLASCQAVLEAFLGEDHEGETAKIASAPVV PPDAMVMDGVETIFVMPSMLEEERLEQFRYWIGTWLKENLTKRTIRPSPELSVVGKGL GAINAGLDKLLRGVSCTKLVVEIAE AFLA_003020 MSQTNDRPSLNPTVEEEDEEIDTEPPTTETTAPRNRISWPEICA QHESVLSSHIEMLNMVRDNVSNGDALQMVAGMIEKTNRLMTQFRVVKKQLVETENFEK SSEKPTATANEYRTTSTSSSRDSSKRRRSSSKERRKRYRVETDSMDVESESIDTMPVG AVQDQKRKRLDMMMAGGDEDVRNVTPVALETEDISEEVQRRLEIKEEQRRKRSSKPEK RKRDSMASTGSTSSPGIAKPKKKTKTESSQDGNIDVPWDTGRKKKILKPFDSEQGSDV GSFEEKRRTKRQKRNSGTPVF AFLA_003030 MASFGEGPVVSHPGQGFVQTAGANNRTGRRPQGTSSGNRRRGRR NNEVSEINQRSRPSPPSGPRGYRGGNNHPANGNNRVQKQAPRQPQGPGGKTKFTPRRS RRGPGPQGAGPQHGGANNAPRNPGQHRGPYPRVSRDGDTIMRDAPALNKQPVRRPGIS VPHPPPQRDVVMVDVFATPPPSEVEDVVMLDVFTTSHPVEQQFAALAIAAPQFATSTG EEPQDIEMMDAPPLYFY AFLA_003040 MSITTPEDIQTRREEDYARFKNYAAYTFLVASPILIALPPRKLD HLTVLLGTAFAFSANHITYDRTGRSIVDRVHSKISSGRTIVPSGLPSERALEIQARLR ASREKQLREGGLTTEEIEKLRAREMQDKGVMERVWMGGEKEGWKERRLREEREALDAG KGYGDLIKEHVWDVWTWGKKDGEEKKE AFLA_003050 MSDMNIDDCTLATCPIDLAYINYQPNIPANVLFLVIFGLLLAAQ ITLGTWFRTWTYMGAMTAGLILEILGYIGRLMMHSNPFDFNAFLLYLICLTIAPAFFT AAIYICLGRIVIVYGEDISRIRPRTYTILFVTCDIIALVLQAAGGAITSIADSDQKSL GDTGVNIMIAGLAFQVASLTLFIVLASEFALRVRRSSEVMKNASTASVRSGLKWKMFL LSYANPIKGLAIAVLTIFTRSIFRVAELKGGFNSHLANDEIALMILESTMIAIACICM TAAHPAVAMGRRWGELSAKSRRGVVSSKMSHASSEIEMMNA AFLA_003060 MLAPADIEVMIRSLQSDFEDCKARLPVPIDHDAFQGISSHAFDI HLYQTIFFDVYPSSAASIDHSMALLRIDALCHGLAAAKQFMSFYFSLPPGIEKGYSYT QWTMTGFSIAASCKLVLASLEPSVRDHDQVRGLRETLDMRHEIQKSVKRMNTLDQECK GGKWDQHEMFFYQDWLRFLSEWFEEKYRLAESDSAGENNGALGVFTTGISEKNIDEEP QPDPGFPWVGLQDVTIEEMLNVWLEPMNMPHYF AFLA_003070 MQPEQQPFIPNSSKPMRNDRDNLLRPVHSQDERPKSSTLSGSQQ QRSIDTPAAPCSPKRPLEPRQLRYNKWQLMFDLLSAISALPFVALALVAMIFNSRSVD EHDWDDLQIAMKTSVTVFPLIYSAVIGRAIRQIAKQNLERGSTLGRLEQLNKSTTVFG AISTPLMLKCWNILGLVLVLIWLISPLGGQASLYLIRPLTLEHVERQEVQYLDINGLS KAPNLSSFPRLTNALEAMFLACLIAPVSVKEADSDAWGNVKIPLLRSLVRNNTAQSEG WFKPAGNNITYSSLVGVPMFGISSRGNSTFQLQTSYIDTDCFELGEPPDELVYEYKGQ SGKFRIYPAAPWNATRSVNASVAPDIHVLSGYGREATNGAMITCHLTNVYVELKVLCS GSNTTAQSQRSCRVTAIRESVEPHQSSSLPPLMYPDFNVWDTFAQGLVNASLSVNRKL STYTEAYLQNIDAAETIPLPKYLLKTPLHDISDHLTQIMNTFYLGSIALPFVTLGPNL PSEGNYSKYSEAYEAFDRSSLASLVAERAYRDTTATYVVNWGWAVVLLLASLVLIGAS VVSFLVARQSLNPDIIGYASTLTREAPYLPLSSAASALSGYERARWLKDVRIRFGDVQ PESTVGRLAISTPDLADRSRKGRLYF AFLA_003080 MELEVYHRPSSSHAASFPETGSSHNSDSQLYPKNASVFAVESDS QRDQASFDIVGWPIGSRKLSRLDIDTENTDYSSLTGIVIDGVKDANGETEFTMEAPYY NLHMSSVEGEPTFNSKGFDFEDDGMYTIDKSQTRYGLTEVYFNFTIPDTNFKASFNLT EQYVDMRVKCIDGIANCATTAIRPISRTSLHANGTYWADMRAIHTLFSYLRSAGSERS LTEPYFRNPDTPLAADIYTGSTFTIPVDIFLLRLTQVVNTYALLLSASSGGEVYNGTG TFTDSSPPPVYEISWPWLAISIVTTSTIIVGAFVPALLGFFTRNPDILGYVSTMTRDA PNLKIPPGGGTLGGMDRALFLKDMSIRLGEITDDSVSVSRIGIGTLDQASPSNKGRLY E AFLA_003090 MGLFDFFKSSKEESPRTQEPTWDPNTMTMQQPSSPSAPVVDRAV SEQPNTQEQMQLRGGGAGDCCCGICAGILCFECCEECC AFLA_003100 MAEEAVANKVKCTYIGCKLVFKSEKEMKHHKKFDSEHAYCDECE EDFEDEERLLIHKIKSVKHIVCPVCGIDFGSDGGRNSHIRQNHHSLQIIPCHGCKTTF KSASGLMSHIEKDECPTIRSVHLLQEQSKKMMIREALGAGEGVNMPIIPPQGTLKDTE FDDADGGVMLETDEAAVRPGDTLRMLDRAWDATKFFDSFTGQFVCPCKKGFSTMTEFE NHVLMKSRMMEDRQCPGCLRFFKTTAALVAHLESPSTRCNLSDGERYGQIFEEITGGL IQTAGYTEDGRIKYEAGKLEITDGLGPATTTVGTDLRLRPQRRKF AFLA_003110 MSLATLDTSQHPNLPSASATLFKAKAAKKFSFEQIAQHIGRNEV ATAAIFYGQAKASPEDITNLASLLEIPQEVLEDQLSGFPDRGKSVEMPPKEPLIYRLY EIVQNYGYAYKAVLNEKFGDGIMSAISFSTKVEKETDADGNNWAVITLRGKWLPFSRF AFLA_003120 MSLVVNSRILHPRVLYSAEMSSTKSRPTLAELPLNPNDPPYSAW GLWGVDDEVGTLNLLDESTVTKAASEIQVGQRFSLNWSLASPRTPMFGRDTCEFSHKV YQHSPELIALDDELHFNTQKSSQVDGLRHAAYQKSGLFYNGRSKEDILKAGSLTLGIH HWHDNGLFAGRGILIDYWAYAKRHGKAYDATGGASITYDELMACLAEQSQLSKQTIEF RKGDMLLIRSGFTHNYVKLSEDQERKSAHTTPPKSCGVAQDERMLQFLWEKQMAMVGG DSPAWECLPPVPSANFLYHEVLLAGWGCPIGELLWLEDLARACDEHKKWTFFLTSAPL NVPGGVASPANMIAIL AFLA_003130 MQTLGDLHAWSTIYFFLSTTCIEFSNTPTMNVIRSHFMSILRYR LSGGSLEPQGYGQFEMQIEMPRIDRLTQSLYSSGNRSGFPALFPAHPLHRVHPKEPSA GVVMGHLTENSDSTPRDPTFEIDWEQNDKENPTNWPLWYKCFTVWSVSLTTTCVILYT TSYTSGSPGIQESFGVTSRIVVLIGLTTYMIGLGLGCLVLAPLSEVYGRRIIYISTSV LFTILVLPVALAPNFPAVVISRFFGGFFGSASVVAGPGTINDIIQPKYRALAFSLWSL GAMNGPVLGPIIGGFVYQYLGWRWINWIVLICGGASTACLCLVKETYAPVLLKRRRKA KQIETGDTRWWTKYDSQAEESIWKRLQTSLSRPLIMAVFEPICLFWNVYVGVVYAVLF LCFVGYPIVFQQLREWSPGLAGLGYLGIGTGIALAVFSEPLVRNFLIAKHPPDPVTGK IPPEALVRPICIGGILIPIGEFWFSWTARPPVHWISCVLAGVPFGLGNGLVFIYATSY LAASYGMYAASAIAGNSVVRYVFGGVLPLAGSKMYRAMGVNWAGTMLALVEVFLTFIP FVFYRYGARIRQRSQMASKMI AFLA_003140 MSPLSGKVAFVAGANGISGFAIIEHLVRQPKTEWSKIIVTSRRP LAYFWPDPRVEFVAVDFLEPVEKIVAKLRNICAPVTHTYFTSYVHHDDFRVLKEKNVP LFKNFMDAVDEVCPNLERVCLQTGGKYYGVHLGPVKFPLSEDMPRYDDKGYNFYYVQE DYLKEAQKKRNTWSWNVIRPNAINGFAPHANGMSEALTVAIYMLICRELGQPAQFPGN EYFWNSIDDNSYAPSLADLTIHATTKDHCKNEDFLHCNGDVFVWKYLWQDVAKYFGVE VCYLAPEPQFNKATGQADTLNNEIDMVEWAKDKRPIWEAVVKKYGGKVEAFDWGTWGF FNWATGKSWCTISSVNKARKYGWQRTDDTYETWIETYRSFENAGVLPSHTAL AFLA_003150 MVRQQRDRETADQQQQPRDYRENDDGASDSHEGLPTEPAPKRHK RGKYVSKAWDPWINKSAGCYRKAASFSGESSITHTLDQIEGYLERTDVTYGNAERPMS CQVSGTSLTAPSSPLGGFRETREAVDIRKVLNAYGIDPRKEEWDGYMHTFCDEVHILY PFLHIPSLWANYANMWNSGFSSTEHEFQRSKDYRMMVAQVWVCIALGRCTESPRVSSE EGKHSAGWSIFEAATDLIGDLVVYLFRLDANERAEKFLALAISHAHHLGFHRSKVIRR MPVFNDEMIRRLWWSLYALDRRLAIETGHPFLIQDVNVDAPHPQNLDDEWLTRYKEDS KTSNELESDIKTALSKDPITPIPYLSATTRYSRVLGKIWEAIYGANMTDVIPSSSLLE YLDQLISRAQVEVRPEFSDSYQSEPPNLEQTNPLRWLAKQQMLMRIRWLSLRLLIRKP ILQQRASPQESIADILETEVTCIRIACNIIQEFKQIPQYTASAFPFLHPLVGATVVTL GLIIREPSFKATYGNITLHAAISLENYCRKTWVSGKMIRTIRRLNQITSSVLSSSRTR ASSRSALQSSSRNYSGDTQTVPQTTSTRTWPSSMTLPLENPTSHTSAVHSSYIPISAL TNQNTTSLTTSPMHASHSMTPSTQLQHTSEGWAVAPTNLVTADFDFEQSLTSDLIPGG HIYGWMAGTQQSEMQHDGTAYMEMGWLESLFGTDLGSNVMLPPED AFLA_003160 MGSGHLSEFDASMHYSGSDAPFAVLPFPRIDFRNDASLDIDQDL DLSCVSCFSKLAEDAVRSEEISVLITGKPTLKVQALPTAHLDIHKTVTLPDDSNLGYI DIPNLTLRNGTSTAIVLGDLDIKLLIRKGLWESSNSNYGKVTIGIHGNRSVYNGEEIP YFTAAVKAISASTTINLFDYVSDILGG AFLA_003170 MDILLRESSFGRLLNFASNGQIFPHRDLNIPKHEEATSSSSSCP EAPRHVLVDFSGPNDPDMPRNWPTLAKTVVMVDVMLLNFSFYAASAIFTPSIPRIEEV FGATTAEGTLGLSLFVIAYGIGPLILSPLSNLPSIGRTPVYVLGSLAFCLFNIGTALA KNLHTILILRFFGGFIGSAPISVGGATLMEVYGPTEIPYAIALYAVSGVCGPILGPVW KSPSLLSRWKTWTATLWLLSGVTAFTTVFIFFLLPETLYSNILLRRAQRLRDQTGNPA YQSQADIDTPQSNLAIRIVKQTMDDFKLSCMDPVILFVNMHTMLIYGVLYLWFEFFPF VFDGIYHFTAIQQGLAFFGILVGAVVSVITYVLWLYFSYQPRVAKPEAIVEPEARLVP GQVGAICIPVCLFMFAWTSRESVHWIVPIVGTAFFAPGFYLTFQSILNYLGESYPRYV ASVFAGNTFFRSSFGGALPLAAPRMLQSLGIGWASSTLGFISIAMVPLPFILERYGKR LRSWSKYAN AFLA_003180 MAPRRIYTRTTKYDTYRPQDKKGKGKQPEAKEEDLGKYCYAKVT ANVARKDGYMMFYQACGRLIIQGKWEIIHRTTLYSEDEIKAEDGHTMSVLVNSNGSEP TENEILESFWKALEPARKNIMELNKKQWDVFEKVFKTAVEAGLEGVQVTLLKAIDDLF KIDLPEEASGSNGA AFLA_003190 MDNPINENLCAVFFLEKKKDSPDDSQGRVPKKDADFWKLIHQLV KSGTFKILDRHAVPPDADNTTVYVCPLYGERGVWNRQRVPSSSSDEDLILALSVHALE NRLEALQDDLIDLVA AFLA_003200 MDNSTPQNGHQWGSTPTADPLSTSAGFGFYFKDHAPSSRSYPGI DEDWGRLTPPLAVQEPEPVPEPPTELEPAPKLPDDPLYNNWNYLSSKDRKKREKLLIK KGLAIPGKDFYWPPPPPPPEVVQEPEPELQPEPELQSEMQPEPKLPDDPLYINWDDLS SKDRKKREKSLTKKGLPIPGKDFDWPPASPKLIAEYPVEVLEAAPEPEPEPALEPAPK PQLEPELEPAQEPEPEPESPGPTVFPPQHERTVCTKNVATGSGFLLSLLSNIRVTGAF SDLKINCGVSTFNAHCCIVCPQSIVFEKAVKDGPNEIAIIDHPFVIKKMLDYLYRGDY DEHELAIEAQRYQEQGPTLSKYANAMMHVTANKYAIRGLKDLAEKRLVSNLVHQWNDA NFIQLIEYVYGLRTPTDSTLQIIVAQFAARHVSTLREFQSFQGVPERFPDFMYRFSSE LMERVVQLERKAL AFLA_003210 MFATQEASIKSAEENIKANIYFLDAWDDSRHDDRGKDIIEIQAD RTEAVRRAFERAERRNYTFEFKDRRDLGGLGGSGNLDEFLVELRQNDRKVEPTVKDMM DIVIPIVERQFRIEDVYLERLCIMDDAGALTWLEELNPMHQLAWSRLIKELEGNEWPG LFGYLKRLVEYLSLASGTSH AFLA_003220 MSNRDDHLAYGQYYGQDGTRGGQGESTRGFVGDTFKMLKETYKS HHSRQGAPRPQQSQGQQSQGQGSNQDYYGGQNNQQSSFQNTYGRPPEPQYQDPNKPQG KPQGKPPKEDKLSGLFGKIQGAVAEIGTDLGQRIGTALDPQAYAEYGQVKPQTQHRFG SFAPDRQGNDVKWYVDGCTYFYAVSKALESARESIWILDWWLSPELYLRRPPTKNEQY RLDRMLQSAAQRGVKVNIIVYKEVTQALTLSSHHTKHCLEDLHPNIAVFRHPDHLPDR QNLADDISTSLHNLALDAKSLVQMSGDAIKNIYGMHDDVVLYWAHHEKLCVIDGRIAF MGGLDMCFGRWDTNQHALADVHPEDVNESVFPGQDYNNARVLDFQDVAHWEKNQLDRK TSSRMGWSDISVSLHGHVVEDLRRHFIERWNFIYDTKYDSRKDARYSRLALYGRPSSS NRPQQPGAQQQQSTSPRPSTSSQGAPSYQQPPASPQPNLSTKPQAQATGNQAYQQSAT SPQPGLSSYFTASTANNQATSSPHQPASGAPQSSGATQDYTYTGQSFPPPPPGPPPSQ TPAQGQGQTPGQSQAPYYASAPIQEQAGQGQPPQYSAHSPLPTQEKPHSQNATQAQGQ PPQYSAYGSPSTYEQSHAQPFVQGQGQGQGQPPQYAAYGSPSTHEQSHSQNATQGQVQ APGQGQSSYYAPHQTQGSSYSQTPTQGQAQGQSQAPYFPPPPTQEPAHSQAPAQGQGQ PPQYAAYTPPANQEPSHSQTRGIDDHYEGTRGHGDRERGSGLIPRRFRDEFTQYSNVL RGQLAGQVHQYQDRLSSFGHPSQPRGNMCCQIVRSCSKWSNGTPTEHSIADAYAAIIR NSQHFVYIENQFFITATGDAQKPVKNQIGAAIVERILRAARAGEKWKMIVVIPSVPCF AGDLADDSSLGTRAIMEFQYNCINRGGSSILELVSDAGYNPMEYIRFYNLRNYDRINV SGPLVQAEQRSGVDYEDARKQHDVNVVGQGGYGPGAPAPRSAFDTTAPFQQYQQGARQ VPGAKTASGRWNSVSSCYMLNGEDIRNVPWDGPPEAEIDAFVTEELYVHSKVMIADDR VVVCGSANLNDRSQLGDHDSEIAIIIEDYTPLESIMNGKPWTASRFASSLRRYLFRKH LGLLPPQDYERPDANFEPVGVPNEFDFDAPESRLVADPLADTLHNLWNSRAHTNTEVF RKVFHSVPDDCVRNWSTYKEFYGYFFDKADKQAYGEEKDSPPSRYRYGHVVRDDFPGG PEGVRQVKELLSKVKGTLVEMPLMFLIEEDVAKSGLALNDITEPIYT AFLA_003230 MAEEEDPIVQALPPATDYLTYLTLLEYQLTPARLPTLHKLLQDE VLTTNIGWDLVQLLLPMLPQSLECLQDIARLGNPREVILRVSEALMQLQPEDEDDDDD DDEENETAEPAAAHDTEPVDTELKAATDRDAEKSNIQNNLPRHVLKFNALVAMLSILH SRIQTKSPSRFFATSLQAVLEAYTLMPTNETTLALLEFFRDVSPSKRPAPPPRAASES SVLRVSEASAPDPEAEVQSPNPSNNEPALIKRFLQFGLIELIKSYILSFSGPMDPGMS WTIRLQEKLQTRHLTGQVSETEVFANNKELSERDMILGKITALSRDFGLDDKQLLAVV SQPADKHPQPLDFDEPPRNVEEIPLERHGALLLLAARAAMAELFSSGQVTPIAVFPEL AQIFDNFVGAHDKPDEIAFGQPQALLDSLLTLTVFSMQRSIGEPSTEIEFRRFILSLT ACTTRQSYNSIRRIPGTILHSHPSHIVRFKTIRLVLEDDRFQLIRDSAIGWLKDEILD ANKKPSGSPESDIFINPHYFSILFPLLFNSSELLLNVSSDIVASWIKFSQTLTPSIHA ALSLYYILLSSSTLHAQLQLEKTYIYFRNRFLEPLKSLLHAFESDLTQNGGDGKIESA VGENMCQVGMARSVGLVSHAVEQVEDAVGDAFVGADDELQEPSMDDVARVDKIRKETA L AFLA_003240 MEAQSDPRIQFNPKEEKRTTQLDQEVYRDVLYRAERVRGESFAG AAKERELKVGRMAFGTARMWKCCKADLP AFLA_003250 MATEMQSFYTPSTSTHISSHAPAAVDELINKYSSTMSTSTIPNS SGVSHLTMKNPRRNVIQRFADRVRLEYYRYEVTFGLYVMTPGEKVVANSFVMVVLSLL LWALLLYFPTLLYQKLIRLVWLLTGHSSEEMGAALGILETHVGSLSSSFSGESAL AFLA_003260 MAANSYYNTGYSNNPPTYEQANPHTDSFHRQSPAPTYTGPSGHP YADSEPPHIRDSQHSLGSDQGAYVAGGRLNEGDHYAENIPLKENTQYSNGPPPANWMQ QPTHYPPDPAMLESAPNRSRGKKKGFFKKKIPFVTYILTVVQIAVFIAELVKSGQLTG SPIQTKPQFNPMIGPSAYIQINMGARYAPCMKNVDKVQNSPVDFLMPCPNSTTNALEC TLSELCGFGGVPNPHLGGSLDDQPEPNQWYRFIVPIFLHGGFIHIGFNLLCQMTMGVD MERMVGWWRYGLVYFASGIWGFVLGGNYAAPFQPSSGCSGALFGILALFILDLFYTWK ERPSPFVELIIMVLGVGISFVLGLLPGLDNFSHIGGFIMGLALGLCIMRSPNALRERI GLARNPYVAMSGGAGPTSDDENKTTTGPSFVNLFKGRTGPNSSSETAGPLGFFKGRKP LWWAWWLVRAGALVAVIVGFILLIVNFYKYPKSNCSWCYRLSCLPVHDWCEQGMEPFT ITNTTTTTGN AFLA_003270 MATLAASPPSSPSWPKRRPRAWALRCERYCCAAASFFPLAFVYG LTTWAVYVEASIGLRPSRNSWIGLPTSIIGIALYICLNASYSVAVFTDPGSPLSSNRR HEYSALPVTELPEFTSYTVNSMGGSRFCKKCQCPKPDRAHHCSTCKRCVLKMDHHCPW LATCVGLHNYKAFLLFLIYTSIFCWVDFAVASSWIWTEVLNDTRYMDTILPVNVVLLA ILGGIIGLVLSGFTIWHISLAVRNLTTIECLEKTRYVSPLRKALDRRRYDNILGNGHN GHENDDPESLGHRLQDYGNQILDAHANAIPGVTRPEEGEEALPAYSQSGPGGTPAQQA LSRSYADLERQREHDRYHSYLDEEDNEKMPNAFDHGWRRNLLHLFGDRPLLWPIPVHT TTGDGWHWEPSSKFIEAQDRVRQRREREASEEQQYYRDLYQRNMNNSRSWLGTDATPS MYMPHQPSNVYQETERPATGVSMKTLAPMSPRPRPGDSDFEDVEESHDFLQSRNDHLS APRKPGVPAKQHGQSDEWRDWD AFLA_003280 MSPSLRRLMKEAAELSASPSPHFHAQPVSDSNLYDWHFTIAGPP APSPYASGIYHGRIVLPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHEETWQPAW GIRTALLAIRSFMDGDAKGQVGGLDVSEEVRKDYARRSGDWCCEVCGKSNEAILGQWR DYCKENGVEVGDMGDEKVVSPAAKEEGEKGDTDATRQEEQPEKIVQDEPVAVSQKSVP QQPAPEVVQAAFSPPPPPSTSVTTSTLVPGSSVQTDFAAPAPRRPVTSYPAQAVASPQ SEDPWLDRAIIGVLVALVFMILRRMAYSED AFLA_003290 MSIDDTPKPLQIVIIGAGIAGLSAAIALSKQGHHVTSILRTHIV PNFPSPYPEGKSTFRWLLKTDDMKNDEATKDVVGDPGVFVEWAGNDRRLVAYPCSNNK IYNLCGFMPTAESGKYAEGMYRVFSLTYKLHYLSVWQRILKSYENRLASSRR AFLA_003300 MLQQERSPIRGGILADDCGLGKTLTTLAFIYKASFLPLVGKFYK PTLILAPAGVVSTWATQLTESFRHVMPFYVFHGFRSQISDPVQKSRTLENMTKLRDML EKLGLPIRVPSPSSHFFIRCVFSWGSTSCSTCIHTFENPKKKTFT AFLA_003310 MAKRKNAVRGAAKASQEAKRARLAEQNPAPVPPARSSQAAHISV LEQEREASVPASPRPLRPQIANMGTLVTPNSG AFLA_003320 MKPGEWACFMVAECPPQSEPPDLDNILHSHSLGDPLENQGILWQ DVIKIAKRQRGSNSTTFRGSKWYSENTTGSLLANPPDYG AFLA_003330 MAVSRNTTETPKNLYARAETLWDTARFAFRPVRGATTELDPASY SIVGAPKAAYRLRLCMGVLPVFDATSRYSERWWCRAESELARVTSVTTKPVAQEQKRL QLLSLETL AFLA_003340 MTLPASFQLSFDLSNIIGPVTRTVLRLGSLAVLHDINRSGSDGI TELRLAALLGRHRIADYLKENFKSIVADSRQSIISRVLREEQLALEVGSGPTVQQAIT NPNPAWLSMVIQMSLLAFSQEQQSLAQAITTVSCKLSRGAAVDTRQELDYVSVLGAIT ACQQQTAQFPWTGFFERTEDKIFKDVQNGQHRKRYRKRRRLNNATQQENLACVFERSL PFVILQTLVMNLVSIQDFPEHRNLHLRTYSGSSTIIVWCYYVLGIGVNVQINGVGMQF GDNPRIFLENCQPFEASATLLDAAGENEPLFKLSQVEEDPPIQGEDRTTARGFIRRIL MLSGVSEKNVEAQAHSIAAHCIRLLSSTAQPSVLASLKVTSMASQVERNLLGAVAFLF DIAELDRCLVSELLGKIQQKKEKLKSGDRQKWSQMILIIISFARVHNLEKCDNLPLSL KAYWGLCEEDFEISCQEEGFVGTAPDTFVCFDIISRMLLGHQYSKEYVASSALVSSWG WSVFFDSFDALDPADINPGVIHIRLGVPTRNGERKMRIVDGPTDVPIAWGEVLHESEI PITFWPGVFTGRLTATLIGYHGTDAFSAVQVYEWETGPQKSKKWRMGFRDKQEMCLTF NIIDICPCPEFLKDEESKAWINQLITTGHLQKRGVLDSARLAEVVEVTRKYPSSAEKL ASSPERVFCETLRRLDTTDSLSSAWYFFVTANTAARWLALDGLEQLKGEAAGFLNILR GKGCCVKCACSAVATKSFVLL AFLA_003350 MRRRRDFESRNYQPQHNRNGYDTRATEATHHRDVLRRIAKETLK VIPDITKQLSPSINVYHSTKLSCEDLPRLQPRYCPAFPERASIRVLNEDTLNAAIQIS QFMRTGGTNPHLHDPRPLIINFASYKKPGGGWLNGAVAQKEAICHRSSLAVSLDESDY PLALDEAIYTPYIVVLRSDMASGYRLLFPHTPAKDLPLFSTITLAAIYRPRVQTFDVK DNHGGNSRSRPQWRKKQVLALDRHRNTTKDKMRLALRIVAIHRHRLLVLGAHGCGVYG NPPEDVAHCWLEVLKEDEFSGHWWKGAWFAVYDSRNEGNYATFNRVLSGRQV AFLA_003360 MMISKDPDTTHTSGKSQLDNSANSWTNSLPTQKRKFDDDAGSAI IEYVERLSNIAPSPSIVPSLYRRETPSDPKYINELLDAVRRGTVVTVEMILNHVDIDA RDPCTGRTALSIAAELGDRDMTKLLLIQGASVNIRQYSLSSYCFGRPAMASGRFPLHW AIAGNHIVVAELLLQYGANPNARNSPGRSALQEACMRSDLKMVRLLLQYGADVNARSY NHGWAPIHEAANDKPIEILQLLLEYEPVLDIPAVFEPHAPGAAPLHLAVRSHSLDALR LLLSSGADPDALMVEDMTALHLAAAMGWVEGITVLLDAGASINARDACTRETPIHKAA RNIKMDAIGILGARGADTEIKNIDGQNYQTLLECARRSPDDWRVDPLLGSYCTFY AFLA_003370 MKTLLFYASSHEAISFDSCRPSRNQFHGCTPFVAFYLTINSLAL VMRLYTRRFIIRASLAIDDYLCIIAYFLCVCFAATVLKGM AFLA_003380 MPPITTPGHESHGGCASADSSTLSRHRSTSAEFPPSQSPTPVSY PRTTVPLCPPPNMAFPCPRTHTPRSYAQQCLLLESRMASEDLTNMLSVPIWRARDTPI RSMYRLYEAMAAGEYYAIRPEVEYFWYQRSWILSRVPDPRDYDPVRYAILASIAEELA KAINWRLSLGMRRDKRKHIYRKTLEDVLPPYTPETAPSWTKRVPPIDVELITDLPDAL DSSGRLVLEAGGKSPAFAERNIVTDSGHFYTV AFLA_003390 MAPSLRELIDFLLAEIALCGDQGASPSDILTFINTFYAKAAQDA STRNHVVDRRFQEKVWSWLAKNPEVSIGENGECNALSLSDVERRVNDAPDSEHTAQNQ IRVFVSKERTWLAITGHEPDENKLLATEFALLSIIASRKADGIAQTELVKLSGQDKRS VPKRTDQLQQKGYIEKRAVQIKSTRTSLCTLHYKEFSKQLFSILREHEIISRVDLKKI LGFADRWRWRILSRALRKFERIGVLKRVKAMSQFSENDKHYFACVKLIREPTEKDLEL FHEFSRGISTNLEQDDNAELDEDVEPTDATRESPLLNNGETLNVMKREEETDEAGRIL PLWTPDQTIHNLILDVVENAGTEGIMNQQIIRKCFGGFYRRPLENTMSRLVECWQLSQ PLHLRHMAILVEAGESAWEAVEFTPKNPKVDKIRAPPVDAQPELDEYGLPVAVPAKEL VKNGDVSLLECIVAVDPSSYNATNTDAAAIRLKDGTYALHCGQTKAPAGSRKLATRSD IVTPSRPKRARLDVSDSEMGDRTPGSPISTIKRRKVPRDESEKFQGMSEIEKLKALGL DETWTEYNALLIERPNSGVYVTPRGRRRPTGKRQGRPRISRIAIFKCPKLSSFPWFTE EAETGDQDGGSQQPSREQTVEETVEETPAPTPVPTPATTGKALDAPEDINATPSRGTK RHPRPSTSKIGPSPATMRTAKQRRITDFTTKSNNVPITESGNPEGNPAGDPRQDKPDV GNDNKTEGKPLKRKRAESPNQNSQEVVTENGLRESNVQATQILETPSKKPREAVRNEG NKDAIGAKDKPPTDQAPVNDLSDSTPMETEACTTAETDGVAMNTTPASQAVLESNNGE ELKANPLERAKDANGSSGAPTNTKTLAESSRARENVKEKKGSVGFIRRKIILDIVEKA GGAFPMGTEIWYPFATAWRKTKYKETPDLRTIKATVKHIIDAGKLRQLTFSGKDNKGV MVTKNIITKPDMRPDDPLVLDMQKKMLAAGSRHYIPDNVDYDPEMTKTGARRVTFGKD GRDPNTYAKLPVESALTVQLQYKPGFVVAQEKRKGLSVQRRLLQRIGEGKENHSKVVR LLSLQRPLAQDSATPGITAATRPNQIANQGRRRIKAGRRSSVLHAQDSSTAEGGRRMR RLWIPISSMAPYAMLMNPRQTFNATTGTFSTDAGLAALRAARNDQKKKVRESPRALET IGDKTPELPHSLDDLFSQTRRRTVDYSESADPRSNRFFYDTNVIMRWELQNEGLLDKK SADLWYINQTVQDSFDSVPLEGNIRFDIDEPELPTRPPLEPRVTRQRGRQSDLLAEPG SFGAMTPLGHNRTLASRALAPGTAQNRRLEKLTASMAAGDESDTTLQPTGSRAPARRN RTGYQLPHLLTQRLMIAIVVVRALAGGYEGKVVDWTLFSSSFPGYDPLFIQAKGKYVL SKNRLQLAKMQSDFQERYLEAYANGQVPPINYDDLEGYDWEWIVDWANAQLDVPKSEK LLPDLPATREQFDSVFEIREEPPSTLDDIYQTTQPLTINRKRNLLASAPFALPLIDKH KPPAPRKQDLSRLEVVKSWIRANVVTPEDAYRPAEARQALSYMGSFIDSAIDSLVTER VISMGNRGRITPGRNYDITDHFLQALGRKRLIESTQLRRAARFKTQILDPALQSEGKF EIKYNAEDGDILAMINLVAARRVILRPRDPPRDKYGLTEGGYLTRQIDKDKLRFRVEL YPVEENYVYGNPIEKELNDVPAPCPPRATNNGVAWVPEKIPVWCDIHGGFIKLLWDLA VATVAGCVANRPGLSAASIASMIKPTMGAWEIELLLKWMTEIGVMKKEGLDSEKEGGW ILQEWWWMILR AFLA_003400 MEEGTANTNPLEPPPLPYSLRTRKKSIAFFWILFVFDTLAQPVA LYFGLWYGTNLSHNLVFTIVTAALGGISVFEYFYRLYNLFRKDSRTRPLNARKSWLDF FHINFTIVWLILAVELIVGTVQEEPYVRLVAMVLPSVMFYFGGVYLALDILRVLGFKA PFRISSTPKGSTMPTALYVMIEDVVAVDGGGGQTYRYALRVRYLSSPYFRRMLFQMNC FWAGGSIIFAAVITAIVFTTPKPVAYTLGWSLPFVWAGVWTLITIPWVQSDLRREKKA WAENRGQGGIPYTDDISAPADRTRLESIHEHMPNLWPWGREKNKEKPSTQSTPSDGHS NV AFLA_003410 MASEPVASGPSEANLENPAMATPDSKIDHAASIRYWNSVAANSN TMLGMLGSYPWYTRIDLRGSKSFLSKVRRLVPGCTTEGKLKLGADCGAGVGRVTEGFL KDVCETVDAVEPVEKFTQVIRDSALKGSGIVGDIYTVGLEGWYPEKKYDLIWTQFCVG HLTDVQLVEYFVRCRESLTETGIVVVKENQSTDPNGNDMYDDEDSSVTRTDEKFKEIF KQAGLIVVTSELQLGFPKNFKLLPVRFYALRPKS AFLA_003420 MDESPGKEHFAFTNWAISKGIEINGIAPARFPGRRLGMIATKTI EVTITRKEGEIMLTVPQTAMLSIDSIPSSFVDLFPEGTSIHGILAAYLTHGDTKTLKG IDAWRNVWPNWQELEDSTPILWPSHLRRSNSAFEDEDNSSTPSLLPPSVSGLWNSFEK VPVGVDYDTRYQNMLGQEEKRLTHAWEQVLSVFPKTEWKTFAYYWLIINSRSFYYISP GKDEPEDWNDAIAMVPYADYFNHEDNAACEVRFDHIDYTFRATKRYEKGSEVYMSYGA HSNDFLFVEYGFFLDKNESDSIYLDDIIFQDLTITDKKELVHQDCFGNFEVTETGVNA STETAACLKYMSKRDFRIYIEGRSKRAFDAGKSAEVIRSWIGVYLGECERTMEIIGSM LEKLGGSRRRSSVGEKWEKGRLEMLLSRWGQIKRICEKAIGAVGQGE AFLA_003430 MTLFSPTTVLVGFLLLYLSSFLIFAIVRIATGVSIQRIGYFSLR RIAYVPKQGVQIELRGLGLSLHPPSFAQPTWLSLRLTELKVTVDPAALAKSKRNGNHP DVSEPTSPGDFSPPEDEDSATLQGRSKTWRTLTKLKEQVKRLHRKIHWLKLVDVIAVN TTVNFLEAGQIQVGSLSLAVDTRRKMVDRGKLFRRKKYDSGEQRPAEWIMTVQNVLLT VDGREPTELLDNIGVNVHGMLHKDLEGLRDASIALKIGRMHIPYDDLMTLVQRIKKFR QTFSEASKSEADDEVSFADFVEELDKPGSQDDALVQTVADSKEFASSLLRGIHEIQIA LSFFRLSRAIQPPSPKQSSVYLNIISHEIGIDLHRMDQNSPAHRMYFQRNDVAHQALL AAISLSVSLDDSSGETDNILYIPMATTTIKTTLPSKTISSFSEWNPEERNTNILFANL VVTSPSIDLEPRHVSRLLGLVQDRTSSPRGKKRNNHQLISRLLPKASIKLSVHEPVLR FVLPISEASDVSTEDYNLLICSISSISLDIESSHSSEGGVHYSLSSIYRVASHQLYYQ TPLGVKHNLLTTDNMELKVLLSSSPQVCVIASGSLNTCSAHMVNGEVNRGIQQVIEQF RAQVKPKRKVSMSLEERKPSLLRRLPPWLLRFQFEATGLSLEIAGVDEAVSELSRGVS LQLHSWTADYRAQKTEQPSVSIVRRRTPSHSTIGDESPFRFPPTSPPRQTQRGAADGR RLALHVRGFEGFVIESEDYLEAEPFFSLPRFEVALSTLSDRLGPIFHINSVIKGVYLQ YSLYRYYCVGVAASVIQSAFLQRSPATTPQTPSPWNSPPSSPRPFSQRNELITADVRV TVVQVKTFLPSDPPMMLQIYGLAAGSHRLSVPFVRAHLVRLHAEAPKLKGVWARIIGM TNVRVDFRNAKLKQGANLTEEKSIDVWADFIRLGVPHHMVMHRIFDNVINTSKAIKQL HHRFKNHTAEFDTSRDPEEPKKVPRISLRSKALLFEMEDDAFEWKLGCIYRAGLLEQR QRLAREEAYDLKIQKIKECDQRRASSRLRAKSSHRTLRSERVSGESKRSKSADAKPRS SDADDSKRGRGRKFRYDTEGAACLSGESKISAEVAWYRLQEYNARSWKKKIDGALKFQ GTSIKEVRNLFSGADEPPEDVEETETVLSIPNRPALMAALISDINLVIDKPSFPLEDY PTFLHRIGKGIPMSTQYALLIPMSFQLDMGEARVNLRDYPLDLLHIPALRPGQSPRLP SWSLRTNFVIAEEYRDYKSARQVQVELVPPMELPDGSKSPSFEISVWRSVSPVKTYSD PTIEINTSLPTSISWGMSYQPVIQDMMKIIEGFTKPEIDPSDRVGFWDKIRLSFHSRL RILWKEDGDVHLRLKGSRDPYVVTGFGSGFVMCWRKDVKWEVHTSDDPKEFMGITSGE YVLAIPDYSHEARFMAEATAQDLESTSTSSELKNAAHFKKVVMKLSGEVKWVVGLVFE RNVDENRRSFQFMPHYEVVLQNPKYVDSSERAVYDAYRGFRSNHIHLSISVLAPESRD WSVDHVESSTSYNTVHLTPRFFTHFYNWWSLFSGVMSLPVRQGPLWPGITKTSKKFNR HLATVKYQLLFAPLFVAHIYKHKDREDYGEDVVTATGIKVRLDSLKLDVHQRREQVKT LAKGRLKQTQASAMRINQAELDLQAADFRAVSASIEGTNLDDIEQSKNDIISSFQQPV PSVDLSRFTIPDHNLDWIDMDDFVELDWTLPQESNPRTQILPLAFTPRFTYFRQTDHG DIGPDDTGYSSFGNEPTHACVMSESNEPRRVQMQLIRERLAHVETQVQDWERTIGEQE LRMAKDVNQDPSLRTDHENYVKQAQSLARRRAFLASALQRLERQLAREEMSSMKTSPE TVAADVTNRVGTDADSNNDGKDADMDGLYSSPHDEFATNFNNRFIIHNTQLKWNNSLR NIILRYSHQVSQRRGFVYYMSRRAVKFILDIVDEQSKNQRRHSKLFKSASRRPSDIRG LVDNEEDGTVEDRIEQLLNDAKRFVNADEQEDSDHKKQDSSKSDNSSENISPEFTPQN SYHLRLIAPQIQLQSEKNQKSVVLVAAKGMQLKVVSIMDKERVSDDVSGLVQRRFSLE MDGAQFFVATQKNLMKHLQFYAGNKYGNSPGSAWPPWLTIEAMFDFELNPFGFSRIVQ KTSASLRYDKYNNLRLKYNEEVGKGQPDHEHGLSSEESRIDQISVEFPHLRAICDSAE YYSMYIIVLDLLLYSEPLEKVRSERLERIMLSSDFSDLRGAPEMVFKLQSRIRHLEEI KEHFQIHAKYLDKQGWEDRLELEKDLAQCEDELFFLMKAITTSQRRIDPTMSGAHGLM RWNISAHEVVWHLMKDETEPLVEFQLRNAEYERTDNSDGSNHNLVAVERLYGLNLLPD AIYPQIIVPYLDQAKPLDSPDDYMIKVKWHMLEAVAGIPVLDNFEVSLFPLKIQLERE LGQKVFEYIFPNVGASAFENGGFSPLMIKNMKPLEDSDEDDEAESPVPPRSAHSNTDV STEDLQKGPGAIELRLQPTFTSSDDTRPRSARSNHLKGLAMTPLHKDSNRLGPSEPSR QTARPSTSGGLSTKRSADSLRLLSRQGTDKTLANGSGTSVVEDKGKKFGLAKLPNRSK SKAATDDLSQMMSRASNYMTLAHVKVQDVVLCLSYKGKGDHNLEDIHDFVFRLPILEY RNKTWSNLDLALRLKKDVIKALISHAPAILGNKFSHHRPTKQQQKKYRDIANSAQLLH NPDSAAVTISDKSQTSQDSNSEYSESQSQRSVHSGSSRLVRSNSLGSSMLSIDQSGLM SDARSGSEVDVDARWEQSRRIVNPPSRPMTSGTAIARSETKRWDGADEGHKMTIRNFG RKLMPSRK AFLA_003440 MAPKQKAQKMSISTFLADENFGSWADEMDDMPLPAPPQPRFDSD RRAGGPPSAGFGSGFGDRGYAVREPLPLPTQPPYTAHVGNLAFDATSADISDLFVDCA VTNVRIVEDKLTKAPKGFGYVEFETVDGLKKALDLSGATLQGRTIRVSIAEPPKERDV KEFDWTRKGPLPAPEAPRRVPDRSSFGRNMDNFSDAGSERTGGRRNFESDGKFRDFGN WERKGPLSPTGPVREGGRPRTNEGSSFRKSSPAWGEGRSQDGSRPPRREFQERAPTAA EMDNAWRSKMRPDQPKESSNPPSPAAAPASPAAPTRPRLNLQKRTVTEAVSSPAATTG TGASPFGGARPIDTAAREKEVEERRQLALRQKKEADERAKAEKSEKQRAAKDQAKGDK ASTPADPNGRDTADTPQGAKNFEILRRAGEDESGMAADQDQSEETKPAAKAEAPNDAA AKKENGSWRRAPAQPADAADEEGWSTVSSRQRNNRRGAGRSFA AFLA_003450 MHRNDIQENYVPVASSPPWKLGFRSSRRFVISVVAMAVFTDVFI YGMIVPILPVVLKTRVIVPGDQLQQWMAIMLAAFGGAIFVGSPNLFDHMDTAIFGYFA DKGTSRQVPFIFGLLALGGSTIMFWIARTLSSLIVARVLQGLSAAVVWTVGMALVVDT VGKDQVGAAMGYVSMSMTVGTVFGPFIGGVVLSRISYDAVFTIAVGLVVLDILLRLVM IEQKTALTWTQPQIANETEGLLSSASETGNGVYQGTREECSEISVNPRHSVCWPEVSG ELDASGRTSSMPPIVRLMCSSSVLLFCGATVVDAILWSSFDTVLPLHVMDTFQWDSFW VGICFLPLFAPSFFSPLVGDAVDRYGSRTIAFLGFLLDFPTFFLLRLITHDTKQDQIL LYMFLFIAGIASTLQMVSLMTEVSLVVERYEKESPGIFGSQGGMGQAYGLFNVAWSGG QVLGPLIAGLLSDRGGWVTMVSVFGTMSGVTALVIGFSDKKVLRCFRKQT AFLA_003460 MSTTTTTAALTSTTLVGMLPTINATGLIPGKNCPTCGQETTLVQ EHAADQRRIKELEGQISFLSVQAAQRAEKLAEYEHEVRRLRTQASYTSRNGSSISSTS SNEMNRPLSPPSNSQGRLSTLTSFLHSRGPSNTSPTQTPAQPISQPPSRQPSPDHTAE LQSALDREQSLRRAAESQLSQASSELEELTAQLFSQANEMVAQERKARARLEERVAVL ERRDVEKRTRLERLEKAMERVERIRALVGQ AFLA_003470 MAIGVAIIGSGNFAREEHLPGVQASDAFDLKAIYSRSLTSAQTL ATGVSGIDLYSEDAGPGKTYDDLLSRSDITAVIIALPILAQPEFIRKALTAGKHVLSE KPIAKDLSAAQDLINLHNSRIVSSKTVWAVAENWRYMSKFVRAAEEVRRLGGVKNFRV VMRSMIKPGSKYHKTEWRRKPEYQGGFVLDGGVHVVAALRLILGQSDGLAMVAAHSSL RQEHLAPVDTVDALVKTRSGATGVLSVSYGSEINDSLFEFTCADGVVTLDFDRLTVNG EGYDVPFEGRGVNKELVEFAESITTGDGIATRLRPEEALADLEVMEGIFTSSEKAGEN RNLHLQI AFLA_003480 MVLAKKHVPIVKKRTKTFKRHQSDRFKCVPESWRKPKGIDSRVR RRFKSNIPMPSIGYGSNKKTKHMMPSGHKAFLVQNPKDVELLLMHNRTYAAEIGHAVS SRKRVEIIAKAKALGVKVTNPKGRVTTEA AFLA_003490 MALTPVDTTLKVPPPDPVEEPPKVAVTPCEPPTGPYYFEGGFRR VSPYHYTYNTFCKERWRGRELVDIFISEFRDRPPEYYREALEAGRVCVNGKPAGPDTK VKNGDVISHTLHRHEPPVTSQEIGIIHEDDGLIVIDKPAGVPVHSAGRYHYNSVIEIL RSERGGGWVPRPCNRLDRLTSGVMFIAKEPKAAEMVARRLKERTVHKEYVTRVKGKFP DGVVVCDQPIMQVSPKLGLNRVRATGKTAKTKFRRLAYYPPHDPEPVAANKDRAATPP PAYANEEEGYSIVHCLPLTGRTHQIRVHLQFLGHPITNDPIYSNRRVFGPGLGKSEDN GDRDKEIMERLNNMGKTEVADTVSYRTHLTAAPLVPPGTDSSVIEGIMSREHEAALVE YHKRKGERLSGEQCDVCGTELYTDPGVHELGIFLHAVAYSSDDGDWKYRSKMPSWALP PSGMEGPRAVPDWEPVPEEEEIVIGNGTVPEGMEDEDKPKRRQGDVLVRGVGVVDSEK LQSNSDLLELSLST AFLA_003500 MAPKEIEAKKRRTRSDYVFHQVYRTRWFDNDMYAHLNNTVYAML FDSIVNSWLIAECGMDPFSINNKGSDSSAKAKTNSASQVGIMVNSYCDYFASVAFPDV LDLGLRVAKLGSSSVTYEVGVFKQGEEEVKVVGGYTHVFVARETMRPTKAGMEERVRK GLEKLVKGGGKEGAKL AFLA_003510 MAPNLFLCLRTVFCPTYWFQRGERIQGSIHKAEHWESPVPGIYK YIPGRGWHLVYKDGNEYDEKVPVPLVYCRILHRYMFEYELEDRCRWHPVTVHEGAKPE RFLFFRLDDGDTWVAGWDAKGKFIPGPYQKWYYDSETQTMRRVLFPDSTNVSRASIVP SKLG AFLA_003520 MSRPPGWFPGGYGSRPPPSSETRSWPYSLVISAVIFSLSYLPGR LCCRHRVGS AFLA_003530 MSCQSRTSCEGCSCSDGGSRPPVNIEDCESELLALRRRTVELEK TLASMQDGRPHANASRARKLRSANWFNCESDPGMMALYIERYLNYGITREELMSGKPI IGIAQSGSDLSPCNRHHLELAKRVREGIRSAGGIAFEFPTHPIQETSRRPTACIDRNL SYLGLVEILFGYPLDGVVLLTGCDKTTPAALMAAATVNIPAICLNVGPMLNGYVKKDL AGSGMVLWTGREMYAAGEINKEEFIDYVSKGTPSVGHCNTMGTASTMNALAEALGMAL PGSAAIPAPYRERGQCAYETGLRIVEMVHSDRKPSDIMTREAFENVIVVNTAIGGSTN APIHINAIAKHIGVEVSLDDWDRLGFHIPLLLNMQPAGELLGEEYYRAGGLPAIMAEL LDARKLNPDALTCNGYTVAENVRDKHTWDRRMIKPYNEPLLEDAGFLHLQGSLFRSAI MKTCVISEPFRQKFLENPKDPNAFEGTVVVFDGPEDYHHRLEDPSTPIDDRSILVMRG AGPLGYPGAAEVVNMHPPGRLLRQGVKSLPCIGDGRQSGTSGSPSILNASPEAAAGGN LALLQDGDRLRVDLNKRRVDILVSTEELEKRRKTLEAQGGYDVPESQTPWQELFRRET TQLSDGMVLRDAVKYQRLAQRYENPRHNH AFLA_003540 MRSARLIARYCTLSALANHPDKVPEAEREEAEIRFKAVQEAYDI LYDEDKRHLYDTHGMSAFNGSGEPGMGAGPDLDDILAQMFGGMGGMGGMPGGPRANKP RRSPNEEQKYEVKLEDLYKGKTVKFASTKNVICSLCQGKGGKEKAQAKKCATCGGQGV KQVLNQMGQFITTSTVPCSTCNGEGEFFSPKDKCKKCKGKKTTEERKILEIYIPRGAR EGDKIILEGEADQVPGQEPGDIVFHIVEEDHAVFRRAGSDLTATIDVTVAEALTGFSR VVVKHLDGRGIELQHPKKPGDVLSPGQVLKVPGEGMPMKRGDERGDLYLVVNIKFPDQ SWKPNPEVLEKLKELLPKPDAPIQADTVDEVDYDPKGDLDEFGAKDAHGGSAWEDDDD EGEPAQCAAQ AFLA_003550 MRRPHPRLLARRPWICTQCSRARYSVASSKTMRAGNKKTNLPDF PARTRFAPSPTGYLHLGSLRTALFNYLLAKRTGGQFLLRIEDTDQKRTIPDAEQRLYE DLQWAGLQWDEGPNVGGSYGPYRQSERTAIYRTHARDLINNGHAYRCFCSADRLDAFA RHRSQAGLPPGYDRKCAEVSAEESEDRAAKGEAHVVRLKVEGYPMFEDIVYGKTGQNR SPNKLDLIDRVYDDPILIKSDGHPTYHLANVVDDHLMKITHVIRGTEWMPSTPLHVAL YNAFKWTPPRFGHVPLLVDKSGQKLSKRNADIDLTFFKDTQGVFAATLVNFAALLGWS HTQKSDIFSLEELEQIFNLKITKGNTVVAFEKLWFLQKAHAQRFATNGGPEFEEMVFR VSRAVEETCPSQQLASILQSRSLAEYLPLLLRADAKSYTNASEFIQRNSTFFTTTLNR PPYAPAASASKSPSQTVPMAALHTAAAALSLVPEAHWTIESHKFNITSYDGASSILPE ESDAGIHGSPEEVEKARVAADKTFKKELYHYLRWALSALAPGPGIPETMVILGRAESL RRLQEAQQMTVSLVNKSAGPTRVAKGAQSSENQDKTWMGSLAPKS AFLA_003560 MSVSDSTKHYSLVCAAPKSSIGLCKQLALLTSLCLPENPGTAAE LLEIG AFLA_003570 MAPTIRRGSFHTTKTMTRTGQVHPDECHCTAAVKSGGLKVHIYT DLDTVKSIDDMKVLGESVVAKKAQARTYVQAPIHGHGIKMYG AFLA_003580 MEMSSRRSNFEEMTSLSMEYGCKIDIELVIPTLLPTPPPHCLCS SRLLLLPPACPNPKRRR AFLA_003590 MTATGRFTPSPEPAPALFVRAMYDYDADDHTSLSFQRGDIIQVL NQLETGWWDGVINNSVRGWFPSNYCTIITDLSELEEHVSNGREDGDVSAESGLGEEYE EDREEDEVDSAGNPRDSQPILPIEGTGPPNEQEEAAFWIPQATPDGRLFYFNTLTGYS TMELPFENPSTNETGPYDRNNFFVPNQTRPPPELMARGFERDEDDYDGSASEAEGESL MLASQDSMSRRRQSYIDGVSPATSMDSLHLPSTTKSINEGKSSFRSLSKAYSLGTAAS STTSLSDNFHRPSISSEAPSHFVDDGASAPLTWPLLVDNMRNAVETYRQTLLNGDRSE YVRKAEDISDHLRMLLAAGSDTTDNHSGNPSIISTNKALYPHFRDMMSKFSKLVLSSH IAAADWPSPDSVNKCLQEADGVMQGVYGYVDIARQQRGDFIRRIIPGFVVGSCSGGGW QNNGVTLNDSGPTSFLDQDGADTRVEPAVPLDAALLDHIDILRRSFVGSIRRLEERLS LNQKKIVTIAEHHEIGDSVSAAAIKVIEQFRPWISSVESINLAPLGTSFQNPQLVDFS LQKQRVYDAIADFVLGCQAVTSPLGDEWAELRGDSLEDRLNAVRGVARQLENYVSQIG FSLSLLLEQIPESTAAFRSESRLGQDNEGLKALHSRAESQAKIADESIGIPSSYSVNP PHDKVRRNMDKAQRFFGQAPPSAITREPIREPVREPEETPWFLKMDHEGEVFYDTKND VPTLKCGTLAGLVEHLTRHDKLDASFNNTFLLTYRSFTTAVELFELLTQRFNIQPPFG LNQEEMQMWIDRKQKPIRFRIVNILKSWFENFWMEPNDEAHMKLLERVHAFTKDSIAT TKTPGTPPLLTVIEQRLRGQDTTVKRLVPTQAVPAPSPIIPKNMKKLKFLDIDPIEFA RQLTIIESRLYSKIRPIECLNKTWQKKVGPDEPEPATNVKALILHSNQLTNWVAEMIL SQSDVKKQITRLEALKEQRASVLQLVERHRSLLADREALAVSSQDASRLMARGTSGQR RDPGKLLREEKMRKRIAKELPKVEADLRKELEHFEDEFGRPFLVHGERYLDELTPVVA KPPPRSKTPSAGPGSIRGGSMRQQPPSRPASVMRGPPPPRSATKTPTGNGSMKYNTIG PSRAPSRAGAKSPSKIPARVPLSNMPHGSNSPARSGTPGLYSSNTMNGKIPTRAPPPR MRALTGGESRDERSSYLFEPPRSASALSNAFVRPVSPEDVYDDRNQRSFMSSSAFSQR STGFSQSTQSSASSLSVNSMGYPRPNPYLQRAPPPAPRQVSNASTVNTGNSGSENWET FDSGTESEADASDVYYAKLRAAHGKRMALDDLAGKKPKGIRSVSPDEPAVNPNVVRVA GSDAGWTDDLEPY AFLA_003600 MNKRCPSQLSLTGCINRGGTLHSSSNRWTDQAVLQVVTFLHSHP VHLPTNPNGRTVANLP AFLA_003610 MFASVLGPSSSRSAAQESGQPKALQASPVHIPSTGPEQQLPLPL HRLDLKQRAVSLFSRRRVHPSRFQVR AFLA_003620 MVCNSVRWVPSAAQNHNSGTQNRCGAMNIKWLSFMIYLTYTKKH GTYGVAIDDNSNLAAYMSTGPSSAAPPLAPPTRIEQLQMPRNCALADLICVFPPFIST SEILCTQTLFEYLFYYYFILV AFLA_003630 MAPSASNTASNSPAKKTSAPEKKYKCQFCNRAFSRSEHRSRHER SHTKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLVSEGRRRGGAGVQKSSPAP APPKPSITIDPATLEQIEASSDGMVDLETAAMLMTDFQHKAAAAATSQVNDRAESDRS FSPGRGPLLEPSVSYLSGNATLPQMPWDTLVSPVDTKHFMSQDSGSDSRTLSSSMDRH GPVGDALAPSLHSLVNSLPVSGNSTPNALSPYPSMTGPVSPVNYRKSPGPSQALTLPK APQIANEIERNMIVERIRNADALGSLPDSFQLPSTAALNKYLSTYFNLYHHHLPFLHQ ESFKPTNTSPPLLLAVLSIGALYTFERQHAFMLHVGSKMLVTQFLQHKDNFDSRKCPL WAMQSSLLNMIFESWSGDPKGLEWTCSIKSLLANMVAGNRYQLKLRTEAREGAKPTRE EWIEDESCRRTYYAVYIFFGMLTLTFNHTPAMSFDEFDNLELPSSESLWNLDVTDDEA WRRSLASTTTLTVREAHDCLFQGEQVRYSAFATRVLINALFLQVWNHKRSFEALQDVV TEYKLRLALETWENSLEVCEPETIVVPISTPQKGHPLIFNSMAVYRNTRARLEVDLKS IQEALRYHSSYEVAAAMTVAREKVKRSQEMNKVIQSCFECIEIAAIQGINWVAKTSAT NWSVEHPLCGLDLMVILSLWLYRLEHDEEPATEAEMAIYNKVRNLFDDDAVDAFGKLS STVARVWGNILDGVVVWGITKLMGESFKLHSQALVGYEDSLRVGKDQPIHPMPTKTLA SVGTAY AFLA_003640 MQSTSPIPANDTEQLPETQAPPPTTEEASQRQAWSSEGYGLPPT SDKPPYGPVEPTPSRREPVARPLSKHATHLFTLSYLIFFAIFGTLARLGLQALTIYPG APVVTGVLWANVGGSLLMGFFLEDKNLFREEWGDVNQNNSNGTGTDPSAESKRHKSVK KTIPLYIGLTTGFCGCFTSFSSFIRDIFLALSNDLSDPSTPNGGYSFMALVAVILTTV ALSLGALIFGAHLALALDPLIPSFPFRFTRRFIDPLFIILGWGCWLGAVFLAIWPPDR HDNGPEFWRGRAVFAVVFAPLGCFLRYYVSLVLNTRLPAFPLGTFAVNIFGTIILAMC YDLQHVDGIGASSLGSGASSSILTSCQVLAGVMDGFCGSATTISTWVAELNGLSRRRH AYFYGIVSIGVALGFFVIITGSLRWTKGFVEPVCE AFLA_003650 MSLPPNVHISSHPCLQAKISQLRSHKTSTRETRSLVHEITTILG VEAFSTVLKATKRGTDQTPLGIEYDTQDIEPANVAMVPILRSGLGMTEAINNLLPGPV PIYHLGLFREKFTLQPVEYYNNLPYQRQDGSDSNTAAADTAILLDPIVATGATAEAAI HLLREWGVKRVVMLSVLGSETGIRRAVDSWPEGVEFWTGAVDEKCNERGMIVPGLGDI GDRLFVAIGK AFLA_003660 MARGLFLGKPRAPREPDISKPILKHTDALPRNNLKALKNGSTTH HRPIQQARRDSQRPRTSDGHQQDRKVEIPEPGFDFGIAVPPAEAIQSPTLSENGSDEN MIGIALGSPRLVDPQNTFAQMQEKAMESARGRPKAAPQIQRKPSKWRKIGGLFKAKNA VASGASQPFYQVQVQGSQAPLAQGSSHSIDYQSREAKSNHVMDTEVWPCLEPEVKAEE KHQNASVAQVSAQDRQQCAVSKSGPLLQVDIPSVEMERYSVMFSALLNKNEPSPLNRR SKTLENISVTSTETSPPPPDLLPPRRRATSPTRSNSPRLSLFPATQKSKASKMLGTQN LPRGPSPLPRNQVSRAESRQEDLSNEQDHILLMVRSDTISSHKPQDSVSSFISSTTIN SDDEKFILQKLKPVQTYVDPKGEPEWEMISKRKPSADETKPQKLTPALSLNTQELSPE PKNSESTASSPILSPLAAVQQRFSPLSPSDSSKSTVSPAETIRMPLTNETKVEEDDKT THEHEPTDPTPDEEPESETEQDHEEQEREPADPLPTIEISIARSVSVSKRKQVLVPVG RRPDRLTPRARTPQMVNGQYGHRHGNSQDARIESV AFLA_003670 MNGPGSVESQWLTQLAAMRQAIAELKLPKDPAKDQVGYGSDLDL DLDDDYSSPGTVDDIWDVISSDDESIADFDESDGFASPSASSYDQFWLEQKCQSLTSQ KPGLSANELAQQITAALATDSGDDELQMSLAEIVGFDDLDFVIELIAHRTEILRSGHS GPEAQTDGLFSGRLQTRAEREQALRRQDFEHKNAPLMPAQTRQEPQYPHVFKSHDNRN VLSFSGKKYGLPLGSKQIDEQKYTEVEVPASRVGTLGTTQKLVQISSLDGLCQGTFKG YKTLNRMQSLLYEVAYKTSENMLICAPTGAGKTDAAMLTILNAVGKNTIPNPVEQPEA TEFAVQVDDFKIVYVAPMKALAAEVTEKLGKRLAWLGIQVRELTGDMQLTKREIVETQ IIVTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTQRQVES TQSLIRIVGLSATLPNYLDVADFLKVNKMAGLFYFDSSFRPVPLEQHFIGVKGKPGSK ESRENIDVVSYEKVRDMLERGHQVMVFVHSRKDTVLTARMLKQMAADEGCENLFSCQD HENYSNGLKDMKHARARELRDLFASGFGTHHAGMSRSDRNLMERMFSEGLIKVLCCTA TLAWGVNLPAAAVIIKGTQLYNPQEGKFVDLGILDVLQIFGRAGRPQFQDTGIGFICT TYNKLHHYLSAVTSQQPIESRFSSRLVDNLNAEISLGTVTSVPEAVQWLGYSYLFVRM KREPRNYGIEWAEIRDDPMLVQRRRQLIIQAALVLQKSQMIIFNERTEELRAKDVGRI ASQYYVLQTSIEIFNELMRAEAGEADVLKMISMSGEFDNIQSRENESKELNRLREEAV QTEIEGGNDSPHAKTNILLQSYISRAKVEDFALVSDTGYVAQNAARICRALFMIALNR RWGYQCQVLLSMCKSIEKQIWPFDHPFHQFDLPQPILRNLDERLPSSSIESMRDMDVS EIGQLVHNQKMGKTLAKLLDNFPTLSVEAEIAPLNRDVLRIRLSLYPEYTWNDRHHGA SESYWIWVENSETSEIYHHEYFILSRKKLHDEHELNFTIPLSDPLPSQIYVRAISDRW LGAETVTPVSFQHLIRPDTESVYTDLLNLQPLPISALKNPILEELYGQRFQFFNPMQT QIFHLLYHTPANVLLGSPTGSGKTVAAELAMWWAFREKPGSKVVYIAPMKALVRERVH DWKKRLTGPMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRDYVRKVS LVIIDEIHLLGGDRGPILEIIVSRMNYIASQSKGSVRLMGMSTACANATDLANWLGVK EGLYNFRHSVRPVPLEIYIDGFPEQRGFCPLMQSMNRPTFLAIKNHSPEKPVIVFVAS RRQTRLTAKDLINYCGMEDNPRRFVRMSEDDLELNLARVKDDALREALSFGIGLHHAG LVESDRQLAEELFANNKIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKIEGYRDMDL TDVLQMLGRAGRPQFDSSGIARIFTQDSKKAFYKHFLHTGFPVESTLHKVLDNHLGAE VSAGTITTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTIAAQTIAQDFMIDLV DKSLGELAASSCIVLDSATGEVDPTPFGKVMSYYYLSHKTIRYLMAHAKPNPTFHDVL SWMCSATEFDELPVRHNEDLINAELAQNLPLSVESMGDLPMWDPHVKAFLLLQAYMSR IDLPISDYVGDQTSVLDQGIRILQASIDVMAELGYLHACQMLMSLLQCIKSARWPEDI PLSILPGVGVSAKAPFLPASLAAFSSLPTAAVSTLPKKLQLSPPQAAQFTKAASYLPN LSVSVSKVSATGISVSLTRRNPAMDSEYRIYAPRFPKPQTEGFFLIVCSAASDGKDGE LLALKRISWPPVEKQRNRGKNNAGSSKPGEKNNHRGGPPLTIRSSVKFPDTAAKNGIK VKVISDSYPGMEWTMPTVEVDMAPEKQVVPESANYPEKS AFLA_003680 MSSSEQEQTAQPVDSEPRVDILCSAPGFSVPNPETVREKVNKSN TIFHWGGVRIAKISPEIVVKLGSHITLNEAKTLWRAIVWTKLGRHIMRRRKIVLPTSS KGISVNFVKSPLATM AFLA_003690 MTSPYHRRPIPDYFLASPLATFLYPLHHILLRLRGPPRLPPPDA HPIRVVCISDTHTLEWDDVPDGDLLIHAGDLCNDGSVREIQAAVDWLQGLPHPHKVVI CGNHDSYFDVRSRLEEDRDKSFATVSSSTASLRSIDDLESPHRIDWGDIHYLQHSAVT ISFPPPSSTGSRARSLTIYGAPQIPALVPFGPEHAFTYPPHYDAWSGTVPPNTDILVT HTPPQSHLDLSPVYSTGCPFLLTEAWRVQPALHVFGHIHAAYGMEPVYWDEAQKAWER LCASRRRRARNGRFSSLFGFLRDLFDISGWVDSARVVVYGVLGVVWAKVWGGENRGCG WMVNAACMSRDSKGLSNKPQVVVL AFLA_003700 MSKGKLTGADVAEHNSRDSCWVIIHGKAYDITEFLPEHPGGQKI ILKYAGKDATEEFDPIHPPDTLEKYLDPSKHLGEVDMSTVEQEEKVADPEETERQERI KRMPPLQACYNLMDFEAVARDVMKKTAWAYYSSGADDEITMRENHSAFHKIWFRPQIL VDVENVDFSTTMLGAKTSIPFYVTATALGKLGNPEGEVVLTRAAHDHDVIQMIPTLAS CSFDEIVDAKKGDQVQWLQLYVNKDRAITKRIVQHAEARGCKGLFITVDAPQLGRREK DMRSKFSDEGSNVQASGGDAVDRSQGAARAISSFIDPSLSWKDIPWFQSITKMPIVLK GVQRVEDVLRAAEMGLDGVVLSNHGGRQLDTAPSGIEVLAEVMPILRERGWENKIEIF IDGGVRRSTDILKALCLGARGVGIGRPFLYAMSTYGQAGVDRAMQLLKDEMEMNMRLI GATKISDLNPSLIDVRGLTSGHHASVPSDTLTLRAYDPLQAPRFSEKAKL AFLA_003710 MLKGSAWDTREHSGVSGGTVVSEQYQLKVRSWGFRVPKTAADRE KKKSLGRYHARKIIHANPRMKKPKIGDVETNPRLSL AFLA_003720 MAPTFAGLSGRPLSLAVSTAECSKTSNRGLLICIEGGVIAIGTA IAYWIDFGAHYGPDDLVWRFPIAFQIVFGVIIIVGMFFLPDSPRYLISKDRIQEGEYV LAALGGYEVHDQETQTQKNLVIDSIRAYVCCLEVLPNKSVTNLDNSSGAGATTRYRDL LTGGRSQHLRRMLIGSSSQIFQQLSGCNAVIYYLPVLLKQSLHQSNDEALLIGGINMI VYAIFATFSWFFIEKIGRRKLFLGGSIIQTIAMVITFACLIPDDTQVSKGAVFGLFLY MAAFGAAWLPLPWLYPAELSPIKTRAKANAVSTCSNWLFNFTVVMITPVMIAHIGWGT YLFFAALNALFIPIIWLFYPETANRSLEEIDIIFAKGYTENISYVKASKDLPKLNDEE IEQKANEYGFGNSTEDPEKATAAEYSPSTSE AFLA_003730 MSSSLEAKIVILGSQGVGKTSLVQRYVKNAFNPVGTASTVGASF VTKRVLDSSSDTIVRLQIWDTAGQERFRSISKLYYRGANACLLCYDITDEQSFLEMTG WLLELKKNLGDEDPVVIHVVGTKSDIVALDPLRRAVPFERTIAYVAEQLYPSQASTPP PTAGVSHSSSTTLQGLDSKRSSGFWGQDIGWDCCHEISAKDGEGIEEVFQVITRKLVE QRNKQLGITSSHGSTSGLDGVASPVGPRTLEGNGSFRLGHGDNRRSWLGFPPSSVGDE LDERIEFTKKKGKCC AFLA_003740 MRLSIRVGTAALLILAIFLIKRHIDTVQDDSRVPLSSFWRFGGS SAGSDSGNQGAPVDKTAMESDVISPQNYETTPIIVPNDRALVMAKLASEDTSWVANDL NEWRNVIYTVDDLSATRHTPINKGRESLAYLQYIIEHYHDLPSLIVFIHSHKDGWPAA WHTDNMEYSNVVAIRNLQADFVQQNGYANLRCQETPGCPEELRPLRNPPRPGQTTEAA YAQAWKELFNNTEVPEVIGAPCCSQFAVSRDQVLKRSFEEYMQYYNWVLTNDLPDDVT SRVMEYSWHIIFGKDPVYCPDSLQCYADVYGNPYFW AFLA_003750 MSQETKEEIASHIGAINEWLKAFLRNIARRQVEEGQGRSLMNCV KEAFHTAFKAVTECFRFIYETVRQGWLKLKEGVRWVVQEVKELVRCAVSCIRQLFQMD VSSGYAL AFLA_003760 MSSSYVTENTAANGDSSPQPLLREALENVSKECPTRLGMSPNEI LTKSPTVDVFFDAIAAERLRRMPPDGSRLDGALHRASRLAFAVGSLRDSVAGFMDGAD EAAMMIWGAILLQLELGIEHVDVIDSLFGQYGRVTLGISLLLQQESYFQTYRALQHEV TEIYAHVLQLVLHVTMEYREAERSQHWQSMSEAVNRSFFCYFNRFTTHWRRMSQVALA TGAPKQQTPLDLAAIYQFLELQDRPLHMLLEGHNHSLADGSFAWFDPHLTTFTINRRN MLLVTGNPGSGKSALAQWTMEKLQVSSEFDIWNVIPVSIRSDVPITTLPLSILKGLLT QILDLCVASRKTYESILSGVMNALELAVTGAPDPQVEEQLWTAIRIALQSNMHFMLVV DGVDQIKHSEVTVGPFLQRLQDAVSERNTPSKLIVFSRPLAAAQMPMREATTTQQISM NVSLTQQDLHATLVDMMTSDAAFSGLDTSQRDSLAAAIVPRAQGCFVWAQLTLEAIRN LSTFNEMQSAVKASPHTLGDLIDRHIRSIDLNRVGTQSLLAWLLAAERPLRIQEIEQL LAVDLKTLNITSRTSNTEREVFQPLARLISVHDGFVTFKHHLVRDHIQARALMGQEVP FSLSEAHYDLLIRSLAWVRKSITDEVAVAWDKLRVTARDRYLDAYTLLEYTARYWLSH MLASPIASSKREELNFPKPFRQAMPDSVLFAQLELTNRESQFSRSSIVELYRLAVTVR RSVLGGDSPALLQSLILSARAADMAKASWANDHLYEAWIRSRSQLGPSDPTTRELEQL LVATPEGRGKADQVAGFKTDALRDMALSGWDSSDISFAQRLQYLDRLVKSYRDNNQED AAYDVSKQFYRQTVMTYGSHSSEAMQAADFLTQHFNIAPPDEMALEIARNKYETMMRS MDATDPRRVAYSLQLAQMYEDSRQPAKAEAVLTRLWSGLSARNLDTSPAWDQKTKVAF YYSQFLRRQGRPDEASTILRQLSADLEAEGGVRSPEMASRAEELRVEAREMGLDDMDR ALSLQIWKYYQASGQQYSPEAVTLAESLARVSLPTQGNVENMGALSPEESKLLPGWID SIASAGAEKRTLPLLTLCHQLATQHIRDEEWRKGSDTAWAVLKHAWPTVEDPQSKAKF ASSEAPILANLALDHAYCLFRRLDINTASVVYGNAFRASITADQVAVPSVTAVVKTVV EFYETTFQFEKALVLLHQVSEFFGSRLGDHDKHTIDTRYYEGDLALRLDKRAEAENSY RHIYDACVRDGKVSSSGVKAAVALVNIYVQNKQWDSALEVYRHLWPTLVRFDEKDGYD RALLEGLLPKTYTGYMELLNNKAAQGSHAERYQVASQHQQLCRKLYGPTDARTRDATL TLAALCADSDQHVGEAINNYHQVLNTHDWVSPTESSRALPDMSEPLPISIKHQMAQLY LRQKDNSEPARSLYAEELALAKQQQGLSAPTTLLWLREIARMHAIQKSPESRRQGAAL LDDHVDEVVHVTANHEALVDRAHRLAEIYLELGYIDEGNRLIDDLHQRVINETPAAQR QSLNEYRPAVFVAAFEEVFGKQRSSKQVLDELSREGQVYNAFQRSLSSHDLMSTLAAG EKLYTLQTNQKRYSSAQDTQSRLYDYFCNTLSVAQPLRKKDAVQQFYALCRRESLQDD SNINIVTSTMRMVKDLCDRAQFQDAADVTGVFHSFVHLTDGLRSPESIFTAIKLCMYL NGYQTKKCTEEATAKNMSLESQMLLQEIMTKTKELPLEFTELPFAELNDLVTVLGEHE MFEDLEAILTELWTSRIVQKTWSLPAVVWIGRRLVETRFCRGRVSSAIQLGKDICYNL RQVWGNCDPVTLEMTKLLSGLYTASGNHLAAAALHESALAELLNSQTDRQEGAIEAVT QHLELLQHAHARLAKEGQTGTIDAAAAQERVQQIATKFGLSSEQLEAVTGADENVGVW ERPRRFSLDVEDLETHHNNLRQTSGSALLNGNAGAKRISISAL AFLA_003770 MASSTTPCFVHHPAEIILEVASHLSVSDLNALIQTAHRFHDLLS QELYGRAPTLIRNDGDTPLIWAAAQGRVTCVQKLVRRDPNPARLIDGRAAIHEATAAG QEQTVAVLLEAGVPVSLPDNDGHTPLEWAVTHDEELVLRRLLASGAGNVTNPSEENWE WGCALEAAVMMGHESLARILLEAGASIARDHPAFPMIHEAINSRLRFASYHGQCEMIS LLLEFDADAHLLRRGNLLPLHIAASHGHIDAVRLLLQHGADIASVDEQGDAAIHHAAA GGSVEVVKFLLDQGIPVDVRGDAETTVLLAAVIYRQAEVIQLLLEAGADVNLVESDGN NALHLAAYCGTIEIVESLLAHGAAVDVLGPDDRTVLHVAAAGDNPDVVPSLCRAGVAI NALDASGNTALHLAALHGRTALTRLLLEMGADVSVSPEDGRTALHYAASVGQADLLQL LVDAGAEVGALHSDEGHTALHYAAVNGSADAFRVLLRAADAQKFDLLSPCWCGGTVLS KAARKGHRGIIESMVEEGVDVTACYDGSSALHAAAAAGQTELVEFLLSLGADRLQLDW FGRSSIDWAARHPPTLEKLTGDAPLSAPSFDEESRTSALREGITMLATRALTEGKSVS YRLGKCLLYMNDVSAARMAFLYDAKVDPGSQDSAWAVCDLCKGKPTVTEGRFVCQTCP DIDFCGQCMDQYYQERLQIRLCDGHQFLEVQASSEELLAIQPNESRESWLRGLIAMYD SST AFLA_003780 MATLTPVPVTLPQDKVPTKHNVRDIVGIFLTNEWPSVDPETLTV SYHASFANAHCSVERPRPTAGTPTEPLKVFIKLHTDNGGSLKIFEPLAPTKHEEALLC YEYGRTGLGAKVYGFFKTQDGILGRIDEFLDARNLEPEDVEDSVIRADVARGLAMFHV LDTSLEKKAVESYYEAVINGLGNYYMMDKLKALGKEGGVSIDKLVDYDFGRRLRNVVD KLEFIGGKTGWCIHDIQFMNVMVKNNPREGESKVTLIDFEFVMQNYRAFDIGGHFMQK LFKWFDEESRIADCRKYTEEEKRHFCDEYARQWNEVTGDSDTGDQVFLESEYGYLLAI TFDIHNMLCFMAEQDDKDPLNLLGLNKLFDEFVDQYAKLDLDDS AFLA_003790 MDPSTYPYGDGKTGDATNFGIFKQNWMMLRTSATEFLGQKTEDV KNGEVLNTNLEKDIKARHDGEKKYGFDVWYAGHRNGASGLENPNTQDINNYKSAVKWI KSQIESDKKYQSDDTRFWVDVVAI AFLA_003800 MEGNAPRVGIQPKGAPKSLIELDGTDPYQKRPSSLSKERSQRVQ PKPLEEVDKVMKDFFGEGPDAASVKLESLRKSVLRGEAHILWGDLAPFSMRPLIASIT LWYSCKLDASLVLLLASSPEPDSMFEALKFLSKSIGGLPTMADILKTPSTDLPKRFAQ AIKSSD AFLA_003810 MRSIAYLASGLPVALAATSGQFDVLSFNVAGLPAILNGNEVPGD KTNNSKAIGAKFAEYDYDVIHVQEDFNYHAYIYETDDHPYRTATSGGAGIGSGLNTLA NYPWVDFERVKWETCSDASGSDCLTPKGFTTMRVRFDEGVYVDFYNLHTDAGSETDDV TARSANLQQVADYIGNNSAGNAVLVFGDTNARYTSSGENIRVFGTEQNMTNPWVELIL NGVEPTEGTDPWMCDNPTTNNTCETVDKIFYRGSRSIELSATFWSYVGTKFELDGHIL SDHNPVTSNFTWTLSDSVRQSDLFGGPHGTWFNDLSSVPSSSTGQNKPSKITLRGENR VDSVGLALTSGQNYTHGGTGGTASELTLAEDEYWTQAKLCQDKYDDHTRIFYLLATTS AGNTVSTGKTTSDCKEFTAPDSWQIVGFYGRDGDEVDELGFIYAPQ AFLA_003820 MGNWKIQLPESTSKDHVLGTISSGLPKYYAGEPRVNLGHFSPSL QNSLKRVIEQSYQAEADEKPSADDISRAYDILSKYLAAYLMGQSSDDSVTHWVVSQFP RHQTQPLQSIFHNKIPVDEAIAALLKNHDQSQTPEARYHAESLNRARGLFASLLEITT VDNINIFGNALRQSNWGLLEMTHVSYHLKKLRQAGTFDDALVSGFYKVFNADRTWMIH DFTKYWGRVDMVKFSQEYKKPDLALNIIKAMGDAGVVRDGLYRALF AFLA_003830 MTTTSYSRPIDHEKTPSPVGLGANMQEDQLNENKSHPQQDLPEY PPLPRVIMITIALYLAIFLVALDRTIISTAIPRITDTFHSLNDIGWYGSAYLLTCCSF QLVFGRIYTFYSPKWVFLGAILLFEVGSVICGAAPSSTAFIVGRAIAGIGASGLMSGS VVIIVYIAPLHKRPMYTGIIGAVFGIASVIAPLMGGAFTENVSWRWCFYINLPIGAAT VAIIALVLKLPEPPHSNISTRERLAKLDPLGTAIFLPGMICLLLALQWGGTTYAWHNS RVIVLLIIAGILLLSFIGIQTWKQDNGTVPPRIILQRSIFSGFWYMFTLNGAMMVILY YLPIWFQVIKDASAIKSGIMTLPMIIGLVVGSIVAGAGVTRSGYYNPFMIIASILMAV GSGLMTTFTTTTGHSKWIGYQVCFGLGLGFGMQQPAAAAQTVLSPEDVSTGISLIFFA QYFGGALWISAANNVFANCLARNVASIAGVDAGDVVKVGATALREVVGDEQLALILPG YNSAVIDAFYVGVACACATGIGALTMEWKSMRT AFLA_003840 MRADDKRGAETRVPYHPSISKLCIPITGDIFPDVASAAVISPLV SSAPPLPAAPVLKRAEWIPLDAPMLQGNGSYEKGLLPSSKGGKCGYWDVDGYIAQCDC IMTGSKMADSYIEQYASSACDAFLSSLPGANVVNSKWLRFAVKNLVGTNGKPNVLNFR WKKVSKGELTLTKELCNDAYKMLANTICDKKDAKATRGGTIKFAGDQGIEIGVDPDEM KNFKEKDKRYTNVGKFIDAVIG AFLA_003850 MNDHPNCTAESSVICLHSPSVEASSTGSSPILAGLGISWGSNTA ASSSAPDIHLSETAKDYPAPLVPSSLRRAVSPCKSKTSAGALAYNEVPPRQDINKPLP PAPPLAPSRARGGKQRALPLKPLPYHLAVLDKAFERAGLMHNMSPPAPEHPDDPQRHT AVPGAIGQDRLSLKAGDRAARPHQPWRAMGWLARQKTDTAQSTSRADTERTRVSKPNR STNELVSRPRTLGRLLQRLHLPWQSHRESSRPDSDSTQSNSLSRKSIEEISTCQSLSQ PAPNTSTLPGARPSRTHCRPVDRMRRVLSALMEERISVHLTSSAEVDQERHIHSLLQD AQRLLLDGLHQVSRQDGAGTVPPTPATYIQPPPNINASIPSAPVFPPPRRSIHELDGR SLIPRVSSAPDKSIMLRILWEVNCLEDLFSMALVNREAYQVFKENELQLMQATLWKVS PPAWELLQVSEPHWDRGLLASSRSAAASFYLRHYARNLYNLTRIKFLILDHCQTVLRP DTISELRDPYSRLDTVDAAIYRVWTFCQLFGCQKGREWDIDGQIRWLRGDTVGADLPS ICNTSPDANDVNNVLFIPPEGFAQGNQGPLSQSQLRDMMEIWTAMAALLDFLRDETSR ARSVGVFDNAPVTAGDIQQERLMLRTWLRFIITLGPIAVLELAPSGPYSDPETAFRRA SSQGWTDWVPPTPGSHSSGFLTGAIQTLLETSTCPPEIEADTATATAAD AFLA_003860 MILESNTEHDLYRNSGRIRKPRLSVSSIQLHRTSSFLDDVDYFT KPKAFTSNLPSCCYHRQRDHKNSLASKSTSTSTSTSTSTSTSTSTSKSTSKSTSKSTS KSTSKSTSKSTSKSTSKSTSKSTSTSQSTSTSQSTSTSLNGSIILVLGYLAMLILAGA IHPLVTSIEGSAPPSAGPVSISERSKWIPLDPSLSSTSAAQKYDNQVEGFPPSNWVPL SRRAKGTGKCGYWNVDGHIAQCDCIMKGNEVANSYIAEYTTSACAAFLSKIPDEKTPK GKWLRFAVKNVVDTGGQLSVLNFWWKKLSKNGMTLTQDLCQDAYNRLGAAICDTNRGK STKGTTIRIGGEDGVEIGMDPDKMKNFSDKDKRYINVGDFIDALSSR AFLA_003870 MEGNGRSRDGTDSAPLRPVSSLLSHFENLSHRRAPSASPSGPRD PTSYLKSPEPVDDFRSARASLDLSRPRSPWVPPADFQNGQGTEYTNGAPRQRGVSSGR SPGRRQSRPMSMNLHSSPQLAPTLTVDSPRSPPRGFGTHPDHNEDIRTARTPPNAPRE SLPPRPIAQANSLTRPTTPTYASSVPTEHRIAHLSPGHPDSAVSTRDSPPERRLKSAS LPPPANRAEKPKIPAKPAGLSFHDGNGLAPRQERPHVEDGVSPFNTPPGSPDKSPPKV PSATKPQPPASPRPVPPPVRRSFDERRPHPNALGLPERPQPRRPSPRPTRDTKPLMVQ IPSRQTSQQETMSAIPHTAHRFQSFDSPREPPGLPPRQPSIARRGARSPGAQLDRLRS PVQPECPPRPELRPHPTGEVHTPRQLQRQPSFSRETKPGPPPAIPERPVVDRPVVERQ PVRPVPAPSEDEQPLDEAPAPRTDYPDASLANRRPPLLKKGPQEIPTRYDTRLMDVCG KYVCTTGYLTRVWDLTTGEQIMTLSHGETVKCLSLAFKPGKGLEDEGQRLWLGTSAGD LHEVDIATQSIVASRSYPSRREVIKIHRHKKEMWTLDDEGRLLVWPPDESGTPNLQYS YHNPYDRVARGHTFSVVVGDTLWLATGREVQIYRPNARDDVSFKVLKRPLGSQHSGEV TSGAYTTKDGGRVYLGHADGKVTIYSAHDYTCLGVVNVSVYKINCLGIVGDYLWAGYK TGMIYVYDTSTNPWMVRKDWRAHDSPVSAFLLDTSSVWTMNRLQVTSLGTDNCIRLWD GMLEDDWMESRMQAKDVEYCTFREIRASILTWNAGASTPGSARTSTFIQDAIHPEDPP EILVFGFQELVDLENKKITAKSLLMGSKKKESSEKEHISRQYRVWMEHLTRVINECMP LEESYVLLHSANMIGLFTCIFVKHKERQRIKDLSAAEVKRGMGGLHGNKGALILRFIL DDSSLCFVNCHLAAGQSHTTHRNNDIAAILESEALPAETSLMTRADRFVSGGDGSMIM DHEICILNGDLNYRIDSIPRNVIIEDIRNNRFTKLLERDQLLASRRKNPGFRLRSFIE APITFAPTYKYDVGTDDYDTSDKKRSPAWCDRVLYRGIGRVKQLEYRRHEARASDHRP VSASFKLRVKTVQPNERAAVWESCQQEFQKEKRRLASEASIEYLISVLGTEPQQARAL ILGNGN AFLA_003880 MCKHILNAQVAIRSACCKKWFDCAECHHEQESHALMKTTEMVFA CKKCKKCFRKDSTEFEESDEYCPHCDNHFVLEAVTPKPALQVEGEDARIDNRMLKDDR VRGHQERSIFNVRDAEDRLG AFLA_003890 MSGYDRALSVFSPDGHVFQVEYAMEAVKRGTCAVGVKGKDVVVL GCEKRSALKLQDTRITPSKIAVLDNHAVLAFAGLNADARILIDKARLEAQSHRLTVED PVTIEYITKYIAGVQQRYTQSGGVRPFGISTLVVGFDPNDKVPRLYQTEPSGIYSAWK ANAIGRSSKTVREFLERNHEDDMDREQTIQLTIKSLLEVVQTGAKNIEVAIMSPGQTI EMLPEDQIEAYVKSIETEKQEEAAKKKTARTSTTTAAILTRGGGESGDA AFLA_003900 MEEAITLARVLRGLIRKSQPPHEHPEILTRPMDARADVRAFLAE RSKVLHLSGLPHDTTQSELESWFTQFGGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEA AESLCMNGRALNEKAIEVSPSSSRVLDRAAEILTPFPPSKNRPRPGDWTCPSCGFSNF QRRTACFRCSFPAMAAAPDPMGYGAFGYGPPSMMPPHMGHGHGMGHSRGMGGNGGVVP FRAGDWKCGSEGCGYHNFAKNINCLRCGAPRSGAAVVADSAFPSPMDPPSNFGMGPNS MASTPAPGPFTSTAGGFGGFSQQFGAPPNNYALPSALGSGPAGYPPMGQMNAGYGSSN TSHSAASFANPATQAAFTGADHATSTSASNGAFYGADGSNDPFAFLSTGLGGLSVGDD GHSRRNGTGASKSPA AFLA_003910 MPGRSSARSTSATTSRRPSAQPSLSGGRAGSVTPSVAIPEEPPL PEAFPNLRRDVCSLFADAQRSTTGHRKLVVRLRKLQEACCGISQKSSKKNGKEVQEDT LIPGEETLPETEFNVEIGRCMLRILPIKKSEPVGDRILRFIGTFLTHASEKDAEIFAS DDDEVSAETPTSRLAASLVALVMPLLATKDRTVRFRATQITAHIVNSLETIDDDLYHT IRQGLLKRIRDKEPSVRVQAVMGLGRLAGNEDEEDEDSAVLVEKLVDIMQNDTSAEVR KTLLLNLPLLRKTLPYLLERARDLDAATRRALYARLLPTLGDFRHLSLSMREKLLRWG LRDRDESVRKATGKLFYDRWIEDVASTDNDEEGPANQRSPPNIPALLELLERIDVLNS GIETGIAHEAMRSFWEGRPDYREAVVFDEEFWESLTAESAFLIRSFNDFCRVEHDGKF DNLADEKMPEVTALAYFLNKYMTDLLQRKKTAKEAGTATDDDSVEHEFVVEQLLHIAM TLDYSDEVGRRKMFSLLRESLAVPELPEECTKLAIETLRFVCGPNAAAENEFCSVVLE AIAEVHDTIATEDSFVSARSEISESSSRQRSETPEAKPFNKEEAKAKVLKEIMVNMKC LHIALCMLQNVEGNLQANMNLVTMLNNLVVPAVRSHEAPIRERGLECLGLCCLLDKTL AEENMTLFIHCYSKGHEALQTTALHILCDMLTTHPSLLAPVTQPDGETVTPPVFQKPL LKVFARALKSNSPNSVQATAATALSKLLLTNTFTPSGANVPPAIQEYNQTSVETLLQS LVVTFFHPRTRENPALRQTLAYFFPVYCHSKLSNTEHMRRVSVPIVRAVLNAAEEHFS LEAEEDSDGDIDESVGQRELKALMSGVLGMLAEWTDERRVVGLGGEKILAGGAASSNV CGWVHLALVKDILERVLGISEGPNRCSKEEKKLLFSLLSKLYIAPPAVPSRAGSRNLE GEDPIAPSARSNQNEVSPENAALAQEVKELLDETIEEGLASEAASRNALVKVKNSVLK LLAAAQGRPSSSRIREGTEEGDSDMLSLRSGRSGSVRPSIEPGAYRRGVSIEPSIMEE DENEDSRTTIKSEAHDY AFLA_003920 MFRQSIRRFGTTALRAAEGSTAYSVRVSQAQGYVNGLTEAIGNT PLIQLKRLSEETGCNILGKAEFQNPGGSVKDRAALFVVKDAEEKGLLKPGGTVVEGTA GNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPQNYNHQ ARRHAESLDNAVWTNQFDNTANRQAHIEMTGPEIWAQTGGQVDAFTCATGTGGTLAGI TRYLKTASDGRVKCFLADPPGSVLHSYIQSGGNLIERSGSSITEGIGQGRVTDNLQPD IDLLDGSLNISDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGKTVVTIL CDGAYRYADRLFSNNWLQSKGLRTAIPKHLEKYIVLP AFLA_003930 MSWNHLMTVKNTINIIKHTEYSAQNPLNREPPVKTLVSSFFTPE DISYDRNHGPIPHLTADTHTVRIDGNVPSPLCLSIHQLQTEFPQHEVICALECAGNRR HAMRTLLKEVQGIDWGDAAIMNCKWKGPRLRDVLLRAGVKSSLDRDLYVAFSCYQVQC QEDDWFGSSVELKRCLDEDMDAILALEMNGSPLTPNHGYPVRVVLPGIAGARWVKWLD RITVQDHESSNFYQQRDYKVLPPDAVDSASAEPYWDRTPAMCDMPINSVVAVPGDGET VHLSESSTLEMKGYAVPHGADGPVTGVQVSADGGQTWVDAEIEGSSLERKWCWVLWRA KVRVEKGTGKQVLSRAFDRGGNVQQEHSQWNLRGVGYNGYGRASDLIVV AFLA_003940 MAHGFSALKEMDLDAFAAHFVSKLPLSCLVYDNRGFGDIDTKDQ PRHEILPAQQISDYSDAITYAQSRSDVDSHKIGVWGSSYSGGHVLWLGAVDKRVKAVL SQVPCVDGWFTFHRLIRPDFRAGLNQLFQDVDRLARAAGKPPGMLPVVNADVHGPSAL PTPNSYNFFMKWAKKSNW AFLA_003950 MAKSSPEHQFEVREIQTKEEYARLVDVLWTANFQPYNPIFTAVH PVTGHTAADRKADKALDTEIRWAAHTKNPASHLIYVLDRHTGRVAGGCEWLIFHENPF PHGPQRIPCTWYPEGSERAEYTSTFLSQVFFYRMSWLQRPHAGVNAMGVHPDYRRRGV GRLLMQWGHEQIDDLGYESFIEGSPMGRWLYEEFGYRRVVSLHVDLEKRNPSEEWSRL LHECRPPAILLLWRPPGGNWDGNVPDGPWAVEDGTWK AFLA_003960 MVSNKLYNTVMEGSDPAGVTYSLETIKGLLQEFKAMDEDNDGLV DLDVLKQAYGEQGAEAFEEFFDSTLDKQVSFTEFTSAFIFVQRASGYPNKV AFLA_003970 MSSHGHPGRRLVPELLDEFEVRGPNGTHTCYTVTLAACNLRDVS FSLFPLDVARALSYSLAQAVACVHSQGYVHGDLHLNNVLLALPSNFDDLSVEQLYEKY GKPETVPITQIMEMESRSLRISQLKRLGHCFLGDL AFLA_003980 MSLPELEIYSHLLSPVGFYTKSLALVADAFHYLNDIIGFIVAFA AVKISSKKESPKDLSFGWQRARLLGAFFNGVFLLALGVSIFLQSIERFISPQDQKSAE HPRQACAFHTGHRHNNLQAQKKGYDLGLLGVFIHVLGDAFNNVGVIISALIIWLTHSA SRYYADPAISMAIALMIMGTSIPLVRNSGLILLNSVPKGIDLSDVKHDLELLPEVSSI HELHAWRLNQEKALASVHVGLPDIRISEFVKLAKTMNECFHSYGIHSAIVQPELVQTV EDTTEGTETKSDSCQITCGSSCELLTCCG AFLA_003990 MLSISKVSVDDLASEHREAFIQALHNVISRQPTQRALAQVVDGI PTRTDSNGWEFVKAGLKRKDDPFEESIETVKAFQDKFEVDTLEISSDVAQAYQDTSIG SRDFKLRLLEMVAISFHNMVAHLFKSFEADPDAWLSRNSVPHPREATWFYHSDYLDHD QYPLGVPDVVGYWAEKQVFGGVVLFDRGENDNKCHDAFIHPDDGYRIFKLSDDQLDQF ASLGAKPGLNDQNNDSVSPIPFQAERYTRRIEPEEAMERHIYRHLYERRPKAEDNGRT QHKRRRLEDYPEFGDLLHRLGKGEQR AFLA_004000 MASIANFVVFTRRSSDPSLGWEDNPPNTPVYTYVASAINIALSI LESPHGRHYLTQLALIIDHEMDENSHFQGNKDIAKHWVDVFLAKVRAQFPVVIVDFTM NNPNELGCHPRGGWMGHLKDFDPRSHMICINGQTDRDTIPN AFLA_004010 MIIELLPSSDIGRLRLASTSTAHVTRPTSLPQRFWRSRFRPDFE MGFAMPIEATIDEDWRKAYFAIRHALSSPLDSAYLKNRQRMWNIVTVNASLLAEHMKG SGLSGDLHNDNNDSCPKLQNGLSELGRGQIITTQFSTSCHEYLHVGSRKLSDTSIVLP VNEGEVRIIWLSMICFNSQKFIAGVRFQALDSPTQGYRNYSLGYISRGSEVKVDIPLS HRIAGFELATRVNGVVGMRVVLQKGSDKSWTSWVGDVGDGDPNIAFGMLSLTKGLQRA LIVAGFDVSLIVYEGLQWLTALKAFKMLDLAILREAPARLEDVPLQPLWTPVCPRGPL TPALQYSSRGSFNAMLVMDFGGNHGQNLAHLTRIVAHIQEYSAPIVGLTFYFDNQKNI HFGRQGRMEVSSFFDGPAGERVVSVTYERASTSCGIVTLQIHTNYGNTAVFMPNELRK WPSTKLSVPAPPNTPSDLSLQRPSTEYSTETLRAPEDQQITGFAANLESVLPQWRGAY VRVYFLTDKTSPAAGVRFDIRKIEPLYGLHVCGSPWSPENIVLQRR AFLA_004020 MDDWAQRYPTNTEDVARVCRDIVIKYIKERTRLPQQPHILQFSS EDRMTKYEICEKFAEVLGLPLDRMIRNKQGNEPGGVQRPYDTHLSTKGLKDLGIDVRT TDFVAWWDVEGPTSRRASHDSKRLSNKEMPMPARSIDTANKEVTAIDTSVGNRFPGID APVSAVNAGERRVVVQYRKTSLNLRVTPSTTAQDLLFLAADCLAGQIDPPKFIMMESF GELGLERPLRTYECVREVMNSWAHDQENSLIIVPAASLDALSLLDSRHAPSEQPTDVT LHMYYSQRPRKWDKRYITLRADGQVTLSKKEHGQDSVNVCHLSDFDIYSPTSRYLSNN VKPPKKICQAIKSQQKSSMFLTTENFVHFFSTNDKAVSDTWYRAVQSWRSWYLVTKLG AGSPADQADVPADAGDALPSHKKPFKPLLNLDSPLNSGAENSDSATDRPKASKTKELF SRKKSTREHVPPPSSFPKMLSDESDLSAAQSSDESPFASGGLLGRTYTQRQRAMKERE EKEKKATEEPFTNGLVGAVDTRRQFAGPGSRANSRPNSRSNTMTSTHAPDPSGMVKRS QSVKNKPLVDLTPVYQEPPQHTRKGRGFTVEAGKPLVDAATGPEVPGGIVIPSATTWR RPEVPSPTADARTRKRSNTNRSASSQQRHQYSRTAXXSPSVPRTQSYKP AFLA_004030 MTSIEPTSEKMSSVVLVTGATGLLGRQVFNTFKHSGCFVVGQGY SRANPPTILKADLENKDDIQRILDEAK AFLA_004040 MSSARNVSVALRRARLPRPRCFVQPIVSRAPSRIATRSFSLTSA VNATKEIKYTSNAYPNLKRDPKFAEITAEDVTFFKELLGSQSAVIDGVTTDAADDIEP FNSDWMRKYRGHTRLVLKPQNKEEVSQVLKYCNDKKLAVVPQGGNTGLVGGSVPVFDE IVINTSRMNKIRSFDEGSGVLVADAGVILEVADQYLAERNHLFPLDLGAKGSCHIGGN AATNAGGLRLLRYGSLHGNILGVEAVLADGTIVNSLSTLRKNNTGYDLKQLFIGAEGT IGVITGLSILCPPRPKAVNVAYFGVESYEQVRQAHQEAKSHLSEILSAFELMDGRSQK LVHESTGNKNPLDSEYPFYCVVETSGSNGEHDMAKLEGFLEHIMGEGIVADGVLAQDE TQFQGIWRWREGITEALSHLGGTYKYDVSIPLPELYQLVDDCRERLTKLGFVGDDDSF PVRAVVGYGHMGDSNLHLNISVREYNKEVEKAIEPWVYEWIQKRNGSISAEHGLGLAK KEFIGYSQDETMVKLMKQLKDLYDPNGIMNPYKYI AFLA_004050 MADDMANQYQMMEELGSGSFGTVYKAIEKSTGEIVAVKHIDLES SEDDIQEIQQEISVLATCASPFVTQYKASFLRGHKLWIVMEYLGGGSCLDLLKPGVFN EAHVAIICQQLLLGMDYLHSEGKIHRDIKAANVLLSHTGKVKLADFGVAAQLINIKSQ RNTFVGTPFWMAPEVIQQSGYDYKADIWSLGITAIEMINGEPPHASTHPMKVLFLIPK EPAPRLEGDQYSNTFKDFIAQCLTKDPDRRPSAKELLRHKFIRNAGKTEALQELIHRK QDWDAGRGVTRNVKYYAESLNTITHLKDDDGWVFDTVKAPTMKIQEDPYVDENELDSQ DFLYDETSEMMNDMHISSPPPPPKHAPNSATNSAVNTAVRRAPAPERSPSTRRSYRKR RSSGVKQPLGVNLTFGNSPSTVRQFRRVSDKIPSENSYSSQYSFGPDENNSPKTLFSE PNSKEAQLGRRAYSKAVGLSCQEVLGTTGDQEKREAISRLAEAWSDLEMVDPEGLYHI LKIMNEKLQGDPKLSGLVPQAAPPPESPQRPRLVLAQNNPHLKSHRRRQSAVVAEPSL QPAQLVNLPGQQVPGMEHTKQLSDVLYQRWSEGLRNRWPGI AFLA_004060 MGDITGTESPAPEAAQPLLRSSLDHNLMSATDITEEQLEQQRQL RQQKRASLPARPHGAARHKKRLTLNFPINVPPLTTALDSAVTEPSSMTPVTRPSTRHS HVPAVGTPLTFDDQDEGSSLLTAIASQERKVLELREELQRAETELDSLKRQWEASEKT KKRIDISHRAEPLIPLRSPDRPGADERILHSREHSVASSESPSVAQPRFSRELERRHS VRAAAAKGTKISANGRRVFQGSHTRTLSLLSPTVGPVPNLPGSELGNDQPDQDRMGRA PRAATLPSAERSPMILGSNEDMVAQWRKTMPPPSREALMRTGKQMASDLREGLWTFLE DIRQATVGEEGINATESRAVPSRSSTSRSRDRLSTQGGMSSRSASSSRSKGAGAKLSG KDSKSADIDSSFWSEFGIDTSGQKSPNAQRASTTPSGPNAQNESNRLDIEDNWDDWDT PQPKKMHTPSSSQSTWESKQDQSPMTPSSPRTSTSFGDWRPLHDSSVPDPSVSDGIPW PAMADMPSPKLPRTATNLMAEWERSLSPALDSPTFKKD AFLA_004070 MNIPGMTTGMAPAGATAGAGFPGAGAGMQGMSEQEQAMVKAMHA AMESCPVKTVISGTMGFGLGGVFGLFMASMSYDSTFTPQGKAIMDLPWREQVRRGFKD MGSRSWSSAKNFGIVGALYSGTECCVEGLRAKNDLSNSVISGCITGGILGAKAGPQAA AAGCAGFAAFSAAIDAYMRMPSEE AFLA_004080 MRLFPVSQGLVSVAVAVTSTAWGVRAASEATTGNPLATFDQQEL DALAIQIYQDYPFTVLKAEAKVAYQTAHGLPISDEAASSLNASIEELVFSAVQKAVNN DPYHPKVYWVDSGPRSWFGLDVPGGRYSYDNPDCIYRTIPIDNDVDYVVTGYRHSPGP TDVSFSLISDPNSQNTVAYLAGSDLIVDSDGSYTITINSSAADGQTNHIQSTSSAVQL LIRNNLGDWNTETPDNITVQAVSNTTGHDAITSAKIISDAIWNLQESIVDYGVGALGL KTTINAVNTLSAPSQSSSLGTLTSQASSFGHFNLTAEEALVATLTPGPADYFVFPVTN PWMITVDPGSSWVSLNSKQAVANANGTYTFVVSLDDPGVYNWVNTTGLHEGTVMVRWQ GLNTSSSSADSLAIDVQVVALSDLASVLPEETRYVTAEERAAQLNQRATAYSRRLEY AFLA_004090 MPVTAITSASQYKEVTGGVTPVAIFFSSDRVASCKSIAQLLEEK TKGFPSQFYKVDIDAQDKIAKDAGIGFRDVPTIAIYRYNQKLGDCTGSDPQIVDRAIG SVTSF AFLA_004100 MTAKEQQIEAAVAAGEIPGLILLATDTAADKFHYERTIGSASLK PGAERPLTLDTTLTIASCTKIITTVAVLQCVERGQFGLDDDVSTVLDELQDLKILKGF EEGTENLILVPVKNKITLRHLLTHSSGIAYDFLDPNIIRWRMSTGRTPDIGESTPLLQ RTNLPLLFEPGEGFVYGYSLDWAGILVARLNNISLEDYIQKNICEPLAITDLTFHLEK NDEVRSKLADFNFRSGGITQFGTPADPNGSISWIPGRVWPDPVVEEYGGWGVFTSGPS FLKVLASILRNDEKILRPDTVDEMFKDQLSAASKEMLNATLSIPEINNFLGGTPLGLQ KTWGLGGLLVLEDHTTGQKAGSLRWAGLPNLFWWIDRKVGLCGFYASQLVPTGDPKSV EWSTVFIQDMYERVEGFGTQV AFLA_004110 MEPTNDTNNDQVFLQISDRQFITSKSTLSQKSTFFEALFSTRWN NQRPDGCYFLDADPNLFEHILLYCRRGIFPLFYDRACGHNYSLYLQLLQEARYFGIDG LREWLEEEKYLQAVKIEYSVKVMKVPEYLMCYTRLANTELEYFPH AFLA_004120 MYLDKDYYSAHLEHHDSFLRNSSHMPDNWGQVHLHHCLEQVVQS VICHADLSPVPMYGWKGVPVFLGVGQTHTCRRWESIREWMDVRNEVRKPLEEE AFLA_004130 MIEKIASHQVTQIALRDRGCKLRQIMSGEKKPAFMTNQRPARSS PCSSSKRMHACE AFLA_004140 MKLSAILLTFFAGAIMAAPVPDNSIHERGRITPSTPIEERGIAS GLVKERGLALKKPVVERARLTPSVPIEKRGEALIKKPIAERGAAAGAGGWVEERGYGR PIAERGGLELGNPIEERGILVPSDGTVIHPDVVVGAGPH AFLA_004150 MDTKHESKEYATSIHSVASTTTTLLDTSKGSFLEGKRFRVEAKG IRALRPPMPMRQNEIPIYTADGSLAYTATKAKAMSSHTILASPKHGDLFSIDFKPGCC PWIRFLNPIEGDVIPEIALEGKLTTRAMSFTPPEGGCKWEWKYSIIDSPSGGKLKALC LEKIDGSECGKATRIAYLLRSPDTRATGSSCCSAGNGGQLVISPDVDLSMVEEALVIA TCLVMLRRERDRRRFIQAMVIGGMGS AFLA_004160 MPNQSKVTCFTRMRSKVHHICNRKGKEKEKQESELPSSLAPAIV DPQEQADSEPAVKPPIIEVQSIGEASASGAILDESTLPEPLSLQTCGEDDNREQIKPE TPERPQNAKRDLWHEAYVRLAPELQENLKSLGFDQQSPEPVKQRIEGVLAEAKRQRDK CEEKDWIIKVGDHEIKVRDTAVQIIGWVTKIGDLAVGFAQPAEGPWSVIKLLLGGIEV YDKEKGSLLSIVENVTRVIYSGQIYKSIYKLDKAGVEPTSRLYDVLVELYNFILELVI KSTDVSSNTAVQFLKSVFDPKKASDMLCTLRDHESRLADAVRVCEATAQANLDSLLRI KLEDAHMALVGVTHRIENVVQRMDDQERDKLLSWISDIKYGNHHDHVVERRTPETGTW LIRHETFREWRDSASSGILWLRGYPGTGKTFLTSHVIDHTSANSTCTQNQDFAFFYCY REEELRRKSLHVLRCFVRQLAGPLSDCQSVRISLQEAWRAAKDRGSDLNLDAYALDEV AVNERRQLIRVLDELDSQSTSTVKVFIASRPDGDISFQFASKPNVQIRAADNMEDIDK FTLLDRPARFNCGSVCLSFLIEAYGKLNDHSASVHPSSSAVTVFPGDKLDINNPFHQY AKQHWPVHFRNNVPDDHSKRILKRFLGSPQESSPYFRHWIQYIFKHQDSFELPYGNGR VSQDDLELIDTPVLAMAWLGLYHPLQEWWEDEHIDLLLENNRGETLIAIAVRVRCEPL CKKLVSRLPKDQRTRGCGCALIIAAKQGDENLVRFLVQEGNANVNLVARGFTTALCEA CVIGALNIVKYLVEEAQGSVDVRTECDNYISALAAACHSDSASRLELVKYLLEEAGAV VDPPLVSGLANSALGIASSIGPLDLVKYLVEKGNADVNLQLEGWRCSHGTALAAVIRR RLEIIKYLVTEVHADVNQQLRFGHTNTALTTAVETGSRDIVQLLVEEGNANINLQFTC GGDRNFGSTLEYAIAYSSLIGTDILMYLVSQGIADVNIKPNPLGRAICLEDQQSVQIL LSAGASVDFRWNNGSLLRVPNSNLKQIQYSGGGRAEVVDMLRKADPDQWNDEALTQYI QAVCHCLTCRKLSSGSSANLLIPESHFRITSGSPKTYSMTHESGMNLTTRFCENCGSL LYKTGDREGFEGAVIVLAGTLDNTEDFEKAKPEAEFFVKHRVGWWPGLGFATQLKEFE AFLA_004170 MSNLRSISKPLLTMSPTRAAQVEEYGWTAVSCDPQQRAATNPPT KPSVPQLVKDTTLPDTPLVKDAIEYVKAELPAHTFNHSMRVYYYGLAIARQHFPEWKF SDETWLLTCLFHDIGTIDKYTQDVFMSFDIYGGIVALNVLTEKGAPAPQAESVAEAII RHQDPVKVGTIHSIGLLIQLATQFDNLGAHKEYVHPDTVEDVNQHYPRRQWSKCFSSK LREEIGLKPWCHTTAEGEGFPIGIENNTLMEPYDGRF AFLA_004180 MAEQPTAANTTSSPPKLSVLRPEDDLPLLEVAEEEDEEDFSPTD SALGSTGTQSYATSLLSEVRNYKYENGRRYHSYREGQCVLPNDEQEQDREDLLHHVRN LHFSGDLFHAPIPEDVENVFDIGTGTGIWAIDFADTHPNARVIGTDLSPIQPAWVPPN LEFFVDDVEADWTFKRDYFDFIRACDLAGSIADWPKLLRQAYSHMKPGGWLELSDFEM EHFSDDDTLDLAPSLGEWFRLLIEASSRFNRPMKVAADHQRNMIDAGFTDVKEKIYKF PMGPWAKEKRLKEAGRFHREVMVMSLESYALALCTRVLGWSPVEVAVFLASVRNELRD PRVHIYGKFHVVWGRRPVE AFLA_004190 MRLSLNLLLIVGSAAVARAALVPVPGASEELCGRLGVMYYDPDN LPEGVEVHEIRKCAGHPMGRENYWGLGDYLPRWFP AFLA_004200 MRAQALLSFAIMASTATAIANETATIIFTEMDSVDLVGKVIGTD GLLTTYVINCNPKPPKQNFYQVGDCVSSSHGWTVTAGPSTMRVAFDDPEATMIEACTL HDSTSLNCDITMDYGSSTDVESDGGTTAPASDFYRTVTITGTEIASGSASAQTSPTAG RATATTTGTASATAAAGESGSGAQKAAANTASSTAGASQSTNAAMAQVTGNARWAVGG AAAMAAIAMVLE AFLA_004210 MQEQEYWSPWLAMQMLSHASPAILNHPFVHLVAMRGSRGRLRKQ HISSTTDMSSDLVPLTVIKGAGHEFIPLPKGENATTADFHSIRTQTESPAYFTSGFYK IEAGPQRPAHYTFEETKYVLSGQIDVLDEATGITHHLVPGDFAFFHVGSKVKFSTKSQ GFAFYAVTRPVRAPHPNLQGREESKSKL AFLA_004220 MDKNSRIVIVGAGVFGLSTAAKLASEGYKYVTVVDRHMPPVPDG SSSDISRVIRFDYADEDYLNIAYEAYQKWSQLPKYQGIFFKAPFILTGNTTVHGRAWI EKTTAALTKKQLPWAKLDSAAAAKSRYPILSGTLATPNFTGYYNEQAGWADANKAVSQ LRDDCLELGVSFICGRAGTVISLDTDSQKKIKAVRTLAGTSIEGDHFILASGAWTSGL VDMYNSTLATAQVIGYTPLTDAEVKKYKDVPIYANFNTGWFNFPSHDDTKTLKMAVHG WGYTRAPTAEDHQSVKTNISSPPLIPPRQRVNFTPPDGEDRLRTGLREILPELADRPF ERVALCWYTDTPSGDFIMDSHPDYKNLFIAGGGSGHAFKFLPVLGDYTFLAFTKRLPE HLAKKWRFRTEYKDDKDTFLGDGSRGGPARRELHARERAKL AFLA_004230 MEPIGVKAVIVHYSRYGSGRGRSPLGSSTIAAFPEDILQYVDKV MGLGKVYLSHLLT AFLA_004240 MSLDLVGIIFLADLSLIAQRTALTGGSTFLDTFILCPGLHRQQD AANVHRGEYPAVAAMTTGYVFRVENPATVNFLQRVGHTGQLTTLSVTNTRKTRKGWRS HFMSSIDSSMSLGAVAAYLLAVSSTIAVSYLLVLTEDWWGLLVLTVLMFTRFINVLLI RSRSRVGWSGASEPGVVGDLLVLLSQDRWVRIRGYVDDLKAVTSGEWLHDMTWVESAI SGFTTLLVYLNVALASNAKLSGQVMLLLLFVGTGGLLGLVNMLTQGLQMHGNLITVDI PRRKYRRRLDLVEALICETGRDDWAVRLGMINPSQASATKGSSAGNAAFNETLTM AFLA_004250 MKQWVLSGTCGIDSLQLQDVPIPDPGDYEVLVKFHAASLNFRDI MIANGQYYIKTKDRVIPGSDAAGEIVKVGPKVTRFSTGQRVSPIFHLTHLYGSVKDTD IQHQLGGTYDGVFCEYGVFNEHGCVEIPSTLSYREAATLPCAALTAWNALYGGPRKLK PGDVVLTQGSGGVSIFALQFAKLGGAQVISTTSNAEKGAKLRDLGADVIINYAEDSEW GQTAKSQSHRKRGADFVVEIANTMVQSSQAVANNGCIATIGRRGDSERGAGSSHSSVL ATVRRILVGNRQLQEDMNAAIEVSHLKPQIDGLSFKFHELKEAYDYFQQGSHFGKVVV DFD AFLA_004260 MIKIDFGFRSRSQDGEGEGWFGKLPQFTVISRPGSSLGHSTPSS GLATPTEEKGLTTLQPCPEIGRLASWRGALILLVTSGSQFLDNVFMTSSNIALSSIQE EFGVSSTELQWMISAYTLTFGGFLLLAGVLSDRYGRKMILCLGLFWLSVWTLAIGFGQ SFIQLAVFRGIQGIGAALTVPSAIGIISSYFTGVDRTRGLSIYAASGTLGFCVGLIFG GFLTSSLGWRYIFYLIVTITGSIGVLGAIVLPKDDLAGKEKPKMDYFGALLSTAGLIL LQFVLSSGGDYGWGTPFIIVLLILAVALLVAFTFLEWYISYPIMPLDLWKIPNFAGLW IAGFTCYGSYQNVIYYIVLMAQQVDNLSAGETALRFLPMGVIGFIASMGTGKALEYVN GKYTLIAGLVLTLNTAFQIGSGVALAISAAVTEAVDIKKGHGLAQQYSTGLWCSAGLA ALGLVIAMISVRRRGIGPGDRNDTLVAI AFLA_004270 MTNKQPEANIYAHASFDLTALLSIARAIRQKNCTCDESQRPKSG SLNWAIFVVFEDGVEWVFRSPRKAFGLEARTATEALLSEVATLEYLAKIGCIPIPRVF SYCSTGKNDIGIPYILMGKATGVPLSTYKWDDDKIHSTGPDCEQDPAVLTFSQKAKIV KQLGEIQAHLSNVRFDKVGSLFLKNGEFVIEKCLYPSLVWQGRDEFDEQDIPRGPFTD AKSFYVALIRALFAHAKELPMGHHLFHGPVPVPQEYDNFQEYRTATDRWNDYVVIGLK TESTQNRLDYALVGMSLEDNVPLLVEKDNDIKCDGFPLCHPDLSCQNIFVDDELNITC IIDWAFASSVPPSMLLVCPGLPHPRDRIQPCLTKHFAEGFIAAKGYDGEKDLHFSDSS IFWTFVRLVNLDGLQDHVYFSEFMRSCISQEVPPYIRKLRDREEFKEFERILLAYEID GEGPSKDEKNYFSCVGHERFTLSQHLTVMKEINRDFVADKRLWKWMAQHLKERDVYMY PTNEDENRGRKRKINEAL AFLA_004280 MFRPRRTHRKSRTGCMNCKRRRVKCDEAKPQCRRCRMHGALCDF ALPRNVAQRRPPTDSSISSSSLQCNGSLAFGAMTANIDYILQSGSCNERFYSSDPCQS LGSLGLAMLGHFSQMVSVHSSAFAPIKKVMREWTIQLALGEPCLLYSIMAVASTHWAC ALPSDRTRTIAATRFRHKATRIYRQQLQLPIGRDNMDMLITSCILLGMFSFAAETASP LDSWVFSDDPISMNWLSVQCGLRCLLEITKPWMDDSIWNEPFQESSNYEYADDHRMGR EDLDPELADLCDITATTTEETNPYHWPLRMLCPLLRIPRHKCGASRITNFMGRLLPDF VNLLAAKEPRALLIMSYWLALMCTSVDEWRVIELLDFPARSCGYKVTS AFLA_004290 MSQPSDVAPSDGEDDMAVFQQVLDHLDLAKIPPFASSIYQQGER EAGRTPTCPSCSVLPERFFGSNNILFPLEFNTGEKWVLKIPVNGYPDRFNDMHARVLT AEASTMQLLRRETTIPIPTVFSFSASMDNDLGCPYILMEYIDGKSTSHVWFNEELDDA TLERHRIQVLQDLSKIMLQLNKFTFSEAGSPIFDDGNNPIGVGPLRVMDMPAMLEELR TNDVWDTVIQSEIQPASDPKAWMLCMFERHEPPDDKFSQGAHQLLKHFIEWLPWSELA QEPFVLTHPDFNFQNILVSDEGKVCALIDWEGVSTVPRFLGNERYPGWLTRDWDPMNY GYGMEECFVQENSPEELSHYRDIYRDMVKSAIAEAEADADANCIALSKRNLHRHLPVL ESLSIAADQPINLPEIVQKLFTEVSKQEGFPEDLELWEVCFGLADDDLEDEQLESVRR GFEKLLQSAQ AFLA_004300 MSLRNDLDNGRPTKRLESWDIASMWLSDRKDEIQDWWDFSGPQL ATLAHEAGYSTMTQIELLLFFRSVVLPRMGRFPDACRPRACAQSRSILTYDGSPIEYS WKWNNSANDHPEIRFCVEPVGDGLCADGIVGGKLRATDEILVQLAKRVPSTDLEWYHH FRDSFGLGHWTDGPLHEDAGTWQMRRPRMPVAFEFTPKGIVTKVYFTPPATLDDMPSF NMFADVVRPICDKDTTALDESMEYLSRDPVGATLRPDVLAIDCISPLKSRIKLYAGTA MTTFTSAISVLTLGGRIPVTRHSIDEMWALFRMVLGLHDKFLQDEELPVQNPFQPSRA HPEDYYSGLLYYFNLAPGAQLPDVKLYLPVIRYGRSDADIALGLQRFMASRHRGQYVD GFQRAMEIISQRHKSGNGHRIQTYIACSFDKDGSLSLTSYLNPGVYFSSETVDV AFLA_004310 MLQGGRELGYIGDRVRLNTGAMEDPIVLDFFDTSSNRRHVVPIL ILYEMESQCRGQAGAPASHIHSFMNIETIVLVEVNL AFLA_004320 MFHPITAIALTVELVVKVVVTIIQPLEPSGAVDPKVSTMLEDTL DKQSPFIWAYRLAISRIYPLTSDQLLQDVYSFK AFLA_004330 MAPSDSAGHLCDCTFSMLPESSKIGMIVGIPFGAIFLLAIGLYI GFVCRRKDPRRQNTAPPRSRTPVNMFSRAIKEKFPGLVGELPGTDMHTATGTSNVRRA F AFLA_004340 MEADELHRRRLLLHYYRLFNSGLNKAHLSALRDPLLYPRQHLVD RAGRQWSGNLMTLKGALIRMTEYWPNLPDTKDVTCPVQFTNAELEEFFEKEEQLFQLN PVVNLWREQIGGASEDGWISNGNYESARQKVVKLMESLIAIAEGDQEGIALLEKGWPF RDQEGDN AFLA_004350 MMQSVRIIPTYIERAPGAPATGSSLHSHGHWMPENVELRRAWLS SLLDKTKAEIPRKLRDNVENPVETFRKLIENDSTLYMLAHSMFDEVPEKAPYDRDPTT LKKQVRNYKTMLYLFNTLLTEVPEYFLRHNPNVPSGLIGFPFNIIVDWPMGTPSGRQF FLDTRVNKCLKDILNKWNEFLKDPTAQGNGNKGGNQALIDAGWSSDAAVEQLVNKANE STTDKKKTFSEIFQHPANGTQENFFNYACWDDFFTRRFKDGVRPVADAAVVNACESFP LSFDTDVSRRNTFWLKGTPYSLHDMLGATQDERVASYVDGFVGGSVYQAFLSADSYHC WNAPVTGKVVYRSLIDGTYFAETAAAGFGGSNGPDPAGPDVSQRYITHIAARGVLIVD TNVTGGAKIGMVGFVPVGMSEVSTCDWFDNTEEGKTITKGDVIGAFHSGGSTHCLIFQ RDAVKKLQFIPKAQYPEIATTNLAVNSELAKLTS AFLA_004360 MITTTAIVAREPEQPLSINWTMEEVEVYTPGEGEILVEMRATGI WAGIVRALGQNVKSVEVGDPVLLSYYSCSSCASCQSAHPAYCEAFAGENYVGRQGGMK ISKNGEEPWSKYFGQSSFARHSLASEISVVNVKDMIKSEDELKLFAPLGCGFQTGMGA ILNSSNAGPDDVVMILGLGAVGMGALMTAKIRECKAIIVVDKVEARLEHAKRLGASHT INTGTPDNPNLKDAVRQLFPSGASVVIDTTGVPVLIEQSLQATQKRGKLVLIGVPPLG YELNVDVVQHINSGRSIIGCIEGDCIPSKAIPQMIQWYREGRFPVDQLVRYFDVSDTS HLSWSDTH AFLA_004370 MDTLWILAFSSGVATHLLLYRSGEWDIKAPRIVKIYTLLGATLV YLERADLLDGFPVSTRPKWGIAVILYHIFGVYASMLFYRAFWHRLCGFPGPFLARLSN FYVTSLSAKRLHLYEEVQKLHQQYGDYVRLGPTELSIADPQAVKALYSGQAKVTKGPW YTVLEPRVSLQMSRDKKEHARRRKVWDQGFSSKALRDYEPRVSHYAKQLLEAVRKNVG KPMDMAKWFNYYSFDVMGDLSFGKSFNMLAGGQDTYFSTQLHADMKSIGLFSHLTWLF PFFKRIPILNKDYLKFWDWVGGRVEERIKNDPDRPDVFSWILDAFQNGPKTKQDHLDL HGDAYLIIVAGSDTTAATLTNLFFHLVVDHTWQAKLQEELDALPELTQEKVTGVKLLD ALINETLRLHPAVPSGTQRLTPPEGLQIGDKYIPGDVMVCIPTHTLFRDERAFVRPDE FLPQRWMTQPELVKDASVFIPFNAGPYSCVGKQLALMELRRVTAEILTRYDVEFAQGQ TTEDFLDGKRDTFTLVTAPLKLVFRER AFLA_004380 MTEILFAFMLLGSLALGNVTDQNSTYTDPILPGFHPDPSCIFVP SWDNTYFCASSSFNVFPGIPIHASKDLRNWKLIGNAISRPEMLPRLATTIKDTSGIWA PTLRYREGSDSTNENGSDHGKGRFWISTTLVFDNLAADDESRWDNFVISTDDPFEPDS WTDPVHFDFGGYDTSLFWDDDGQVYVTGSHEYKVWPGIQTATINLETGETGPWENPWN GAGGLAPEGPHLYKKDDYYYLMLAEGGTGEGHMVTMARSRDINGPYEPAPHNPVLSNA NTTAFFQAVGHADLFQNVHGSWWAVALSTRSGPDFKNYPMGRETVLTPVQWEKGEHQR FQSAKQGRRAPAVEAGTGHIPSNEKKSHSPDITSCKVSISDHDGGSLTTASSLFESAA GSDFGGLGS AFLA_004390 MLLKSALFYIALPILQAIAAWQVPAEYAITPSSNGRFLQDSDAK PFFWQADTAWLLFHRLNFSEAETYLSDRAGKGFTMVLAVGFTQAGIDSPNRNGDLPFI NDDVTKPNEAYWAYVDSIVELAWSKDIRICMVPAWGKYVHGSDNSGSVLNTSTAYPFG KFLGQRYPYLPKTLVGDTNPYWQNKTAVKADYTNGGAPTTYEVTDWSPVYDDLANGIV AGEREAIAATSAQNATTWSPLMSIHPTNQWFTGGPLALAHAFFGNRTWLTLDASQSGH ADYPPNPPIPWWNCRRGWEPVELMYAAGSVPGGRARPVIDNEAHYENRYNNGNSSEAF WNASDVRIGSWQAVSFVSTTDSLMGW AFLA_004400 MKFQSTFLAIFLAMASAVYATEQCPENQEYKTCGSSCPPACDSP PDQVCTMECVEGCQCIDGYVLNQYRECIPQSECPKSVREDDIEA AFLA_004410 MRFIPVALGLMATTSVMANPANVQSVTVQLANDQSGANANVDIP ADGNQRSVQALWGKTSVSTNGIVSASSAQLNKFQQTTHCRITQNPNVNAELDAQRTWT QLDQGKVVQLTHAFIVCKD AFLA_004420 MQSISVLICVLLALSILNFTVASLTQRPIYAIAHRVLRNEAVTA ALSHGANALEVDLTAWYFGWWADHDGKLFSAGSTARDLFKFIAQKQWTKDYNISFVWL DIKNPDFCRKGRPCSIEALRDLAREILEPAGIRVLYGFFETAESRGFKVIRDGLNSNE AVVLSGETSTILHLYNISGAGIPVKQMVMDFGDSWLRKGVDIYPELRYGSWKRDHGKL GKVFSWTSAQGDTEMVRYLLREAGIDGLIYGYQTDEYNDKSGPKSALKDIVDFVEAHS DTHRMATEDDAPW AFLA_004430 MQVGNGNFAFGADITGLQTLLPFNILSSWCWCNDSLPTTANQTE PSDFTGMDWLTHDRLVNYDIQNPAEAEISQWLVANPHRANLGRVGFLYKGNNISSDDI TGIRQSLDLYSGILTSEFTLHGQIVSVTTIGDPSSDIIAVDVQSSLLVDGSLSVFFDY PLTTGLNKFEAPFVGNWTAVSQHTTALNIITENEAAIRHSLEGTTYYNTIEWEQTGQI TGPFHGTHRYLLQPTGKTNRFTFSTEFSASSRTSIKPFAAVKEASIHWWAQYWESGAF VDLTESGSSDAEELQRRIILSQYLLAVNSAGKDPPQESGLQNNGWYGKFHLEMVFWHL GHWARWNKWDMLDRSLGVYSRFLPSSIERAKRQGYAGARLGKMSDPSGASAPGSQNAL LIWQQPHPMYFAELEYRSSPNVETLNKWDYILDELATWMVSYAWWNVSTQVYDLGPPM YPSSENTSPNSTYNPTFELAYWRFGLKIASDWKTRQGILVPESWTRVLNNLAPLPIID GAYSICADLPDMWNKSSYTSDHPSQIAVYGLLPPTEGVDPSTVNATMDLIAQTWNFTA SYGWDFPMLAMTSLRLGNVDQAVEYLLHSNFQFDDAGNPVGTVVPTPYFPASSAFLLA IAMMAGGWDGDEGIHFPESWGAKLEGFSVGI AFLA_004440 MLVPDTPRSNLPLTQCDKALPLMNVVFGNLTGEFNRYFTSSDSL NEDAFKASVNKNRQAQSSTSHVAITNLSPRSLYIVYLFIGKFVLTYVSMLCFRIISLR ASSALRLEYMEALFAQPVRKLDETSVGTVTNAITGLSNTIQQSVSDRLAILFQSLALL VAAYAVAFRYSWALTLVVSSAILFVLVGFSITVPIIVKAQQMVDRADEKQAAVAAEVF SAIRTVLALGAEVPLSHKYSSWVKEARKRAARTCPVTGIHLALLFFAMYSSYALAFWF GLKLYREGHIANVNTVIVYVVFFSVLLVVTVLGGIASPLMAITKATSASGPFFDVIDA DRVSTTGLGSSEASSQEDIFFDSVSFAYPTRPDTQVLRDFTAHFQRGNTTALVGPSGS GKSTVVALIERWYQLRNTSLSEESPTAQGRIHVGKHDINDLDIKWWRSQIGLVEQEPV LFNESVYTNVAFGLIGTPWEHEPEPVKMDLVVTACRDAFANEFIDRLPLGYDTVVGEG GITLSGGQRQRLAIARSIVSNPSILILDEATSSIDIRGEKVVQAALDRVSRDRTTIMI AHRLSTVIRADHIIVIKDGSKSEEGTHQTLMDRRGVYYSLVHAQQLEVVSMDATTAIE QLSHSLPEETKLEAYAADGYEVTDSEEVIRKKGEQGAIRTLWHIIREQKTHWPIFALT VIGTMGAGSAFAFQSWLFAKLVQVFQFTGNKLVEAANFWSLMFFILALAMGLFYFDVG YASTSFSMHIAANYRQDYFQSILHKPVSYYDQEENSSGTLMARLSTDPKQLQELFGVN GVFPLISIFSLVGCVAISFSFGWKLAAVAFFAALPFILLASYFRIQYEVQFEGMNAEV YAESSKFATEAIRAFRTVTALTMEDTILQRYSNLLCQQRVRAIRKAWLATLVVAFSDS IDLCAMALTFWYGGQLLASREYDPVAFFVVYIAIIQGGQSAGQFLSFGPNMAQTTASA RRILETRSAFNERDNDRTQFEQLTAGITPFHQADVCCRDLTFQYPSRGGPVFTGMNFS IRSGQYVAIVGPSGCGKSTIVSLLERFYDPTKGSIEFAGRDIRFYPLASYRRALSLVS QEPKLFEGTIRENLLLGLEPGDDGELEPSREARMIEACKDAELHDFITSLPEGYSTSL GINAQLSLSGGQKQRLCIARALIRRPRLLLLDEATSSLDSQSERLIQKALERLAARKT MTIIAVAHRLATIQKADLILVCGEGEPGRGSVIIERGTHTELLQRQGVYWQMVSSWSR YIC AFLA_004450 MGSGDSNPHPICPLAGEPRLKHTVPPLDSTDPTNGTETGKYNRL SKNAFATANGRKHKDTVPSKWLEQVSRIASQCHCTVDEIEDIYPCTPLQEGMMALTLK DSTAYTVMYKYHLSHSIDIDLLRSAWDQTAQANPILRTRIVPTQHSSCMQVVLRESVP WIVCQGYNAFDQDTVCSGDAENSDENTTNQSRTIWKIGSPLARLIWDPSAHVLTVLMH HALCDDWSMRLLLRDVDAAYQGQVLGARPFRPLIEYIEQSKERSAKFWREQFDKAHQS DMKAFPSLPVPGYTPVLSEELTQAFPYSHDRRLAFPINAKVRLAWAILQSLYTRSDDV LFGTIDTGRGIPMNGIDKLSGPALVCVPVRVQLQPQHTITDALKTIQYQYAATMEFAH IGLQYVMHLGPGPAAGCRFNTLLAVEPEQSDPVPSIFQNNSEIQETYDTYPLILRCRP SSRSLTIQATFDPAILEPQQTNRILHQLAHIYCQVEGHPKLKLSEINSLSPKDRQSLS AWNLPIPSVAKSTVPELIHEMALKQPQAAAICSWGGNLTYDQLNRLSHALMTRLKKHG VAPGVFVPLCLEKSMWMGLAMIAVMKAGGAFALLDPSYPLSRLRQMCEAVKSSLVVST NETRSLASQLGLGVLVIDEEDPHLLLQRVRTCDVTGPIARCSAQNPMYATFTSGSTGA PKGVVVEQGGYTTSATAHAEQYNFTSHSRVLQFASPGFDSCIIEHISTLIKGGCVCVP DVDECRSRLAQTINKYSVNNVCLTPSVARILSPADLPTLQDLTFVGEAVLDGDVARWK PFVHVRNAYGPAECSAVFSVQPTLTEHDPKNIGLPTGGVGWVVHPEDPQILMPVGSTG ELLIEGPIVGPGYLSNPVLTAQSFIHPPKWRGQFGEVHGRMYKTGDLVQNTNTGSFRC LGRKDTQVKLHGQRIELGEIEHLLQSIAFTDAPQVVVDMVQHDNVHPPVNTLTAFICW PATSDGTYDQEPDGGAFLAPNDIFRHSCTVAESRLSSLLPSFMIPNLFLPVRRMPLTP SGKLDRRQLRTHAGTLSAETVKSYRVAPCSPQPPSTPEEVLLQSIWAQVLNKNANEVG ITESFFNLGGDSVSAMQVGANCQAAGYRVSVADIFQFPTIAQLAHNMKPVSSYHPGAT KEYPEDTWFRLSPIQQLFFELCPNGHNRFTQQFLLRLSRRQDNARIWKAMEAIVRRHS MLRAQFHRPPTGPWVQRIRKYGPDCFVIREHTLQRLDTRIHEILVDSQGLLDIRTGIL LVVDIITTATGDQYISLMAHHLVIDLVSWRVLLQDLEDFLATGTLAGLAPLSFQQWCH LQEEYVAEFLEPRKALPGEVPPAPLSYWGGQTLVDRNIWAEAKQYSVAVSEQVTQAIL GHANNAFRTRPVELIHAALLYSFLQVFHDRQPPTIFTEGHGREPWDLHIDLSRTVGWF TTLAPVWAPVSKEEDMSTFVLRAKEARRAIPANGWTYFSSRFLHPQGRHYHQGQSPME ILFNYTGLFQQLERRDALLELAAIPDHGIIEMPEDMPRFALIDVSAVVMNGQLNISFM YNRHMRHQARLEKWFHECERAFEDLPKALRGHRQLAPSDFPLLSLGNHGEFEKLISEI GHQVHLSEIEDIYPCSPAQIGMWLSQSRDPRRYWSRLQWSLYPSTDLCTSVSISQVKQ AWQKVVNLHPILRTVFFVDPSRGCEPLQIVLKTAKADIEDCEVQTWSPDDKMLPLLLD QHGKCNNRLTPTHRLKVHAMPDNSVRCELVINHMLVDGYTQQIFLTDLQRAYDDLSPR PVAPPYSDYIGYINSQTEVDSKAYWLRYLDGVQPCLFPRLSVSPPSDQKTLRSLRFTL DPAQSLRDFCQHHATTIPNLFQVAWGLLLQAYTGSDIVCFGFLVSARDIPLPNAQEIA GPMINLLVSRLSFDPQELISSILSNSQAAYGRGLDNRHSPLPDIIHSLGLTGRPLFNT ALSLQKANDKATPLSPSTVIRNRGGCDATEYELTLNIMEREKTIEAELSYWSYMLTEL QAELIASTFQHIVYQLVSGVSDQLGQLDQVSQLNRDCIMDWNKQAPLSVRSCIHDEIG QFAIKNPSSPAVTASDGSLTYAELDQFSTILAERLSQHGVGPNVFVPLYADKSRWVVV GVLGVLKAGGAFVLLDPCHPMERLRDIMKNDIHNQLILTCTRLAPLAGTIADAVIVLE QLGSESDARRHRIPSAVERVCPATAAYAVFTSGSSGKPKASVVGHESYVTGAKAHSKA LGLAEGSRVLQFASFAFDASVMEILTTLMVGGCICIPSDKDRQERLSDFIQETQVDWA LLTPSVARTLDPEKAASLKTLVLGGESLSPSDMQKWSPYVKLMSAYGPSECSVIATVQ NSTTVLMSEPTNIGRPTGARAWVTDRYDSERLVPVGAVGELLIEGPIVGQGYIGRPEL TAVTFLHDIPWLQHISCSQGGTLYKTGDLVRMLTDRTLIYMGRKDRQVKLRGQRIELA EVEYHVRHCFPGTPDVFADIVASNDADQTYLIAAVSQPTQDENEFASAVSIAESHLLR AVPPFMRPSFLFRLQEVPQLPSGKVDRSRIRKMGVSLMQKQRDGCNSDTKDYKATDLS AEEQALRELWSQLLHCREGAIGPEDDFFSLGGDSITAMKLVSAARTRGLHVAVADVFA HSQLRELASSVTGHSEEAHEYEQTRPPVAPFSLIPYGREAEIQKQAMIQCSVDQDDIE DIYPCTAMQAGMAALTAERAGAYVAHHEYSLPPDINIIRLRYAWQRVVTHHPILRTRL IQTTDLACWQVVLRNQELGWTESQMVKAEDFALGGLDPIGGRDDGIFGIPLFHVRISI GATCKMTLTMHHAVYDAWALPQFLHCAHKAYVSDEYLSSDPVPFQHFIEYTCSQVERS LNHWRTEFNGLDVEPFPVVPSISYRAKATSQVEHSIPIELVEEISVTRTAAVRLAWAL VQAQYQSKSEIVYGVVSSGRTAPVPGVEAISGPTICAFPLRVNIDTNENISKALKGLQ TRTANLTPFEHVGLQQIAKLGPEAVRACSFQTLLNVEPAEELNDTPAYKNLFNSMGVK ARDGAFASYAVVLNCVLNPDSITVTATFDDQVISRWKMGHIMSQFSHILQNVHRLPQS PVRDILAELNPSSMQQLKIWNGTIPDMVRETVPGAIQQQCSRQPLAPAVCGWDGSFTY SDLDRWSNKVASLLQRRNTHPKSIIPILMDRSRWVMVAMLGIIKAGAAFVLLEPSYAF ARLRLICNDIDSSIILTTDQNAKEAQALVADTMVVDERLADSSPNISTDSPAWCPSPN DALYCVFTSGSTGKPKGIIINHGPFVTMTQEYARRARIAPDARILHFGSYAFDVSILE TLSTLIAGACVCVPSEAGRKERFAEAVQDLRPSHALLTPSLARALPQNALGSVRTLML VGEAARRDDFVKWMGRVRLMNLYGPAECTILSSMQSNCTLGTTPASIGHSVASVGWIT DPRNPYQLAPIGAVGELVLQGPLLGRGYLNDPDQTAAAFIRGPAWLEGFGLESNMSQP KMYRTGDLVCYEDDGSLVYHGRKDTQAKLRGQRLELQEIEEQIQRSFPGILTDVVAEI LTPIDGRGAPCLVAFLSVGGEGQDTEKPPELGEQRLDIVAIPSFPDHVKTTESKLAEC LPDYMVPSIFVPLRQMPRTVGGKVDRRGLRQAASSMTRQQLEQGSTGTAPGRHKAMSS DVERLLQSIWAQALGISPENIGAEDSFFRLGGDSISAMQATSQARAAGIEHAVADLFQ WKSIRRIVEKFAQFIPVPQATGHQAAEQDRQGGDVGAGVETSSTDKAEELLPCTPVQC GILLTQMQDPLSYAPYFIWKVRGSGNAPVDLDRLVGAWQQVVARHGALRAVFKNDAKL EGDGFQLRLFRHIDAPVYILPESPIDSKGLPICLTEDVRRDSDIPHQFTICHSANGSV FCRLDINHAIIDATSVSLLVQDLKSLYDGQDLSHGAQDAYHDYLHFVHKQPQEPARAY WSSYLTGMQPLSLPYPWTADDKPTKPLERLEVPLACSGMDINTFCRRTDWTASNLLYF AWAMALGAFSGSDDVCFGVLTEGRHVPVKNIQYTVGQIANMAVCRVRLAPHLSLDQAA LSLQENYGHILSFQTFPLSEIARAAGVTVQELASTAINVQYALPDNGSEPYQHGGVRV SMSYRPSRVSTILATQLADYFSEAISSILRNPRTKVGDLHLLSVNDHERLLRWNTALH EPEFHCVHQAVTQVALRQPSAPAVCGFDGSFSYAQLEIQSTQLAAYIVVRGVTPATYV PLCFEKSRWTTVAMLAVMKAGATFVPLDPTHPITRLQELCRRVDACFVLTSVTQAHIG SKLADNVIVVGDRNYDHSARTADIPVIVDPEQPAYVLFTSGTTGSPKGVMIPHVSFLA AAQAQMRAFSIGLGSRVLQFSSYAFDVSVMEILTTLVAGGTVCVMSESERNRMLLDGV CPIVVTHAFVTPSIAGMLDAGRASWVETLVLLGEPMSTSHIKQWANVCRLMNAYGPTE CSVLNTATPRIVTGCDPKDMGYALGVHFWVVDQNDHHRLLPIGAIGELVISGPPVGLG YINDLKRTADAFIEPPAWLQSLFPKDISSWRLYKTGDLVRYNTSDGSLRYEGRKDRQI KVRGQRVELEDIEYHTARCFPGAADVVAEQIMLPQDSATASGGEPSVHPRIVACIHWK DLTKQSISNSSLERDVLTSPSPEFNDDAAIAAQRLREVLPSFMVPDLFLPMVVVPRQK SGKTDRRLLRDAIVALHPSDRQSARCVAEPSKRSLKTATEKKFQMILSQLLKLAPHSI SADDSLFHLGGDSIIAMKIAAHAQAGGLDITPHEVLRDPTIRGWASVVDGKHAPVSVI QESPAISLVADSHSAEIFRSFFDNGSPFSHDNVQSILPVLDSQSYYLGSSSIVNFAEL FPMSLDTNRLRKACCTVVSQYSILRTVFVTVDHLLFQVILRDLEPEFTELEVEDAEAY LQLETKRQATPSTPLGTVPWSFGSEIVAIRRFARILK AFLA_004460 MALDAKSPTVATLNDGTTDYVPMRKKYTSNQPHITEQPITWGNW YKHVNWLNCFFILFIPFLGCLGAYWTPLHLYTGIFAVVYYFNAGLGITAGYHRCWAHR CYKATLPLRIYLAAAGAGAGQGSIRWWSRGHRSHHRYTDTEKDPYSVQKGFWYSHIGW MVLKQNPKRIGRTDVTDLDADPVVVWQHTNYIKSALFMCLVFPTLVCGLGWGDWLGGF VYAGILRVFFIQQATFCVNSLAHWIGEQPFDDRNSPRDHVITAFVTLGEGYHNFHHEF PSDYRNAIEWWQYDPTKWSIWIWKQLGLAYDLKQFRQNEIEKGRIQQLQKKLDQKRST LDWGIPLDQLPVMDWDEFVAEAKNGRALVTIGGVIHDITSFIKEHPGGKAFISSAVGK DATAIFNGGVYNHSNAAHNLLSTMRVAVLRGGCEVEIWKRSLPEGKMPMLMDSTGRRI VRAGDQVTRMVQPVPMVSA AFLA_004470 MKLSTLTGVSLMSVSAGWLWFLQRLLEDQGKRKSACDFDGSASI QSPKDLSGTCKSLVSQAGSAGTGKVTSHPTGGGSAASTSTSEGASSGIVSPVAVRVSG WFGVAYFVAAAVAGFLMVSL AFLA_004480 MTVKAYHTPADDFSRYGGATAHLPKLEVNGLQHAGPPPGPGAKY TPPQPGPAQPWYNPRGWSLRTKLIAGVVGVAVVVAVIVGAVEGTKANRYPDYTKLNYQ LVDTYSGTNFLDRFDYYHGEDPTEGFVQYVDQAAANALNLTYATDSSVVLRVDTSNKN AVKGRQSVRLESKTGYDNGLFVFDILHTPYGCGTWPALWLTDTYNWPDNGEIDVLETT NNAPEGNAVTLHTTRGCNMNVRRKQTGDTVYTTCDNSTNGNAGCGVQGSPDSYGEPFN NNGGGVYALELRDAGIRAWMFPRDSIPDDITNSSSSPDPSTWGTALADFPNTDCDIPS HFRNQSIIANIDLCGTLGAQKQFYTEQSHCPGTCNNFVATNPSAFTEAYWEFKSFKVY QAR AFLA_004490 MRIISIGLLLLASAFTAQACTYCQCEFEDGSHCCVYSDATQGNL DCPSVCKNAHRADGKTSDDGMPGTACNAGGKYKCASAFTALDRTPCYKQ AFLA_004500 METSATAFRLRLRWASGSAKEPIRVSDLPMWRMVEVEPRGGTAT GCALPSAAGPQAERLKILPAHHPRENSSTISWLPDGRLFHRLSPSGGTAVVVKMFSSF IRGVSSLKSQVPLLKNLHLNYILIHYTYIIAMSIITSIIIYPGNDLAYIDALFFAAGA ATQSGLNTVDFNLLRTYQQVILYWVSMLTTPIFINTVLVFVRLYWFEKRFQHVVRDAR ALRQTRSRMRTISEDKDNQTHDREEAGVGGRAIVVLRNNQGDAPGREQQDAIYKTPSD SESGPSSSAARDESGNTSEQETDPNNNLKRGFGSLRVPAQLSPEQHIAFLENQRKPKG ALRIPSPREYDRGGVPEALEEGEGEGAEAARQTSNLSDEPPSPRHVEEDDQVGPMEGP HITINEPDMIRTRTRNSTFPRLDTRPTVRETRDFGDPSPLARTTTRRPTFTGVFRSLT QERDRNTLPYLSWNATVGRNSQFVDLTEEQRDELGGIEYRALKTLAVVLISYYVFFHL LGVVCLVPWIMTTRWGAVVTNIGQGRPWWAIFTAASSFNDVGFSITPDSMSSFQEAVF PLLLLAFLIIIGNTGFPCMLRLIIWLLSKFARKESPLWEELRFLLDHPRRCFTLLFPR NATWWLFAILVALNGIDLIFFIILDLKDSAVTSLSTGIKILDGLYQAACTRTAGFSVV SISELHPAVQVSYMIMMYISVFPIAISLRRTNVYEEQSLGVYPAEEDDNEDNQTAPSY IGAHLRRQLSFDLWYVFLGLFIITIVEGGRLQRQDDYSFQIWSVLFEVVSAYGTVGLS MGYPGVNASFASQFQTLSKLVIIAMQVRGRHRGLPYSLDRAILLPSEALNHHEIADAE RRMRRRASSLSQMSVDHRLSQAQENGTSTGLDPRDKNTSAWFSGSPFMRRQSTMRSQR SQR AFLA_004510 MSDHAYWFEHIGLGFDTAGGLVKIAFQDHVDPPEGTPRTTANFG LRDAGQVNEDGDPVLNLDQLGRPHAIEHDVSVTRQDRALGDYIHLNPDLYQQLLASSS NGTSFSISDIGNLRKKRFEQSKRDNPELDLDKRMHYIACAEVGGIMGVFGKGLYHVPK EYIEAIFGEERLPFDEGWRPRWTKLYLPEAGAVTLAISHYAWPF AFLA_004520 MTHRQTSGFAAETNGHVRLKLYPPLTHDLSITTSQTHKIVTMAP LTAAEIVKHPAYDSVAWNLPPTTSGTCPVAQNRRGGPLNLYYEIHGTGPAKLVWIMGL NASHDDWKRQTKYFGHQNASKYTCLVFDNRGVGRSDKPVNYYSTSEMAQDAVDLLSHL GWIDLSAPATRSIHVIGASMGGMISQEVGMLIPDRLASLTLCCTAPRLVRTAPFLENL RERASMFIPRHVDVELARLGHTLFGGDFLDQPDTEYEDPKKNFPTRRERFAAGQLKKR EDPDSFTKKGFLMQIVACYFHHKSPEQLKTLGDAVGRERIAVLHGTEDRMLTFRHGEI LHEEIGKGILWKVYEGSGHVLMWENEDEVNQLLEELVDRTS AFLA_004530 MTVERDLDRWGQVGVLRGSWTNDGLYKQAPEALIHPHHQSPLSP LLSSTMYRSLMLIALYISALAAAAPNPPSNEPDNQPPNQPVVGIRPPNQPVVGLPDNH PLNQPGAGSRPPNQPVVGLPDNHPLNQPGAGSRPPNQPANPLADQQDLSPARLATRNL HNNCQNIRIENPPPPLQNMDTANAQPRYATELTASPESEYWSDASTLRGSERRANLPR APKPLLVGNCKKRDGQSRETKLSLDYCLGWREENGGTLVAESR AFLA_004540 MAPVHRVAVIQWHIKSLAKELNICIVPGTIVENHTPTSEKTNTN TDTQPKDPILYNTAYFISNDGTILSSYRKKNIWHPERPYLTSSGSDPHEVFDTPIGKV GLLICWDLAFPEAFRELIAAGAEVVVVPTFWTQNDASPALRAKNPDCEKLFLESVLTA RCFENTCGVVFANAAGENEGDGFLGLSRVTMPGVGVVGSLGWEEGVCVVDLDIGLIRD AEENYRVREDLGREGWYYSYRHQGRE AFLA_004550 MASPTSINVNDPSLISLVNKLQDVFATVGVHNPIDLPQIAVVGS QSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLINKPATQSNGVKEEKLDTTDSAAN LDEYGEFLHIPGQKFYDFNKIREEIVRETESKVGRNAGISPAPINLRIYSPNVLTLTL VDLPGLTKVPVGDQPKDIEKQIRDMVLKYISKPNAIILAVTAANQDLANSDGLKLARE VDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIENKRPISY ALEHEKNFFEGHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLQKYT AELSQLGDSMLGNSANIILNIITEFSNEYRTVLEGNNQELSSIELSGGARISFVFHEL YSNGIKAVDPFDQVKDIDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEDPSTKC ISLVYDELVRILSQLLNKQLFRRYPMLKEKFHAVVISFFKKCMEPTNKLVRDLINMEA CYINTGHPDFLNGHRAMTIVNERQAGSKPTQVDPKTGKPLPPRANSPSVDLGNTESGS GSGFFGSFWASKNKKKMAAMEAPPPTLKASASLSERESTEVEVIKLLITSYFNIVKRT MIDMVPKAIMYMLVQFTKDEMQRELLENMYRNNELDELLKESDYTVRRRKECQQMVES LSRASEIVSQVQ AFLA_004560 MLGLGVRRLKTRPSLLDLIEASSNSGGGGSDQPVLLSSSSPPTD IDQLPPLPDSPTSPSSSSSSSSSSFPTPTTTFNPSPYSSSVHPPAIRIMAPSGKGSQD EAHHGAVFSVSGPVVVAENMIGCAMYELCRVGKDQLVGEVIRLDGDKATIQVYEETDG VTVGDPVERTGKPLAVELGPGLMETIYDGIQRPLKAIFHQSKGIYIPRGITVNALDRE KKWDFTPGQYKVGDHITGGDVWGSVFENSLLNDHKILLPPRARGTITRIAEAGSYTVE EKLLEIEFNGKKSEFGMMQTWPVRVPRPVNDKVPSDAPFIVGQRVLDSLFPSVQGGTV CIPGAFGCGKTVISQSVSKFSNSDIIVYVGCGERGNEMAEVLMDFPELSIEIDGRKEP IMKRTCLIANTSNMPVAAREASIYTGITIAEYFRDQGKNVAMMADSSSRWAEALRELS GRLGEMPADQGFPAYLGAKLASFYERAGKSVALGSPERIGSVSIVGAVSPPGGDFSDP VTTSTLGIVQVFWGLDKKLAQRKHFPSINTSMSYSKYTTVLDKFYEKNYPEFPRLRDQ IRELLTKSEELDQVVQLVGKAALGDSDKIALDVAAMVKDDFLQQNGYSDYDQFCPLWK TEYMMKAFMGYHDEAQKAIAQGQNWAKVREATADIQTALRNMKFEVPENQQEVSEKYE KVLQTMSERFASVSDE AFLA_004570 MAQQRSTNAKICKCWGYTFEWIDGLHSSVEQLHSIMLNYDKLVD ECLDRLDEISPPGSARTESTGSTDTKTPKRDLYSLLEKHAKDDPKLDELWSEINTVPD WVDWEQIKRGQEVFFRYGTPILNVRRGSPRVVETLARTGGFSADVVRRRLLETLQHIL QVSLSLDSIKPGGKGHVSSVRVRLLHASVRRRVLSLVKNRPEYYDIKKYGIPINDLDC IATIHTFSTSVVFLGLPRQGIFLRNQEIEDYIALWRLVAFYMGTPTDCFETTAQARAM MESLSVSEIDPTDTGRILAQNIILGLEYTAPTYASKEYLEAMARKLNGDELSDSLNLP RPSLYYQALVYGQCILVMAACYGLRVFPMLDQAIIELRRKLYYGLIMDKKEGLGGESF FEFKYVPFYSRTTRLGKRRVSQPAWFGVETLGQLGLLTAFLAGVALFCGSISGIKLLA PIVVPAAFVPDVY AFLA_004580 MADGGIVVPLVGALQACVSVLLTMSYGVAAQRLRLIQESSINDM AGLGVKLFLPALIIINLGEQLQLGNALNYIPVLIWSILYTCASVGLAYFVSKGLKLPP WVTPACAFNNTTSLPLLLLQSLESVGSLKPIIKDGDTESKAISRAQSYFLLCAVVSKT IGYAVGPKLLQDGNESTNTEDIEADGTDPETQNGTTEHGQVDEETSLLPQRAQKARRN ISNSIRHGAQRISSMLPKRIRQRLMAPFESPFADVAIGCTIIGVILGLVPQLHKAFFN KYEDGGIFNAWLTSSVKNIGKLFTTFQIFVVGCKLGVSFEKMKTSGDSGRMPLKAIGT IFLVRLVIWPALSISIVYGLAKKTNIVLTDPILWFSLMLMPAGPPALVISGLAELARI SELEKMAIAKSLTAMYVLSPFICFSITGALKASQAALEQRNST AFLA_004590 METRFSIFSTAPDRPDPPIPIEPTSSYPRSAAASDNILSSSRSD PSVDSSYPAVGASGNFYLSSTRRRRRPSTPGVSESNIDGTGGLTGDHTASEAGISEQR VVYANTAEGHSPSPKRRRMTNMRPDGVSSANGPSHVSNGSVASPSHKVGLSHSLNGQA SHASSNGDLQTNGVQKSSAVPPSYFGHDREEVTRILIQSLYELGYNGAASLLSKESGY QLESPAVAAFRGAVLAGRWAEAERILVQSFYPDGTRRTTSGDGDLPTKERLVLVESAD MNEMLFYLRQQKFLELLEARNLSAALTVLRHELTPLNYDIGRLHALSSLLMCPPEHLH DQAGWDGSITSSRERLLSELSKSISPSVMIPDNRLAILLDHVKQNQINQCLYHNTATP PSLYSDHMCDRADFPSRPGIELSQHSDEVWYCQFSHDGTKLVTAGRDHSVIIYDTSTF AVLHKLMEHDDGVAHACWSPDDSKLITCSQDKKARVWSVDTGRCLLTINHHRQPVTAA AWAADGDSFVTASLDKDSHLCHWSMRGHALHMWQGDFRVQDCAITPDGRRLIAADVEE KIHVYDFLTHEEEYCLALKSKPTSVAVSKDSRHMLVNLSEGQIQLIDIDTTDVIRRFQ GQKQGSFVIRSAFGGAAENFVVSGSEGKSFYVTLCSCFFAHCSKDSRVYVWHKENGTL VETLEGHIAGCVNAISWNPTNPGLFASAGDDCLVRIWTRERDANSHTTARTQRPTSAS GFARTSALRSTMSF AFLA_004600 MADGIDRRADDRMEFNTSKEVTVAPTFEDMHLKESLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISILQVIDTVVRESQALVLSPT RELATQIQSVIMALGDYMNVQCHACIGGTNIGEDIRKLDYGQHVVSGTPGRVADMIRR RHLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRVLVKRDELTLEGIKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPTNRENYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPM NVADLLS AFLA_004610 MLLEDQRFIHEDLERLEQAIADRVADEPRNIKDRLARDHEIAQF LDRIDEQSKRLLDIYKDADGAREKEIQAISTGDQFEEFYTQLNEIKDFHKRYPNEPVE NLERAYKRHEGEPIGMDIDNLFTGEEGFGQFLDLTKAHEDYLNLPGVKRLTYVQYLEV FDAFTPPQMLIKRPNKLSDRYFQYVGELAAYLEGFIKKTRPLQDSDKLFGSFDEEFEK QWAANEVPGWSEETAQNGAQGPQTEGSGEGIWCAACEKEFKNENVYKNHLTGKKHIRA AEAKKASGDSGEKSAAANGVSAVASRLKERAVAEREHRVRSLAKVLDAERQATRTNVE RKQGMTERERQMELEALLAESENAGGDRAGDQSDDDGDDRIYNPLKLPLAWDGKPIPY WLYKLHGLGVEYPCEICGNFVYMGRRAFDKHFSEGLHIWGLKCLGITSNTNLFREITR IEDALRLWEKLEHDRKKDKDSRDNVVQMEDAEGNVMPERIYLEYVFPLLRCDENCANV YQSAKAGHPVAAAPSAHYNNKILKATTEARAHDPDAQTVTRWFKQHGRRLRNKDTDQL AVLSCMFPEKRTDRVYWLQDTSLARVIGRCLLLGSSRREELERWRVSGGIDLARCVEN VMRQAENHIREGQEVTVEEIDDALNRVASRCRFSGPRVRRQRAAVDVDETLSPLYRRL SSRDAKWLTRMILKNYSPVTLPRNLTLKSFHFLLPHFLLFQDDFEATLNMLVSEPISH FPPHPEPGLARDLGMIAMQHLSPVVGIKIGRPDYYKARSIKHCCQMIGRRRMSIERKY DGEYCQIHVDLSKPSRSIQIFSKSGKDSTADRSGVHHVVRDSLRIGRPGCKFSRRCIL EGELLVWSDRHGKIMDFHKLRKFIARSGTFIGTENDSPPQPYEHSMIVFFDILLLDDD VCLKKPHRERRLLLKDVVHVIEGRADISEQRIIDFSRSGSQSHLENIFAKGVAQRWEG FVLKGCEDPYFTIFPSQANGSMGRWIKLKKDYIPGLGDTVDLAIIGGKYDSRDAGGLK QIQRLLWTHFFIGCLLNKEDVLQSRSKPKFRVVDVINRHCMSPKNMQILNQFGEYTAC GIDSGHGFDIEYGTGNIPGLGVVFRTPFVVEMLGSGFEKPSGSRYYTLRFPRIMKIHW DRSFEDAASFSELQLLADDARAVPSEELAQEETEWNKRLKLGNGSSEYIVDRSQSLTS SSGVTQSPVKAKPSSI AFLA_004620 MAAQFTEENVQELKFRLEDATIKCSERCLYQSAKWAAEMLDAIV PIDQYDTDPESPMDIPDTPSTRPNPYLRTQDPVEASLEAQESYKYLLAKSYFDTREYD RCAAVFLPPTIPPVALSTSSPNQKKRQSLTPQKGKSKSSQYAGGKDINVSRNPYPRLS QKSLFLALYSKYLAGEKRKDEETEMVLGPADGGATVNRELPDLARGLEGWLTERREKG LEDRNQGWLEYLYGVILLKGRNEEEAKKWLIRSVHLNPFHWGAWQELNDLLSSTEDLK QVVDLLPQNIMTLLFHVYCSQELYQATEDTYQALSELETIFPNSAFLMTQRALLYYHS KDFEEASRIFTEILIASPHRLDSLDHYSNILYVMGARPQLAFVAQVATATDKFRPETC CVVGNYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYIEMKNTHAAIESYRRAV DVNRKDYRAWYGLGQAYEVLDMSFYALFYYQRAAALRPYDPKMWQAVGSCYAKMGRVP QSIKALKRALVAGSYYAEDPSQLGGSGRKILDPETLHQIATLYERLGDDEEAAAYMEL TLQQESGQMPVEEDASSDNENDDNQSEAGAQKSSRRARQSSTYNQNDDDDDSWHGTGP TVTTSKARLWLARWALRNGDLERADQLAGELCQDGVEVEEAKALMRDVRARREGDE AFLA_004630 MPATDRAAFQEVFPSLAEDILAYAKESNLPENALQWFEKALNVN VPGGKLNRGLSCPDTGLALLEKPLTEEQFKHLSILGWLTELLQAFFLVSDDIMDSSIT RRGQPCWYRQEGVGLIAINDAFLLESSIYVILKKHFRSHPAYADFIDLFHETTWQTEL GQLCDLITAPEDKVDLNNFSMEKYMFIVTYKTAYYSFYLPVALALLYLQRATPSNLRQ AHDILIPLGQYFQVQDDYLDAYGDPEVIGKIGTDIKDNKCSWLVNQALQRCNAEQRKV LDEAYGRKDDALEAKVKALYKELDLEKVYQEYEEKIVGELKEKIAAVDESGGLKKEVF NAFLGKIYKRSK AFLA_004640 MMIMLNVVLILLVLVIARVQADHGPYFQSAAYDEGKFGEWPTET YRSSPIVGPALNYLQYSDECKDGLYTFIAPRGNKVANPGPMILDQDGHLVWTKRYGQS YNLNVYTFKGQDYLTFWMGNDGVGGHGEGAYYMLDSSYREVYKINAANHLPGDLHEFH ITQDDTALITIYDIIPADLTTVGGLEEGWIWDGTFQELDIETGKTLFQWRASEHFNFT DMKRDREGNGDSDVHPWDFFHINSVEKDAKGNYLISSRYASCLTYIDGRTGDIIWRLG GKNNDFTDLSDGAATNFSWQHHARFRDNGTAITIFDNASRGAGAPELPSRGLYLDIDQ ENMTVKVRHEYWNPDPISSQSQGSVQLLTSSNGNTKVLVGYGHIPTWTEYASDGTVLC NTHFGAASGDGNIMSYRVLKYPWVGHPTTSPDISAYNYTAAASWNGATEVVTWALEGA DSPNPKTYTFIAAVPKSGFETVIPIPADTESTYIRAHGLNSTGHILGTTKLVRWDLDS NEAVVGRHDEDDGDSPVHSLLFFFGGFASAVVLAFAGWFIYRRFKSRTGAQSTEDRER GNWQPLDRFNGDEDLSDGEMDDVEFALLRGERSGSWPEEDDDDRWTGK AFLA_004650 MSTDKITFLTNWHATPYHAPLYLAQSKGYFKEEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSIGSLLDEPFTGVVYLKDSGITED FRSLKGKKIGYVGEFGKIQIDELTKYYGMTADDYTAVRCGMNVTKAIIRGDIDAGIGL ENVQMVELAEWLASQNRPRDDVQMLRIDQLAELGCCCFCSILYIANDAFLAANPEKVQ KFMRAVKRATDYVLAEPAAAFEEYIDMKPIMGTPVNRKIFERSFAYFSRDLKNVSRDW AKVTNYGKRLGILDADFQPNYTNQYLSWTLDADSTDPLGDQKRMAELQKEVACEGGFK RLQVASSA AFLA_004660 MASEETGAEPPKRDVRNHMLFEVATEVANRVGGIYSVLKSKAPV TTAEYGERYTLIGPLNRASAAVEVEELTPSNPRMIETIKSMQERGIEVIYGRWLIEGA PRVLLIDTSTGYKFLDEWKGDLWNTAGIPSPAADTETNEAIVFGYLVAWFLGEVRLID CTIFIAHERRVAVVAHFHEWLAGVALPLTKKRHMDLTTIFTTHATLLGRYLCAGSVDF YNHLQHFDVDAEAGKRGIYHRYCIERAAAHTADVFTTVSHITAFESEHLLKRKPDGVL PNGLNVKKFSAVHEFQNLHSQSKEKINDFVRGHFYGHNDFDLDNTLYLFTAGRYEFRN KGVDMFIESLARLNHRLKASGSKTTVVAFIIMPAQTTSLTVEALKGQAVVKSLRDTIE MIEKGIGKRMYERCLAWKEGDNMPDEKDLMASQDRVLLRRRLFAMKRHSLPPIVTHNM NNDHEDPILNQIRRVQLFNDPTDRVKIIFHPEFLNSSNPVLPLDYDDFVRGTHLGVFP SYYEPWGYTPAECTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRMKGVDDSV NQLTDFMFNFALKSRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRAYPSSFG SGEDFYDIIGGTEQKISRPLSVPGSPRDRSGMMTPGDFASLQEVKEGLSTEDYIAWRL PGATDEDEPDDQYFPLTLRTKKTSDRPASPLDRISVNGGN AFLA_004670 MSTMRAVVAHTAGSPDVLKVIQYPIPQPSSGQVRIKVKAFGLNR SEMFTRQGHSGSAVQFPRILGIEAVGIVDAAPGLEDKFPLGATVATAMGGMGRAFDGG YAEYTCVPAKQVQILKTKLPWDVLGALPEMLQTAWGSLIRSLTLRPKDRLLIRGGTSS VGLAAAAIAKKHGAFVASTTRKTEREALLRDFGVDEVWIDNGAISQQIAETSGQYFDK VLELVGTATLADSLRCVKKGGTVSMTGILGNVWHLENINPMELIPSESKLTAYSGGDE DFMRTPLKELLKMVEEGKLQVRVGRVFKMEDIAEAHRCMEESEVEGKIVVIP AFLA_004680 MVFGDSIVKSLWGRCKRRKLLVLMVFYVRGVCVLCECMIGFDFM IQLISLIY AFLA_004690 MPRGAEYDDGVPHSDNAIPQGETKVHGAGNSNVQMGRVSRTAEL PEAAKELSGNAVSFGGSAGHSSGKGGHEPKSLGENKGLGAHKA AFLA_004700 MSSASPRKSIDSLASGASTPSLSQYSFNQLESPRVPPQRYPLRR GSTASSIASIGGILDSSNRHGSIAESGQNAISTLLQPPIVRTGLVPHTAVPSSGYKPP SSRDIPPVTLTNIPRVDAKVFDPYLSQVGSLYDVFQQAKESAGDQESQLARDGGKASP KPDDSEFLSSQWSTERRPSTLSLNSRASSPFDNRGRRRSSARGRGHGVTPLSTIPQIY FDDDFHLENPRIFDVVSEKSEVVTPPKTPGKDGRFENGAVVGPAPTGRKALATNAILQ EKLSWYMDTVEIHLISSISTASKSFFTALGSLRELHSEAADSVKRIQVLRKDLQKIDR EMALGGLKIVNLRRRRENVRLLADAVSQLQEVVQSVSRCEELVENGDIEEAADSLEEV ERLMAGETVFGSPAGVDSHEHPRKAIDLRRLKALEGASDDLAQLRTRIGMGYESRFLN DLLGDLRQHVENVPLEVTLQRWGSSFQRQRGVQRSGVTVSPAYMTFDDQLRSRLHTQL TGLARAHHTTAAATSFKTAVLREMKSLIRKHMPSSSDDDNESMVSVSTHRSSQLSQQE KSSILARNLRALDAEDAYAMLARVYTGISESLRRLSVQVKVLLDLASGLGSNSVLKSP RSPHSPNLDSAAGFGASSQTAATIMAQDEILQVLDMSSLLGQAVDIAQSQVNKVLKVR SEQTSHLPKEEFLKYFTLNRLFADECEAISGRSGTALKTLVGNQIRDYIARFGDKQRH SIVEVMDADRWDARDFGETENTILSRILDASTKDIEAWVDASKIWLSQDKPEGNTLEN TTNGSGKDKVRSAVVDEQKYILSESAVAMMRSIEEFQFLMANIPSMIQDIAPGLLESL KLFNSRSSQLILGAGATRSAGLKNITTKHLALSSQALSFIIALVPYIREFVRRHSPSS PLMGEFDKVKRLYQEHQSGIHEKLVDIMSGRSSVHVNAMKKIDWDASGSSTVNPYMET LAKETGTLHRVLSKHLPDMTVSMIMDPVFNSYREQWTKAFDEVTIHTENGKQRLQRDA EFFQSKLSKIDGFGDLGERLLELVKQKTPTAEAKEKGSNGANPSSSSDTSNGEKSPQA AFLA_004710 MDRRTPYTLSVLAPSTDGAEESRTQIQQRLRDFVLEFQLDNAFI YRDQLRQNVLVKQYYCDIDIAHLVSYNEELAYKLTTEPADIIPLFENALQQCTQRIVY PSQRDVVLPSHQLLLHSSAAHISIRDLNATNISHLVRIPGIVIGASTISSKATVVHIR CKNCDHSENIRVEGGFSGLSLPRRCGRQQQPGDAPGEQCPLDPYVVSHEKCQFVDQQV LKLQEAPDQVPVGELPRHVLVSADRYLANRVVPGSRCTVMGIFSIYQSKGAKKDGAPA IRNPYMRAVGISTDLDQTVKGSAIFSEEEEQEFLELSRRPDLYDALARSIAPSIYGNF DIKKAIVCLLMGGSKKILPDGMKLRGDINVMLLGDPGTAKSQLLKFTEKVSPIAIYTS GKGSSAAGLTASVQRDQATREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNSRTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFIV RDDHDRNRDENIARHVMGVHMGGRGIEEQVEAEIPLDKMKRYISYCRTRCAPRLSPEA AEKLSSHFVSIRKQVHRAELDANARSSIPITVRQLEAIVRITESLAKLSLSPIATEAH VDEAIRLFLASTMDAITQGEGQGSKEMMEEVSKIEDELKRRLPIGWSTSLATLRREFV DGRGYTEQALNRALMVLQRRDTVRIRSGGSQVYRHGV AFLA_004720 MLSLEVLRNFLREYLVIDHPVAVSDIQHREQDQTHTPDTPENPT TAAVTAVTIEYEPLESATNKRKSPPTSPLTATQQKRLKKELKLEDKRRRTAGHRVFHH ELEDGFYGDLISLHYEDAIATAQHAHTLPLTEGKYHFHNFWVDASGSQGSAGAAIAYK DPYYGEEWMDWGYAISDLKGKTRVDMSELFAIGAALRLALARIGKRVAVAEGAEEHAV TVFSDSMRALGVIRRWANLWSEGEIDRSALGTLAGDVCGLSRQLFGLGVKVRVYWVPA HCEVCIVGHKRADVLSRAAARHVKPLVQRDEKYSADGVVQLVDSTSLKTLHLLADECD PL AFLA_004730 MAPTIRVVGSLNADMVSVTPRFPEAGETITSSSYFISAGGKGAN QAVACGRLSRARSASSTSSGKGSVKVEMVGAVGGLDGHFDALLKPTLEKSGVDTSRVK IVEDAYTGVAVIIVDSSAGGENRILFSPGANYQGMQPEPSVLGMAMAAPVPDVIVMQG EIPVDSVIGILREIGAWKTKNRAEGKRGIEAGPDVMFNPAPAPPGGLPEDVYAAVDHF IMNETEAELMTPPAEQLLKVVPDAEGLSGNDKVARYFHQLGVTYILITLGSKGVWYSA TDAGTSGPADGVNRFTNQIPAAKVSRVLDTTAAGDTFVGAYAVGVARWREQRRADGKA GQDLTSEEKPVRYQKVMDDAMGLATQASARCVERQGAMDSIPWEDEI AFLA_004740 MTPTVRQPFASLDTPRVRPLLKSKMNLKNQQNVTRPSGAILSGK RQPLSEVDTENIDPTIFNSSTKRKRGSDEDEHEPIKNITKPMKTSRITLTTVKSNAAP RIPTTPPKASRSTPKSAPTLKPAGRSPQAKPCKPFARRSTIAKSRPEPASKKSVNRPF SLAAALASGKPTSQPASKAPSGWSFDIYVDSEQEEMTNLMQHSTCVLDISDDEGKGGS TTPGKENIPPAELGIDLPRSRQRESPAAAARKSVMMEESRAPLGDLIAADYYGEDCHA FSYTIVYDDEEADATSTKKPPLPTLPRSCHSRSKLSSVSSISSILEATTPVEDAKSGP SEAEVEVWESGSAVEESA AFLA_004750 MPDFAFSSTGSSFSNRFREQILPFDCLFCPIFGSSGMETNNIAD EKMKQFNLDMAKGMSSNVDIIPPPSFSQGDIPFTYAYRQNQAVRQSIDSSGNITTVNT QKSTKVLTHLVSYDVPEVPSKPNENTPPLETQDATLRETVAIIRDLFDKRPAWTRRGL RNHLSTIEQRYALRLAIPYVGYIMRSGPWRDAIIKFGHDPRTSPDYRPYQTVMFRILP KEADVARDGYAGRRHAVPRLNEPVTDPSTDLRSNTHIFTGQLPLPLDGRMWMFCDITD PLLRSIVFPAEEAPGFIRETCDTISDGWYGSGTLAKLKLIMRHKILGLIEERIPDDQD FARILSFPDYATPENVNTAFTLDASVVSTKELTMATEIRAMIKGTPSWRESTNQGQEQ DATGKRGKSATGRRVQWRDATGEEESEGEEEAIEKQEILEAAVEEVMEAATTGVDEDG NDDTGESDGGEEIEESRPRRKSGSRR AFLA_004760 MPGDLAPPRKSHVFDRLQTTPKDMSEGIRTPKRQHSSRFDISDQ RQRELEKLPGFHEVPPNRRQDLFMQKIDQCNIIFDFNDPTADMKSKEIKRLALHELLD YVANNRSVITEPMYPRVVEMFAKNLFRPIPPPVTPQGEAFDPEEDEPVLEVAWPHIQV VYEFFLRFIESQDFNTNIAKAYIDHHFVLQLLELFDSEDPRERDFLKTTLHRIYGKFL NLRSYIRRSINNVFFQFTYETERFNGIAELLEILGSIINGFALPLKEEHKLFLTRVLL PLHKAKGLSMYHPQLAYCIVQFLEKDSSLTEEVVLGLLRYWPKVNSTKEVMYLNEVED IFEVMDPAEFAKVQVPLFQQLAKSVASPHFQVAERALYFWNNEYFCNLVSDNVETILP IMFPPLYENSKGHWNRTIHSMVYNAMKMFMEINPQLFDECSHEYNERQNSAEQRERAR KERWEKLAEQAKDRQNGVPAPPPPADIPVYVDEVDTITEDSQNRLQSLKLDDSGSVKE RRSSTRRRRDTLDDTRRRRSGSGSEIRPRDRRRSIGSSAVQILTRSNSTK AFLA_004770 MAGVQGYTEGRAGVYKLVVRAGESPRLEISSCLSALKTYVVGSE LMCCVTAQKLRNCVLETLHRLPMASPDVEPYAADMVDFLMDLVRIENEENAVLCMKTI MDLERNQAKATAARVQPFLELIQEMFQTMEQVVRDTFDTPSQATPSGMPSTPGATAQN FQSPRPSSPATSVSDLGPSDQQGSNVLLRGMQSFKVLAECPIIVVSIFQTHRNSVAAN VKLFVPLIKSILLLQAKPQEKAHAEAAAQGMIFTGVCKEIKNRSAFGEFITAQVKTMS FLAYLLRMYAHQLQDFLPTLPSVVVRLLQDCPREKSSARKELLVAIRHIINFNYRKIF LEKIDELLDERTLIGDGLTVYETMRPLAYSMLADLIHHVRDHLTRDQIRRTVEVYTKN LHDDFPGTSFQTMSAKLLLNMAEKISKLDDKREARYFLIMILDAIGDKFASMNYQFDN AVKVSRAYKESKKDIEPSSERYLADKDHPPDWDEIDIFSASPIKTSNPRDRGGDPVSD NIFLFKNLINGLKNIFHQLKNCNPDHIQIDPNSVPINWSEVSYGYNAEEVRVIKKLFH EGARVFKYYGVDQPPPEVNYSSPFDFLASQYTAPMSREEKELLESFGTVFHCIDTATF HEVFHSEIPYLHELMFEHGALLHLPQFFLASEATSPAFSGMVLQYLMDRIDEVGTSDM TKAKILLRMFKLSFMAVTLFSVQNEQVLHPHVTKIVTKCIELSVTAEEPMNYFLLLRS LFRSIGGGRFELLYKEILPLLEMLLETFNNLLSAARKPQERDLYVELTLTVPARLSHL LPHLSYLMRPIVVALRADSDLVGQGLRTLELCVDNLTADYLDPIMAPIMDELMTALWD HLRPHPYNHFHAHTTMRILGKLGGRNRKFLNHPPELTFEQFTDDAPSFDIKLIGPSEK RPFPIGIGIDLAIAKLMETPKTPAAKASDGYYKQQAFRMISSQLKLFIGPETLPEDLA SLLRLHANDLFENKTTGMADILDKSERSSSIPKKLSQEVSLKKLLKACVFATTVPDLK QTANSFVADVCKHFAVVEVGRALAQVRHNRKPFDVASGEGSVYLDSRVLAEAIVESLS SDNALVREGAQAAMQIMKDAAGVIFGTPERISKLPFFQHLGRVFCHSCHSEEWFTKAG GSLGIHLFATDLDLGDSWLFDKQAEFVRALMYVIKDTPADLPASTRIRAQDTLDLILR RCCKNVSKDDLKNEKSRLYSLCGFFVYELSHMNKYVREASRRSFSTIAEVLGSQVHEL IFPVKDRLLQSIFNKPLRALPFPTQIGFIDAITFCLSLHNNIVTFNDPLNRLMLESLA LADADDESLASKPNEFKNAEMIVNLRVACLRLLSMAMSFPEFANTPQNTSRARIISVF FKSLYSRSPDVIEAANAGLRDVLTQTNKLPKDLLQNGLRPILMNLQDPKRLSVAGLDG LARLLTLLTNYFKVEIGARLLDHMKVIADDAILQKVSFSLVEQSPPMKVVAAIFNIFH LLPPAATSFMEHLVNKVLDLEEKLRRTSNSPFRKPLVKYLNRYPKESLAFFQARFKEE RFGRFFGQVLADPESEALRSAVVADTEGFTSAFFGQESADGKNTAAINGIYVTHSICS YQSTKRWLVSHADLRAKLLSSGRELEKKLRNDKLPAAERLRVEQAEDQLMDIFTIYLA ESTQDLDFLFEVMDGLSADELKRTLAFPKFIYRHIITNESIDYRRSVIMRCLDLYGQR TCSQKMKTYAFRNLVNPIFAMDVQTTWNHPPNSPKLMDKSMTEFIQSRLWKPQLADLS EESSQSGVDHSRMELLQLSALLIKYHHQTVQDSRKDIIKFAWNYIRLEDIINKYGAYV LISYFIAHYETPFKIVVQVYVALLRAHQNEGKALVTQALDVLAPVLPTRIMTASSSAQ APDARYPLWAKWPRRILAEETANLQQVMSIFHFLVRQPDLFYESREHFVPLIVPSLIK IASPPNSSNESKKLALNLINLIWHWEEKRVKSHTAMPNGTIESPNTKKRKLEETQGTS SSPSLAPNARERSEYMVPPDLRAALTKYLITFITTIPERFPVPASRIRDLPSSKTQQP VLTGDMVKKAVHLLRNLLSPEYWGDLDIELYQKVTEPILAGEKADKSDEKHITSMVNA LQVVRVLLAAKPDDWITGRLPLIQKLFEKPLRSDNPEIQDCLHGVEDEVDISPKLLPP VRRVLDALPDDQPEEEDAMDVEHSPSEFVTYLSAIATETLSANNYVSSLNVLWTLSKN KPAEMDTHIPQVMKVFSQKLAKEHVAASVNNQNQVIPGTKPAEGVPDQQEFEIGVDLI FKTIELISVRMSHLGEQRRPFLSVLAQLVERSQNIKLCSKVLGMVETWIFHSNESWPT LKEKTAVLHKMLLFESRQDQTMLKKFLDLVIRIYEDSKITRTELTVRLEHAFLIGTRA QDVEMRNRFMTIFDRSLTRLASSRLSYVLTCQNWDTLADSFWLSQASHLVLGCVDMNA PAKLHSDDYTVYPLSFLFGNADKDSRKADIMVDIQLEAFVSERKRFVADIGDVRARDL MEPLCQLQHTDPNVAYTLWTNLFTIFWSTLSREDRIDLEKGMITLITREYHQRQLDKR PNVVQALLEGAVRAKPRFKIPPHVMKYLSRTYDAWYTAAGYLEETAINPIIDTPTVRE SNLDALVEIYAGLQEDDFFYGTWRRRCKFVETNAALSYEQQGMWDKAQQLYENAQIKA RSGAMPFSQGEYYLWEDHWLICAQKLQQWEILSDFAKHENLNDLLLEAAWRNIENWQS EGNREQLESLIKSVSDAPTPRRTFFQAFMALLQYHIKKENIQEFNGVCDESIQLSIRK WLQLPKRITNAHIPILQHFQLLVELHDASHICASLSQTNERNLDTKSAELKLLLGTWR DRLPNLWDDINAWQDLVTWRQHIFQLINATYLSLLPPQTNNVASNSYAYRGYHETAWI INRFAHVARKHQMPEVCINQLSRIYTLPNIEIQEAFLKLREQAKCHYQNPKELNSGLD VINNTNLNYFGAQQKAEFYTLKGMFLAKLSHVSEANDAFGVALYYDLRLAKAWSEWGQ YSDQRFKKSDPSDYELASNAVSCYLEAAGLYKNSKARKLLSRILWLLSLDNEEGRVAA AFENFKGDTPVWYWITFIPQLLTSLSHREARLCKALLVKIAKLYPQALFFLLRTNRED MLNIKKQHDQKQEKLNRARQQQAQAQAQASPQPKPSPSAVGSSPAQNSQPPNANTSTP VQGQANLPNQQQAQNQIQQGMVQGQSPQVNGQAQQSPAQGQNQGQNQGQGQTQNQAQG GQQQNLQVPGQNGVSQPNQSASTEPEKEPLKKPWEYSDEIMSGLKTAFPLLALSMETM VDQIHKNFKCPPDEDAYRLIVALLNDGLAYVGRMPGSYAQDFKLPAPTEANITRFAET ILPAHIRKSFEADFVVKKPTMYEYIQKLRRWRDKFEEKLDRRPQSQFLETYSPHLSEF RFLKFDEVEVPGQYLLHKDKNQDFVRIDRFLPDIDLVRGIGVCHRRLKIRGHDGSIHP FAVQHPAARHCRREERILQLFRIFNGLLGKRKESRRRNLYFHLPLMVPLAPHIRLVRD DPSYISMQGIYEDYCRRVGINKDDPVLFTMEKMRSLAETKQSVSETTAPTYLNMTNIP QRTPDQQQVLRTEILTAIQEKWVPSNIVLDYFQKTYPNFSDFWLFRRQFAYQYAAIAF MTYVMHMGNRYPNKIMISRTTGDIWSSELIPAINPAKAFFYNPEQVPFRLTPNIQTLM GPIATEGLFACALMAIARCLTEPRHELEQQLSIFVRDEMMFWATAQHRGVLPVPQLRD LVYNNSDIIVNRAVSLASPPEGNLPANQTTIDLISKAVNPQHLATCDALWMPYL AFLA_004780 MSERKVLSKYYPPDFDPSAITRTKNKQTGPKVITVRLMAPFSMK CTQCGEFIYKGRKFNARKETTEEKYFSIPIYRFYIRCTRCSGEITFKTDPKNMDYTAE KGAKRNFEPWRDPKNETYNETEEQLLDRLEKEENEEHERAERDKMAELEEKMLDSKRE MAIADALDEIRTRNARIERNEALGDETALAHVREEVDEDKLKAEREIEEAARRAFTTE TGEKVKRLVEEDFSNGATPDPKPSDAPPSFARVKKAKKPLPNSLGIKKKAKPSLV AFLA_004790 MEKFLRSWRQDALNRGQHDAAVYIGDKVLALTNSDSDAFWLAQA LSILGDHNPTHLIRSNNNSNSRRKLQHLNSQSHVTLRNGKTTASRIDRGEEREREDAS NIRFEAAMCYLRGLCFAKQNAFDRARDCYKDAVRIDVQCFEAFDQLMKNSLMSPAEEL EFLESLDFDSISSPDPSVSQEAAHFTKMLYTTRLSKYSSPAILSDATETLSTHYNLSE NPDILLSRAEALYTQCRFAEALELTSSILSTSQSSTALTATTASIPAQNHLGHAPAVY PLHLACLYETGATNALFLLSHTLADHAPEESYTYLAIGVYYLSVSKVAEARRFFSKAS LLDPHSAPAWIGFAHTFAAEGEHDQAIAAYSTAARLFQGSHLPQLFLGMQHLALNNMS LAHEYLSAAYAMSTGATSGSVPALPPTPSTGASSLGGDPLVLNELGVVLYHQNHLEPA VKLFRQALSLAASLNCEPGAWVATRANLGHALRRIGRFTEALVEFDECLRIGAAGASF GYTPFLGGSGGNASGAASSGVGGYEDRGLIGSLHTARGLVLLELSRTVEAVTALHEAV RVLGASGGGDAAAGAGVAGTLLSRALEIWALEGNEAEPMLSEEVSRAGSGSRSSNRSS RDKGKGKVARRRAIPEEPYSEEWTDEVAQVDSHNLRGGSETLEDKVEMELDDEADGLL RQAMSRVRGGRSRRRPMLSPEVEASDTPEPAAGRRRGSRTLRTNARQ AFLA_004800 MGSARRPNFLIIVADDLGYSDTKPFGSEIETPALDFLARTGTCL TNFHTASACSPTRSMLFSGTDNHIAGLGQMAEYMRNAKQYQGKPGYEGYLNWRVAALS EVLQDAGYFTTMSGKWHLGLKKEIAPCSRGFEKNFSYLAGCGNHFNYEPQLEHPGDGF LAPLKSSAFWMEGDRFLDRTTDIPSDFYSTTTFTDQLLQYLTSREKDDDRPFFAYLPF TAPHWPLQAPRELINKYKDLYNEGPEVLRQKRLERLIQLGRVPKDVNAAPITLESDDW AWDKLSDEERAGSARKMEVFAAMVDLIDQNIQRVIDHLQSTDELDNTFILFMSDNGAE GAMLEALPVMGPSGNMKTIIDKYYDNSLENIGNPDSFVWYGPAWASAAMAPSRGFKTW ITEGGIRCPCVVRYPPLGVSNGQTDSFATVMDVFPTVLELAGVSLPGEQFRGREVVPV RGSSWVPHLAGRTAEFHEDEEGYITGWELFGRRAIRQGSWKALYMTAPLGKDRWELYN LDEDPGEVVDRADSEPEILQRLIEHWEVYYAETGMVDPAQELPHTKT AFLA_004810 MRFAYSTVALTATALAVPVTVPSTYHRTSTVPGKVLEASNPAAG RLTARTFGPFLNWIGSLHGSTCEECATGERTGNTMGVSVGSSEEDCDENSNSNENSNS NSNSNSNENSNTNTWGSSTDSGSMPPGGMPPIGGMPPIGGMPPSGGTPPGGMPPSGGT PPTGGMPPTGGTPPSSGTDTCEDCEGGTPPSGGTPPSGGTPPSGGTPPTSGTPPTGDV PTGDTPSGTPPTSGTETGEETGTETGGSPPSGSTPPTSGTPPTSGTPPSGGTPPTGST PSGTPPTGDVPTGDTPSGTPPTSGTETGEETGTETGGSPPSGSTPPTSGTPPSGGSPP TSGTETGEETGTETGGSPPSGGSPPTSGTPPTGDVPTGSGTPPSSGTETGITTGEETG TSTGGSPPTGGMPPSGGSPPTGGMPPSGGTPPNSGTECEACQGGNNSGNSSGSSSSSS SSSSSSSSSSSSSSSSSSSSSSSSSSSSGSSGNHASANASGPNDCAECEVSASVSPED CEE AFLA_004820 MESMNDSFNVQIQTVPPGIEPPHSRQSHPKMAPGPSVPLEIKYA NASDLGALVSIEIRSFPSSNYMRSTYKGCDPLAVHTFKTVCSLEHFAKSDCHILAGVD SETGETIAYSRWNIPAIYGVERAVDTSLSIDAQAQMQNAWAYAPKLNKGTYTFYEEMI KRILELLCVLPEYQRMGIGSAFLKWGIEKADASNARIYLEATMEGVPAYLKHGWKIIE EIRLEYTERGGEGSQTFALMIREPQGTGF AFLA_004830 MAIPSCSRWRLRPIGLLYLFYLVGFMSFACIVAIWYGVNSDRDY IHPLLTQLIPAGHCACQTSTTFQCSTCLSCSEHSLVPQLTSAPKWEFNSDRDSNNEGL STPQCKAAFPGLYEDVFRAESFWRSQGALATEDLDRIPLGFGMVRAFISRGELYVVAA RAKQEDHRRKIVAALSSIHRALVADSDRATRRDIEFVFSVEDKVEDVTSSDNPVWVLA RSAAEQGVWLMPDFGFWAWDNPRNSIGPFDQVVERVKRADIPWSQKTPQLVWRGKPSF APKLRRALMDAARDKPWGDVKQVNWFERTNIMSMEDHCRYMFIAHVEGRSYSASLKYR QACNSVIVAHKLQYIQHHHYLLVPDGPNQNYIEVERDFSDLESKIEPLLDDPSTAQRI ANNSVRTFRERYLTKAAEACYWRQLFEGYGHVWNSSVPVWSDVYQRERGLRYESFILL DSQMMFDFSATGGMP AFLA_004840 MTVKEITSYPTLQETIQSPTPTIIDFTAGWIGPCRPMFSAFEQI SHTTPYMAFYRMDVRKLSDEEIAKLGIVAMPTFMVFREGRKVGDLVGGSPGVLEGFVT GVL AFLA_004850 MQEKRGPPETTKVMDYLSCCSAGLGNFVRHWPSIEERKHWKSAS NGCTQKQLPPSLPYTFYTSYTSEDSYKKRRED AFLA_004860 MMPTFFQAVCSTCIALAIYTASLAIYRLFLSPLAKFPGPTLAAL TGWYEVFFDVLLGGQFMWEIERLHGKYGPIVRINPHELHIKDPDYYNTLYAGPTRRRD KYLWFLSVGAPTSTFATPESDHHRLRRGMLSPFLSKQAVRDLEGVIKAKLDLLCEHMK KAMRSGEAVELHAYFVSFAVDVVSTCAFGESGCFEELRRERLDDRWKNVVTGAFGKLL LTRHFPWLVVVFRFLPVWATALLTPVVRHIDYMEKGVENQMQHVYAMNKDGVKENGIF SQLMHNLKIPLKERALYRLADDAKFLMVAGIDAPSQVLAITMFHVLRSPLVCRELREE LQDKTAHSQDELCLNTLEKLPYLTAVIKEGLRVSAVVTSRLPRIAPEETLEFRGWKIP PGVLDERQTPVSMSSHFILRDPAIFPDPLVFQPERWLKQSTTGSSLDRYLVPFSKGSQ GCLGPKYAFASILTTLANSDISMAHAWLYLALATLLQRFEFSLFETTEENIRTVRDCF NGQTKPGQNNIRVKVLTEFI AFLA_004870 MPSPIPKPKGVPILGNVFDLDGNNTWGSFNKLAKDYRPIFKINI LGKDIVFITGAHLLEEVCDETRFRKCVAGPILAIRDAVNDSLFTAKHTPEEIISWGIA HRIMAPLVSWEAVEQVFSGIQETTDDLIKKWTTGSRQRINVTNDLDRCNHAANMLCFF DQRVHIMEGPEPAVIKAMDCATNEAMHRPFRLRIVNWLFHSRKWNAWIKTMRDYGKDI VETRRANPTDKKDMLYALLEGKDPETGKGLTDSQVLDEIINIFIGSATAPNLIAFAMY YLANNPDAAAKAREELDAVVGGPSVRIEHEHLARLPYTEGVLRESFRLSGVAPGFNIE PIPENEGPVLLAGGEYEVPKGQALIAILAAVNRDPAVFEDPEAFKPERMVGENFDRLP KGVKKGFGNGKRECIGKRYAWQWSFMVLASILKDVEFELADPNYKVGNDGVNCNGAFS VRPQEMFVVTGPRARAA AFLA_004880 MGLIITTIALVLSALSWLDCAVSVPRAPPELDLSKFQDENIITR DVCIIGGGSAGTYAAIRLRQMNQSVVVVEKEDHLGGHVNTYDDPITETAVDYGVLYYE NLPVVRDYFDHFDIELEKISILEQNSTQHRVDLRTGTPVGPAEGNMLLALAAYTAQLL KYPYLNTGFNLPYPVPPDLLLPFGDFVKKYNLEGAVDIIAMFNQGTGDMLQQTTLYML KYFGLDVVQSALGGFLIPASHNNSELYGAAREELGEDALLNSIVTYTYRNEEREYAYA VVETPSGAKVIRARKLIIAIPPRLDLLKGMDLDELERGLFGQFNNTCYYTALTRIPGF PEDIQIVNRATDTLYQLPPQPAVYVINTTRAPRLFTILYGSKDHMTEAEVKGNMTRSV MQLRNTGLQIEPPEFVQYSDHSPFLLTVPPDAIRNGFYRHLNDLQGHRKTYYMSATFN TQASAQIWSFIDGLLQTSF AFLA_004890 MATADLPYNHVDRGRKIRDRIAKLQERVIASELRAAASLNGWGY HHPTAPLGGVPTSAYDVDRKVLSPAAEIMPAMSGPYLPGSTGTYYPYSTLSPASTLPP QPSPTFPQYEATAKEADPSSSLSPSVLTNSSVCSPDAGSLNLDMATNASNNYVPPDMN GQLQTDPWNIYTQNSQSSFYYLTTEASLPQILQMLDNGNMKPKAVILLQPSSPLAGVP PPPTSQPPSPVEQVPATSSFTMQGLTCHCQNRNFLSESPVNWIHPTASTSICPLHSSS ILDSYQQKLQ AFLA_004900 MSFSPSVNGPDMTAAPSRPVSDLPQSQVDAIIRTKRKAREPKAC YPCHARKVKCDRNLPCDGCVKRDHADLCSYERPSKKRIMGAAGPSQYRDSPAATPGGS ESVGLPGSAETPIRLKQEPGVARSNPLTSAGRVSIPREEWDNVRTRLKEMEQTINNLR VGLEKAEEGQASSLETGSVQSGDASIRSKAASPEREGIHAANTLGEGTVHLGSRSVLA YILNNKSGSDQLQALLEGGILPKLGLDNESATYPFVDLWSSDMSTFDVSAVCSALPSD QQCKEFFYYYRDIAGAIYPVLEDIPNFEMHLDLLLRTRTATGGIYRSDNDQAQKPFGV SIAFLGLLFAVLASGCQSSDLPGKERELTSQVYVCCSYQCLRMTNFLSQPTIDAIQTL LVIGNVLSYNMNPGISYVLLGMTLRMGLALGLHVESSRFSAAERYRRRHVWWSMAWQD SHFSLSYDRPSTTAVCQPEIAYKEDSTPGECSYFETLCRVIQLALEVVRSRMLNPHAQ LSQKTIHAYKERIQHIMIEAKPYLRDRKYCFSTSEHLERVVLKLHSSYFASELLRPAL KMTADPSDPLTTSMRSDCVSNLMTTVEAYVEMHTVSSHASRSWIALQRAISSVFLLAV TEESKTNPRFWTLLREMKMIIAERANEEGLFGQGDASGLPQTDRNGSFNAAIPTTTNT TATSPAALSTASPASAAVGMDTQTQWAKPLTKTLRALDKLEAAFSSHGQLPTGHGTYL NPATASMQNLVPPVSAAMTPSVGSLPPPTPESSTSGEWTMPNILDRAAEYIHPPLWS AFLA_004910 MQDNHLRRSSDAARTLSGSGQDTDYLDLPVRQVTRDANLEEYTT ETAAGQIIKPVRSAASGKMEDWKLVTFTIDDPENPKNWSKAFKWYCTMVVAFTCFVVA FASSVITADIEGPAEEFGVSREVSLVVVTVFVIGFGVGPMAFAPMSEMFGRRPVYALT LLIAVIFIIPCAVSKNIGTLIVCRAIDGIAFSAPMTLVGGTLADLWKNEERGVPMAAF SASPFLGPAIGPLAGGYLADAAGWRWLYWLMLILAFVAWVLITFTVPETYAPTILKRR AKKLRKTQNDSKYVTETELDSRPLGEKLRIFFFRPFQLLFLEPIVLFISIYMSVLYGL LYMFFVAYPIVYQGGKGWSAGSTGLMFIPLAIGVIMSAACSPFINKHYLSLYAKYGGK PPAEARLIPMMFSCWFIPIGLFIFAWTSYPQIHWFGPAVGGWPVGFGFIFLYNSANNY LVDTYQHQAASALAAKTFLRSIWGASTVLFTEQMYDRLGDQWASTLLAFIALACCAIP YVFYFKGESIRRFSRYAYNEDEENTGKVEKS AFLA_004920 MTSASSASTFLALPGSVAFSRSRGHAIAASIGAQDVRAQWIHYV HASQPLDEPQQNVLKQLLQYGDITDIPPSFSAEDGQFDVFYVFPRTGTISPWSSQATG IAHVCGLRKYVDRIERGLKISCLRASSAEYKSGFQDVLHDRMTQVLGQEEPDLHLMFS EHSPLPLETIPLHGSDKSPKEVLQEANKHLGLALEESEIEYIAEAYGPNGPIARDPTD VELFMFAQVNSEHCRHKQFNASWVIDGKQMPNSLFAMIRNTHKKNPEYTVSAYSDNAA VLEGDVAAHWAPDATTGEWNHTKEIVHFLAKVETHNHPTAVSPYPGAATGSGGEIRDE GAVGRGSKPKAGLAGYCVSDLQIPGLKQPWELDVGKPNHIASSLDIMLEAPIGSAAFN NEFGRPCISGYFRTLLTEIDIGNGQKEVRGYHKPIMLAGGVGTVRPQHAIKKPEVVKP GAFLVVLGGPAMLIGLGGGAASSITSGEGSAELDFASVQRGNAEVQRRAQEVINACTA MGDNNPIKFIHDVGAGGLSNALPELIHDSGLGATFELREIDSADKSMSPMQIWCCEAQ ERYVMAVGEDSMNKFTAIANRERCGFSVVGRGGGTSEEEKRLVLMDRDSTEYPKPIDL PLSVLFGKPPKMTRVVESRKLKLPAVDATLTKYLPALAPNHLELIGEAANRVLSLPAV ASKSFLITIGDRTVGGLTARDQMVGRWQTPVSDVAVTATALLQGVKTGEAMAMGERPS LALISPAASARMAVAESLMNIAAADLVDRLSHVKLSANWMSASSHPGEGAAIYEAAEA IGMDLCPKLGISIPVGKDSMSMKMKWTDEASKQAKEVTAPMSLVISAFAPVGNYRKTW TPALRRLEDVGETVLMFVDLSFGRKTLGGSALAQVFNQVGDDCPDVRDVEIFKDFFDA TQQLQDAGIVLAYHDRSDGGLFTTLAEMMFAGRCGVEVMLDNICPSSGTKDVVETLFT EELGAVFQVRKEHEIQFRSCFATCGPPAGLIHKIGRVSERTKQNLAIYHGHTLVYRNN RANLQQTWSSTSYHMQKMRDNAACADQEYANILDDADPGLSWNPTFDPKDKALPMLTS LTSMSPFSNKPRVAILREQGVNSQAEMAFAFNMAGFSAVDVHMTDIISGRVSLASFVG MAACGGFSYGDVLGAGQGWAKSVLLHENTRSEFQSFFERPDTFALGVCNGCQFLSRLK ELIPGAQNWPSFERNASEQYEGRVCMVRVSDPDPSRPSVFFHGMDGTSLPIAVAHGEG RASFSPSSNVTAQSFVQEGLAPVQYVDNAGLKPTMKYPFNPNGSPEGIAGIRNANGRV LAIMPHPERTVMGGIASWLPAKAQEWGDIGPWGRVFYSARRWVG AFLA_004930 MAIPASISALTDELVATVAKVDKGTPRFKILKRRTEDTLRANAH VRTDQFAVANQLEGLQEKFQVLNKDDLADALRVRLTELNEHKNSCFPEILSLLLQLAD RPAQLSKVDRLESIKKPQERVESLSWTELDVSGTAYCEEDIWESVDFGAGSSEDDLSS VSSDSYQGRSLPQTSIALEEDYVIPEDLFSSGEDEDLVVSIKSAQFWRNKTSTDTEQH GGKPSQVLTELQIVRETIFMLQGLPTSLFWRHHESVEVDRKYSLAHLSSETLSSLLRS FCSIGSKIDILRRYNQVPRVIPYLQTFHRGIEDRLREFDRFLSNVQAQYLSQSRTIAV SLLQLYESVLRESKLLLLLAEIVSNLRHDASDSPVRCLDLLYDSVCMTQATGDENEFK FLAQLFFSCFETYARPIRLWMEKGELEETVQGSFFIRDNRNNDLDLRTLWHGWYTLDE SAWISSAPKFVQPVARKIFVAGKSMVFLRHLDVSGDDAHARKSSLTLGDVFPEDSASV YMPFSALLDSAFGRIVDENHSFTSSLLRRELDQQCGLWISLQALEHIYHCKDMSVYGP IDHKIFDLIDRGRGAWDDRYLLTELAQSAFSTLPFIDPSRVIVRSSKDPTNKAHTHSR SVKLLQAISFDYVLPWPVANIITKDAILSYQRLSTFLMQIRRAKHTIVKQRLQYSPEI YEARKNAQAYALRHNMLWFLNTLYSHMTDFVISTTTNSLRKDLSACNDVDTMVSVHQS YMSSLEDQCLLSQNLVPLYEAIISLLDLCVSFSDLQSTRYTQTKSAQKEYGQDKDQSD EEEDEDEDDEPEHDEKQTPVHETQYLQQVKTTQDQFNQLVGFLAAGLKGVGRANAQVS WEILAERLEWRKERTADHV AFLA_004940 MAVARPIRMLGASCIILILFLIFKMNNGPTSIISKGPKGEYTGI QNDPLKEPTGEPEGHLWRADENDYSPDSANSARTNAALISLVRNEELEELIPTMRDLE RTWNSKFNYPWIFFNDKPFTEEFKKRTQALTKAKCQYEQVPKEHWDVPDWINMDLFRE SAEVLKEQGIQYSDKISYHQMCRWNSGLFYQHPALKNYRYYWRVEPKVQFFCDVDYDV FRFVEDRNITYGFTINLFDAPQSIPTLWPETKKFLAANPTYLSDNNMLDWLTDDQLRP EHTNAANGYSTCHFWSNFEIGDMEFFRGEKYSAYFNHLDRAGGFYYERWGDAPVHSIG LGLFADAAKVHWFRDIGYNHIPYYNCPNSPKCSGCTPGKFYAGEPFLAKEDCRPSYFK HVGTH AFLA_004950 MSPVSVFRVATRAVRPASLFRAPQLPRARLQTPVALAINRPAFS TSSKRLGGHHEDETYEEFSARFEKEFDGVQDVFELQRNLNNCFAYDLVPSVEVLSAAL RAARRVNDFPTAVRVFEGIKAKVETQDQYKQYLEALEGLRQELGVALREELYPGEQ AFLA_004960 MASAVINQIAILTPKEGKFDDLAAELANITRNVQEHEPETLVYY AYADAKKEEIIVVERYVNQAALDKHRAAPYFQDLIKKAPELLGKPLELKVGSELLQES AQVVRV AFLA_004970 MALEFLQNVPRPTIDRPFGIHLWPIFDQAFEKVVGYPASEFKFV EGQTPMSGFRETAAMLVTYYIVIFGGRMVMKNFPALKLNALFMIHNFYLTAISATLLA LFIEQLLPTIWRNGIFFAICDHQGGWTQPLIVLYYLNYLTKYLELLDTVFLFLKKKPL TFLHTYHHGATALLCYTQLIGLTAVQWVPITINLLVHVVMYWYYFQSARGIRIWWKEW ITRLQIIQFVIDLGFVYFASYTYFSSTYFPWAPNMGKCAGEEFAAFAGMAILSSYLFL FISFYIATYNKAAKTGRPRRNTGRQAVIDMAKMEVVPSANGSAANGSAKSNGAATASG RSNGPVTRSRKA AFLA_004980 MYDTAGPIRLDYINQQHLPRRYESDEEDISESEMGGHDNAFSPV ENYEPSNPATNESEIERPGFPRLLPTYSSSGKTSRPVSMDTIKRSSATTFVADSYIFE HDDDVIIELPSPDATSPLQSPIFLPPSVYVPSESPVSTRPQSLTSISSSSMYSDDEES GLLVAEQVKIVEPIAKPNLILISPVSEHSPSPFKDSTPTPNTTKDNSNESGAQGLYSH RRAAASQPLLWNKCDQNSAKTEALKRGSMHLTTHDLERLPMVASGPIVSSPVEVSELP SPMTLPNHSMTFPRPATAVSEKVSSEMHSRRPTDILRRPPSIKSLSSASLPFFHSRQA PTSAGPDSRTRSMSYTHSSARSVHGLPLQSSCPPSRTASPSPYYSSPSFTRERSGSTY SISSFTRAPTPLRQPLKKTSTSSSIYSSSSLRSEVESVRSLDPRDVAEPDFQQKVKRK KSLRRIRQSTMETSDLSGKKSFMGFMFGSKRKSTIKSLNA AFLA_004990 MHQTILCVSRGILSVEDSVSIVRLAIAQDPRAKRKNPIDTIPKE FQRFAHTVFSDASAAQH AFLA_005000 MVNKSYPGESLQGCNSEMGSLEYLIDWYLTLGELIQFIERLDVD KYPYDLWTTSKRFFTYVVSIGGDDL AFLA_005010 MAPSTDVERLDLLQTEARTSTADKIDLLDTLGLCEEFNKEERRV GGAVACCLPTIASLIDDLAPRLEAGGRLIYVGAGNSGRVGFMDCSELPVTFSVDPQQF LTVVAGGTEAIIQAQEGAEDVESDGALRMEALQLNEKDTVIGISASGRTPFVLGALRV ALKHDALTAGITNTYPSRIDKLGVKHSICPLVGPEFLTGSTRLKAGSAAKQILNMIST CSMVKLGKTYKGLMIDVRVNNWKLKARGRRIVRQVCNGAPIHIIEKNGLPSSQAVDVP ETADGDAIIDSLIRECQGSVKLACAVAISGFPPDVSKQMLDSAGGNFHVFVQGIRMKA PPSPVSLGESDYCLCVDGGGTNCTVSIATESMVVGQGVAGPCNFNAVTLDELMDQIKL ATKRASSTILARQGFGYPNLPRFSKVWVGLAGLYHADQVATLTRRLEELFDVSVKNGT LRLTSDGILLGSCIAMDDSVECAISVIAGTGSVATAFKKAPSNEIVQVGRTGGWGYLI GDQGSAFDIGKRALQLVLSSVEQRQFQATHELTEFERVILEELNSNEAGVLTQIYHSD AKPKEKISDMAKVVTKLGFRERDPDPQALGILTSAAGTLLQQIKPLTEICDPRKCALI LSGALMNLPDYQNLILQEWDKQQQSPFKKVLVVNDASGYAAQFLARQNMTIY AFLA_005020 MSETKSAEPDVISSSRDEESIPPRPAKNIWARIYHDPWFQVSLI SFISFCNPGSGQVDGTVAANSNVATHACTAGAALVLVGAFYKYLGPRLSLLIGGWTYA LYAGSLLHFNRTANGAFVIAAGAILGLGAAFFWVAQGTIMVTYTNDNTRGRAIALFWV VFNLGGAIGSLASFGLNFHSKSGTVTDSTYIAYIVVMLFGWVLSVFVCSTESLSRKYH GSRISQDSKAVNWSNLKRTIMETVKIVIDWRVMCLYPMFYNANVFYSYQQNTVNGMTF NLRTRSLNGALYWIAQMVGGLLMGSVLDLKGINRRARAWIGWAVLFVTGMVIWGGGYK FQLWNDQRMQLGFKQDIDYKAGSQYLGPMFLYFFYGAYDSFWQSYCYWIIGAQSQNPV VNAVIVGTYSALKPAGGAMAWRINANKLNAMSEFAMNWGLCIGSLLVALPSVLTLRKG KDNVETDHC AFLA_005030 MGGSRKSASCLICRRRKIKCDRSEGFCNNCRNLGEQCVYSTEAG TDTNVTDEGPTSSDSVTQAGLKRRRVLRSCLECKRTKSKCSGGSACTRCTKKGLHCSF HEEEPNPREETYVQGSSQAIPTWLVMRNLPPIDRVRELIDIYFAQIHTVRCMGFLHIP TFMERFKDKKTILTEMSGLIYVMCALAAPFYCARVIASKEDGPSSAVLYFDAGRGWAE AAMQCLFSSFGSPRIECIITAVLLHEYYLRVGDYAKGFLISGFIARHVQLLQLNMEYD DDILCRKSKMSWAAKETRRRVLWACYLLDASIECGINQLCLISSSDIYVQLPCSEDLF VRNIPCNTEMLTRGKLLPFADAAVVSAAENLDIRAYYIRAMAIRSKILKYVKHLEGEI PWEVTETSQFHKLDNEIRELDASIPDSLKMSAENIYIFKASGRLNLFFGVHILIAQTF NDLYRVGVSRLVFPNTATKWIRENAPAEFIKLCHRTCISKAAYIGSLLQDLWNCHKLS IVDLPYAVHTQICSSVLVTSLSSWREPEPPLPHISHSDYKDILQTNVTILKYLQRYIK ADLYYESATQALKHFNTRFSNETPERRATSSIRETSPIESNDHNRPSQSSLEHILNPL GTYPMARKQVQHYDQQHARDGTSDKPSVPQSDIAAFCPSTADNPANMDGFLGFQDQSF FSQFPDWAPDIPIISDMGYPTFLDQYPVSIADGGLVI AFLA_005040 MPQTLRFVTLDVFTTQPYLGNPLGVVFLPNNPEEAITQDQKQLI AREFNYPETIFVHPHEKSDSTRKIDIFTTAEELPFAGHPTIGAVTWFLELSPDNDDRT NVQTLITKAGPFAMTRVPDSGTVAAKIAHNVHIHSSRFPLSELLRLHPSLRPYLSDDT GNKGFPVFSIVKGMSQVHVQLPSLEALAAAEGPVSGEVVPCHSVAQGGYLDAGWEGDG LIVIYFFVPGVHDEKTGKTVIRSRMFLRNFEDPATGSAASGLAAYLTLTRAGEESEAV FDYHIVQGVEMGRRSDIGVAVTLNAEDRGKVESVELRGTAVQVKSGEIRV AFLA_005050 MNFDLPSYSSISDSRVGVCPWKGGTYIIRDPETKLVIALEKGIL GLYPEASVVESIYQFGRGSHWHCVENDSMWLGFYNAVSGTYIGHDNRKSNWRFQAKAE RHDEWEWFCARQHPDGGHVLLVKHWGEFLPMRIGGKDNRELMVDAKREGGTVWEFIKV DLEK AFLA_005070 MGIVEELGEGVTLLKKGDRVVMPFNVADGRCRNCDEGKTAFCTG VNPGFAGGAYGNTLTRINTSSVMWLWARIVEARLSTFGSRMPTLMLCYCPLVRSMKPT SFFSQVSRWHGVEISGFKSGESIAVFGAGPVGLMAAYSAQLRGASRVFVVDRVPERLA VAEKIGCTPIDFTKGDAVDQIIRLNGGEVDRSVDAVGYQAVDTSGSKEKPNIVLENMI RVTRACGGMGIPGLYVPSFSRRKVICPRHQLVRIANLLQGLSLATGQCNVKAYNRYLR DLIIAGKAKPSFVVSHEIRLDHAEVAYEKFDKREDGYTKVLIHPNGGFESTTVGQVS AFLA_005080 MSAQGRLWDIQHGVEGEVEAGKGQITRYSNGQPTRFTFKKRFQR TPNVQITPILTNRHGTFNPFYLYLMSPSGGPPADEDGFYLGIYSKPGVSMDFEYFATG IYDGED AFLA_005090 MYLARAWLALWLVLHAQQGQTLVLEEISHTQVEPNPLVVRGVEH TNLDLLKQDSFYYSGEQNGQSSFANFTVSLDGEQENIVSMERFEDLLESVHCTNTSVA MSFKEEQAFTYAEHAWQWVNDMGNRTFVLIVAKGCCKWNTNRLPFIISKVTSDENTKT MKLHGKSSSWLEIAHTYELNIGKQRASTSTARRDIDRSASLEFNHVIPVKSGRLPDDN IDVTWECADCSTQGAFELDFHVKTVAGIPKTGSLHLSPNGVSATFMPRLALDGDLKDQ KSGEIDLGRIPITGISIPGGILNIGPQMVFSLGYVIGPLTGSATVTAGITANLDDSAE VSIDLESRSVDSDGWTPSVEAIPMSVDAELEGEIELYPKASLQISAQVIGKGVEVGLN LKPSLAATMAAIHSTDGACPDDPKHRENGITVDPSASVSLNFEATFGDNNGEPDVNHV LAEYTAPLESRCYPLGPEPSSTPTPSGSPTPSSSAHPTSSEIPSSSSDAPTSSTTPSS APPSSSTSPSSSILPSTSSATQPSKRAHHHHRRMGSHQRHRLL AFLA_005100 MPVRQYVLSTDSESDYSLSDDSTQDAHRQTSSRIGSVALDSPTS TGAQRRRQSYERVPRCPPVLVDVQNDTRKQDSNRSANRTRMAFIESYDSEDQTLRPES RVTEGDHDIQSSNKDITVHIDIHAALDVAPHLEQLSRLNRLGRFKEGITLFNERLARH LDFFPVVAEYADLLLEQANFRSLGELISQVLGSHAKDFEKDQVLLLKLLGSLAGMHSK GALLPALDTAKEVIKFLEDQDGEDSSDERLTGIQIQLMQTYLSIIVHASQHSLFLDNE GYESLLQSMRELTVERLSPSTYPTKKPDTDAHASYEYNSYDDPYDEWAHSMYPDDFDG PYGRAPGVNKWPPYRSRHGRRAAETNNYSFLRSWYDSLVEDGFYWDSNRLLYCTLALM GDAGGRHRLDGIFWQDLFRCPEHTLPQDEQLLLTELDKVSLLAEVSSGQLQTEYGKFH DKLSDRAQNIASSILSSAPHLVNSRPYLSWMLAETDARPQAINAGRVDPRTSHNSFIS RWQHRRQIDSKQRSQSTKLQQDTLSQRTLNVIKEESMNLGDYQQQSRALKAIYRHSDY FSTCLESLQELGQLQYNVMGDMTGYLSSLIEEYFLIEYFHPPNSKPFREHLAQRLSEF DRQFPYGPDFESTAREKMDIFFFDNPLLKWMQRNVQAALLRSLDRHTEAEVAEMQITV PELHLPSYFSKQLKIFKSCAGCKDCGSTSGKTRKTYHPAEPAVPARRAMDSSSAQPNT TTYRVPLVIQSEANATEGKEEDVLHVEQMIRNHRNIRGKERKPHRRTVMFDESSTPRY TPQHSVSKDRRSEALANTAPTTIIVEDPNGKALMFPYDLCHTWKGMEDLIKQAFSGDR NALSQDIARGNYELLSEDGSIVLPIVWENLVQPGWKVKMQMKNTQPENKEMDHGDTDT ANAEGSHTPENEKDNRPKSYQAVAVEAWSSEEDIAPNPRREDEDV AFLA_005110 MSLFRQRKVSQNSSRQAKPFRYVELCQNQTSASLCEHQARKVRV DCCFLFSKTQWGQLDDRDSGLMYLDLTFHQPSECKLANATFTMTFNHTNEQRGGLKDS VEVTEFFGPQMLSGEKKERHISKCFYMNPKFGAANASFEGVGGSRTSDATLSSRWKFT GSRFTVNDPLSRRSNRAYRQLVWHLEENDLERQAIHNPVIHTALAFHHQSKPFYLDLE IKAKLHSWHHRFKQHLVYPPPSQVSRTRAKIDRGVETDPFFAKTARNLNRSMIEANLH HVTEVSDPKPTMELPDQKDESTLSLPGHDLLALAQQLTGQRVILPKQTLAVHNPETHP TPPPSTISNSSTKVEWTPNEHQPDKPAPITLDAYNSNPVEPKTVMMQAPLLQISQLLT ALLASLIVGLSKLHSDLARAGKGSEGVQALQDDNYGSLDSKQT AFLA_005120 MTTHNPSYLIVGAGVFGVSTAYHLIQKYPNASVTLVDRDAYDAE SRVAASWDWNKVVRADYDDKVYCQLALEAQDIFKSDPLWKPHFHQTGVYWTCRSSYAQ NVITNHKELGRNDDIIALPVAEARKLYGGIFDNADYTGVKEVLINRASGWAAAGDALR AVTKKCLELGVKYVTADIATLQFDGRGSCTGVKTKSGQTLSATHVIVAAGAFTPTLLE WSAAASGNPGLRAEERILAAGITTGMAQLNEEQYEKFKDMPVGFQGYTPNEGKPFIGS IPPTKDRELKWWGSKIFTNTREVLPGRYISSPPPTFDYNQWKVPGPLKQDIVEARNLW YGPASADWKMTKHRICWDAFTTTSDFIISPHSASKGLYIATCGSFHGFKFFPVLGKYV VQMLEGELAPELIERWAWDRQRPDSSQNVEYPNAEMKHLLQPASKL AFLA_005130 MWLLNARTVTLEDFFESQAPSYAILSHTWGAEEVSFQDIQERQE VCKKKKGYQKIIHTCRQALKDGLEYAWVDTCCIDKSSSSELSEAINSMFRWYGKAAVC YAFLADVLPGCDPRDTQGPFATSRWFTRGWTLQELLAPENIVFYASDWSQIASKTELR KELNLITGVDVRYLSSGRSEFIDYKWQIRSSSTQDYVTRAEFIADVATGMKKAPMAVR LTPHILSLINWKEAYSDPIRRQFIPIASSFKPDHPQLQLDSLHETHDSPVKGLVHRYP DKVLFLATSVCPVYCRFCTRSYSVGQQTETVSKKRFLPLQKYWEPMFEYIARTPEVTD VVVSGGDTFFLEPSQLREIGTTLLGIDHIRRIRFASKGLSVCPSRILDPSDEWTRKGK NIALHTHFNHPQEISWITEHAAQKLFHNAVTVRNQTVLLNKVNNNVPTMKRLIRKLAD NNIQPYYVYQGDMVQGVEDLRTPLRDILHIESHIRGTIAGFMTPSFVVDLPGGGGKRL ASTFENYDTRTGVSRFLAPGVKGNTVHQYYDPLCTYDHRVWRTGLPVRSAVLKPHAGR LVVGWVTTSESLLLYVRVHPFFLIRWV AFLA_005140 MLRVQNSGSAVAENPAISTRDTFTRDSAHPQSSPTVAPVSQSNA VPDVDSSPGVPQNRSNQPGYRAAGVNQPPATTQYTSNQSTFVQPPMPPTSDTLPQEQQ GGYFYDPSIFGQPPIPPSSDTLPQEQQGGYFYDPSIFGQPPIPPTSDNLPQEQQGGYF YDPSIFGQPPIPPSSDTLPQEQQGGYSYDPSIFGQPPVPPAMETDEDHIYDEQQYWQH TMHPRPVAVGHQ AFLA_005150 MMWMRPNFRCYIPFTRNNAGCKRQFSLISVHTKFPATMYRFQTQ RNSCLFNQQEGKGSYIRDGVTVSENGLVYPMLSPSFPYFNGPVFRPNTCLMQELLRTD YDHYAEAAEVGGPTTDPLVITIRKDTVIPPSLILFRDETSRFSLQPSSPLLLSVFNNM LDEFYSTSATFTDAVEWMEKHDFHDAFADSATEDWMGH AFLA_005160 MAKYLGRFNSFPKELFRLNNGDIIRVRDRTVKKVGSFDVISEGG KLKPKALQPDYRAPNGASMRPNTRAQKDNVMNFSGNDLIVYGVPAGTKLPDDLLLVHE KGDHFSLQPAKEMTVEEFNTRVNTFFKEKAKLMTREEWLQAYPEPTEQA AFLA_005170 MGRLGNHLSDARVASSKRRGQSFGGQPLKKQKSSHLLQSPTDDH PTERLFDLTKSPTDGPPGDEDITVPDPEETEGQTLSLKEAIVLARNAADKMKQS AFLA_005180 MLLPQVILEAFVPGYGWLPHLLTSYFNIDKSPYMFASIAFCLYA LPAFWERFQSLLLRLAESVEIPYHDELYDQVIRWISSHPGLTQTQRSTAATRLDIAPS WNTDRNEKNFSDEDNTKLEKNPREFWMEQKLRDKLGLIHFTPAAFQTSVFKYNGRLFA FSRQPEKDVFGSWAVRREKLHFYTAPWNKQALRNFLADIQKTVAEKDNDSLIIRRAFK HGSDFRWAVALSKQPRRLSTIALDPYLKNQIISDIQDYLLPRTRRWHRLRNFPYRRGY LFYGPPGTGKSSFCLAIASLLQLDIYVIDLTMNGLDENTLTLLFQSLPERCIVLFEDV DQAGIQKRKSEKPFLEAAEEINGKECIVAEAPGRERPLNSITLAAVLNVIDGVSAQDG RILMMTTNHIDQLDPALSRPGRVDMKAFFGCVQRFAIAELFLLMYSEPTDGPFMAKQM PNGSIYPLTPPASPAWTPGDIASLSTIFADTVPPNRYTAAEIQNFLLQYRYDPWLAVT HVSEWAYGDLRLDLEQSLFALHTSCSRFNVGGTDYRLTISALIFSWLRHEPGSEESSQ QGTSNCFQPYLLLLQQPAFDENVPSLWTTPTDAVGEGDLSLQAALQRVVVTQTGMQLS KIVTGGKGTN AFLA_005190 MYGEVSTLDHIIAQHGLRTVKIEQLPSSLQKSKTEPPPTIEDLQ REIGRLRQELAFHQDVQVAFIKLLDDSREAYRLIQEALRTATIPNHPDYTTTMLCLTV RKTGFMIKSALRQVSERLSASEVQLLNSYGIFMDDTFLDDHTIL AFLA_005200 MLKFLAHLAHKSRLVMVELRVVKYRRDGTIWTFRSTFPDQQSGD GTYFLPNDDHESILQTDTETLQDLFEKETGVNKEKKA AFLA_005210 MSFFEKAVQHTARTISQEFNFIAAARCDNMVESDYLGHLKNFIT LSQDYKTPYDSVASCIASSIIMDAYPPRMHSFSIQSIFSTIYKPYCYKALQDSYNNLC ARHMCGEIERHCCDLFEKLQREYISSSRLHWGNMRTLDGSVALFRSNKTCLHCLRRPP ERHLSCGHSICDECIRIFGTAIPCREGRIRIRCIYDDGNLLLDLKPRTAGARIMAIDG GGSRGVTPLEFMKELQKFFKPANIDTGEFWDGALGFPNPTDLGRWEASRIWPDSVVDV VVSLGTGEEPKRVLHGLRYFHRELGICCLGMSLDHILLTQAGGIKIGMSRPHTFANMA AFLA_005220 MSNQIMEDQMMEAFLGWSNGELPDFPNLDPFIFDSVLAAPESLQ TPTSHMFPAVHESVDEVQGIEEIPELVSDDQSSTPSSTPPAAPFEAQEELAVLKELVN ELTSRVEKLESQLRNVQEKFEGLERYIEQLELYLVHFSSSVQEAVADDDIDD AFLA_005230 MSSNAPATGNSKPYTPEEKQLLRSLRQEHKSWINILEAYNQQVA IDRQRTRHALQNQWRVILREGAASQQELDARTRLDS AFLA_005240 MQAYHDTDQNEIISWGLVRSLFVREQYHLEQISRLERSLISARR TTHSERGAYAYLRARFDELQQAYDALLAEYNRRKREVSGFVCQECSKAGQATVTAGEV IEAIE AFLA_005250 MPGYIFPPLGFRKEHETDYFTGEIVLLPEFTTRNPEDGPYGVFI ESTENWSMPCPPDTLPTLQPFWNRARSHLHCLLWKGDGQQSARAKAALERINAEIKDI SRAGVIEISNWSNAGDQLGKAVRDFHFYGSVCRFEDIRDFIWERITHRNYPTDWRVKR EDFEEEILRSAEDYNRIRLERGVDTRDGIFYEAQLTHPPYSGQSPPPLYVEEDNQDSE DNLSLATPTPQPRQRERQQRQNEQYINQRRRARSRRTQQMPRNRDRIIERNRRHPRNR RRQDRQVQRQDNDWPEESPNMLDELNLR AFLA_005260 MASPSGNRGRSHRPAPTPELLDIYKKKWSTIEDLLYDERIIEEV FEKKIKQINHSIKELNKLQDRKKNDGEINLKFFRELRNQLNELKVDFERSGAMEAERY DTFRSFANNEMKKLGYPDMFFFSHQDYVEFYKATYGDVPGPNTDGRYGDKSESEYRDG SADGSDGGSDNGSDNGSDNGSDNGSDNGSDNGSDNGSDDGFDDGSADGSDGGSDNESD NGSDDGSDDGSDDGSDDGSDDGSDDGSDDGSSTESDYSHRRTRSRHSDRGIHSKWHQT ATPLKELRKKSLQAGMPDDGEPLAWRQYGNVGYGYIVGYECKGKQTARLEAANRRSRP SIHISKQSLARKKIARKYRRDKDVKYRSRDHIEGLGLIAWRVDPKYRDNPTSILLPTE KAWYPETYISVCWTDGRWTWESRDGLRFVYGGEPSVVDFMIYEKAIKQEGQYWKAVTG KKPAFTEAGKVKNKHWRNSNAHGGAHYLHPRARKNKRIVAVVEKDVTNSDGEQLKTES ESSEEEYSSQSEESDEESLESVSSEEDQLLTPPESPISPTPGHNRSKKGRREHQKQRR QAREHREHDDRQSERRSKHRARKHQDNKSRDRKRRVNNPRTRKEHIAEPHTPRVSSDP RPPDAPKSSKHKNINRKAKPIQLSSKRHSNKAGKNDLEPINLTEHKNKGKNKEKNKEK NKEKDKGKNKEGSSKSVKKSKARISKDLFTWLREQIKEAAATYYVSQDAKKFRKAQAR LKGAIKRFHWPETWNIEWEQFMEYYQSLPQQQSRKIPFDKVGFEVGHDSEAQLERHLE SKSDFESSFTAKEENQDRSGPEFNAGSDSGSDSGSDSGSDSGSDSGSDSGSDSGSDSG SDSGSDSDSDAESDADSDANSDAESDAESDAEFDSNISSDLSSWKLCTMLNDVQVQAE KQYGIPFKAGSILGWCKRQGHGYTLIIGHQYHTKRMARVVSATSLPPHIQHMKDIASS ARVNRGLNNPSKYDSRFIKGLGLVAYAVNNKLQLDPTSSLHPRNIRYPETYIWIQWLD GAWTWETRESFRYIMGSLTEFQVDVLLYQLAIEQDGRYREALTGTSPQYPNVTQVDLD LRNASLSVHQSPSTSNGRIAGSEGVNRPQNSTVARRFANLYTDGALGDSSPNWQRELP ISDRRKATKASRKVK AFLA_005270 MEFLGRNVKGRLSKGKTPVLSTLDGMRRDLDAGLARRRSYHVPE HVSTTIKEWMKKDLKQLLDIPDLQMSRDAFSRNDLKIVQKHLWCQDSYEYRGIYPERS RVELSASMLLYCFTSARTGEVYESTARRTLAREQGGAEAEKNLRAAVMAACYKHFRLS IEWVGGEIMLVLNYNRDYLKGFWRKEQSELPIHGFYERYTEEMPLILNLLTFFLPLAS ADKAFRDYDSTGEILDRVDLLAATLQEGDDKSVETIHFKPNILDIPIFRPRDEQNIAN TTGRSRGADAFGKMFAALGQRAGYPDNITVRACRRSALMEADKSFSKTARMKFSGHVN PGTFGRSYTHRVVEVDGAASFLGIQSRRDHIENNRSMGARRNPQLYQSLPAKAELEFQ DRDDVVQIDTEIQALKRQLMGLNNQDSKSVEQQRRHLEARRQRLYLDELARIRHDQPR RLELVSKHAPQTVFYYARKVMPERNLLAELLPQETELRSSTGRMALRALEAICSQMVS VCYLSSIAPVDGKCLCGEPVEK AFLA_005280 MSPSVCPVKPTSPAIVTTSACPAPSLEVWATPYSFDSGMVHYIQ FDTETDLGHGFIGPDEIGGSEAGHRPWYISAKNESGTVCEDCRKVFEPIFLKHGVDLV LSGRTHLYERNAPIRTFNADPNPNGLNNPSAPWYITNGAAGHYDGLDSLSYPLQP AFLA_005290 MISVLPERPNMAHIEALLILVLLSLFLFTSGFTDLYEALCSQFL NRWHSAYVLVGIALRLGLSAGFHHNIPETQYPDPIARENRIRVWWTIYIFDRFWGLKL GLPMQVNDDDIHVDFPSNLAVETFHDEFADSSYQVAVIELARISTHIMRNIYSRQKSA ETLLQREQKLLNEMKQWMQSVPDHIRLQPDTHNPRCTVLIHLQFNYCLILAIRPLLLG ILDHIATTDFSPETTLSPALAALTEACIHSARHTLILCADEWTKGSISVFGFAFAQYI FTSSLVLVISNLLPYGNADDLASIDTAAEMLRSFAADGNLMAGDLYEHIQKVQKCHRS DDAPSVPHPTATTYVPPTNSQIYPTSVAQLPISDPQYKTAEMSLYQPTMEDFLTQSVT DIGLLDPEEISTNLPDSWPSIPLWTNDF AFLA_005300 MGFKLKGWINRSIIVDHLRREFNANNKAGVACVYCNFKGQARQT VDSILASLLLQLVVARGGPVDDEYYHIYQGHWLRDAPGIATTCLESFLTLGHSPNSED SLREQLAGAPPVVYAAEQWGSHARQELDWKSEKDASPIKAQAQELLETRDKLQCAIRV MSLSQYSLQRRKDVTKLHILAYFGIDQLLERFLRGKLDINAKDNHGTTALHWAVRNGH KAMDKHGATPLHYSASNAHGTIVRVLLDKGANINKEERSGRTALSRAIENGSQEIVKM LLDKKANVNRPKQSTFIRTPLSLAAENGHGSVVKLLVERNADINFKFIGGRAPLSYAA ENGHRDVVEVLLRSDTLDVDSKETDTLRIPQATDNGEPDLIVTLAESKLMTAGPPCSM LQRRGSRRWWKYY AFLA_005310 MLSEFCPVYAPFFAAVGCTCAIVLTSFGAAYGTAKAGVGISSMG VLRPDLIVKNIVPVVMAGILGIYGLVVSVLIANNLAQKITLYTSIVQMGAGLAVGLAG LAAGFAIGIVGDAGVRGTGQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRPSQLLL TALNKHQANNLLNGCDLSKHISQSAIMTSSHTSEFTYQGQEEDKLFHPEYDHMAPRRS CESCDHTQLVNRPTRSSTEPRIHYGLIASCNQAMKDAKPRDRLARQLGIICLEMEAAG LVGHFPCLVIRGISDYAEFHKNDQWHGYAIATAAAYAKELLSVVLPVEVVGKDPADVR STAASESSLMSPDRSVYSGTFFSGGGPIFLRNQNAGRDRNIRTGSHSRDSTF AFLA_005320 MQSRPQENRGNCAPSEPLAIVGFAFKFPDGVDSDDTFWDMIWKG RSATMNFPGDRLNIDGFYHPDKSRQSNVYVKGGNFIQDDLGAFDAPFFSISPSEAACM DPQHRLLLETAYHALEDAGIPMEKCAGSNASVYTGSFTNDYLSILQQDYDAEQRHAAM GIATCMLANRISWFFNLKGTSMNIDTACSSSLIALHLACQDLRAGTASMALVGGANLV FHPQFMKMMANANFLAPDSQCWSFDERANGYARGEGIAVLVVKRLEDALRNGDTIRAV IRNTGSNQDGRTPGITQPCLESQINLIKETYRRADIDMQPTRFFEAHGTGTPVGDPIE ATAIGRAFSYYRTMDDPLYLGAVKANIGHLEGCSGLAGVIKSLLVLENGVIPPIAKFK SASNQIDTESLPICFPTSPLPWPTPGLRRACVNSFGFGGTNTIIILDDAHNYLQLNGL RGHHRTWEGRCDSQAVEHNSVDRTMATISYGDEASELLDLHEMPKLLVWSASRREGAE KLSESYSELIRDTQCDLRDLSYTLAEKRSHLSWRSFAISSERDNRLSTSKAKVERPIR AHENPGVAFIFSGQGGQYHGMGRELYHYPEFKRSLDSSDECLKRLNCPWSLYRLLSVG HCDFQIDSPEYSQPLCTCIQIALIDYFTSMGITPTVVLGHSSGEIAAAYAAGALSRFA AIKVAYYRGVLSSRLADSGPNRSMMAVGLSKNDIAPYIQRLQEKVNAVDIVIGCVNSP KSITLSGSAEQLDIVRCWLENDNIFTRKLRVNVAYHSRSMTHIVDEYLLAMGSLEKGR ESGYIPMLSSVTADVAAVDALGSAEYWIRNMYSRVEFDRAMSNLLIQHSKGPRKQLGR SNSTWTSRVTHLLEIGPHSVLQGPISDCLQAAHAAEKPAYISSLLRGENAHQAVLRTV GKLYCAGFQVNLLKANLQNDMPRPTPKGMPRYPFDHNTSYWKESRISRNFRFRSELRH DLLGTRTLDWNPSEARWRNTLRVGELPWLRDHRVEGQITLPAAAMVVMAVEAVRQLIE DPDSLDTIKFENVSFLHPIRFFDGTDQIETQFTLSSETSTLGNTFWSRFRLFAIENDS YVECCKGLVRVTVTCDQPATGRSPMRIPFATLGIASWIKDIRDACRGPEVDAYSVLKA TTVDYGPCFQNVQGLRLGDSGEAIAEVQIGTWKSRTDTNIRAQRYAVHPCTLDGLAQV LFPAVMKGGRVLPTMVPTHAASIWINCRRFQLLHKGSLGVSARCNIRGTRGSSGNIVA TSPGSDDIPLVYIEGLETTFLGTHETSRTENEALRNLCTRILWRPDIDLMSVEELMTE CSRERPEEPRDSVQQYKTLKLAILTFIDSAMSIIDQAGSLSIPEEFKPYVAWMEYQQR RPENSELRTIAQRLIANSGEHDRLVTLVEETGVEGEFFMNVGSHLTAVLSGSVDPLEL MFKNNLADRFYQQMLGNPYHTHPVKVYLDYLCFKNPSMNFLEVGAGTGGQTSCVLKSI VSDGLQRCARYDYTDVSPAFLSNARERFQEYAGVMRFQVYDLSIDPISQSFQPNNYDV IIASHVLHAVDNLEQALQNIRTLLKHNGKLLLFETTDPNSLPIGFAFGLLRGWWSPLA LDSRSQHSPCLTTAQWDKKLKNTGFSGVNLEFEGQQYPECQYSSIIISSATAHANGVP PVNSVSGIGSDIVVMIDPQVGDQCRVASSLEEHFSNIYTYSLQELTMMDLSPSTLVVS LVELNKPFLNAMSVHDFGNLQSMLLKLKNILWVTQAQGRCTPHQYLAEGFGRSLASED STRVFVTLALDGSDMRVKERRDLISRVVKRIQEGPRSDLETNFIVKGGLLQIPRVSAD FTMNDRVNEAKQQYQQEQWQLPSPAQAFIKLRSPGPHHSLEFCEKPMETQPLNDVELI VEVKCVGLSFRDYLAAIGQLDHSELGMECAGIVKVAGCKSGFNSGDRVCLIGTSMACT SVRIRSDGARALPPDVTFAEGASLPCAVWLAYHSLVRVARIQRGESLLICQGSSTVAQ IAIQIALTRGARILALVSSESKRNFLSQTFGIERRETFLSDDPSTSSRLQETTDGKGV DIIFGSLADYNMIDFYEYLAPHGRLLDISLKPSSSIRSPMPGMGPANVTQASINLAEL LRTKASTAHQIFQEAMELYFCQQLKPPQPINIIPAMDIETACYKLNISDSIGKHIVEL SEGVTIDVCYTHEAPVNAVKRPGYYFSNAATYVIAGGLGGLGRSFARWMASRGARHLV LLSRSGPQTQAAKSLLHELRAQGVQVVAPRVDIGDFHELNEVISCLSVYMPPIRGCIQ ATMVLKDNLFGNMSHDDWTQSTQSKVAGSWNLHQLLPEGMDFFILISSINGILGGMAQ ANYAAGNTFEDALARYRIGIGEKAIAIDLGLMVSEGLVAENKSLLASLRSMGHLMDIS QDDLMSLLDYYCNPNLPLLSQDEAQVLVGIELPSNVRAKGKDLHHFTRRPIFRHLFVI DRQLKVSSAEIEGPSIPTAIDRQSELRAVPSQDEAVSLVTGWIRVKLAHIMGIPRAEI SPEKPVSAYGIDSLMALDLKNWFHNEIGAELVIFDIMSSMDLRQLAGVAVRKSRYRK AFLA_005330 MEWGLTVRFLRHKRVSPPSNIAPSYIVEPRLYGYVTLLNSDGEA PVAALRYHLGQDFEYDFVDGEYHCPAARGISEIFGNNQPYYSYFDGSAGSAMKAVRDL YVYTNENGPFDAVMGFSLGAALAVMLLLHFDQLQAAGGQVPVSSPFKCAILLCGVLPY NLSGLLRGWKQFLHPRDPGNVIRIPTVHAWSPNDVDYARHSRLLMQMCDPANRIDVAH CAGHGVPSRGEELTKLARAICSTVSSVSEPEM AFLA_005340 MGQKPDYVLTRDYLDNNSIWLTDLAKRLPQTVQLDALDISFEAT PPAEWLPSNVRTLVWDVRTDPPEDLVGIYDIVHIRHFTLVLLEEEVESVLARLLKLLK PGGYLQWVEVDMNSWHILKTKPENQSDALETLFKLNQGQDKRLSPTYVPSLASRFEAA GFENVKSEARDAPPHLALAMHECNMLISEILARKAKNEKVMEMVKELLPRIETETREG SCWAFTRWSVVGRKHR AFLA_005350 MEAGMYLIAACLLAYQPIVSLMWKHIKKHITGTSITKSGQGRAG GYEIGLARHDQIPDGRAFVPLSDKEEYISLVTVAKVKQGQRDQIV AFLA_005360 MATEAIIQDFLTNEVPVFRVGEVEYERSVATANLLYRFTRPDCV VQPRGHGDVQYIVTQAKARNVSLTIKNGGHSYAGFSSAETGILLDLVRMKKVTIDRKT MIVTLQGGCQWGHAYKQLVNERMNGAIINGGRCPTVGVSGFTLGGGLGPFTRSFGMGC DTLKEATIVTADGKLVTVTDGDDPKSDKGRLFWALCGAGGGNYGVVVELKLKIQELQN SGGEVVAGRFTWMPKVDEMDDFMQTMIRFYTTDWPEQMTLDSSWLCDLKQTSSELGVR VLSYFDGSKDEFDNVINTFVQQPVLKKQLKRRSMAEPSTRFLHETLVSQWSEETVKSF PTNRSYQIYSSFVFKNDRSRMKAVTEAIRKEMQAFRKLFNGEQGLLQVTWIHSGGEAS RKKRSATAFRWRDCTYHVYIMLQWEDKWLERDMWGFLGLFKQKLQPYSMMGRAAFINF PDRTLTTEAHEKVYYGNNRQELQRIKRIWDPDHFFDWKQGIQRPTSTREVQSRMATIQ LRTEPDTSTAAADEEEEGGYGVSLDEKDLTDKIAGSQWNDVSLPPQNAVGGSGVFALT DLGF AFLA_005370 MSIEVKSLNGQWVGVYTFDNGNGATNGESEFFLSFDSDPKDRTL ARINGQGFDDAGSFTIVGTLDSKNLINLQKNYSSHGWTYSGKLDRALSVLHGSWGDIR NGPMGFFAFQQVGDEDVVSAGERTWRINGRWKGTYSAAREDTRWPCEFELTASPGKKE EQMAIVGKGVDNAGAYWIKGMVLSAHQVIFVKQYAGHSWIYRGELDEDGSVMEGDWEG KGDQGTFTFTH AFLA_005380 MVRWELTFTRRRRQVWQALLGNPVLTILTRKASLHLVGKDYIYP TTPSFGNLFTIRGASIAGVAVVCLPLRESLFRDGFAQGKVKSHK AFLA_005390 MRLGFSLSIGALFTLATASRSIPNVTITNSTTSIYQLSSDSEFA FVLETFLSFANGGGAATGEILRAASQIKPGDMESFYLEFKYLADQIADQATSVNATRF PVSAREAHLRASSYYRAADFFLHGNASDPRIQTLWDSVLDHYDTAMKLLPDPPEQVEL DGTEYKIPIYFYSPPKSSHANVTSGQDKRLPTILIGSGYDGAQQDTYHQLGKEILARG WNFVTYEGPGQPTVRRQSNIGFIPDWWSVVTPVVDWLRTRDDVDTDRIALGGISFGGQ LAPLAATREHRLAAVLAIDGMLDLHETVLQQFPASIQKLYQSGNKTAFDAIVWEAYKE TTDTSQIWGIDQGLWSFKTSSPFEWMTKMKKMAIDQTMLDNITCPVFVASGQDDHIAP GQPERMARMLGDKAYYHLFKNNVGAGEHCTIGAEPQLAMVTMDWLDEIFENPTSRS AFLA_005400 MSSKHCTQQAIRHSMLLALQRSQREVITLVLQHPRINTEVRDDN DRTMLFLAAAGGHVEEVKLLIKHGSSLDARDRRNSTPFTIAMRNGHEAVLEYLAGITS NPVNFEDRVLNYNLFSWARKSGNAGLIELIHRHARKAAIAIPEGEDITHRSPAPVTVD RAP AFLA_005410 MVQSSHEKIVGIEQKVDETHLRQQEEQKQKIFSWLGAQQFREQH VAILESVQSGTGVWFINHEVIKAWLEGKTSFVWCPGLPGAGKTRLMSIVIDALEQEPK SRDSLHTYIYCNYARRAEQSPTAILSSLLLQVLQRSEREAIPPEVLSLYEAHRKYGTR LTLKELTGLLAKLTQRLKTLFVVIDALDECAVSDEEALNIVSTIRSIGSNTVVMCSSR FSTTFESHFASSERVEILAQDEDITAFLDAQIDQQPRLSKHVRVDPALRQEIIDSITG ECQGMFLLAKLHLESLSTKINRKAVRLALRTLPTTLDDTYSEALQRIYDQPVDIAELA ELVLLWLVCARQRLTVMQLQHLYATLGLLGEEALEDDDLPDGDILTAACGGLITVDTE SRVIHVIHYTVQQYLERTLGQKLVAARMNLTKACLTYLTLPNFSSGICTSDAAMSQRL VQFPFLEYAAKQWGSDISLLPHDKIMPHVNQLLSNPTAVEVANQAWSLSEARNFNWSQ EFPRNIPAIVLTAAFDVSSILQYMVADGHEIEDSGTDKETALIRAATFGQEKNVRALL NLGAAVDAKDYMNETALQKAARNGHVGVIRVLVNGGAGVNIKGSSNWTPLMSAVSSGN IDAVRLLTEAGADLMTETVWGDSALSMAVRSGQEAIAALLADCGAVMPHGLEGRRAFD LASRRGLQNLVRRLTIDYEAVAGKTLKRQSTRVMTGLLENYDIKTGFYQRYTVHEQLG RGHFTTVYRCSDKVTGLIFAVKVFRRPESVINSAWVWASQEIDLLHNLRKYRHPNILN IIDVFVDFESNSIHLVTSLASEGDLFNIIVSKEKFTQAETRTIFNQLLSAIQFLHEHG WVHRDIKPENILVMDKDLTIQLGDFGIAKQIQAEAKVNSLPTTLCGTPSYVAPEILVH HSKRKYGFGVDIWSSNVVLYICLCGFPPFSDELYTKESPYTLTQQIRTGRYDYPSPYW DPMADSALDLIDRMLTVDPDQRLSAPECLSHAWMRDEPWTDSNSVSRMQDAVAGMNIY NSSALDEAKTPEATLGSKGLAKNKEPDP AFLA_005420 MIRRTTIKRLKKSNNGSMHVARVAHASGSGSRSRTRPRSGSGEG SGRARGHRANNYPSVFFGNIASANQVMRHGLTWDRIAREHNVLCFEMKAAGLMDQFPC LAIRGILDYADSHKDDRWQDYASAVAAAFAKDLLLVTPVRAVGGEFPR AFLA_005430 MLCLAITKKDIQCSNRAKPGSDLCGTHLKSKIVTLVSDNEPNSD SSEEAEVTPEVNDLIEAVNDMGLESSPSTVNGDETLWEHEIRVGGRHESEDPELINLH SPECGAPTDLASTQSTPSRRPNLTLSTTNIASDPHAAFETPTSYIPPKLHKSLQFELL RISQQLPDNHVGVVYICNVQYEERRVSDTKVIKIGVSSNVRQRLKGHFKNCAHTSLRL ITFYPRSESESDSRKQIRNRYQVEKLIHTELGKFKFDKKCGCGKTHKELFEIRKDEFE NMLDTVKHWVSWSEQKFGHVLVPRGG AFLA_005440 MSCENEAPLTRSFCRTKRRDRFSHEEAARKCRIETHDIEDIRAC TQEQLVYATLLSQGDEGAIAEWSFDIPESINIDFLKKAWQDMVRSKAFLRTRIIADDS PGIFLCVVMNGEPLLWTEGDGMDDPWVLGSSLARFRLIEVPNTNQRRLVVKIHHAICD PCSLYAVFESVDHAYQKKKLYNLQQNETPTACTTEGSFDDATAFPELPASVQCPSARH SLEQSVSVGTLPPSLGEITANVWLAWAITQSQYQSSDRVLFGTGSRHDEERPGFPAYV SPRLVVLDSQVAVADILQELENMFIGPAQKRTAVRTPSAEKKGMSGAVQTVVSVRRLA QHRPLTCANLVKSEKQNPFQNYALTLDCQLEKDFLIKVQAHYDHNVIPQWVTQRVLSH FMHVLPQTFHRNRDTKLASLKSLSPYDEAQLAYWNSKHVPVAEEPTHHIIHRICMEQP NAEAICSWDGKFTYNEVDVLSDSLATQLTDLGLGGSGSIIPVYMDKGRWVPIAILGVL KSGAAFTLFDPSHPLQRLKIMAEDVKAKAILCSRMTMELASQIVPQTLQIDDERGWDT IRARPGSHFSRSSRQDDALYVAFTSGSTGKPKAIVIEHGSYCTGAKEHIKAFRLSRKA RVLQFALYAFDVSIMEILSTLMAGGCICILNEVQRTTPQDFEKALSSFSVTHAFLTPS FARSLRNAQLPSLDVLILGGEPMSPADAGHWASRNVVLMNAYGPAECSVNTTVQPCAA ACPGNIGFTTGAACWVVDPMNHNQLVPIGGIGELLVQGPIVGRGYLNNPTLTKASFVK FVPSISAHLPGAEIIDRAYRTGDLVRQQMDGSIVYIGRKDQQVKIRGQRIELSEVEFQ VQKSLEGDVDVVIEAVDIEGKSQPLLVAFLNLGIHGAQANEDLAPLAMPCEEWFRRLE SMEGALKHYLPPSMIPNLFLPLVYTPTTPTGKIDRRLLRELSSRLSQAQLELYRNRNK DELKRQPYTQVEETLQRLFSQILGVEQRHISVTDSFFQLGGDSISAIRLIGAARDAGL EFTVSELLSAPTISEVALYSRALSVPKEEASPPPPFVLLGTSAKVPEILQLVANQIKL SNLDNIEDIYPCTALQEGMFALSLKSPGTYTGEVLLRLPGDVDMQRLLSAWQATVEAN PILRTQIVQTPKGLFQVVMRRVDFECKQHASLDALGKLHVNQDKGVSINPMCQVALVK HNGDQHFALKIHHALCDGWSLKLILGQLDLAYRKEASLTPSYFNTFIKYLDSIAGWED YWTSEFRDLQAPIYPALPSPSYMPRPTSLRDHAIHNLHMADSGMRLPILIKLAWSILV SNYTDSDDVVIGLTLNGRNAPVPGIEQLIGPTITTVPLRTRIHEDDTVRTASNCLHNK LTAMISYEQAGLQRIGKLNDNCRTACSFQMQVGIQPPADFNTENYCFDVLEHSIGPSM DYSDFSTYGIVVVCELSRSGTALHVKMRHDPDLVSPDEANCMVHLFEHLLRQLCENPD MRLNQLELAGPQDIKQFAKWNATAPVPVERCLHELIMNHSRTQPGASAICGWDGYVTY QELGLLITQLAYYLRTRFHIRPGMNVPICPNRSKWAIVSMLSVLYAGGSCVLLDPNHP QARMQTVISDTAADIIICNAGTEEKVTGLTRHLVIVGPELLESLPTPASLPQCLSDAT PMDPAFVIFTSGSTGKPKGIIMSHKSLSTSIYYHSPQLGVNQQTRTLHFCSYAFDASI YEIFTTLVCGGCVCVPSASDCTDNLAGFITHFDVNLAIMAPSVARLLHPDSVPSLQCL VLGGEALTWEIVNLWADRVRLVNGYGPAEATIMAAGVVQASDWITGLIGPVVGANPWI TKPFNPDQLVARGMIGELLIEGPVLADGYINAPEKSADPFIPAPTWLRSIRPNSAGAT RLYRTGDLVQQQRDGSIRFMGRRDNQVKLRGQRIELQEVEHCVTSHVPDAVVVAEVVS FLTNERRRNELVVFIRDSTAGTEPDNITSNPEETSAIFSSPTKVDHTAMAELKAHMAR NLPRYMVPWIILPLDDIPKTASGKTDRARLRVAAGKLEQKTLDQYMNIANIVKRQPST TQEALVRSIFAQVLSLPESTIGVDDSFFNIGGDSISAMRFLTLCRQANLHLAMPAFLT YNTVALFCTNASTSIDISRFDASEEMDRNTPLVTIDHEKHISTLRTTLCNQLGLDSVL SIEDIYPCSSSHAGIIRGLAGSGDRHQVRAIFKLHGSKVVDPAHVLECWHKLIQRHAI LRTVIVNNPLHPGEFLHVVLKQPPIDMASLSFQSPNVVTQLCDIHPSFDWETSPAHQM VIAQGYEGEAYCKLEAGKALIDWSSFSILVDELCLAINHLLPSKPAPLYKDFISYVQR QPLDKIMNYWERTLSGVTSSIIPRSLPEAPADPDAVPVLHSTRITLDGFKDIDAFWRG NRLTLTNIFQVAWGLVLSFHSRLPEVCFGTVVSGRDIPVTNIEDMVGPCFNILPCRLD LSPDRNIMETLQQNQQDMQRRTDHQHCSISEITRGVRQTTSTPLFNTCLSVQVSLSSQ MEEPSGDLGHDIQVSMVDIHDPTEYDICLAVLIYRTQIEIDLRYWSFAFSEQDATRLL NNLRQAISHIVAHSARPIASIDWEA AFLA_005450 MVKNNAGIVNMFEKRRTQTTTIPIIEIMREKRSEDLETEIVQGL QFDSLQLPQELLWDDAGQILFDDLCNSSTYYLTKKEKEILQKYSTDMAATIPEGSTLI ELGCGSLRKTGILLSALEKSHKAVTYYALDVSQDSLENGLAQLHKGLGCLDHVELRGL WGTYEDAIAWLADQHPINVHNGITFLWMGNSMTNMHLAQAQSLLSRMTKTCIGSGIPC QILVSVDSCSAEDIVMGAYDTDSQPLKDFIMNGLKSANRILGKDVFCASDWTFGTVLD RVRHEVQVFYAPTRDVTIHIDSHPCKITKGEKIAVISSGKWPEPYFRSMLEGIGLQVL DLWRDSDQFYCMNPLPLICSFPG AFLA_005460 MKTPEYLAPLREELAAALKQADNAWSFDIFKHTPKLESFTKECL RVFTPSGKKPLQLRSTGRTLSPGTKFSLPAQQAHLDPDNYPNPNIFDGYRFCDPQSGA CDIRGTITPSAKWLIFGIGTSACPARLLATRISQTLFFKVLRKYDLRLKLDNGQPEVV YAATNMFVNFNTQMYVKSASI AFLA_005470 MGLRTAGEESPHNYLFRLLSLISQEKNKVRQTTRIVMESLLKYM AQIKAVRQALGDRVIAFLKWCGEQGFPSAQPYLSHTPGG AFLA_005480 MANIAGYKAILEASNQFGRFLTGQVTAAGKVLVIGAGVAGLSAI ASARRLGAIVRGFDTRPAVREQVQSLGAEFIEVDIQEDGAGQGGYAKEMSKEFIEAEM KLFMEQCREVDIIVTTALIPGKPAPKLITKEMVAAMKPGSVIVDLAAEAGGNCEATVP GQLTKYHDVTVIGYTDLPSRLPTQSSTLYSNNITKYLLSMAPQEKSFGVDLSDEVVRG SIVTLNGEILPPAPRPAPPPTPKVEAAAPAKEQTELALTPWQKATRDVATVTAGMGTT LALGKATGPIFMGNMLTFGLAGLVGYRAVWGVAPALHSPLMSVTNAISGMVGIGGFFI MGGGYLPSTIPEFLGAVSVLLAFVNVSGGFVVTKRMLDMFKRPTDPPEYPWLYAIPAL LFGGGFVAAASTGMAGLVQAGYLISSVLCIGSISGLASQQTARRGNILGILGVASGIL ASLAAVGFSSEVLAQFGAVAGVGSVVGALIGRRITPTGLPQTVAALHSVVGLAAVLTS IGSVLTDVADISTLHMVTAYLGVLIGGVTFTGSIVAFLKLAGRMSSRPTILPGRHVIN STLLGTNLATMSAFISMAPGSPVIAATCLGANTALSFLKGYTTTAAIGGADMPVVITV LNAYSGFALVAEGFMLNNPLLTSIGSLIGVSGSILSYIMCVAMNRSLTNVLFGGIAAP QEAKKIEGQVTQISIDDTVDALANAENVIIYLNHEIFWGMAEQDRWTIRRAFKQAWL AFLA_005490 MSVSLTLRSALGPCRAASIARPGKSLFAFQHSVIQTRTPYVPYQ RNAYERPSVSRWPQVARRSASSSSSPSPVPVVPYSSLTVGVPRETYPNERRVAITPQN VALLLKKGFSRVLIERGAGEAAELLDQAYEQAGATLVDRATVWSQSNIILKVRGPQPG DEIEALQQGSTIISFLYPAQNKQLVDQLASRRVTAFAMDMVPRISRAQTFDALRCVA AFLA_005500 MAPAQQQADLLVDQDAHPIVNEEVRSQTESQDDAALLRTMGYKP VLHRTYTLFENFATTFAALYFVGGVRVTFSTGIAAGGNLAYW AFLA_005510 MVFLYGTGHSPKLRTSYLVTMVFTYITAAVIAEVCSASPSAGSI YLWAAEAGGPRFGRLLGFIVAWWSTTAWTTFCASNTQAAVNYMLSELTVFNVDFPTDT SSVKFRAVQWICTEILLALAAILNFMPPKYFRYVFWFSSMVVLLDFILNIIWLPIGAH NTWGFRTAEEAFMSTYNGTGAPPGWNWCLSYLATAGILIGFDASGHVAEETKNASITA ARGIFWSTVVSGIGGLATIILFLFCAPDPDTLFSFGSPQPFVPLYAVVLGRGGHIFMN VICIVALWLVSLTLSYSIHHSPTNQTQNKNTAIAIVAASRLVFAVARDGVLPFSSWVS KVHNGQPRNAVIVVWAVAALVTCTLLPSDVAFTSLVSAAGVPSAAAYGLICLARLICT PKRFPKPQWSLGKWSKPFQFIGVFWNGWVVAVLFSPYAFPVTGANLNYAPIIMAGVTI FALISYFAMPEEAWLPRNRISHFIDSKGAQATVEEVERPSGEDQGTSTPRL AFLA_005520 MEIQTVVCAQAKPPSATPQSAPAPEKRKRVRRWHHRGFTGCSTC RRRHVRCDEASPSCKNCTRLGLECDGTQGRMTFKVYGPPQAPESSSSPPKKREAKKPI SHVLDPTDGNKLIKIEPGEEDESYDALVVSPTTIAESKPMKYRFQEPISPAVFMSSSL DCVDGRYYTHFIDSVATLLLIYDNSININPYRRYFPELARSSPTMANAMQALGALHLA NTSRGQQRNLHFQRAMGKYGEVVKSFRTRYTQPDQNLQLTDLATCLLLSLFEMMDSQN HNWNIHLKGAREIYRLLFLPNSDPAKEAQRQAEMNHPLRHFLVSLLSYLDVAGACATS EGTVVEGSYWRTHGGGWEYNLGIPSLSTDTSAENPLLVELRQCWSVMMEIQAAISSFG KAKSEGQMPPEQQDLLYQDLMGRLVQWRLNAPKCIQEVGELDDESLKQYPYPEVLEYA GCIESYEKATVLYLHKVAAADRPDRVPQRALLDMLASRILNLIEKLAKDVGQLAVLWP LFTAGRETRNEREQKFVRETMINLQRFGFKNVEKGLEELEKAWFKQRAFPEGWIDRME DVRSSILLP AFLA_005530 MESSAELLYLVSSIDKDHQFWFSDPIEQSEAYQWLIFWHASGQP IQGQYNYFRRNTIDPHATTRFRDEVLRIYQVLEAHLSGKHSCNAREYLAGPGSGKYSI VDINAWAWIRTYRSIGFSEDEIAAWPSLGQWVDRIAERPAVQRGLGEWYDEDVHPELV VRTE AFLA_005540 MGTFGSQTSTYNKLVAIFVAVGSMTYGYCSSIISSTIGQPGWYT YFDLPQEGEPGYASITTPAISTANGVFSAGGAVGTLFIMWSCDYFGRKVNIQLGAFFS MFGGALQGGANSLKMFQAGRFVCGLGIGILVTVCPMYLSEMSSAFRRGWLVGHHAIFL VFGYMLAGWVGYACYFAEGELGSFGWRFPLCLQCLPAIVLLLGSPWLPRSPRWLISKG KHEEAKIVLQKLRQSPDDPDNLVAKEEYFQTAEQIRLEAERLSTYGNVWNAVLRKKSY RKRMAIGFLTQWGAEFGGPLIINNYAVLLYTNLGMTGGMPLLLSAVWLTTAGKRIWLF EECTLTASPGVLYNPLGAWLHDKVNSRRGMYITGFVGIIISTSCLAAMTSEYAGTTNR VGNGFGIFFIYLYLAFQGTFCDTTMYLYVSEIFPTEIRPIGMGFSLFGQFAATLILLQ TAPMGFNNAGWKYYLVIICWSAVFIPGRFSCPSDSPLFDLLLLPRDCAVDTRGNCKEL WRRSCCSRH AFLA_005550 MGSLSPFDVPFDELPNPKQVWVGKPGSYEEGLGKLAILTSEVVA KAASTEIKTGRRVTMGWDLTKLNYPNLNRQPCQHKIVPLLGGVAYDDIYTMNPQQSSQ WDGLRHFSQTVPGQTERVFYGGVTSEEINDRSNDRIGMQHWAREGIAGRGVLIDYAAW AEKKGITYSTFSTHQVRLSDILEIAKECNITFQKGDILFVRVGVTKEWDTVMTDEQKK QYSDNPSPEHAGVEATTDMLRWLWDSGFAAIASDTISWEVRTAPAGRMF AFLA_005560 MTLGQLFERDDAKPIDRTVQKWNTATQSLCIAAMTLFFLMRAYT RVFLLNGFNKEDWTCLGAWLLGVCYSIIALIMGAHGGGLHIDDVSPHNQIIFQKTVYV TMVMYGPTAYLTKVSLLWIMTRVFSPFRKAVTFIYIFLGVMLAYYIPAVIVKIRICDP ISKFWDPDRPGTCLNQRSIIMADAVVSVVSDLIILLVPLPLTLGLQLPTKKKMRVMGI LGAGGLAVASSIIRLILIAYTGQSEDGTMAFMRINMFGNAEIAIGVICACLPALSAIL SRVYHEYSSSNKATGGTSGHELSKMKNQSRSMKTDKSRSRMSYLEMTSDQDVLMHNAQ SEPKVETTVHGDRNYYGENPGGSLAIFKTVDVETSVSRRA AFLA_005570 MTRQLTSGARLQGKVAIVTGIFLSYNSWRGMHIANDEPGGGSGF GAAIARRFGEEGAKVIITDINVEGGQKVAAQNPESLVFQQMDVTKADDWTAVMDLAFS KFGRLDILVNNAGITYRNKPTAEVTEEEWERVFNVNVKGIFLGSQALVTRLMEQGQGG SMINISSTGASRPRPGLVWYNASKGAVSNATKGLAAEYGPHNIRVNTVSPLLSGTGLF SMFTGMPDTPENREKFIGNVPLGRLTDPDDVANMCLYLASDEGSFINGAEMIVDGGKC I AFLA_005580 MENDSYTVKQNKRRLFWSVYVTEKALSLRLGRASSIQDYDISLP TTFELLGDFEPWRTIYPLWINLARIQGKVYEMLYSPAALRKPADERASYARQLAAEMQ MNVEEPFKKASPKFSNISALDEIYLKSDQVCRLSVLTLIYRAIPAAVDSRSTFVDDCI ETARVTLELHQSCMTMMEEGNRSNEVKCSYLHWTIMYSPFVPFIVLFCHVIESSSYSD LIRLEDFVNSLQPNCSLSEAIAKMHRLCQVLSNVARLYVEAKAQAQTQENEDLASVGQ EFDVYLSALGLAPTQAEAGDVRWNGPVPVPGPIPQGLAEGAEGQGFQNTMPPTTLGSW FSGNQHMMGLLEEDLSLFDPSAWS AFLA_005590 MIGCCYNLMTERLGPATYKLPILRTMHPRLTKDAIAYDPHGFPM SKRLEDYEHDSGRGVKLNITARSMAVQAPYNWGREDSENFFTRHFYRSLLQKVLVDRG VVEKPSIPKDLYGAESLDSSEAGNPLIVGSLRKSAFTSFPTYVRAAVVKLSRDPHNGE KVKAGMADITEEELNDYVEKYGHTRKYLGIVWSLMAYSSSLVEAIIVVDRWQFLREHD SVKDCWVEPVFDYGVSPRNLAVIGIKK AFLA_005600 MVMRSHGISTFDPVLTLNPRTGEQRTLTVHNLSGFIERQDIAHK LACQIVPSVWGPFSDEESPKMNYHAEQRLVQRLERGLYVLFHMADIARDTYKTKQKIN PLVPDVTGRLLVLTRMLEEYHEIPRNKRRLMSFQEYASHAYTVLKWGYREVDIGRRRL KFRGYLDEQTEVDFHVTLRMLRELMERMLLRHGPKVWHRDARNEYSMISWFLLKQSPQ SLAKLLLSPQDECCGLEEKASESGLRKCQFSDPLDNYWKAWKNVPGLGCQACDCKRRV RSWSVKPALIDDQGRQYNRAAEKDVLATLQTAKPLFSSYLRIRSLAKSPSNPELQQAR SELETTLTELTTDLDDLVESVRAIEQDPYRYGLELEEVQRRRKLVEDVGGEIEQMREE LQKAVTSSAATGSARLDAPTGSSPSGLPNPSDFDNVLSPSADDRGDDYYAALEQQRQM ELMHEQDEQLDGVFRTVGNLRQQADDMGRELEEQAVMIGEVDTLADRVGGKLQSGVSK IKYIVRKNEDTMSSFCIAVLIFVLVLLLILVIAL AFLA_005610 MSTDFGASLLDRLQGSEPTEAQLKKMSFLEEKRSRIDVDCLRDS TLKMRDWYNERDAFVNGNDEIKENFWVRVFANAPSEIDQYIMTPDAAALGSTLTNLKV ERFELNEQGQGEPRSIRLTFEFRTGEENPFFENEKLVKELYWRRRSVKNADGKTKSWE GLVSEPVRIQWKKDMDLTKGLLDAACDLAEAEKGGKDRKKLPELEKLKNKIVELETTA DQEDEDEDFPLSPAGASFFAFFGYRGNDVSAEESKVATKQADERFAKLSKGETVEDEE EEEDEEFEDIEVFPDGEQLAIAIADDLWPHALELFNRDEGMDIEELEGDVDDEDEDDG DDEEDARPKKKTKV AFLA_005620 MTQQKTIAVVNATGRQAASLIRVASAVGHHVRAQVHSLKGIIAE ELESLPNVTLFQGPLLNNIPLMDTLFQGANLAFINTTSQSGDEVAIGRALADAAKRAG TIQHYIYSSMPDHSVYGPWLPVPLWAPKFTVENYIRQLGLPATFVYAGIYNNNFTSLP YPLFQMELMPDGSFEWHAPFDPDTPLPWLDAEHDVGPALLQIFKDGPKKWHGHRIALT FETLSPNQVCAAFSRALNRPCHYVHVPRVEVKVNIPPGYREQLEAIEEVFGRCNAPYF PQPEFSRPAAGSPKGLGPANGKGAGAGMMQGPGGVVSLRVTDEARHLWEGWRDMEEYA REVFPVEEEANGLDWML AFLA_005630 MYWGVYSSGSNHINRMYSFIDNKVVMCSYPPGELLGKASTLFRL SRPRSTDRVESGGRVWHR AFLA_005640 MATIDDIIPEMIERQLEPQLPEARVLIIMTGGTICMRPSPSGFI PARGFQEQCLARVPTFNDGSPSTMMDVVVDNTGQIKGHQSLRTPQTAYGRRVRYTVFE FEELLDSSSIDAKGWAEIARTVERNYTLFDAFVILHGTDSLAYTSSALSFMLQNLGKT VILTGSQAPMLELQNDATDNLLGSLVVAGHFMIPEVCLYFNNRLFRGNRSSKVAASDF AAFDSPNCTPLAVTTSMRTNVNWELVNRPKGIEHFSIQTHLDTTHVACLRIFPGIKPE MIDAVLRLDGLRGLVLETFGAGNAPHGQDNALIKVLADAIKRGIVIVNVTQCLTGSVS PVYATGMSLSRAGVVAGLDLTTEAALTKLAYLLGLPDATPESVARDMSKSLRGELTEV SQPVFRHPDGALTERVQALTILGYAIAQGDLARVEEILKLENHYLLNDADYSGNTPMV RCPFFIHLPHFRYLSVNQKLQHLAATSPSLSILRFLLMQGGSVHIRNRNNRTPLFLAA NAGLSEHVMLLRKSGAHLHSDERTAAQLLARRRPGVWGLAGIGPREVSDREMEEVGEE RLAERVLAGSAP AFLA_005650 MADFDIEKKPNVNPASKSENASGADDSDALRLAEMGYTQDLQRN FSILSLIGIAFCMSNSWFGISASLITGISSGGTVLIVYGLIWIGFISTCVGASLSELA SSMPNAGGQYFWANELAPKKYARFASYFTGWFGYAGAVFACASVALSLGSAGVGMWQL GHPEFVPEPWHTVVAYQLINFFCYLFNCWGKTLPAVAKVTLYISLLSFFVILVTVPAC AKTHASGAYVFGHFVNSTGWKSDGMAFIVGLINPNWIFACLDSATHLAEEVPQPEKNI PIAIMATVGIGFVTAWFYCISMFFSLNDLDKLLNTATGVPILELYYQALDNVPGAIVL ETLLLVTGMGCLIACHTWQSRLCWAFARDRGMPGHKWLSQVNHTLDVPLNAHNASCFL VGVLGLLYLGSSTAFNSMVTACITLLYISYSCPIVCLWYRGRDNIKHGPFWLGKWGAF ANIVTILWTIFCLVMYSFPSTMPVNTGNMNYVSAVYGVVIFIVLCDWFARGRRVYKGS VSAVEGHGASEDSE AFLA_005660 MRQTMRLDVGLVMCLAAFSGLAEAFWRLPCRGRAGLARLDPLMD PGKDSYHVHAIHGPDSQFAKFTPCFSMTADMDSLRDSSCTSCAVTQDKSAYWHPALYF MHENGDTEVVDQVGGMLAYYLLYGDNVTAFPENFRMIAGDTFKRDFKWPIPDPPTSEW SGEQESQAALRQKAIGFNCLNYNKAAEPSLGRHFLPNKTYLDEHCTDGVRFEIMFPSC WNGKDVDSDDHKSHVAYPSTVMDGTCPEGYDTRVVSLFFETIWDTYAFKDKKGTFVIS NGDPTGFGYHADFIHGWESGVLEQAVKRCTNPSGRVEDCDVFDIQTEAEQRKCKFEVP TLLKNEDVYSHKGGLPNDLVVEYGPAYASPISYTTATATQAPGASVSASVSVSIGLSI DLPGIVAVETSTSSTTTPTWTPTPTTSYIEGDVTQAIVYVEREVTVLVDGQGNPLATQ TGGLETVSTVMSTTTSIISTVVTTPTASPAKRDLHEHKHAHGHHRHGHHH AFLA_005670 MKFFGWLFPWSHSIACSAVRSIMHDSLWRTASLSFSSSLDLCGS RNMVRCIEEYCAKLDELERTWEGDKC AFLA_005680 MLQVNNGRVDIRGIVEIFDVEWPLQNLAFTDRLGRSFSKAMCPM PHRSVSKML AFLA_005690 MFGEGPEDGSRVYIPPLLDSERSDDPDDSDILPQNEEHGASYIP VWLRESSKSFKWGWVPLPIRKVARATANWVKGPDPPHDLLLKPLFPHIQELPVRYLER FFPKRKQKIALLGLFYLAWFLPWTIILLHSRSAGYIEGFGRPETLTCRATLWEYGNEC GLNGNDCRPFSAATIPFRCPANCRDAKLAAPHMVGNQTYSYKGLVVGGPQPGSDDTPV YRADSFICQAAIHAGVITNTIGGCGVLKLEGATHSFPASKQNGISSVGFPSTFPKSFS FVSLGSSQETCPNDPRWPLLGITIGALATLWLLCRSPPVLFFSTFFMVFCQVGLVSDP PTLPQFADLVSSLLANLLPASFVAFVLFRYCARPLLRPLSDATYQITKTFLYLPPVFI GALNNYTFARLIPLERLTPHDIQRQPGAKVALAMVIPTVICIILSQAWQIRQGGLMPH YLKIYCTMGLILLILLPLPGLRLRIHHYILAILLMPGTAIPTRPSLVYQGLLLGLFMN GIARWGFASIIETPAALGELPGGAHGWWGSTFPNVTDTTVNITLPGPGSNELYHGNGN ITFTLWEKERMADLGVDGVSVLVNDVERWRGYLDEDTLGEFTWHRHGHNGLELLHRPT IESDQADIDSKDMEDEDDNRPEDLFFRFAFLKGAEAGKYGGAGVWLEDGGWISPPPPK R AFLA_005700 MAPPLDLSHHYASTTKRRQGSGIKDLYKYFFIPGIANLAGGLPN PSYFPCDTLEATVATPQRFQPSNNGTTKKSGDDAKSSMRLIVPKESHATDVQNRIDIA TALQYVTADGLPPMASFVRQFARHHLHPNVPYAGGPETILTTGATDGFSKSIEVFTNV WNPDRDWTSQREGILCEEFVYMNAVTTVKPRGLNVVPVAIDVQGMLARGKGGLADVLE NWDFRKGRRPHLMYTITIGQNPTGGTLSVERRKEIYALCHRYDIIIIEDDPYWNLQYP SAAALEAQHRGTPMDTASVKRNYNAHGKSSGYEFLDSLVPSYLSLDTDGRVVRLDTFS KTIAPGCRLGWITAQPAVIERLTRVTETATQAPSGFVQALVAKLILGQQTDHKSTNSN KSDRSWQMDGWVRWLEGLRGGYERRMQDMCSTLEEGRFIIASEAGLESSEESWEVVDK VQMYDFAWPTGGMFVWIKLRLDTHPLHGRYDPARLSKALWVHLMQKPHLCLLGPGDLF APSQETLNRSWQYYRLCFAAMPEADVKDITVRLVDGFRAFWKKTDLDGLEGDGGDISQ VVQSMGMERVGNFLGSGC AFLA_005710 MIVQDCDDDGETAAGGRLLHLMQLMDVWDVVVVVTRWYGGVQLG PDRFRIINAVGRDALMKGGFVKESTPGGNEKGRKKGKK AFLA_005720 MFSKAFLSAALLGAAAVEGHMMMAQPVPYGKDTLNNSPLAADGS DFPCKLRSNTYQVTEENTAAIGQSMPLSFIGSAVHGGGSCQVSLTTDREPTKDSKWIV IKSIEGGCPANVDGNLSGGPTSTGASKFTYTIPEGIEPGKYTLAWTWFNRIGNREMYM NCAPLTVTGSSSKRDEVPKEKTVEKRSANFPPMFVANVNGCTTKEGVDIRFPNPGSVV EYAGDKSNLAAEGSQACTGTPTFGGDGNTAGSSGSSGSSSGSSSGGSSSSAAGSGATA PPAPAVSSTLVPKPSQSSAPGVFVPTGSPAQPTHTSAPSGGSSSGSGSSSGSNSGSSS GSSSSSSSSSSSGALTGSCSSEGTWNCIGGSSFQRCANGQWTAVQQMATGTECTAGQA SNLKIKATNLKPRMLHEMRHRKRNYHNHA AFLA_005730 MASRPTVTIATAEGKPSGATAPLPAVFNAPIRLDVVQQVHTGMA KNKRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFA PTKVWRKWHQKLNLNQKRFATASALAASSVPALLFARGHRVANVPEVPLVVESKTFEN AALTKTKAAVTLLKALGAGDDLVKVAKSRKMRAGKGKLRNRRFRQRRGPLVVYNPEVD GKELVRAFRNIPGVETSPVFALNLLQLAPGGHLGRFVVWTSSAFEALDQVFGSGSSPA ALKKDYLLPQNVVANADLARLINSSEIQSVLRAPKGEARTKRANVQKKNPLRNKQVML RLNPYAAAFSKEKLGQKGVESEKPEAPSKEFLSTLHEN AFLA_005740 MSTMSNYYVGQFGRMLPAIDGQSSRRESNTPANPPVPGPHPYKL PPPRSSAPLQLGNDPFLHQRNQGEGADSGGALSRPSPGHQQTPNKQLPSVSQLLTPTV PESRPPSPYRPHAFNYAPHNGATDPTHRYRPKETGPVFVTPRSDIQESAKFRSDPSPQ LQPGALPRLTHMSPHGLGHEPHFSPASQGSPSIPPFAPPSYSPQGFRSHERDSGDVAG SETSDSGSATNKSQSNVRPHVIDEKYIDGEGLCYIYADGSHCPKIIDGVPVNANWGIT KAGKPRKRLAQACLTCREKKIKCQPNLPKCDQCQKSGRECRFESAPRGHRAALKASQL LNRYEIRDGFTAGHNYPGSSGSFYSMVRASESSTSLPGTSSQSPVSEGAVLTPSAMEG VQENTLEAEHQRRLRVQSLSRVSVGVEEFSKRPAVHVPPDYNEILMEMKDLDPQDPIA CDWSIDPYEADPELTVHYIETYFNYINDRLYYMLPRKRFLLWLRSCHTKSLDDHMLLY SMMALGAIFSDRPDRVMALKRYSRTARYAVERSQHSLTLQLAQSRIIMSLWFYAIGAL VKSWDAAGAAVRTVCGLRYNVESGGVIVDQTQQTCEYGLHPQALIECRRRTFWIAFMM DRLSSFYTPSSTFISSQSAYLRLPCREEVYEAQQYTTVPYFQNFLNQTPVSPEDELSG ISAMALLIDVMAIWGDVSDHVFRLSLIPADAYRKLFEEFHSSIIRRSDELVSRLPDHL TFTAVNMERSIRTKKADTFVEIHLLYHATLMKLNRHARHRSLPEATIDWHVHTTRNHA AEILRISLTLMRYAAEYEPSRLVMEPATAKGTILSPYLGYVIVSAVDVLSAGGLMVDI PETITLIRGGLEALKELSRFWAGSVPLVSLVETRLDAMLEHRHHPMMSEGKVAFMVTG SSLDSQVRNGTQKQEPPSNEDLMYGGLPRERFFAAVGAGKVPFLEENILWIRDTS AFLA_005750 MQASYSSESSDWVHAEATTSQEWTTGPEYLDNTTDRNRADPTAD PEPDYATLLSYPEETDYYALLGLSRTPPPSDAEIRSAYKNLMLSFHPDKQPGEWQEIA RQHFERIREAYDTLIDHRKRTVYDLLGAEGVQAEWGPGGSMGRGGEAERERRVGVRAR SPEEFRRWFLEAMKRRERKAINSMVQSRGSIVLGIDASDMISVDEDEGEVYVNVPSAK PSKFNVGYTFKAPLPTPRKLLGKLERDEPVEEGAEQQEAEAEDSDEPEMVINAGISGE MRHMAQKLTIEHTDGTTEIRKVPLPPIIASQEITLGASVNHVFGDVASQKGILSKRPF SFLRYSAVSVGAMVLPVPSVQANMVKAFTPVAGTKPFNVNFSSSFYKSPVKCPPAMAV QVMKEVGDRKHAFCRWQSGTISWPGAVERLLSPFLDIGLDVDSAFTIPKQISQFQIGL LSLPKSHKQAAFMDDYDEQPEGEEEESEYQQLRSKQRAEDKVGEAWQMGFSVSPEASG LQLSYARNLFSGTAANDPVRSEWSSEGHYALPPANEPRSIRVEVASTVNMDLSLSWKI EGSRQVGELTRMGLGVGVEGPHGLVMTVSWSRLGQKIKLPIAVCPIDMVNADAAALAI IFPWVAYCAWEFGFIRPRERKNRRRVIARRQKELKKLVPIKRAESLQATELMTEQVRR RQAKEERQDGLVITKAEYGHYPSKKKGNDVGKEYEVVDVTIPVAGLVDRSQLVIPKNM VKVSLSSVCNFMRIQLANYEQFHILGFYDPAPLLPKTLKIWYTYHGAQHYVEATDSEA IACPMRTHLMADEI AFLA_005760 MTAIHRDGDAKEGTPFVAFNTPVPVATAKYQFPYPKLQPEEHTV TATPTTSTKPAAIALTAPGATPAQGDYQCCPGPKFHPSCYSSGPYVGVFPTPAYCPKA AGYPGHCLCRPVDVSNPVIPKPYHPDVFEVNKPMAVSAASSSGKLMYQVPYLVEEDRK FWFHAPNGDCMHLTVKQIAEKQCAGHWVNTCAGQPYYVCLPKDRIRYDAKDFNAVFQM SGLSHIEFHKRYQPF AFLA_005770 MTSIAEGLFKSLPKPKYTGEDEEIPHHAQPRGPRVVGADQIDES QIVLRKTGPPPYGNRTGWRPRAPEDFGDGGAFPEILVAQYPLDMGRKGSSSTSNALAV QVDAEGKVKYDAIARRGHSDNRIVHASFKDLIPLRQRVDMGEISLDRPSEEEVAEQME KTKNALANLVSGAVAAQKPKNVKGGSRAEPTFVRYTPANQMGDNSRKNDRIMKIVERQ QDPMEPPKFKHKKIPRGPPSPPPPIMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTV PLDKRLAADGRGLQDVTINDKFAQFAEALFTADRHAREEVRLRAQMQQKLAEKEKAQK EEHLRALAQKAREERATSSRRDSRAVSRSRSRSRSVSRSPSPYSDRSRTPSDDEQAAR ERERMRRERRQENERQLRQSRMGTERRIQAMAREQNRDISEKVALGLAKPTQSSETMW DSRLFNQTSGMDSGFNEDNPYDKPLFAAQDAINSIYRPRAQVDADDDEGGAEGEMSRI QKSNRFEVLGKAKEGFRGAADAEARDGPVQFEKDTTDPFGIDSMIADVTGGAGQKRYG IQEVDREDRGSKRARVDEE AFLA_005780 MTTNHHSKQPVPTHTIHPISPVDLTRLTPSQQAIYNCLRSQGWT DTHCTTWLRSTEHMQESLSGWFRAQGWSEEQLRAFRERCEGDLPVGVAPPVTGDDGWQ AEFDLQVTYINKAAQ AFLA_005790 MMVMQDDRLALVHIPLDLYPYFLNPILQVLFHEVPPISGNHADF QNGGPDGVHKRAQPAFLNLSITPVECSIMCPRQLANDYFAPLVERFAKDNVSNPCRLS ISREDFIAMQVYGEGLEAGQRVLELTSPLAMAGIKTQVIRTLEKRGFQFEMSTDAFIN NNQFNSCLSPVSSRSASSLGSPPPTPPPSSLDELQTRTFSSLRKNSITPSVDRSLRLV HCAVHHRDSSDVSSISILRDALTIALVVDKPRFLSLTMTAADPAASLLLEQRLLPRFS SDPTFPAEPDDETSLLLGSKEEILVPIMLDLRKLPLEATGIVCGVAGRLADATHARGD DITDGSSTIMSHSFNGFSSFDDTMNRFFSSSVGSGGPVKPPTPGSGRKLANSNLTHHL QPDLDSSIEAVEISFLSTARAGTILVGEHELQRAVDALEAESHEPEDLEEFEI AFLA_005800 MPATKQFPTKLVHTLKTHNGPVNAVTFSSYPGAYVLTGSSDRAV HLSRAIPNKPGTETTSPIQRYEAHGYSVLDVAVAGDNSRFASVGGDRQVFLWDVEQGI TVKRWSGHAARVEAVQFAGEGDSVVVSGSADTTINLWDTRSNSTKPIQTLTEASDTVS SIHVHTPTYSIASGSYDGRARIYDVRMGRTTVDVLAHPVTSVRCSTDGNALLASTLDS RIRMLDRTDGKLLQAFGNGDKGLGKTGYRNSELRIRSAFAKGDAMVLSGSETDKEDRS AQAYVYAWDVVSGELVASVPAGEGVKVVSCVAWNEKGGCWAGGCSDGTYFPYSNLYPS FSCIISRASRDISRSAFAPTY AFLA_005810 MASTTETASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHQKQIDRTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWQPIIEFLDDQHESYMLQEQQPRRTDKIDMRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVIAKADTLSPADLARYKQRVKAVIEAQGIKIYTPPIEEDDEHAAT HARSLMAAMPFAVIGSEKDVKTSDNRVVKGRQYAWGVAEVENEDHCDFKKLRSILIRT HMLDLIHTTEEQHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEESLRKRFTEQVKVE EQRFRQWEQKLIAERDRLNKDLEATHAAIKSLEQEIESLQGSSTRSHGRR AFLA_005820 MKTDFKFSNLLGTVYRKGNLLFTPDGTCLLSPVGNRVTVFDLVH NTSYTLPFAHRTNIDRLDLNPQGNLLLSVDENGRAILTNFKRRIVIHHFSFKGRVSSL KFSPSGRFFAVGVGRRLQFWHTPSTPGADNNGELEFAPFILHRDLAGHFDVIQHLEWS HDSRFILTASKDLTARVWSLDPEEGFEPTTLAGHRQGVKAAFFSADQESIYTVSQDGA LFRWEYVTKKDPDTMEDVDEARWRIVKKDFFMQNDAKVNCTAFHAPTNLLVVGFSNGL FGLYDLPEFNMIHLLSVSQSNIDYVTVNKSGEWLAFGSSKHGQLLVWEWQSESYILKQ QGHLESMGSLVYSPDGQKIVTTSDDGKVKVWDVKSGFCVVTFTEHSSAVTACQFAKKG SVLFTASLDGSVRAWDLIRYRNFRTFTAPSRLSFSSLAVDPSGEVVCAGSPDSFDIHI WSVQTGQLLDQLTGHEGPVSALAFAADGNHLVSGSWDRTVRIWSIFGRTQTSEPLQLV SDVLSVAFRPDGKQVAASSLDGQLTFWSVADAIQESGIDGRRDVSGGRKITDRQTAAN AAGTKFFNCITYSADGSCILAGGNSKYICLYDVRTGSLVKKYTVSVNTSLDGTQEILN SRDLTEAGPRGLIDETGEASDHEDRVDRSLPGAKRGDAGARTTRPEVRVTCVNFSPTG RAFCAASTEGLLIYSLDTEYVFDPFDLDISITPSSIMETLENAKQAYTTGTGDNDDSF LKALVMAFRLNESKFIRLVHEAVPPSEISHVVRALPTVYLPRLLRYVAHAAEETPHLE FNLLWIESLLSSHGRYFKENSGTFAPELRAVQRAIDDIRENLKRMTEKNLYDLNYLLS KPVLAGKKTSNTLTLADVEPDDMAANGDENMADTAGGEEEWIGLE AFLA_005830 MDYMDYLKEMGLARHWVPIFVGLLAFYFIMERSTANASRTSLPI VKHFKLLPPFFNRILYVVKAPFLIYYGYEKYKSKPFRILKLDGDLVVLPQKYLEEVGG LHSRQASLVEYPGRMYQHTHKQRVAGAHCFGEVESSFGLLLHQISHYMLCISADFQII DRQIPRLLFELHHAFSAVVPYCEGRYVPINLYHMILKLVTHSTSRIIIGQRLCRSEQW INTITKCTYDVRIAVKQLQLVPRFLRRLAAPFLPSVQRLETQLRWIAEQLILPMIQHR RRRELNDPSYKKQEDFLQWMMDLADNDLDRDPMNLAYGLMITMALAVVQTSTMLITHA MYDLMVHPEYLEPLREEIHETLTNGWIRASLSDFGAQRRLDSFLHESQRLNPPSEVSA QRVLGRPLTLSDGVTLPKGTHVCFPSGPMSRDPTVVPDPLTFDGFRWCKDLNAPDGSL TDVSPANLHFGFGGQACHGRFFGAVIAKAVMSRLLAEYDLKFEEGQSGRPENIVNGEQ IMPSISTKVLIKKKNVDI AFLA_005840 MASVPDFDSLTLDPKGPVGNAWGLFPRNDIGMLNLLTPENIRQA ASEEIRTGVRISLDLALDRLNHPSYGRKPFTREMVNKAPRIVNDDILMFNTQTSSQWD GFRHYGHQELACYYKGHTLEELQDSPVIGIDSWVNNGGIVGRGVLLDYATWAKKNAIL LTPFQTSSIPLSHLKNIVQENNIKFRPGDILFVRTGFTEAYNNLSNEEEAALAQRPSP DFSGVENGESTLRWLWENQFAAIASDSPSFEPSPLVREDSPANTTLHQWCLAGWGMPI GEYFDLEELAEYCRVNGRWSFFLSSVPLKVPGGVASPPNAVAIL AFLA_005850 MGVPKFFRWLSERYPAISMLIAENRIPEFDNLYLDMNGIIHNCT HKDSDSPTFRMTEDKMFIAIFNYIEHLYGKIKPKKLFFMAIDGVAPRAKMNQQRARRF RTALDAEVAKEKAISQGIEMPKEDAFDSNCITPGTEFMAKLTEQLKYFINKKISEDKD WQGVEIVLSGHEVPGEGEHKIMEYIRHAKAQPGYDPNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQVQKKPKELEHQNFYLLHLCMVREYLELEFQELERTDVLSFSFD MERVIDDFILMAFFVGNDFLPNLPNLHINEGALAFLFKVYKEILPKMGGYINEQGVIN MERLGMLLDGLSDVEFRFFEAEYSGERWIQAKKNGGENNSESQERPKSFTITPAQKNL LKEVKKYVLNRPEKAADPQPLDFPPTLPARDRKFLEQLADDLRLPWTTEPDEHGERFI RFKLPAKQNDDSDDEEDEEASMAVQRIIRKYENAKVQELSAEDAQKAAEKKYEEKFQE WKSKYYTGKFEWGLDNHEEMRKLTENYVQGLQWVLYYYYRGIASWPWFFQYHYAPMIS DVKKGLKADTNFQLGQPFRPYDQLMGVLPDRSKKIVPAAYRDLMTSPESPIVDFYPRD FELDMNGKKMEWEAVVKIPFIEEKRLLDALKTKEHLLTPEEKARNGFGASLKFTYSPD VQFIYPSSLPGVFPDIPNCHCIENIFDLPTMDGLEPYIGLVDGAQLGASALAGFPSLK TLPHVGQLGFHGVCVFQQESRNESMVITVLDPGSRSSSLLAKQKLGQRVFVGYPFLQE AYVVRVSDELFDYIKPEGEEHVVNIPHTEAQIEQWKKKADKIEGTYSRRLGTIIGPVE AMVHVQLLKGLLKTDEGATVKEFADIPGQETDYALQLVVGEVINPDERFIERDALPIE EEFPEGSRAFFLGDFNYGRPMHITGHSNGKVNGLIAAVKGREPEFGKERARDAERFCP YMPSYAIARSLQLNPLVLAKITSAFSVDVEGQRVNLGLNLKFEARKQKVLGYSRRGDS GWEFSPKAVELLQQYMIKFPEFIAGIQRNPQGDRYKPTDFYPEETALLKVKEIREWLK SIEAKNFERVPLDAEQLDSDIVKLIEQDADQLIQNQPAMQAKKVGGVPRSALLRPSDV EQRLGNQTFRLGDRVVYAQDSGKVPIATRGTVVGLTRTSRALLLDVVFDVSFMSGTTL GDRCSPFRGQTVLSSSVLNVSYRQLLATTRAASSQQSQQSPLTVAGYGAPSGPGGQGQ LKEASAPPPLSGSYRGAVAGMGNGRGNGFSQRGRGGRGGRGASNGVGPQTTLPFRPHF NGGEQQTDGPHRGGRGRGRGGMPRTRGGYVAVDPNPDAGVVKHNPNFRAHNYSQVPPP KNLNNRGGRGGRGNPRGSHRGRGATAGNNQA AFLA_005860 MEPYDDGFIEEQEEQEEERTEEKVINEEYKTWKKNAPFLYDMIL STALEWPTLTTQWLPDKQEVPDKPYSTHRLLLGTHTSSDAQNYLQIAHVQLPNPSAPN PDDYDEERGEIGGYGGSSKKAPMEIKFNIVQKIDHKGEVNKARYQPQNPNVIATMCTD GRVMIWDRSKHPSLPTGTVNPQMELLGHTKEGFGLSWSPHTAGHLVTGSEDKTVRLWD LTTYTKGNKALKPSRTYTHHSSIVNDVQYHPLHSSLIGTVSDDITLQILDIREAETTR AAASAEGQHRDAINAIAFNPAAETVLATGSADKSIGLWDLRNLKTKLHTLECHTDSVT SLSWHPFEESVLASASYDRKIMFWDLSRSGEEQTPDDAQDGPPELLFMHGGHTNRISD FSWNLNDPWVLCSAAEDNLLQVWKVADAIVGKDLEDVPTEELEA AFLA_005870 MSSGSPQGPKPKSAVPPRLLNGSSSLNSNMNSRGARERESLNSS IRSSFAPRIPAEFNLPETVAHSVDESTHVTNESNTDSGAVKPSLNDPPRDPRDDARAL TPPSTASRPASPYTLYPPIDFDGLSWPCPGTRARLESSPEETEERVQKLAGAVRTILE CIGEDPEREGLRETPERYAKAMLYFTKGYEENVRDLVNGAVFHEDHDELVIVKDIEVF SLCEHHMVPFMGKMHIGYIPNRRVLGLSKLARLAEMFSRRLQVQERLTKQVALAISEV LKPLGVGVVMESSHLCMVMRGVQKTSSTTTTSCMLGCMRSSAKTREEFLTLLHRR AFLA_005880 MEALDDKDIEAAQTLIMLSAPSREQGPRCGQRTTSIEPRSSHPE GSTQSNTPHVRQGDYPATTNSVHSSTSNPAQPTRQSSSGSHTIPALISTDTMTASDRT LQAYLIRQQLLAGIAPENMIPMRPPTTGQTQGRNQGIVNRPNNPSLVQITNNGISIPH VPPARFIGVLHPLPVQPTRNSRGPLSPQRQPIFRCGSNPDAGLRMQLIAGVRSETSQS RNTVSHEGSGALSGLQSEPHASERPRQPSEGDRVRRAETRRPSQRDSRLAVSHQQDRP QPRLQLFPTLSRGSISPLPANNNTMAHDTGNKSSNTTDLPHNGFDILLVFTYHQGLAL ELTMYLNGEDLIKLIATSKPFNQFVKRNYSDIIKRQATRDAPQSSQIFPFRCYPRLCI DDTNARRQLIPPRDTAGENDLAPSFCWLQMIIYREQTVKKIMEKMLVAGHGLPKPCEP AIKKLWFLMDIPDNRRREWTIMNRNLWQDIELFFAMLFIAQLDALLRKKRSNITGRLY HLLMAQPTMTVVWDVLRDVALRNEFDILKSFVRWKYTPLPHETDLYVYGVPPHEVGAL QYEGYGRHERVTKIVQPDELILREMARRQLNLGDMYKDIFLMGNGARYYSRSAQAATS WVGEMKREADAQGVDWQNVVKLN AFLA_005890 MHPIKKDEREERRSEEKTSPHPNSEGSSLSTPEKPVFRTTQSPD RKAFSERGRHTPGKLDER AFLA_005900 MGLDQGDITIRPSGQDHPMGEEKPESEIDDAQKMPPTNIGQPDT SATPNKGGKISFAFKAKTTPAPAPKPVPDLAQRMLAREPPPRVAEPPRNRMANGPPPK FKPEPRFDRRDRDRERERDRHRERDRDRGRNDRRDFRDPRGFRDPRDRREGRRDDHRF DHRHDRRRGDRRQDFRPERRRDRSPEPKKQPKVLVRPKPRPTIPEEFAKSDSVYYRKP GNESVIGAGTYGKVFKAIHVYTQRKVALKKIRMEGEKDGFPVTAVREIKLLQHLRNDN VVSLLEVMVERNECFMVFEYLSHDLTGLINHPTFTLTAAHKKDLAKQMFEGLSYLHHR GVLHRDIKAANILISNRGQLKYADFGLARFFSKSRQLDYTNRVITIWYRPPELLLGET RYGPAVDVWSAACVYVEMFTKKAVFPGEGGEISQMEKLYNCLGTPTRAEWPDIVEMPW FELMRPTERKRRVFEEVYGQILTPAALDLVSQIFRYDPTARPNAEEILAHPYFAEEEP RPQQAIELENIEGDWHEFESKALRKEKDREARRAEYQRDKEKRKAGTSAPPSERETKR TKQDAEDSQSSAQPTEQ AFLA_005910 MDNANLWTRRSNSSKLSLSMTGTDGKDGARVELPRTKRFGPDSS HGRSNPFNALSPLSGGVSSPSTNASSAFGLGSGAFASFGAPKTPGGSDLKTPLEKRDN PTEHDSAESAKTKAANTAIKEHPLKSTWVIWYRPPTPKYSDYEKSTVPLASISSVESF WSIYSHLKRPSLLPTVSDYHIFKKGIRPVWEDDANKKGGKWVVRLKKGVADRYWEDLL LAMVGDQFAEAGDEVCGAVLSVRSGEDVLSVWTRIDGGRNIKIRETIKRLLGFPIDTN IVWKSHDDSIAQRSAIDQARQEKATGNNGHHHHHHHHHHHHNNNNNNNNQHHNLGADR RRVTANDDSTGDKGKDVAS AFLA_005920 MPKDPNVLNLLGEDKSTRIQKRLPTQNDEERLLPGSTIRSDPAA IRIIPGRAGQKYGRKNKPNIPSLKPHDTISQRARESGPVRAQGKADRSSNSQRSTRVI VTRPENGAVHDDQERPSKRRRRESQDTSGGIISILDDDIMEQIPPDNSYSAGHSTRLS PSLSQHSERTKLWDPSFKRNRVDEYRDVERGIKPPRTPKRGSIKYSQLSSDGYYEERF TEDAARERRGTASKVEPESTKIAWPDRVGKHEAIESVEVHPRLSEHQEGPNLRSPSVG TDQNHPDNPRDSPDELQGGATVQPAPNTLKRDREKETTIAMSEAKEHPGIVGRLASPS DIQPTVFTGSSQNHRKSDKRRKAPATPVKLAVKCFYATFVRFGPHEHRSSQAFEIGVE TTESTKTTETSITFPWNGQRTSLERLDKVVQGEPPSRRVRLQFSRKGGLDNEMDMEFC TSEEQETLCNLLERWLHGQHVRWLYRQEYVLSTTPCFDSLHRGLYDHSGWLKDSFMKR AEDLAEQKANGAKRLGEDKQKPILYRAPEVAKRVKLSDSLQDNIENTAGQIQPSDTAL VECSKSSATKTSGTLNAESTRELPSNEQEADKAPVKKLSPRSSFSNRATRSMSRRAPA TTVVCDDEVEDDGSQPKAEETDKIWRKPLVYPRFGKKKAEVDAQDRERLRDNEFLNDN LIGFYMRFLEDHLERTNKDVAKRVYFFNSYFFATLTNVKGRRNINYEGVQKWTRAVDI FGFDYIVVPINENAHWYVAIICNLPNLPGIADKSTEDRPSENDKDNSAAPESEGREIP ETPEPIEELPAVKETKVNNSRNLEPAKDEATRRSLESMSLLDKEESKDGAPELPPTEW PEQEENLAFSPAKFSSPAAIAEPTQKASLRGAPRLAASPRKGSKKAKPSGKPGGAKFD IRQATIITFDSLDLSRSPTISNLRDYLYEEAKSKRGIEIDRSLIRGMRARAIPLQSNY SDCGLYLLAYLEKFVQNPDLFVKKLLRKEMKTQDDWPLLRSGILRRRLRDFLDDLYDE QADLDTEKDSEKRIMADRYPISYLLGSSASTSAQNEECSGFQEPQVKVSSNSEIDPQK YPPDKRRPASTQSSPAAYDGGRALKTEKDGEHKMAPSLKDPGVEIASPNATDKIEDEG VEVQVPDSQEVIEEISSHSAKIPKEEDGSPSNLAAMKPVDHKMRSVDDKKTKKAGQPA KLPTVEVQIIPPSYQGDMKYQRSARQKPK AFLA_005930 MGDHVLFFYGTLMAPQILHRVIHGSPNPEPWQKALLTFRPAILH GYRRHRVRGADYPGIVPAKPTTREPGTDSNANGMAAVLGTVVSGLTDGDIHRLDIFEG TEYEKGKVKVRILRESLGGKDGELEGKDTDRHLMDVLDAAGAEFADEGEEVEAVTYVY VAGESKLEDGEWDFEAFKRDKMAWWVGADESEW AFLA_005940 MHSSLNRAQAVFGFFTTVALFVAGFAALSVLLFPTDEAKAAVSL KDVKVIKGRPHYYSNKKEEYAQMRFDLDADLSSLFNWNTKQLFVYVYASYSSSDKEST LLPQSESIIWDTIISAPESPYSFNTLRERFFPSKSSSKRTTGAKKSTKKDKAAPGVLR LRNQRAKYQISDITGKMAERSNVTLSVGWNVQPWVGALWWSPGSGAVPRTGGDSGRSK PFEFPALKTKANTKAAEDQGQAKKVEV AFLA_005950 MAGTCSMLCLILITLFIPPLGVFLISGCSADFFINILLTILGYL PGHIHAFYLEYVYYRQRDGVTGRAPGVYSERIQRGGHHETTYGTIHN AFLA_005960 MLRSHPAGRWHAFRQACAPKCRPYSGLHQLQPHNPPPWRPVSAL DEWVERDIRPISLRQLTFFGRTLTESRLISSANYVRTELPTRLAHRLRDIQRLPYVVV ANPHLSLVYELYYKAFERFRTIPEIKTLDDNDKFCDILRKTLQEHLVVIPRLAMGVLE CRALLPADVLDQFMNTLLRARISRRVIAEQHLALTETFNSPWHFPGSQDRTDVNADYV GEVFLKCNAKEVIERCGKLAQDMMRQASGTDKIPEISVQGHLDATFPYMLSHLEYIIG ELLRNSIQAVSEKYNGLPEKPPPIEVLICEAPQHVIMRISDQGGGIPREVLPYLWSFN KGPHSKARLQNLEQVPAMAATMQELTVPKERKRADKETFRESSLDTLTSRPPNLRLGM GLPMSRVYAEYWAGSLELHSLEGYGVDAFLQISKLGNKNEQVTTRASIDAV AFLA_005970 MLVDEYEQYHNDRTDDVVVSRSGSEEPEPEPLANDYAAMMARIL PKDPELETEDEAYHTWHIKDWRKLKKKEHGPTFHCAGFPWRILFFPYGNHVEHASFYL EHAWDNEPPENWYACVQFGLVLWNVNDPSIKISHVATHRFNADEGDWGFTRFCELRRL FNLAWEGRGVPPVQNDEAMVTAYVRVVKDPTGVLWHSFQNYDSKKETGMVGLKNQGAT CYLNSLLQSLYFTNAFRKATYQIPTEAEASRDNSAWTLQRLFYNLQTSENPVSTAELT ASFGWESRQIFEQQDVQELSRKLMERLEEKMKGTPAEKALPELFVGKTKTYISCINVD YESSRVEDFWDIQLNVRNNKTLDDSFKDYIQVETLEGENKYDAGPPYGLQDAKKGVIF ESFPPVLHLHLKRFEYDINRDAMMKINDRHAFPMEFDATPYLSNDADKSEPWVYQLHG VLVHSGDLNAGHYYAFLKPTKDGYWYRFDDDRVTRATDKEVLEENYGGEYELANGAAG VKQPYTRGLSTKRSMNAYMLVYIRKSRLDDVLLPIMKEDIPSHIETRLIEERVELARR KKEREEAHLYINVGVLNEESFKSHHGFDLTSLDLPAGDPALPKQYRILKALKVGEFAE QLAQEKGIDANRVRFWVMVNRQNKTTRPDQVIKDPDMSVEEAYSRFGTKGNPFRVWME VGQPSADGTVSWPDNNNSVLVFLKHFDAPSQTLSGVGPVYVRKNQKVAELAPTILEKM EWPAGTEFMLYEEIKHNMIDVMKPKQTFQQSEIQDGDIITFQKSIKEADLPSTALYQD ARQYYDYLLNRISVTFAPIKAGEGDEFTLTLSRKMTYDQFSKKVGEHLNVESTHLRFA PVMASTGKPKQFIKRNPNQANQTLYQILSGTMTGYGYSMHRSDALYYEVLETSLSDYE SKTSLKVTWLPEGITKEQVVEVLVPRDGTISDLLSGLQKKANLDEDTIREVRIYETHA GKIYRDFPVDTKIAGINEFVTLYAERMPEEEVNMGEGERTINAYNFDRDLNRPHGVPF KFVLKPGEVFKETKERLSKRTGIKGKQFEKIKFAAVPRSLYSSPRYLEDDDILSDIVG DSDDLLGLDHVNKNRSFWNRSESFFIR AFLA_005980 MRFAALVIGLLVTFVSTVTATALTYKLEANEKACFYNYVDQRNA KVAFYFAVQSGGSFDVDYQVVGPGEKVVLDGTKERQGDFVFTAQSIGEYRFCFNNEMS TFAEKLVDFEIAVENEERAQLPSRQGASPEQASAIEESVYKLSAQLSTISRNQKYFRT RENRNFSTVRSTERRIFNFSVIEGLMMVSMAGLQVFVVRFFFQGARKGYV AFLA_005990 MTTSMQQPEAVQPIVPPDGGGTSPPGDSHLQMNRTVNPHTSVED YSRVMLEYTHNRMASFADLDADNGSPVSRSSRSSAGSGESGDLAGDMLRRGPAPTSAG VSHHDFGERGGRKAIRDGEKKPSI AFLA_006000 MKTAETARQRGLFENRKKDGSPVGICASASGRAALDVDLFMPTV EPIDAVAYIYQLKRAPSSTRVRHSSVYIA AFLA_006010 MNPKTILLLLTTITLSTAIPFSQQQTPASTPTKQSAHPALSSIA ASASVSPKANNGICPDTHRSKQCCQSIDSIAEGITKPLGQLIPLLDGATVKSILSLDF FLYILPRSC AFLA_006020 MCCNGQPGDKGADLFKECESYDKAMKDKQEALEKNKQRPLEMAM SWAAMTSSMLAASSAAAASSSPGLASSGVHAVPTGN AFLA_006030 MPSTHGDPSTPYYDLPAGNLVPHIIPNSTVPLRPDSIKPLQFLA GPADEKLVIALKAFLKDVDQIYGIEKPEPKEDEVVDIDELGQTVIRDKHTGDILSGDT YYGWSRGFCQQMKKRNAKSSSRSRSPSPRKRRRYSDSYSDDSRRSRSRSQSRTPPRRF GRYDSRSRSASQSRSGSRRSRSREKSYSPRSPSPPRSIPAQHHNQFNNAAPPGFPPQP PPMHFQQGSPAFPQGMPGAPPPPPNYQGPWPPPPPPLPNYGPSNFPPPFQPQGGQFPQ QFPPVHVPQGQQMPPGSYHFPPPHSGRGWNQHGYPPGRGWR AFLA_006040 MSRTMFIWNLKGKILSIGLGVSQLLTSTTASQNGQPAFAEFAVE TDDDTSVVSMERFKSLLKSVHCTNTSMAVNFKNQKSFEYTKRAWNWVNDIERNTLIVV AGTGQCGWNTHRVPFAVSKIEFDDHTKTANLQARRSEWKDVFHSFELSVGRVAKEEVH DACVAPQHIKRKEHQRTMTMSFDHPWNLPQKDFSTPEDAFSLTLSCDQCGTKGSFELG FYLRNENHIPKAATFTLTPHGVSARIGPKLTLSGDLTEEYSQQFDLAKIPIYGYSIPG VLDLGPEIVFSFGISVGPVAGSASVSSGIDISLLDSAELKIDLLSPHVVHSGWTPQVR TEPVKVDAQIEAGINIHAKAAIQLAAEALGMYS AFLA_006050 MAIAVRFVRPTIRHFSVRRPQPDTRTSRFVTTSCLFSAVVLPFV PPALESSRAKNTDGPNCNKPHPPLCFHAR AFLA_006060 MNTIRSTWVGWGTLCVAGGGAYYFAKKSINADRAARYEAENKRK SKLAQMEAEYRRQSTYNEKVPTPSESPSLKRANMAQFQSAADDVASPSAEASHDPAPT RHEPESEADRVLEKGKYEAAQPFRPPRGNRFS AFLA_006070 MPIKRKATDSGRSSRASKRATPVPDIQDVDSSDEFSEYDPKEDS LKEVVDKFSLESFSNKKSSAVQKQDPNFGYKDFSSLPLKPDHANRPLWIDPLKGTITL ESFSPLAPQAQDFLTTIAEPLSRPTHLHEYRLTGNSLYAAVSVGLQPQDIINFLDRLS KTPLPDTIKSFIIDFTKSYGKIKVVLKHNRFFVESTDPSMLQMLLQDEVIGAQRVQGG SAGIIQQAAPKMGGLVIPGTKDAAGVKQSTDQQQPADDNQGEKRQEDDLLLAIRDEDD DEEQAQVHSFEIPNEAVEPVKARCQAMGCPALEEYDFRNDEINPTLDIDLKPQARIRS YQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGTIILCTSSMSVVQWRN EFLRWSNIDPGDIAVFTSDNKEKFRRSTGIIVSTYSMVSQTRARSHDAQKMMDWIQSR EWGLMILDEVHVVPASMFRKVTSAIATQSKLGLTATLLREDDKIKDLNFLIGPKLYEA NWMELAEQGHIAKVQCAEVWCPMTTEFYSEYMREKSRKAALLYIMNPRKFQACQFLID YHEKRGDKVIVFSDNVYALERYALKLNKAYIYGGTPQNERMRILENFQHNEQVNTIFL SKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKD TDEMFYSSKRQAFLVDQGYAFKVITHLQGIENLEGLAYATPSERRELLQEVMLQNETS ADVEAVTDDLFSERSGGPRAKKGAVKRSAATLSGLAGGEDMAYIEYNKSRNKQLKDKV GHHPLFRKIERERQKRKKEMEEFGMH AFLA_006080 MRFFTLAITIFATSTLAAPAPAPAAINPDWMGGILNIFNRPHGK VSAEASASPSASTASSSAAVTPTPSPTVGTIVPL AFLA_006090 MGLPYGNPFTEFTLQEKVQKEMSIKVSISYQPCPFNPRPVPKTN ESWSLQLNDHS AFLA_006100 MATARKIELSTSDSGVYSAGVREDAARAASEVLQENLEKHHIYF NDSGFHMVKDLHANVADHIVHHILTMFALGASPDEIKAAFERNKSYQRPALPANDSVV QSLYDQARFKECLGKRNNYPSFLEYFQREIETKGVENVVNQYIFAGDDLAEDMLVRLF GGLIHPLIHLGFGIEFNQPAIVAEALAQAATHEDWTGPMFLLPAEKAAGGIGKPGKKT LLQILEEIRNNEKLANSAHWDDENRMRDGVLVRAPDEMIKHAAEFTISEDQIEEKLVE IVDTVAYFTATAQRPSKQVKFDFFYIHGMNATIFLTKFISLPWLEVRSKLRLLEWKGR LNLLLYVSRNTPELYLNDVTEYQASRTWEDIFAYANAHPRDDGHIGKLVRAVANGERV CRPYEAKANDLGLTITGDMWLKIGNMVMDSTSDEHSVWVRSTGFDGAWEEFEDRSRL AFLA_006110 MAGDDNSNRNRDSSAQSSQLWPDDDNPFVAFRRFADEQISSMLQ SVTGLPSMVTAPPPDHWSIFSDDRYYKDAYTRQRRSGDGNEGDHYAGSETGASAESTD NPPSKSRWPGSDDPWQSHRTRRQTLPHDSFDIDFFFDSFFDRFWFDDRVSSRFFHPYN RPLFSSMINDESPAWPVTYLMFSPYSPLHLERQAQYRSSRERGVFSSLMSTLNLSAEN DPTEPQWREAFEDLLRLENGKPMLDRDPADISKRESGKDWLQGLVKRGSLGDRFKYIS GTEGRPWSTITFDSPKSTEDGRSLAEEEASTNSTKAEISWGDAETESVTELDMYDRFL ADIEAREREFFKDAHESPLLRLLLEDRYRTPDNRVSSRKANWAEDTESSLDQVSGEHQ KTVSETDSKPSTNTSPVETNHSVAENKHYVISTKTSTERIRLPDGSIQTKTLKTQQFA DGREESNESIEVVNPLQVNREPTNPEGAPSDEKPSGWFWKGE AFLA_006120 MLARIIDHADCQDIVVDVGMPVRHRNVRYNCRVIFYNRKIILIR PKMWLANDGNYREMRYFTPWQRPQEIEDYYLESIVGKITGQYKVPFGDAVISTRDTCL GLETCEELFTPNGPHIPYGLAGVEIISNSSGSHHELRKLDTRINLVTQATKLSGGIYL YANQQGCDGDRLYYDGCAMIVVNGNIVAQGSQFSLNDVEVVTATVDIEEVRTYRSSAS RGMQASKQTPFVRLDLDMRLSRQNEEADPGLAPSEAIAPRYHAPEEEVALGPACWLWD YLRRSGAAGFFLPLSGGIDSCATAIIVHSMCREVIKAVSEGNEQVIKDVRRLCAEPAD STWLPTTSQEVCNRIFHTSYMGTQNSSKETRDRSKRLSTDIGSYHVDFNFDTVVTSLT NLFTMVTNFQPKFKVHGGSRAENQALQNVQARLRMVLSYLFASLLPTVRQRPGGGGLL VLASSNVDGKCLRGYLTKYDASSADLNPIGSISKVDLKKFIAWSRDSFELPILHEFLN ATPTAELEPITSTYVQSDEADMGVTYAELSTFGYLRKIAKLGPWSMYERLLHVWGNEY SPREIYEKTRHFFYNYAINRHKMTVLTPSYHAEQYSPDDNRHDLRQFLFELTISKAYP SFTWAYKKMEDSVKYWESKGWTAGKAQKKNVKAD AFLA_006130 MTSHPQIPTPAHTQAESMLSRQFGRETVNYFSSSPLNRLSFLRT EHAFLSAAIKHPSTRFVLLKDLAPLTKSPSELYYAHYNEVEKLVPETIYDKTEEETIK EYDSRKTTAQLIFLGLDESRKQDGLAWKIYTGAPFFALDVTPKGDEEQQTNSKAVISA MEEKGLSFFQSRVVMTFSADEAAIYAQSRALMDWNNRNSFCGTCGHPTLSVNSGTKRA CPPTDVARVAEGKPAERPACNTRTTLSNLSFPRTDPTIIVAVLSTDAKRVLLGRSKRY PPNWYSTLAGFIEPAESVEDAVRREVWEEAGVTLSRVIIHSSQPWPYPANLMIGAIAQ VSDPAHETINLSHDPELEDAKWFDVEEVEEALRIGVSALGDKAGPEYKEGGLRLPPPT AIANQLIRAAINMDLLAGDKTSKM AFLA_006140 MDANEQFPTSEPLRASRIPIAQLSPSLEHFSESSIHASVTLLWP YSSSTKSLSLLLAEPDFRLRHSNGQVKAVFHGHIAESVAQSHIGIGDSVYLSLNGARL SDNVTAPGTPGRSVAWDMHFDDHIQIWRSLNHLSTVKVDPPTPSPNDTTDAPPATPIA KGYDRSDRNLLIDGLSSWQSPAFLGRSRTALGGLADSVLDQFAEEDGFVPGKGRKRPR FSMRSSEWRVIDEPESPGERGEVPDWTHIFDEDWGSEPEPGPDGLSETEERPPDATKI KNTGIDSEEVSLTVPASEADVAMENASLASSRTSPERHIAQTADGIRLPPHSISGIAE FSRKRGVLNCSAHLPIETPRLHPIPSPGLPVPSPLITMSNSPQGYFTPAAATAHSHTS QIPTTLSREVTDLENEIPGAQPDTSQTPECKETVITSGTEDRAIGVPEFTSESNSTKI TLKATSQPHTMANTGLEGLLPDEDSKAAAEPAQVHQFTPHISEKDTGRLDGHLGDTDQ LRSPNRVGNEFELDETCIEAQMKAESEKDKGETHQEQEVVKEDNLEDNGHRFTRTSKS LVPGDTRASGVAKVVEYGTDGSGEEDTEALERSGHYHDLATQSETYTPETDREHPTGV AHEGENKWERKESEGESEEELEEEEEPEEEQAQGIKETDREGDADNEEMERDDLEAEW NDEEGWYEEEEEEEEEEEEEEEKEEEEDEEQEEGEEAEDMYEDEYGDGAESEIVSDQM SIDTPTQPPAMKNVHPEVIVLDSDSEDEATPASQAYPMTTSRDEASTQEIESSEPETS ASEEGSLRSRRSDNSESSEDEEDENWSDENSEDEQLLDETGGEMMEDERGRANGEQQR AGHAVDELSDNGSTRGEQVDEDSVDAHEEYQADNEVMKEQPETKRGQVSVSQPMDIRD AGSDTDEPTPQHYQLGHTIRASFHDSEQRNLDYHACTMASAQDPHSDSRTTLHEFETV IDPELQNIGLAEEQANKDVEEEHRSDYIYAEPRPEVPAKIPEVVQDIDQGLFLDGTSS SQASHDSREAVSEKSPQLQHLIPMDTQLAEVDQPSVDFTTTGILPTSEHVQRKTTVQS QGQMPPAIQIGTIAPAERHLNVAEDVEHEARLVPPQREGEHELEEFSADGDVGTPASF SGDPAQADELYSDDESVGDLDEVHLIGIDRHYPGLHSQLSYLAPLATLVDHYNALVDT ISVVSEVQPVFRAASGKKDFILTLQLTDQSMAGTTLYAQIFRPSKVALPSVEEGNAVL LRNFRVKSFNRSIMLISLDTSAWAVFKGLDDKALTHDPPIEYGSEEEACATDLRQWYQ ETGMAMVADNQLQASINRESREGTPTSSAALSDSGSIESTLRDARGESSFSSRGSRRG KKSHRRITIHELRDGRRYTEVGSPSGKESIHELRDGTVYANL AFLA_006150 MKQILSAILTLRLSHSRFIRYLLAEKAFTKIDVFEKRSAVGGVW NYTPPALKQSTLVPELMAYGDKPFPPDAQVLPKYSTVKEYLDEYAENVQDYIHLDTQV VNIKSGDSGADAWAVTTKNLRTGVGRTDTYDAVVVASGHYDVPYTPDIPGIKIWNETY PGIISHAKLYDSPEPFRGKKVIIVGGSASGIDIGSQINRLSQGKVLASQRTESYLMPS NATDKDYVPEIVEFLPPTEYKRAVRFADGRIENDIDAIVFCTGYLYSFPFLSSLDPPV ITDGRRVLNTYQHLFYIHNPTLVFPVLPQRVIPLPLSENQAAVFARVWSGRLTLPDAA EMKAWEDDTVIKKGNGTAFHLLHFPLDADYMNLLYKWAGSAEPRQGLPDNGNGKQGNY WGERERWMRQLFPEIRRTFIGKGDERHKFKSIEQLGYDFEKFRKEQAHL AFLA_006160 MSTGNMESHNQKKEVPSLAETMEKYSQYEGATPREKMKNAYAQL SAKANSLQAIDMSVTPSSVEDIEPVAPVSVPETTAPLSVRVDKEPTVHHTEPEMNPAS SEPLEEMSHQEQSIQTIQPSALTVTHTEEVPPGSVHLGPSEFAVPLPMDSRVKDDYER VLVDETQGIRNFLRGSSSSGMSNGHDERLVLKMREILGSLSNVATHPDLNIAEHIKDS DSDLGKEAAWAEYSSAKFLFLGYLVEIASNRDIHLVIMVQGEKTQKVVERYLIGKGLI YTRPREEMGSGTNLEVSLVKGSLSLGIQSTLSEGITETYKSPSAIIALDSSLNVKSPS VEHMRTTFARHGNLLPIIRLIVSNSSEHIELCFPDPPELQRLQLIVQYTVRLRNIVGD LQDDALGVREDVEEILPWLYSDHFSISWPLTPIEPLHVVSSDKLLSVQLEAQPQTTVA GTPNYNTQAQKRLFVEDSSEHTSKRLRVESSQDNTQLTESTKFPSQTLDSGLHALEKN LVQMRTTHAAELEKFQNALTDMQTRLQEREKLLESLQHRYETRTKDLHKIRRERDRLA EYKATSEQKIEKQREDISKLKDERTQLRQDLEQARAEIKTGGGAVAELETAREDIRRL TQENAGLERKAEYEAKQAEYTREQYQTASNMAAQTGNEVRQLREENELLKRKVAGNAS RLREINKENDGARHLSRISELEASLASREDLLRRKEDELREIRKNRPSTRSTSTQPRS PRLTAGSRPTSPGINNHNGRGSALRFSSEMPS AFLA_006170 MEGPRGVYLFGDQTSDFDAGLRRLLQVKNNTIVASFFQRCFHAL RQEIARLSPSERKIFPRFTSIVDLLARHRESDPNPALESALTCIYQLGCFINYYGDLG NVYPSASDCHIVGLCAGLLSSAAVSCSNNVGELLPAAVEAVVVALRLGLCVLKVRELV SSDQASSTSWSVLISGISEKDASQLIGEFTAERAIPPSSKPYLSAVGYNSITISAPPK VLDDLIDSRLSKSHKPVRAQIHGPYHAAHLYDGRDVDRIIESCHNEVVSNYTPRIPVL SSTTGQPIEAKHMKDLLKAALEEILLRQLCWEKVTDACYSILKTARHQPCKLFPISST ATQSLFTALTKAGITDIEVENGLGDVPTNPKDNLNISGRADCSKIAIIGMSGRFPEAD GTESFWDLLYNGLDVHRKVPAERWDVDAHVDPTGTKRNTSKVPYGCWINEPGLFDPRF FNMSPREALQADPAQRLALLTAYEALEMAGFIPDSTPSTQRDRVGLFYGMTSDDYREI NSGQDIDTYFIPGGNRAFTPGRINYYFKFSGPSVSVDTACSSSLAAIHMACNSIWRND CDAAIAGGVNILTNPDNHAGLDRGHFLSRTGNCNTFDDGADGYCRADGVGTIILKRLE DAQADNDPILGVINGAYTNHSAEAVSITRPHVGAQAFIFNKLLNDANIDPKDVSYVEM HGTGTQAGDAVEMQSVLDTFAPDYRRGPGQSLHLGSAKANVGHGESASGVTALVKVLL MMKKNTIPPHCGIKTKINHNFPTDLAQRNVHIAFQPTPWNRPASGKRQCFINNFSAAG GNTALLMEDAPIAEVKGQDTRPVHVVSVSARSQSALKNNINSLVKYIDEQGRSFNVNE ADFIPSLAYTTTARRIHHPFRVTAIGSSLQELRDSLNNSSRLESFTPVPATAPGVGFV FTGQGAQHTGMGRQLYEKCSQFRATMQHFDCISQNQGFPSILPLVDGSVPVEELGPIV TQLGTTCLQMALVNYWGSLGIKPAFVLGHSLGEFAALNTAGVLSTSDTIYLCGRRATL LTEYCQVGTHAMLAVKASYPQVKQLLKEGVDEVACVNSPSETVVSGLTADIDDLAQRC STEGWKSTKLRVPFAFHSAQVTPILERFQEEAQGVTFRKPSLPFVSSLLGEVITESNY DVLGAQYMVKQCRKSVNFLGALEATRYAKLMTDKTVWLEVGAHTICSGMIKATFGPQV TTVASLRREENAWKVLSNSLSALHLAGIDINWKEYHQDFSSSHQVLPLPSYKWDLKNY WIPYTNNFCLTKGAPQTAIQAAPQTTFLTTAAQKVVESRDDGTTATVVVQNDIADPEL NRVIQGHKVNGAALCPSSLYADIAQTLGEYLIEKYKPEFKDLGLDVCDMVVPKPLIAK GGEQLFRVSAIANWAEKKASVQVYAVNADGKKTVDHAYCTVKFFDTNASELEWKRISY LVKRSIDSLHQNAETGEAHRIQRGMVYKLFSALVDYDENFKSIREVILDSDNNEATAR VKFQAPPGNFHRNPFWIDSFGHLSGFIMNASDATDSKNQVFVNHGWDSMRCLKKFSPD VTYRTYVRMQPWQNNIWAGDVYIFEGDDIIAVFGGVKFQALARKILDTVLPPVGGSKA PITAKSPPPARTQKANTGAKTRPKAPVPSKSFTKSSGPSVVVRALSILASEVGLAESE ISDDMVFADYGVDSLLSLTVTGRYREELNLDLDSSVFTDHPTVNDFKRLIAQVSPSES HDGSSSEQESNFSFNGGESSSASTPDITSPPNEKVAQVEQNDTMKEIRNIMAEEIGVP AEEIDPDENLGEMGMDSLLSLTVLGRIRETLDMDLPGEFFIENQTLNDIEVALDLKPK TTSAPIPMPEPVKFPEAIHDLQPKLAQHPKATSILLQGNPRTATKTLFLFPDGSGSAT SYATIPGLSPDVCVYGLNCPYMKTPEKLKCSLDELTAPYVAEIRRRQPKGPYSFGGWS AGGICAYDAARHLMFEEGEQVDRLLLLDTPFPIGLEKLPQRLYGFFNSIGLFGEGKTA PPSWLLPHFLAFIDALDAYKAAPLPFKDEKWAKKLPKTYIIWAKDGVCGKPGDPRPDP PTDGSKDPKEMVWLLNDRTDLGPNKWDTLVGPENIGGITVMEDANHFTMTKGEKAKEL STFMANAMA AFLA_006180 MTSPAPEIPQPFHLISDDEKDIEAMQKAESDPKLVILSDWSHYT SEEYQKIMEESGVDLFCVDSILINGRGRVHCPGADFINSLQTDYLKASIDNLPLTDKG CYPKIYKTQGSFPRNDSKVPPGLESGCVATAGTHEIIEVDPEDGWVSMKFISAAWLKA VIASIDEHPMWIYEVDGHYIEPQLAHTMVMYNGERYSAMIKLDKTPKDYTIRIPDTNA DQIIAGFATMRYKGSDQTGESEPYVDYGGLNTTADVIALNESILVPYPSIQLPTAADQ LINLTFGRRESAYQWTLEGKQLYDVMANYDDPILYNLTAKENLGDKVTVQTQNGTWVD VLLQVGIMPNTPPIQAPHIIHKHSNKAFIVGEGDGFFNWTSVAEAATERPELFEFERP RLRDTFMVLGLLGPRWMVIRYQVVNPGPFLIHCHIETHLANGMGVALLDGVDEWPEVP PEYAL AFLA_006190 MAPLKTLVALLSANVLTSVLAELVKFEVDLTWAKGSPDGNLRDM IFVNDQFPAPQLTLNQYDDVEFTVNNHMPFNATVHFHGIVQLNTPWSDGVPGLTQKPI LPGGTFTYRWTATEYGTYWYHAHARSLMADGLYGAIWIKCVPRWPSILCKD AFLA_006200 MQGRPSYFCLIIALYANLLDVKTLKGPFSSDDPWFILSTTRTAT HGQHAVGFPIIFYFDLNEKAHKDLKSVLLQG AFLA_006210 MTPSAPQLPSRFPCWCRAVYSWGGETKRDLGFVEGDLIECLNAG DGQWWMGRLRRDRRMVGLFPSNFVEVLGEDFVPVSRTTTGPMVQAAQSPITNPTSAPK KQKTVFRKPFQAHKEALAPSGELSRNGTSTSLVKSSIPQTPPRDGSHPRKVKPLRTPA SSVRQSTPTARPSRSRPPSRAISPRPPQEPDLPSISTPTTSNQTVSRPRPPSRAVSPR PPEADPSLDPTIPTGQDLVVYRPPSRVASPRPLDQSDIAPPAVPRHSVSLSRPTSREI SPLQLQEREESPPPPPPPPHRVAVNRQPSIDVPARYDMNDRYVTMPRTPSPAAHSDVN GHTPSPLRDAMEDVMTSLEDMGMSRETHSPSPRPMFDDPWSPDAYDSLRDSRSLQVMN RPLTSLGFDGEKEYQYHGGQPHRGSVYAQDHFLDGPPQLNNYVQRMESRLRQMQEQSR RGSEDVEMPGDDEEPPPPPPRNVPYHGRHNSIPAQYPYLKNRRSGQDMRAEMLNRSYT NKSSTTNSSSGVQSNGTNITTSTERTSQSLMSGPSAGGFSATSAGSYARRGIGANERP NTALDTFRTRGFSDVSRVSRPETPLTGVSYHSSHNTSRQGASSAVPWSPSGTGPEESA GVFGGLSTPKAKKQGFFKKIFESAKTGAANARSSIAVGQNGGSYSPTKGRATSPIRSS HSHRDTTREMGLGSNGIDWVQVRRDVNRASSPSRNERMERVERCHMMDYPVIYAVEEL YETAEGDESIDGLPISEPTNFGSVNLSLVDKSARFVNSLPPMTNPMSLAQGYVCRPYK SDVQRLRAIFTWVSEKIAWDEPVEEVGMDLRRVLQTKRGSPQEVAFLVKEMCAAVGMH ADAIKGYLKSPGELFDLDSLSRANHWWNAVLVDGEWRIMDCSLASPTNPRRSQFVTNN PSSAESWYFLTRPLEICYTHVPLSHEEQHICPPISPDVLLALPTTCPTYFKMGLQFPD YDTSVVRIEGLEVLQIRLFVPPDVECAAEIEAPAFARDADGDFFESGEVIRKRALVQP DWVNGQKRITVKAILPGDEGQGTLKVYAGKKGLMHSSRDIPHPLALTLPIIHAGENPP YDFVLRHPTPHAQRHDLYIMQPQCARLAVNNTFVFAVRQHPSSPSSSSMSSEAGVSGR ISPSVFSRPASALSMVSSSAGGSSVSTVSNEFSASTSAISSGRSASGREKPAKLAIQS PSGKILRLTRKADHMISSNSNAESGTDATADGSVWETVIKIGERGTWRGLVLADRVAR WCVFCEWECF AFLA_006220 MANNSEHTLFRFLKAALQTHHRFLSGALTRAEAPVYVIGNPSAD LDSIISAITYSYFANNTDRHHVPLINLPNVPSGSELHRLRPEFVKALWLSTHPPARGE QPWEETPESAGAILHDHILTVADFNAHMEEKGKVNEKYQISADAVLVDWNALPNDTPD GQKGKGSLDGLPTVEFNVIGCVDHHQDDGFLRPGIQPKVIEKSGSCTSLVIHTLNKRG IWSEGRAEDSHTRRMAAEEQVASLAITPVLIDTANLTAKDKVTQFDIQAVDFLTPKFN KGATDKDKLYAQVLEAKQNSLDLLTVDEILDRDYKQWTETSPREPGTPLNIGFCSMVR SIPWVVRKAGSPQEFLDAVYEFASKRELGIVVVMAAFSSSDDKFHRELFVCALDEGLA VDALENFVKQSNSQLGLKEWSSLDGDGMAIKDLLNSDGAHKWRHIWTATDITKSRKQV APMMREAVTSLK AFLA_006230 MSFSLPETFAKLPRYPLLYPYPSPIHPLPALTRHLNHNPRSAPA VSLFAKREDHSSPFACAGNKYRKLEYIVPDILSNSSLHGPGERSEAKATTTLVTEGAI QSNHTVQVASVARRLGLEAIVILHKATGGGLTASSDKDVFLRTGNVQIARLLGAEVRM LEDSSTVDNSDPITPILEGLRAQGKVPYWIPSGASLHPLGGLGYARCAFEIAAQEKEQ LGENGRFDYVFVACGSGSTVGGLIAGFKLLQKIEAQRQDENAERVIGRKVIGILNSPT KPREYHEGRVLNFARRAAYLIGLDPERDVTPEDVQLDDRFAGTAYGELDQTSKKTLAF LAQEEGVIVDPVYTVKVMRGVMHWVQEGELIRDWSRRLESSPSEGRVNALFIHTGGQS ALSAYADIE AFLA_006240 MVAPASTGGNSTGGQPPASRQPTRSSSTHPSHSHNVPLSARRST PLDLSTVERRGQPNAPREPSKRIRPHGLQEAPTFRPTEEEFKDPEKYIRKIAPEGKKY GICRIIPPEGWQPPFAIDTERFHFKTRRQELNSVEGGTRANLNYLDQLAKFHKQHGTN LNRFPSVDKRPLDLYKLKKAVEVRGGFDQVCKMKKWAEIGRDLGYSGKIMSSLSTSLK NSYQRWLQPYEEYLRVAKPGVQQQLELEHGGPYTPSPHQSPMAKKPMPLDNGTSHMLP KGTMSVPPSAPQSTPREVEATPDKPTPPIEPTPSRPIASGFTPVNASSGFTAVNRSPS FVAVNNNPTIKREIENGSLTPKSVAEHPSISTPVSNGHGHHTKRAISHESGSQTENGD DPNGRRSKRLRKDAPLPTIAGSHMSLLRPAPPRARKSDGRKTGDKCENCGKSEDISSI LVCDSCEQGYHKYCLDPPLTTIPEYDWHCPKCLVGTGEFGFEEGGVYSLKQFQEKANN FKKSYFASKMPFDPVLNSHRRESEDDVEREFWRLVESLTETVEVEYGADIHSTTHGSG FPTIERNPLDPYSVDPWNLNVLPFHGDSLFRHIKSDISGMTVPWVYVGMCFSTFCWHN EDHYAYSANYQHFGATKTWYGIPGADAEAFEEAMRQAVPELFEGQPDLLFQLVTLMPP DQLRKAGVNVYALDQRAGQFVITFPQAYHAGFNHGFNFNEAVNFAPADWEPWGAMGVE RLQDFRRHPCFSHDELLLTAAARDTSITTAKWLSPALQRTCTRELSERASFFSRHREV APHHCTLGSEDAMDIGGCQLKFVVEDEDLPEEDYQCQWCKAYAYLTQFRCHKTGKTVC LSHIDMNVCCGEPLKQKLLGPDHTLRYRFSDEALKALVQKVQDRARIPEAWGEKLDKT LEDEPRPQLKVLHNLLSEGEKIPYHLPGLQDLAAFVQRCDKWVEEATNYITRKQQNRR KNEKAWRRSSSKAAQLEERDREVRRVENIYALLAEADKLSFDCPQMASLEEKTREIEK FRQDVNVALMNPHIRSVQEVEDLVESARNFNVDIPEVEGLEHILRQMKWNEEARRKRD QYLTLKDCQELILAGEQLGLSDTNDHLVYFKDLCRHGEAWEAKAKELMSVEAVHYQQL EALSAQASRFPVSPETLSAVDAILTKQREAQKKIQSLYERSKDPEFRNRPKYKEVREL MESLEELNSRPTGAIDLEREQKRHEDWMRKGKKLFGKANAPLHILKSHMEYVEKRNSY CFDLEDRCRPPVEPSSRDNTPDGLLDNNNITPSMWGGGKSRKRDVFCICRHSEAGMMI ECEVCHEWYHGKCLKIARGKVKEFDKYTCPICDWRQKIPRDAARPKLEDLLDWQAEVA GLPFQPDEEQTLDNIINQAVGFRDFLHGFTNAACTTTEEVPTLIFYLRKIEGAEVLLA YETNFFRQEIHKWAPVAPEPPPILEQSLSTRKPRPTKQQKIMAQLGVDRPEDLPPHLR TKHPSRKSIDLQSGKSSLLPESQTSGDGSNSDSNRGEPTLAPMTDAQNPPYPFSANYS LPASDSTPAFAPSSSAFLPHVAAHSPSFPARSPSPSHEGLDASLFSSPRFNRDPDDGP PGVDVDNENPFDSSPRQNLDDVFADLTNQDAEPEPEPGQEPELMENTHANEALEVLDA SNGDRSETPQDEEPQDDKSSAEVNGAVEADRSTEDL AFLA_006250 MTYAVTARLRADEYQLFLWDNWCLANFALQVFWVVQLKCCLLQV LDTRLPILDTATIWDNFDVLWNETIDAITPDPPQLMNVVSKESYSEGQTREVCRP AFLA_006260 MSENTISGGTRGALIVVEGLDRAGKSSQCENLRDYLQESGHSVK YVRFPDRTTAIGKLIDSYLRGQSQLDDHSIHLLFSANRWEIAKVIEEDIAKGITVIVD RYSYSGAVYSAAKGIPTLSLGWAWQPEVGLPRPDMCLFLRISPTEAAKRGGFGVERYE NETMQSRVRELFQTLFDLQPNGDIHVVNAGRSFKEVSEEIRGKATDCIARVEIIGPLR KFDMVAA AFLA_006270 MGSDASQRPPNDMVNFGLLNSATASTLAPRLGKLAIAGRNAILT PHYIPLTSRGTVPHIAHDVMREQTAISGLYFGLEDFIEKLQKKGCPPVYKTPAAPNES PLRNFVCLPEDPVLVLGPRRVPPLACPASNTPNSIAVLTTVGFAQLETGQYVEAVQKM RPDIAVGLADLVLTHRPGTKRQGKMVDRTHAFTTYSTEQLYGDAVSEENRSKTAYFAP ILPLDNAQQTLYLEDLEDELRRYISGLALYESASLSVVPESLGDLPRLLFSEPATPHD ILREVSLGADLLTIPFLGDTSDAGMALDFVFPPPSDAPATEPKPLAIDLWAPTFTTDT APLQEACQCYTCRNHHRAYIHHLLSAKEMLAWSLLQLHNLHTMDSFFAKIRESIEQGT FESDVQRFQRTYAPQLPEKTGEGPRVRGYQAPSRGPNEPRRNPRAYGRLDIIEKFAES QSSVATPDTGAEGLEEHGFAKKLSS AFLA_006280 MGMFPFPLIGGPVKLRPKPFPPRWISPQSSLFNYHLSRNFAGTD SGIKMEKITDKIAALPPDANYFSLEFFPPKTQMGFANLQARLERMAQALRPLFVTVTW GAGGSTAQRSLELAEICQRQLQLTTCLHLTCTNMSRALVDEALEEAKVLGIRNILALR GDPPRSEEYNMHGEDDSNRDFTFAVDLVRYIRQKHGDYFCLGVAAYPEGHPVDSFQDV QDPKTDLPYLVEKTQAGADFIMTQLTYDIEAYTRFENMLRNHESGAFKTIPIIPGLMP IHSYKILTRVTKLSHVQIPPQILAKLEEVKHDDDSVKRIGVDIISSLVDGMRDIPCPG LRGFHFYTLNLEKTVSFILERCDLIPPYSEDFDAIEEGGDISAMDGAHLRSLTRRRAS SINSLPHNRVIVDKLHASEVSSKASVSHEAPATSAGMPAMPPDRSTTLQISEGLGALG REATWDDFPNGRWGDARSPAFGEIDGYGPSLHVPPAVAHRIWGFPVSHEDISALFHRH VSGELHMVPWSEGGAEEGSSGLNAETEVIRPELLQLIDGNGWWTLASQPAVNGVRSDD PIYGWGPPREGFVFQKPFVEFFCPSKDYHSILKPLLQKHGHEKLAWFAANVKGDFESS LPAQTSDADPIEMNPNNVNCVTWGVFRGKEIVTPTIIEEVSFRAWGDEAFRIWDEWRR IYPRNSATERFLNDIKDDVWLVCVVGQDFGAGTEMGSEEEEDEKKFMWRVLLNAKK AFLA_006290 MSLFRCQSALFHQNRLSSYLKYLSRRQFYSSPASSTPKDSTSRL RKLNDRLPSFLRAYTTPLLGAPGTHITSFLILHEITAIVPLFGLVTAFHYGNWLPDLT SSSAFEEGTRRFGRWLRKKGWVEDVDMDAIEVVGSVNSYDPVRNDQMAESDRKGVRLV LEFATAYAVTKALLPLRIAASVWATPWFARVILVPTGKGLKKLLGRK AFLA_006300 MSDEQTFIAIKPDGVQRGLVGPIISRFENRGFKLAALKLCSPSK EHLEQHYADLSSKPFFPGLVSYMLSGPIVAMVWEGREVVKTGRTILGATNPLASAPGT IRGDFAIDVGRNVCHGSDSVENAKKEIALWFKPEELQKYKHSQFDWIYEKA AFLA_006310 MAGRRLLSTRWTLLLSLVILLGCLVIPGVAVKHENFKKCSQSGF CKRNRAYADDVAAKGSAWSSPYELDSSSIQFKDGQLHGTILKSVSAEEKVKLPLTVSF LESGVARVVIDEEKRLNKEIELRHDSQARKERYNEAEKWVLVGGLELSKSATLDSESE TGVTRVLYGPENNFQAIIRHSPFDIEFQRDGQTHVHLNNKGYLNMEHWRRKVDTSEGD GEQNSESQEDESTWWEETFGGNTDTKPKGPESVGLDITFPGYGHVYGIPEHADSLSLR ETRGGSGNHEEPYRMYNSDVFEYELNSPMTLYGAIPLMQAHRPGSTVGVFWLNAAETW VDIVKSTSSANPLSLGVNSKTDTQSHWFSESGQIDVFVFLGPTPQDISKKYGELTGYT QLPQHFAIAYHQCRWNYVTDEDVKDVDRNFDKYQIPYDVIWLDIEYLDDRKYFTWDPL TFPNPIGMEEQLDESERKLVVIIDPHIKNKEKYTISEELKSKNLATRNKDGEIYEGWC WPGSSHWVDCFNPEAIKWWIGLFKYDKFKGTLPNVFIWNDMNEPSVFNGPETTMPKDN LHYGNWEHRDIHNVNGITFVNATYNAMLERKKGELRRPFILTRSYYAGAQRMSAMWTG DNQATWEHLAISLPMVLNNGISGFPFAGADVGGFFHNPSKDLLTRWYQTGIWYPFFRA HAHIDTRRREPYLISEPHRSIIAQAIRLRYQLLPAWYTAFHEASVNGTPIVRPQFYVH PSDEAGFVIDDQLYLGSTGILTKPVVTEGTTTVDIYLADDEKYYDYFDYTVYQGAGKR HEVPAPLEKVPVLMQGGHVIPRKDRPRRSSGLMKWDPYTLVVVLDKNGEADGTLYVDD GETFDYERGAYIHRRFHFHDSTLSSEDIGTKGPKTAEYLKAMASVRVERVVVIDPPKG WQEKSTVTVIEDGAKTASTAAMEYHAQPNGKAPYAVVKNPTVSIGKTWRIEF AFLA_006320 MYLVSLALEYGAPFFLITSPVTSYADQILSIHRSRSSAGFSLDI PLIMLVASILKVFFWFGDNYSFTLLVQAVIMIGVQMVTLKVALDNRPAPGVKNSLEHV PFSSVSNGGRLTRPYDFWQWKSAKPYWMFLAYFIAALSFIQICLPPIAQSEFYINCLG YIGLAVEATLPLPQIIANHQSRSCKGFRLSVVAAWILGDLMKLSYFFCSQEVIPWAFR LCALFQCVCDLYLGVQFWMYSKASFSAAGSPREPSGNWTVEEKDIRMT AFLA_006330 MASDTETIRIGYVPEHYLTPLHLALRSPAVASLPFKISIVPFPS GTGHMITSLREKEIDIAIGLTEGWVAGLAGKQQAQKDAALGGYKVVGEWVATPLRWAI VTGRERADLQGVADLKDKRVGVSRLGSGSHIMSFVLAQNHGWKSDSLTSVPLGPFQAL RNGVTGYDPSHPEQQPEPTAEFFMWEHFTTKPYFHPTAEKPHPPLKKIGEIYTPWPSW MIVASTAVFPDPEIDGKLQQLFKLLNQGIKDFEADTAQAVKLLGTGELGCSYVEEDAT EWLKDVKFVQGTRGVNRKTIEGVVDVLKVAGVIDSAMSNDELLHES AFLA_006340 MSLTYCQVIVLAFLMTINGPGGAPEIDFSNANDNCTTFDGTNLL KCPQIGADINTCQKKGKTILLSIGGATYSEGGFQSESAAKAGADLLWKTFGPPTTQGP FLNATSHNGTSRYHNSRFNRLNRVNSTNIHAVRANSTTVRRPFGDATIDGFDFDFEAP VKNMAPFANRLRELSDADKSKQYFLTAAPQCPYPDAADKDILNGPVSIDAVFVQFYNN WCGVNSFSAGQQKQSSFNFEQWDNWAKTVSQNKKAKVLLGVPANTSAASTGYIPASEL EPVIAYSKSFESFGGVMMWDVSQAYGNKGFLDSVKGALRNSTAHLLRHGFRLPSFYPV IGR AFLA_006350 MAPKSLFYTLFSSLTVALAASVPQTDYEVIVVGGGPAGLTALSG LSRVRRKTALFDSHEYRNAATRNMHDVIGNDGTVPSEFRGLAREQISRYDTATFIDKR VNTIETVSDEATNTSYFRAQDADGKAYTARKVVLGTGLVDILPDVPGLQEAWGKGVYW CPWCDGYEHRDQPFGILGALPDVVGSVLEVYTLNTDIIAFVNGTQTPDQEAELAKKYP NWEAQLEAYNVRLENETIASFERIQDGSQVKDRNGTRQIDIFRVHFTNGSSVDRNAFI TNYPSEQRSDLPKQLGLAMLGNKIDATTNPGMRTSLPGVWAIGDCNSDNSTNVPHAMF SGKKAAVFAHVEMAKEESNAAIDKRDDFVKEVEKRMGNDMEKIYNRSRGL AFLA_006360 MAEQGGASNDVERVAQAPGSQGRLSTSEGHASRRISRVSYKEDW ANLDEYGKLVKYASTYREGGRGDETQGEEEVRRVWYAPWKKRKVLVRHVNQDEGQFPE DWLLTDIHQGLSSNEASNRRRRAGWNELVSEKENPIAKILSYFRGPILYVMELAVLLA AGLDDWIDFGVIIGILCLNAAVGWYQEKQAADVVASLKGDIAMRTTVVRDGQEQEILA RELVPGDVIIIGDGQVVPADARIICDVKDPNGWEEFKTMQNQGDLSSTSESDIEEAEG EKEKNKEGDDEKSQKPKKRGYPILACDHSAITGESLAVDRYMGEMIYYTTGCKRGKAY AVVQTSAKLSFVGRTATMVQAAQGAGHFEKVMDNIGTSLLILVMAWILAAWIGGFFRH IPIASPRQQTLLHYTLALLIVGVPVGLPVVTTTTMAVGAAYLAKKKAIVQKLTAIESL AGVDVLCSDKTGTLTANKLSIRNPYVAEGVDVDWMFAVAVLASSHNIDSLDPIDKVTI LTLRQYPKAREILRRGWKTEKFQPFDPVSKRIVTIASCDGIRYTCTKGAPKAVLQLTN CSKQTADLYKAKAQEFAHRGFRSLGVAVQKEGEEWTLLGMLPMFDPPREDTAQTIHEA QNLGISVKMLTGDALAIAKETCKMLALGTKVYNSDKLIHGGLSGAMAGDLVEKADGFA EVFPEHKYQVVQMLQDRGHLTAMTGDGVNDAPSLKKSDCGIAVEGATEAAQSASDIVF LEPGLSTIIDSIKVARQIFHRMKAYIQYRIALCLHLEIYLVTSMIIINESIRVELVVF LALFADLATVAVAYDNASFELRPVQWQLPKIWFISCLLGLLLAMGTWVVRGSMFLPSG GIIQNWGSIQEVIFLEVALTENWLIFITRGADTWPSIHLVTAILGVDVLATIFCLFGW FTNQDMPTKPADKFVETTNGWTDIVTVVRIWGYSLGVTIVIALVYFMLNKFKWLDDLG REKRDKGDLKIENLLGHLARLTVEYERPGQPPARFYLAAPKEEEEVE AFLA_006370 MPLQVFHGALLPTIEGLEGFIRIFTSGFGGIMSNMQLTLATKAS QASLLPVLLVATSINEARPSPVINITYEDKAVLEQGDKAVVQFTGVSGTPVFGTVNAI QELLKDFPFLNSKDQKLENEWLAQLDTFTTVDFKALDPLLQRLNTHLLLRSFIVGYSL STADIALWGALRGNRVGISAIRKGALVNLTRWFTFLEDLCPWATSALEALNAAAKDKK TTKGKQGGANYDVALLNTDKGVVTRFPPEPSGYLHIGHAKAALLNDYFAHEKYNGTLL VRFDDTNPTKENSEFQDAIVEDLALMGIKPDKMSYTSDYFDQLYEYGVQIIKDGKAYA DDTDKETMAAQRMDGLPSKRRDATVEENLARFEEMKKGSPEGLGWCIRAKISVDDKNK ALRDPVIYRSNPDPHHRTGTKWKIYPTYDFACPIVDSIEGVTHALRTIEYRDRNPQYQ WMLDALKLRSVQIWDFARMNFIRTLLSKRKLTKLVNEGVVWGWDDPRFPTIRGIRRRG MTIPALREFILRQGPSKNITNLDWTLIWATNKKYIDPVAPRHTALLKKDVVKATIKGA PAPYTEEKPKHVKNPSVGTKKVVYSGSILFDQEDAKSFKQDEEITLMNWGNAIVRQIV TDPSSGVVKELELDLHLEGDFKKTEKKVTWLSTDQDLVPVELVDFDYLLNKDTLQEDD ALEDVLNRNTEFREDATADCNVAELKEGDIIQFERKGFYRVDKAYAPGQPAVLFYIPT GKTGGK AFLA_006380 MASYSSLMPTAARSLLSSCRSPLRQGSMAPLLSSFQQVRGAKNN PQAQGKKNKEKSKKTKKGPREFKQRDLKDMDQFALCDAMRYLRAFEVGREPATSKYEV HIRLKTMKDGPVIRNMLRFPHSVQTESRICVVCPAGSRHEKEARAAGAVLVGEQEVFD NVKKGIIEFDRLICHPDSLEALNRAGLGRVLGPRGLMPSAKTGTVVEDVASRVEMLRG GTVYRERDAVIRLPIGQLAFTPEQLRDNLRATLEQIKKDAAGLNDRINKEIYEVVLSS TNGPGFSLNGEFKSDNSPDTASLSGL AFLA_006390 MNKFRFGDSDESASDLDDDTSGLPFPEPLSRSSFLAPDFDPAKY LSSLTNRHQSLEDLRQELRDLDQLLSRELLDLVNENYQDFLSLGIALQGGEEKVEQVR VGLLAFQRDVQSVRDKVDARYREVEQLVEEKKRLRKNANVGRALLDYVDRVDELERKL MIGDTTPARQGDAVKDLDTDSDLLDSESEGSEDEEIPNGVSATPLVSLKRLEHHIQKY VYLTRLSSRIGDDHPFLVSQQSRLSKIRSAVLLDLKTALEQAKIAGEKRDTKTLAVLR LYKLMGEDTSAVSALKNLKI AFLA_006400 MDYKSGRRLFPHPDEIHSSLSRQRWPRFPLAHSHPVVETAGPSQ QANSYRYESAVDDSARAYRTTALRHLSENSRPLAWNHGQVTQTGGGHPTSPSQPVLVR AYSGGPGDSAKTSKMSLRRSFPFTSRAETPRRGPELPSDEDFSIDGILRAIEPNIRHT LDSIGEICGRSKLSLANEYGSHIAPLGEIRAPPSGLMPVDEASSEHERQANDNVVIYD DDHSVMDGRDHRSPMQFGFMENARQSTVSRNAGFQSMPPYYGADGSSVQVHPDTPRSM GFNTTVDSASALGPLPATREIASRPKSCGRVLLATNAESSTDDRRRLIQTPALVSEVL LDAHANGHPLGTEPTIMQSQALFGSNSDSDVVRRSWGQPAVGLSVLADVFGWLKYAVR DGSDSGQTLPTAEMRLRAMLERQRDLDSSRVFANDD AFLA_006410 MGMIPSQSVIDKQNPLRRVTQKSRGEIDFCHLISNSTSHAWNLI SLLPRSRLTIATGKMPLDGVKNIVLVLSGKGGVGKSSVTLQLALALTLQGKSVGILDI DLTGPSIPRLVGLEDAKITQAPGGWVPVTVHPASTNDGAQRGSLRCMSLGFLLRDRGD AVIWRGPKKTAMIRQFLSDVYWGETDYLLVDTPPGTSDEHIALAEQLLRSATTNPAAG SSTMPRLAGAVLVTTPQAVATSDVRKEVNFCVKTQIPMLGVIENMSGYTCPCCGEVTN LFSSGGGQVMAQETGVKFLGAVPVDIQFGELVEGKVVDESDDEFEDGAQPEQKREQKQ DEVVDERPLVERYKDCWSYSRFEGFADTLLFEIEGGVAN AFLA_006420 MERNPTIQDEDVSQFCAMTGVRPEHAQEYLAANGGDLEAAVTEF FAEQDEALQEGNTGAGQTLGGSETAPSAGRSLGGSSSQSPSFTPQPSSTSRKSAPKKK FATLGDFSSGGGDDSEEEDDAVNQDLFAGGEKSGLAVQNPDDIKKKIIEKAKRSQVPA SDSSEPRRSFFTGPARTLGGDDTPSRVIDVPNEPAARLPQRVQRTLHFWADGFSVDDG DLYHSDDPRNAEILDGIRQGRAPLSIMNVQPGQEVDVEIKQHEEKYVKPKPKYKPFAG PGQRLGSPTPGVRTPTPPTAPAAGQINSEPAKPNVDESQPIITLQVRLGDGTRLTSRF NTTHTIGDVYQFVSAASSDSQSRPWVLMTTFPSKELTDKAAALGDLAEFKRGGVVVQK WQ AFLA_006430 MASGDHTSSSVELYESGLTVRSDSENYSANNELSESTSSSPLIL YKPPTIWSILRGAAINLVLPFVNGLMLGFGELFAHEAAFRLGWSGTKIFPTYRRSVGP GVEVREVPSRRNNSLRDTASLE AFLA_006440 MSSFRPHASGFPVRYGRLNQSLAGNLSWRPASSIHHASAARFNS TASAASASTATAATAVETAAPEQASDLSDFDITAIPEKIGYLKDLGLDYGWGPSSMIE YFIEHFHIWAGLPWWASIVGTGLLVRLALLKPMLSAADVSTKIHNLKDVVAPLRAKMA QAANEKRHADMMQTRAELQQLHSDHGIKFYKTMIPFIQLPLGFGCYRVVKGMTSLPVP GLAAESVGWIKDLTVADPYFLLPAATAFAMYMSFKKGGENGMNQMANSPVGRAVLYGM PAFSFAFMSFFPSALQLYFLSTGLFALGQAYMLSSNSFRQFANIAIPKKPVEATGMSP EEHGRAIRMILDTQKADKTMEVPAVEEGQKLSFVDRTLNSVKKNYDNLTTDVKGKLDS AMGNEPKKNADGSLAEPARLSEKDRKLAADYEQRRKEEEDWKREERNHARREAHLRAL ELEREKARSAFKHSKQR AFLA_006450 MFPQLLLNLFNYPVNTKSVARRFWSALPFSGLWEACSRTYDKSA CHQDRATIPLKGNPVSGPENNSKVATVIFIIGGPGSGKSTIATRLAADLGLIHLNPDE IVSRLEIIGSGDEWLAVKSTVDENGAVPDDLLSLLLKIEISKHLNAHQRVFLIEAFPR SYAQFMDLTSICGYGLTISLDVSSATLMRRFMFETKSFSERVAQMEDFLKREDAFAGA ELALYPHANFTDGLVKVCAELKVEEIYPHLKDLVARKLASPTTALWVKELGMEMVSSV DHFESSTQLP AFLA_006460 MNLPPPLYLLFFLLIQSVYSVQTCYWPDGTPATEDVPCSDEKYA SCCRSGNLCLSNNLCLNVAIQPYVLSRGACTDPNWDSDNCPQYCTNVSRSSGSSLFPL GLNSNGLAEYCCNDPVSNGSEVTCNSASGSPFFVPDATLVAGYAALANVSSLSASTSA SNSTSSSSSSSSNSRDVAIGAGVGVPLGVIALGAIAWALWERRARTKGLAAAAAAASG GGSVRAGSWAGGYGAVATSVAGSNSQYGIQQYETGQWKNAARPAELTTSARTHELAS AFLA_006470 MTRTRVFAGEIIEKARTVQAEWAEAHDQAAIAAFEAEEAATMAR AANASAPGTPGPGTPGPGGQPQVKQESSNPSSFPVTRTPGTPVPSGVASSQQSVNGTV QPTRERVFKMPPNPHRGQLLPSHLREALRRWKRDGEGGGVGFSGLSMGNLGVRGSVTW GAGSVGGRRIFR AFLA_006490 MVYIGIPKNYTQSPSSFMATPSLTINHEVTQDLDSTNAFEGPEK LLEVWFAPSANELGSSQPTGLKAVPEEVWKDMLDLVNCQVLSIVSSEDVDAYLLSESS MFVWPHKLILKTCGTTTLLSGLPRILEIAALFAGFPKSAAPSGGVGIAAAPYRVFYSR KNFLFPDRQRGPHRSWRDEVRTMDRLFLNGSAYMIGKMNGEHWYLYLTEPNTLLTPPA SPKGDEEVTETKFLQIPEGGLPQGDDANDETLEVLMTDLDEENAKQFYLENATAVAEK RYRNFDKDNSDHVDVFSNNSDMDLEDTDGTRILPPELTTEGHALGTVVSESCGLSDVY SKEKFPDSRIDAYLFTPCGFSANGVIPTPDPKANTHYFTVHVTPEPHCSYASFETNVP HSQNGQTTAGIVKQVVNIFKPGRFTVTLFENKPSDAELSGIGEAKYIERQAARRKSQV EHIEGYRRVDRIVHDLDGYDLVFRYYERLDWKGGAPRLGEERI AFLA_006500 MSVWNPDNIRDVAESVGIVNLNNDVTENLARDVEYRIAQVLEEA LKFMRHSRRTLLTTQDIAQALRVLDVEPLYGYESTRPLRFGEASLGPGQPLFYVEDEE GLGAVNLAGAYSESRSILSDSANEPNPTWN AFLA_006520 MADQQEKTASSAVPETQPPSQTAETTTQTTATPAPEVQTEQTQP TESGPSAANTTTEQPTNPPAAEASKENAAPAPAPAAEDAPSEPAPAQEQQKEEKPADN KPEYLAKNPALSQLFDRLPTVLSNSGHDEMWGVPLRDSSDVPTVNVLIKFLRANEGNV KLAEDQLTKALQWRKQTRPTALVEGRYSAKKFGGLGYLSTYKDADGKETVITWNIYGG VKDLGTTFGNVDEFINWRVALMELAVKDLKMDQATSVIDYEGEDPYQMIQVHDYLNVS FLRMNPSVKAATKKTIDVFATAYPELLREKFFVNVPSIMGWMFAAIKVFLSKNTTRKF HPISNGANLAREFPPAVKEQFPKVYGGSAPDLHEGARTVALEEDNEPAPAPAAPAEPT EEAKPEQEAPKQEPAPEAPKEEAIKEALVEAPKEEPKQPAVEEPAKTDTAVTTQETVA PAEAK AFLA_006530 MVHNMDETHKRPKGILKNPSSQSIQTTHETLAPRAPSTEPMDTK ELTLQNTLQNAGRRRSSSTTRPGTASRRQSVASVQHDENSPRLKWDEANLYLTEQEKT AKMKIDEPKTPYAPRYDPSQDEEEMELAEAEDSLIDAQGVVVDELDKTTKDPRKAVAE DEIPDLELGEPEESIPDGVGAQGSDRITRARSLSNESHRSDKHVVMGANEPNGEASAD ADHLLSPEEAQEKHRQFEQQRKKHYEMRNIKELLAHSVDLEEMDEDEDEGASKNTTHA IPPPMPQISQKFLREGK AFLA_006540 MSPIRFSPEFTGRIRGESGDSDLASSRFITTPVYSDDGCPSEMP PRTISSVPMEDGPKVSFENLAKCYRQNQQKQTRRKNLEQRLHATKVSMGVSARLVRVG ATVQRGLVDRLRHDDKANFISLYQTLIDLQESCDSAFRRHFHQQDPLEDWPSSPEPTV DHAPDFFVQLSPQSRTDLIEILQLVRTDPQFLFERLCSLTPAQLGALVSSSAPSWDAG DLTSPSTPRSRNYPSFSKRSISTSIPVKDHVLAFERADAISTMLFNAFAAPLDSDAPE AKLRLDVWSSVCAKLIADGSSRFHPLLSHILAAWSMGSDWKAKPKFELYLMDILQTGA FLLEHVDTPPGLNFDAELPDPLKTDVAEEFFASAVDDLFRVLDDPDGGLPAGVMELSS AILQKLDRQDCRDRFLEFLLTHWYFPKFLYGALTFPEAHGLLLDFHIRKDAREKLLGQ VGLQAYYQVYAVLRSMNHFSMARPTVRQHVENMLSRFQNVASERQAHIPRSSYISSTG GRESPAVFLMLSATDILSLLNTLFPRTCSPVCNSPTPSSGISGSFRSLRSLQSVSERQ GTSVAEPGFFKPMVDNYSRRPSIRGLFSTDMDFLSLPQNSLARNADRIRFELSDLGEP YGRSNLEPPSAEEWTIFSVTQNGRRLLWSLFSDNQPTYPKNASDDDVQSTTLGLEDNF EALQTAIVKLIQENPADDHVEIASQTHDALQTGSLSLKQRFDRAMAYCHHTSDFIGAH YWWNAGRQLVRSAANFPTRLADDSWILQPMHTSSVRSLQTSRSVIERCESDFVALDRH MQRVQRTVKDMMATVAKLRDKMWYMTDVKNSKRYEEAKHVALALKTMIYSARLYKQTP NDSRSRGTGRSFGGSLLQKPELQVMDLMKAPRSYGSPNKLSDEQVDLLRKWLSHNNIE NFCKGEERIHRFCYEVKSSVSRLVGETMAEAPVLWASELYHRERAKYEGSSNRSFLGL SSSLRPFSIASDEPNNLLHSYSNNVRPSDSQSRFSHELPPLDTKTSFQSLISDKWRVP REPSIADTSSVVGSPGRTPSTCTGDSCSTFWSAPHQATQYAPSASSLYSRPPSLLSET ASQQPRRNDRKILGKAAFLDGLRQTLTSLLLSDLGSPVWSCGSETDAWFGNALDQKRI QAQMKKRSRIQRFYAEYDERLIRQAIRRTSPSSRRSRSLGPLEGEEPHTIGTLPFSTS DAKASFDDQSPFSYKAVFRRLIEVFSRHGSPFAKLKALRDLRALVIASLNSANDDKTQ FPLVDEPSCQRRSFGIQGRRAARHSFLGTQANRVSARSTHTPMSPTDELVIYDSRPSD YSAPTETQIVEALRGIILEVKPKTLFRDLQFISSFVPGETLNKTDSGTAFLQFGLAAL SLKDEICNSMVEIADNIVSQELSRRHPPHGHDVHARPGHAIEDAAGMWIITAKEGNPV AQRELAILYLTHPELLPRVTLPLTFLRDTFKEETLLRRDKDPKSDPQSMCLALHWMQL SANGGDELARNRLRELEEFDSIA AFLA_006550 MEVSMDTSPAALSPLPQSQSQSQQPSSTTASTPPYPASPTASRK RSVQDIDAQTMQTPSTATAVHRDTKKSPTYTDKENQENADPSIQSSTRVSPVLEMSHV LIAGGGAKAGDEAPVQNTKEATLGRSVPSPGSTHLSTDAGAGAPAAKKRKLSPASKEA KQQEKEAKQQEKEAKQQEKEAKERQRLEEKAKKEEEKRVKEEEKKKRDAEREEERKRK EEKKKAKEEERAAKEEEKRKKEAAKEEEKRKKEEEKLKKERVSFLQRQLMPHAQPKLN AFFAKPKPPVQPSNAALTASPKKSGGDGCTNEPSHEAGTMSDYQRAFPEFFLQSHTKV APPHMFQRDSEGLRLIREKLDASMKSPNSSEEALIFRPSDIFNMMPYKRRRGRLPASV KDILLEMQNLNDQSGTSEAVQRQQGLLKKVRMKSLKFGEDVRPPYQGTYSKPLPESKA YKMMRNPFHRGLPDTNYDYDSEAEWEEPEEGEELDSEEEEEMSEDGEDDMDGFLDDED DQLVDGKRRLIVGDLEPVCSGIQWHDQGVDPEFKAYRVETISDAVSLPIDPFSTMYWQ KPKTSESAQTSGAGRSSLHSFLGNPSSGSASTQDGSALPLLGPGKSKRPFPPELLAEF KQVVDGSDLSKLGLIEILKKRFPKVSKDALKDTLNSVATRVGQKEAEKKWVCK AFLA_006560 MAGVQLQQPTMTDYRLPLHQPPPARKPVPGMHAGYPFQSYDGPH KQQLSHPSLAHNRGRMPSANASPYMAQQQPYSNTPSPHHTMTSTPSYPPSRRMSSATT STSSTGNAAGHSAVSDIRRSTSSRSANSQLGYVALMRRQKATVWCDRAQPEDPRLRAQ KLADKKRAYLEVHGAGAGGRASTLGSGKIKHSKGGTDFSPSNLVSATVPVRLSANEVG DADEDAHSDHGFPHRRTGSGRSSLGSNHRYPSGYQRTPQGTMGSNSTPPSEKTDLPNV TEHPPAESTEEKKVNDDAATTYSFEAADEDNFGSVGEMAAPSAATTAAEKARRADELR RRGSVDDRTSTMTNVRLFVANPDLSD AFLA_006570 MSTTPLAGIRVVELAGLAPGPFAGLLLADYGASVLRIDRPNAVS SDQLTRRKSSITLDLRNAASHSVLLSVLAKADILIDPYRPGVLERLGLSPSEVLLKYN PRLIVARMTGFRRDGKYKDMAGHDINYIAVSGVLSMLGRAGEPPYAPGNIIGDFAGGG AMCFMGILLALLSRTRTGRGQVVEANMVDGSAYLAAMPRLNRQTPLWSGPRGQNMLDG GSPFYDTYETKDKGKYFAVGALEPQFYAALLKGLGFGSEELPSRDDRENWPVLRAAFA KRFKEKTRAEWESIFDGTDACATPVLEQDELEQGGFEQRPAVHLVDTPALPIATDDGG WTGGGLTPGEGGQETLEAWLGWKEGREYDVRSDGALIKTGEEGKAKL AFLA_006580 MEFVSKLAEKFLDKDKSSGSQEGYGNQGGYGGGDQGYGGGYPQQ QQHSGPQVPPPWIARWDGESQRWFYVNEQTGERTWNHPGQGGGYGQPQPSYGGGAPYG GEQSYGQQPSYGYGESRQGDFNQQQEEPKKDHTAAKIAGAAVLGVAGGALGAYGLHEA HEKWDENKEEWKQDVQDFPENAAEWTGEKVGKAEAGWDRAEDRVEQGWDNAVDKVEDF PENAAEWTGEKVGAVERFGDDMHDAYERGEDEGRGDDDW AFLA_006590 MVTRFRELFAEIPDQKFYLSGSPQCHIPDKQLSLAIATSAFDFV WVQFYNNDDCSARNFVAGEGFNFDAWVDIIKFGGNPAAKLFVGLPGSEAAALDGYYLT PDEVKPLVKKYMKLYPDTFGGIMVWEATQSDRNQINGTSYAGNIKRILTELDPTPPAP TTSPSSSTIASSTPILSSTPVIPGTPTPSSTPAQSSSLASSSTPLTSSTPGHSSSLIA SSTPIKSSTPVQSRTLIGSSSPARSSTPVQSSTPVHSSTPIVPSSAVQSSTPDHSSTP IVSSSPVRSSTPVHSSVSTHSSIPIISRSTVQSSALAHSSTATATSTPAQSSPLVHSS TPIQSRPPPSSSATAQSSTPARSATTSMPSVPGRSSTPATSRTQSASVTVGHSSSLIS SMSSLTSGMLTRSSSAVASGTPSASAILTETISPIPSGSSGNTISSGELTSPGTLTPS TPPATSGAPNLSSSATASENHSSTKPRVSTTSNPTGTPASSTVPAPSTASTSDSSSTS TTNPNQTGQSSPILSHTSHSATASSSAQAANPTTSTGAEPDSGDGTVTSTVTSGIATP SVIPTGTPSEPLTVTTVIVTSYIDICPTGFTTITTTYTTTYCPGTVSATATATNIPSG PGSQTAIPTPPEGWTSTVTVLTTTTEPVSVPAQQTTNVPPAEDWTTTVTVCTECASTP TTLTLTVPATGAGSNPVNPISTIAVGQISSSSGRESSVIPVVTNTVTSHSQSLSKTSV IAGTGSVHPSSSTLAVRPSTSGSRVPVAPSETQDNVSPIFTGVASQPVRLGHGAATLL ALVLAVILLM AFLA_006600 MTPQEVSPDIKFFFSPHGTNRGFIWIGMLDVHLTSAQGKNLITL IGVPEEWEESLDVTVLVELVPGRTSLPLQLNGACVADLFQFISCYH AFLA_006610 MSISTLQPPYPLHPSVKDLLDPEYISFYNEYVINQQQVHLQPVE ASRTSGVLIPGGGPLLEVGKTEDITIKRRATEGPEILLRAFTPAGETPDGGWPVMLYF HGGGWVLGNINTENPVCTNLCVRGNCVVVTVDYRLAPENPFPAAVHDCWESLLWLISD GPSRLSINTSKMATGGSSAGGNLASIITHKALTLSPPVHFLAQLLSVPVTDNTATVQN NESYRLYEHAPALPAAKMIWYRDHYLPNHGDRTNPEASPLFYEGDWSKLPRALVMVGE LDVLRVEGEQYAERLKKAGVEVDLQVMKGMPHPFLAMDKVLKEGKRSITLMCDLLKEV FWS AFLA_006620 MDGAPSVTQCDIAPGSTFVYNFTVNQTGTYWYHSHARGQYPDGL RQALVIRGPDEPYSGQYDEERVITLSEWYHDPMPTLLKQFISVTNPTGAEPVPKSALM NDTQNLTIAVEPGKTYLFRLVNVAAFASQYFWIEDHTMKIVEVDGVWTEPAEASMIYI TAAQRYSFLVTMKNDTNKNYAIVGSMDTDLFDTLPPTLNYNVTGWLVYDNKAERPAPT DISSFDPYDDFKLVPVDGERLLGDPDYTVTLDLTMDNLGDGANYAFFNGITYVMPKVP TLYTALSTGSAATNSTVYGYNTNAFILDKGDVIDLVLNNDDTGKHPFHLHGHNFQVVA RSDEDAGHYDANNHSAFPSVPMRRDTIYVKPTGYFVIRFRADNPGVWIFHCHIEWHMD AGLAVVLIEAPLDLQQTLAIPEDHWQACDASDTLKRGNAAGNTKDFYDLTGANTSVSP LPAGFTARGIVALVFSCIAAILGLISIVWYVDLLYHVFPNLCINVLSPVIFHGVAVVY AFLA_006630 MATDVFAVPIFFICFRECVETSIIVSVLLAFIKQTLGSDTDAFT RKRLIKQVWWGVAVGLFICLCIGGGMIGAFYGYGKDHFASTEDLWEGIFALVAAVIIT VMGAALLRVNKLQEKWRVKLAQALAAKPQPQGRMTDKIKQWSQKYFMFILPFITVLRE GLEAVVFIGGVSLSFPASAFPLPVFTGLLAGVVVGYIIYRGGNQTSLQIFMVISTCLL YLVAAGLLSRGVWFLENNTWSNLIGGDASETGAGPGSYDIRQSVWHVNCCSPLVNGGG GWGIFNAILGWQNSATYGSVISYNLYWLVVIVWFVMMRYREQQGRWLLIDPLVRRFKT RKTDGCLENPESTAGIGVLSDNKASGNGVMRLQATEV AFLA_006640 MPSSPPQVNHSPEGSPRRARSPISHRAGDDIDDAHSPTGSPPSS RASSLDRAAKELDARLADYTLDFDQFPSGQLSLEERNDELFGESKLPHEDQLSDVGGP EDFTANLEKYLMGDDDTFDHKDLEEIEEEEEPAREEQEPPRPQSPEQKQQEQQSSQLQ QPAVEDEAELGEYSEFAPVDMSTPSHLLRRELQKKTALLDEAYANRNDDALLREQIQL LQKQNEEKESLLQRSSINETGISALQEQIAEMRKEFRDRQVPTDVDSERLETIANLRQ QLNLAQEQLKKRDAMLDETLAKLNEATAARELQLREKNTEIDALKAQIDDHLLEIQKL EAEVDRANREYRTLEDRIATLEIRNRPLEEKNSTLEADLSRAQSQVTAQENALKAMAA DLPWETSRNTYEDILELINSMPIRSEAVPKDSDSGEPELEQLREELTKLRTEQEQASS TQNALETQLKRSQEQAAEAQSLLNSIEGENTRLSKRAEELKSSLDKAGHELNELREEY SEAQDTIQRLQEEKNTQQPSPPPSPSTTRQKETALEETHQAQLRSLQTAHATAISNLR TSHADSTRKLKNLLATAEKRETKLKSELQFLRSSRSTQENEIDSLRAEIKELETIIKV KDETAAALDKKIARSVENREKVWADRVDSALRQRDQFGKAFLYTVGQKELGENKVNFD DKGRPIQAYQYAYVKNNGRKKA AFLA_006650 MPPIPAQVDRCRVICYHQTLRPDRGQYVSALPLVQNNTGITHII IAAFHLNAEPGHITLNDDPPHHSMYDELWTEVPLLKQSGVRVMGMLGGAAQGSFRCLD GDQDKFERYYLPLLAMIRRHQLEGLDLDVEEEMSLSGIIRLIDRLKSDLGDGFIITLA PVAAALLGIGNLSGFDYRELERQRASKISWYNTQFYNGWGPADDPRMYAAIVAQGWSP QRVVYGLLTNPGNGSQGYVPREIIGPILGQLVEQFPNFGGVMGWEYFNAKPGEREKPW QWAAEMSLSMHMKDLVMAFYQGLWRAV AFLA_006660 MVSLPRVAAATSSVILRLAAFIFLRWIPGHHFPPLIFTSLVVYL SSLFSLSRPADESTTNRPHDLKRSRNTSRSAESLSNDSVLKTLLTGLPSPTSPLVTRL TVLVNIVLTLFTSDLLLRGLVFYPANDVAFSRIGYVSPTTANLLVREPDSAQLPLVVF YQEAEQDDPLKWVEEGIIYALDESTDFTTSVTLQNLKPSSHYRYSLSNNRTGSFVTAP LPGSASADRLSFLTSSCIKPNFPYNPLSHPLRIEGIEKMTETVSKLPSLLRPAFMLFL GDFIYIDVPQRFGSSVSHYRSEYRRVYSSPSWYSHGDNPAIDLPWIHTLDDHEIENDW SKGNNTAPYLAAADPYIHYHVSVNPPIPATPFAKPENTTYFSFINGPASFFMLDTRTY RSEPAQPDSTILGSAQLQSLLAYLSRPEPAEVRWKIVASSVPFTKNWRVGTTDTWGGF LNERRTVFEAMWRAERELGIRIILLSGDRHEFGATRFPDPTFDYTPDELLPDTAGEGL HEFSVGPLSMFYLPIRTYHQTDNEDVTVKYIPTGNTKYGLIDIDIRDETVLISGRTAT VPSSVLTYSLYVDNDVAWKYSLSVPLPGYEDVASAASVRHPRLLPGKVLEDNREPVGW SAQLRAVLSQVEEVRGQLVNQFRAQVSKLLDRTGTAERLD AFLA_006670 MVLQDLGRRINAAVNDLTRSNNLDEKAFDDMLKEICAALLSADV NVRLVQSLRKSIKSSVNFASLPPAVNKKRLIQKAVFDELVALVNPHADPFRPKKGRSN VIMFVGLQGAGKTTTCTKLARHYQMRGFKTALVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAVVAAEGVAKFKKERFEIIIVDTSGRHKQEEELFTEMTQIQTAVTPDQTI LVLDSTIGQAAEAQSAAFKATADFGAIIITKTDGHAAGGGAISAVAATHTPIIFLGTG EHMMDLERFEPKAFIQKLLGMGDMAGLVEHVQAVTKDSAAAKETYKHISEGIYTVRDF RENISSIMKMGPLSKLSGMIPGLSNLTAGLDDEDGSLKLRRMIYIFDSMTTAELDSDG KVFVEQPSRMVRIACGSGTTVREVEDLLSQHRMMAGMAKRVGGQKKQMQRAQNMLKGG NKEQQLAAMQKRMASMGGAGGAGFPGMPGMGDMAKMMQMLQGQGGGGGLPGLGGMDLQ GMMSQMSGLMGGGGRGRGR AFLA_006680 MRLSTTAKKRKVLEGLQGKTGRPQKKFRKQREYHSSSDEAEDGA TDFKAVSLADSNEDEVKVKKPKEQTKSSIASKKRKDKESSDDDGDESSDSDDKKDEKH EASGSDVSSDAEDEDDDYDSDVSMPTSTTDHRAVPKRNDPSAFSTSISKILATKLPSS ARADPVLSRSKIATQASTDFADEKLDKQARAKLRAEKQEELDRGRIRDVLGIERGEAG AVAEEEKRLRKIAQRGVVKLFNAVRAAQVRGEEAAKGERKKGTIGIGEREKAVNEVSK QGFLELISGKKGKPLNIEEA AFLA_006690 MSFWRETFLNLRHAVPKTVPGDRACRAQSKRLLTYSSQSPRVTA PNQYSLYAKRPGYSVSQRRVWNGLNPQCRSFSASAGVQHGHITPPKPGEELNISFIDK DGEKYDFQVSEGDNLLDIAQANDLEMEGACGGSCACSTCHVIVEDPDMFDKMEEPSDD ENDMLDLAFGLTETSRLGCQVIMSKELDGLVVRLPSMTRNLQASDFEPKK AFLA_006700 MASSREGLNPLRPYYSPPSMGLEASNATSSPPDASSAHVFGSSA RDLLSDLDYSDYLENSPSVSSWIKDALDRALWKYTSLLTAQPFDVAKTILQAYVVPDS QDGQWLLDGHRRQSSGARSDPYGEEGDEEEEDGVHLQPRRRQTHDLEKPDAISLIEAV TFKPQPHRPGTL AFLA_006710 MWGIVYEEGVQPNPEAEKAQALFNKPIALRKRQGQGIHGLYRGW RIGMWGIAGIWGASFLGSAGAIAEDGAMPSGGRF AFLA_006720 MATPAPSIAPAPTPAPLAPAIAAKPTISPSPGPGTPGSVTSKEW VIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVNELEDQIKKIEEEHDIHV AAFKEQISNLSHEVEQCRNEMTWWRDRCHALEKEVSVERSAKEALVKEFRSSLSDKNA PAGRAPLTRVSARNSGSGRATNERSSPSNANSGSNDDEQEEVPLGCPSCSSTHCQCIE DAFAMPGVESLHSKRLSTTGQGRAEPEIKPDPEEMEIDFTTRFAAPQPQEDNATAVSS PAVDPCGFCQDGTPCICAEMAAQEEQRRQSFENNRLAPIQNLSQFTPPPSDGDVRSEV TLPSINQATNPCANGPGTCAQCLADPKSTLFCKTLAASRSASVASSGCCGGKGADGGC CQSRSSNPPRAAAAKSTSGRSTTPSLTLSCADAFTTLSRHPNFSRASDEISTWLPKLH TLPNPKDVASPDRCSSRAALEVEAASVMGVLRYFDRRFADK AFLA_006730 MAASQAANIVEKVVGHNDNATVTTDVSNYNKGAYGQETGEKIKA TTWQGKNSVQIGVIPQLEKGDVLGHEFCGVVESVGPTVKKVKAGDRVVAAFPIACGEC RNCKEQLTSACERTNENSITNAMYGKRTAGTLLTNHFNIGALMQTGIRFIGNGQAPVQ KYWEHLLELIRRQEINPLDMVTHRVSLENMPELYAAFERRDKGMQKVYVQTRFSSPPA EGSPQLTEL AFLA_006740 MSIPRRNSVTIITTTTSKSINPQYKVGEFPLAFLYSQEERSDQL ALFYTRSILYSYAILHCIPPVVAQHAPQGVPTPPGSHNVFAHFIIGNAYYMTPDQWEL DIIEAQKAHIDGFALNIAPQDHHTDRALQAAYDAAEKIGNFSLFISFDYLSGGPWPAD RVITIVNSYKNRKAQFHYEEKPLVSTFEGVGNAGDWPNIKAATGCLFIPCWTSMGPAG IRNVLNDIDGAFSWDAWPVGAEDKKVTNDLEWMKALSGKPYMMPVAPWFYTNLPQWGK NWLWRGDDLWHYRWKQVIELQPPLVQVWSPLMIA AFLA_006750 MTKRSVLDLRDSAMAYRLSAQLPEPSPATIATPVARSGPFAPED YTKPYCEFMTANPTIFHAVDGFTRQLESQGYKRLPERETWNSKLEKGGKYYVTRNGSA FISFSIGRDYKSGNGMAIVAGHIDALTAKLKPVSKLPNKAGFSQLGVAPYAGALSDTW WDRDLSIGGRVLVQDSNTGKVESKLVKLDWPIARIPTLAPHFGAPSQGPFNKETQMVP IIGVDNSDLFQQQAPSKIDQDNGIKPGTFAATQPEKLVKVISKELGITDYSSIISWEL ELYDSQPAQVGGLDKDLIFAGRIDDKLCCYAAQEALLASSDSTSTSSIKMVGMFDDEE IGSLLRQGARSNFMSSVIERITEAFSPNYGPNVLSQTVANSFFVSSDVIHAVNPNFLG VYLENHAPRLNVGVAVSADSNGHMTTDSVSYGFIKRVADRCGSTLQVFQIRNDSRSGG TIGPMTSSRIGMRAIDVGIPQLSMHSIRATTGSLDPGLGVKLFKGFFDYFEEVDKEFA DF AFLA_006760 MSTSLQHIMHPRGDDRSYLSSGGKSGMAISIAFTSLATIFVFAR VYTRAGIMKRMESNDYMVMLALTFSYVFMAFYIIEALNGMGMHGADIPPPILLKQMKA FWITIPFYNAALLCAKASILLQYFRVFPTRRMRLITWVMLGILGIYGSWAVLSGFLNC IPLAKFWDKTLEGYCLDDKGLWFSNASMHITTDLVILIIPIPALAKLDLPKRQKIALI TVFALGGFVCITSICRLVALKKISDSTDPTFDNVGAASWSAIECNVGIICACLPTLRP LVSRIVPHLLSTLSSRNRSYNRSYGNRRFSHGRPPTYWGGGAGTGTVSTTITAMDDLE FGSHCADSDRVLTLVPEADIHGKEKLVLKETKSMEDASERVRTAVGSSISR AFLA_006770 MADTTSPPKPVHTIVLDAGPILKNTPPLSTLLAQCEELLITPSV VSEIRDPDARLRVETMYLPFLKQRTPTPKSVSVLSEFAKKTGDRAVLSRTDIEVLALA YEVECERNGGDWRLRSVPGQKQVNGKPPVKEEEKKPETADESGQIEEKVENPEVDAVA EDLKNTALEKPDEKSQDGVTAAAETKPVEQSTPQEPQEEDDEAADSDGGEWITPSNLK KKQARDEGISASATPEPKVMQVATMTTDFACQNVLLQMNLNLLSTTTLQRIRHLKSFI KRCHACFFTTKDMNKQFCPRCGKDTLTRVSCTTDANGQFKMHLKKNMQWNNRGNVFSI PKPTHGSSSGKWKGGGGKGGWGTELVLAEDQKEYVRATAEESRRLRKERDLMDEDYLP GILTGERSKHGGRIKVGAGRNVNSRKR AFLA_006780 MNIADHCFTRMHLMYTLDAEGKRVYTLKKVSPEGKVTKSAHPAR FSPDDKYSRHRVTLKKRFGLLLTQQKGMKTLAPKG AFLA_006790 MASQSTAPEAAAAAVKSESTNNNASQGRPGRFSRPNYRQIHRFP LPLSVHPLPPLIPHNPLSIVSVLLSYLTYLIAPPHDEVYSAYFDSETSSVHVTDAKAI RALWEMGFFGKGSLSRSEPSWMEREKKRRGLLGGVTSEEVTRQRRTERRELKLERARM EKLAIEQRLMAEAAAREAGNTSIDQSALPPSADGVTSSIPPATEKFSLRKAREAKLSE SQRPVAQTEGADTPNAPDISPSNGSKTVRFSSVVQAKELVSDSSVVRAPDPAVDEQGT EDEPSLKNEEHLQLSNEEAFFLVYGLGALQIVDGKRNAVLSPPSLLSRFCQHSYCPPR GLSSNLEPDDPFMISYIVYHHFRSLGWVVRSGVKFGVDYLLYNRGPVFSHAEFAVVII PSFEHPYWSETEERKAHCARKQARSWWWLHCVNRVQAQVKKSLVVCYVDVPPPLFGEA NAPSEDIGALLARYTVREMLIRRWVPNRTRD AFLA_006800 METQDDSIDIPLLQRVALGREPAQSQSLIPGSELLKIIPHHNVV EEHYSQISNGCQLSTGQEVSQKSLSNHPESPIQEQNARSLTNAARLPFKQRRQDPAAG LHPSKKMSSADTVPSDTQVISQSVYDDLIRQNQEAADNGSDNNLADNATLRTLHEGDI GHVDLLAGFDGSNTDATNGEDNEDQSSFKLGESSPMHYQPNLFPESQRFVSKTPATTV KTGRVDGFATVTPTASRNPLATELESSGGIMALSQVFKATQAPSSPIVRGQQSDPMSD RPSPNLPIQNRSLATTLSSPLNNIAATFPRDSSEPHLNYITMQESQAQRDIILRERMT RSADYIYSGDQSDGEFDKEPSFVERIRRQRNIDEETREQLAGLSAPPRAAGHKNSDET SKSPSRIEHQGNAAAGATSEEETEQEDELCQRMCRSPDPNPSNEEDKENHNDPSTTPL AAATSAHDRLSQALALDGAPSPSCRSSLQGAAEFHLPHGAADEQPDGASSSSQVYIVK DSQRSPGPQDEVNQGRNTAEIWNVNQTQPSGSHICTKHSPEKLVSPAKQLRIQSTPPS ASQRSRVSFQLDDDRIVARSRSNSINPHRSHEHPPRNPIAQETSVMQSSNSTVHESIE LGDKAPTSDIKEKSSSMPSRVAETPVQRPKTFADVVPTTAIPETSPNRFFTQSWANDV NNESMDQEDDDLPPLYSSTHERGYHSQPVNSNSSSPVKSIYNSKILSSPSGRQRRALT EIAADASPQIGTGNFDVDINILSADDREFRSAVALSPVPPRKRRRGNDGQNVFASDPV LPITPRAATYFAPLKENETMPARDPKPEQSVTKPKEAFEKRSRASRRAETVWDVDDSP HYHFSRKERARLFGLPRILEQKAKEAHHSEESTDAQLVADQNCSEATSLPTEQHVEAS RDEPSEDLIENSTARGDSVPDGDVAIALNQVLAAWSGTKRAFYPATCFGRPLGTSQSR YLVKFEDSAPVEVPIGAVKRLELRVGDAVKVDMPNVPKVTHIIRGFEDKLSMEDLENE ASNGIIRMTDVYGHSTVVLGQKQRKSLTREGLAVPENSVKVPISRIYLDTILWNQLKD RTYSYSSVHAQSENRLQTPSDRHTTPASPSTRLSRSIRYSNGIFAGMVFAVSYGENDE AKTRITRMILDNDGRILHDGFNELFDLPSSRPVVTPSKSPTPAANNNNQFRLTGGAED VGFACLIADKHSRRPKYMQALALNLPCLSDRWIEDCVAQRQVIDWEMYLLPAGESSYL HGATKSRLLHPYPATQARLPETIAARPNLLHGQSVLVVMGRGKADEERRKAYLFLTYA LGASKVERVPDVKSARGVLDQGATGTGCEWDWIYVDNDEKASAIATILGASVPGSQKA YPAQRSRKRKRNGLTESISGSDLGLSTNVRIVGNEFVCQSLILGRLID AFLA_006810 MLQQRLLAPLRVLERAIVPSLRSSQPISRPPPPSILSRTNTSAP TPFLNRLLPFSQVRHASHATQGTANRHSRDPAGKRLGAKRTTGEYVVPGCIIFRQRGT KWFPGENCALGRDHTIYATEAGYVRYYLDPERHPDRKYIGVCFEKDGKLPTPRNAPTK RKLNRVAVPRIDDAPTPIAGQSDLVATIDNGTMVSSVEAVNAESGSQLRPGYMYREAN WQIGRAAEKAGITAKAYNPKNRWLAWRKRQARAERAAQMKSLKNKKKASKKGKGGR AFLA_006820 MLHTWIRTLGALLLLASVAHAQFQFFEHMFGGGRQEHQQQAAQN EPSDSSRYQNMWESAQCDKYLCPGTLACVHFPHHCPCAHPDNEDKIELSEGSAVCISK GGFQPGEAARKIELARKGVL AFLA_006830 MWSARLQLQPVRGLKAPGRALSSSFTRGRISSRGPRNSIRRPEP IRRANIPSQQPDQPSSAANDASSPNPNYDPAHNTLLSPVHIPEDPRGVLKENHPAMGI LANSGLVVQRQLELMNVMIGFEQANKYVIMDANGHHIGYMAEQERGMTNMMARQWFRT HRSFVTHVFDRHENEVLRFHRPFSWINSCIRVYDPLDVARNASSSSTSLQNVQPGSLI QATGDSNARVSSLELDDMRVIGEAQQQWAPLRRKYNLFTYHHSPSRATDMGTVSRPLL QSGLSDAQQMQLTQTKNGGQAMGEFNQFAYVDEPFLSWDFSLRSANDQLIGSVNRNFA GFARELFTDTGVYALRMDSAAFSPEQVPAQNNAVTGMTLDQRAVMLATAVSIDFDYFS RHSGTGGFGFMPIWIPGVGGEAAAGGAAAGEAGAVGEAAAGTLGRAGAAGGIAEGAAA GAAGAGAIAGYDAMSRGMTGEHQSQSAPLDQQALPRDQQSPTSSQTGPYGDVWADEPQ DPWAQSQEDPWAADDTDAGDGDDYDWF AFLA_006840 MSTVAQKRLFHEYKNLSTNPPDGITAGPVTEDDMFHWEALIEGP QGTPFEGGVFAAELKFPKDYPLSPPTMKFVGGGVWHPNVYPNGTVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRSDYERKVRDEV RKGLGL AFLA_006850 MAIMSIYKYGSMAHTNQNGRLNIPCLWWLGLRTSDVVSGAPSND DRALNRLTVRDRTKIVTMLSNNPVWAAEGPELEWRAELQQMLMLNLKAEIEILYDWDG GLEGWIDQKMAGFSLPED AFLA_006860 MADPIAPWRTAAQGHLTADVNGDPKTDYSRWRLLDDDGRQTWHY LESDEENAKWPQTVADKYFLGLPTGLPKLPPAKTPLQCAENGLEFFSKLQLPPGNWAC EYGGPMFLLPGLLITYYVTNTPIPPEYATEIKRYLFARQHPEDGGWGLHIEAHSSVFG TCMNYVALRLIGVSEDDHRMIKARGLLHRFGGAIYGPHWAKFWLSILGVMDWDCVNPV PPEIWILPDWVPFAPWRWWIHIRQVFLPMSYLWSKKFTHPLDPLTKQLRSELYTEPYD SIDFAKHRNSIHKADNYYPKTWLLNTINSVLVNVWNPYLRLPALVRRAEEWTWELIRM EDENTDYAGLGPVSNPMNMVACYLHDGPDSYSVRRHRERLNDYMWVKNEGMLMNGTNG VQVWDTSFITQAIVVAGFADDPKWRPMLTKALEFLDNHQLRENVPDQEKCYRQHRKGA WPFSNKTQGYTVSDCTAEGLRSSIQLQEMHNYPKLISTQRLKDSVDCLLLMQNPSGGF TEYETTRASPKVEWLNAAEVFGGIMIGYDYPECTTASVTALSLFSKFYPDYRSDEIRA AKEKAVKYIKRVQRSDGSWYGSWGICFTYAAMFALESLASIGETYENSDYSRRGCEFL ISKQKEDGGWGESYLSSERHVYTQHEQSQVCQTAWACLALMEAGYPDKEPLRKAMKLM MSRQQPNGEWLQEAIEGVFNQSCMISYPNYKFYWPIRALGLYSRKFGNEELL AFLA_006870 MNSATQGDKALANSDFPGAIRYFTQALVELPRAPPYYLKRSTAY SRVKPADGGPHSQAALRDAEIALTLARERGKRELILAAQMRRGVALYQLERYGDAGFV FEIIRGKTGAGNADKSERMKDAMGMAGGAQLTSKNGYEQELPIWILKVKGKLNKLPGG DNKAAVTIAEYPSGVQVPTEKELKNQLDTLKSGKFGDRSVQSEPAAVNETVTGEASTS KASNGQSGAAGSTPPAAPSTVPPSDKVRHEWYQSNESVVVTLYVKGVLKDKVGVELKD ESVSIQFPLPSGAEFDFTLDPLFASVDPSSSKVSVMSTKIELVLKKRAPGQKWNALEA SVVDIKISGRQAVPDPTPAGRSAPAYPSSSRNGPKDWDKLASSLTAKKSKPKDKGKAK DGKPKDPKADDAGDESDGTDSVDSDYGGGDAVDAFFKKLYANADENTRRAMNKSYLES QGTSLSTNWSEVSKGKVEPRPPSD AFLA_006880 MIILAVYYTLADIVLLGQCFYYRGFTLRDEPSPPSSRAQESDAE DQPSFPGKVSERTALLSAKANGHSYQAHSQEHTGNGYPTPGPSGQQSFPYHQNHRRHS ATSFRDILHPNVDGTHLSPVTPFVEPSAKETRPVRRLSALQNALFNLSAVVLVCAAGV LGWYVSPGSSKAEDKQDDSGSLSFDTLGQVFGYLCAALYLGSRLPQILLNYRRKSTDG VSLLFFLFACIGNLTYVLSILAYSPVCKGVYPQGRVSQCRPGEAAALYGTLFLDMVIF VQFFLYQDNGYGETEGTSEETLRG AFLA_006890 MDMDLINITSANYAASMTTPSIRIPFTGPLPPPVIVPPSARNVS GAIDALLSFLTAPPSPYLRGVDVGRYSQTVLLTGAGISVASGLSDYRGENGTYVTNKT YRPIYFHEFLKRHEFRKRYWARSFVGWPGLVKAKPNSTHWAIRDLGAKGYLSSVVTQN VDSFHPIAHSKLSTIELHGYLRSVVCISCQNQFPRDEFQKSLEKLNPAWAEFLAKMVD TGALNTDNPEEQRQKGLKLNPDGDVDLAEAPYSTFRYPSCPTCLEKPPRLQDGTPARV EVESDGAWLPSSTAGVLKPAVIMFGENIQPAVKTAAEEAIDDAGRLLILGSSLATFSA WRLVERAHKRGMPIGIINIGGVRNESVLFGKMEPDAPHIRCSLNSDLILPPVAAQLPS LAPA AFLA_006900 MDNHSSLRIQRNPHPQQQQHHHPTSTYLDIVSTLVSPQSFLFNQ QRSRPPPPKSPTSHPAASPTMDKAQQPSSFQQLEKLGEGTYATVFKGRNRQTGELVAL KEIHLDSEEGTPSTAIREISLMKELKHESIVSLYDVIHTENKLMLVFEYMDKDLKKYM DTRGERGQLDQATIKSFMHQLLKGIAFCHENRVLHRDLKPQNLLINKKGQLKLGDFGL ARAFGIPVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAELYTGRPLFPGT TNEDQLQKIFRLMGTPSERSWPGISQLPEYKPNFHVYATQDLGLILPQIDPLGLDLLN RMLQLRPEMRVSAHDALQHPWFHDLPQMQAQLLQQQQQQQQQQQMSGYGGMVTSQQAY Q AFLA_006910 MLRTHRSRTEVRDRAKTFFGTNFTSVQDPKDPFTPTPQMSVDPR WDPLIPLSGHG AFLA_006920 MSLSEQQLYAISATERVCSAISLTGTAIIVISFLGSSAFRKPIN RLVFYASWGNLMTNIATVISQSGIHMGLNSPLCQFQAFLIQWFMPADALWTFAMACNV YLTFFYKYNSEQLRRLEWKYVLFCYGLPFIPSLAYFFIKTKARGKVYGYAVLWCWVSQ TWDWLRIAVFYGPVWFFIALTLAIYIRTGSVIWERRRQLRQLGNLDSADSYPRYEGEP TSEHYEGFEMRDTQRPLEPTHTRPTTEIRVTSEITRSQQGSCPTAEELCNPVSPFYNP YSVTIEGGFMSRQSSGLPLKAIKHSHSESWSRRRAMSDTSTAAWAYTKYAMLFFVALI VTWVPSTVNRAYSLAYPNSHNFALNYVSSFVLPLQGFWNSIIYMSISWPAFKTVYRDF RRGSLVRDPGYRNREEYEGHVEQTYSSNDSVRRLTR AFLA_006930 MAEDNSNNLNPSRQDASPSPPPPAPVPLTPGPRATVLQKTFNQA LLRTLRANSYANFSGCFPTPAKHVPASLESVWRQLNAKLEESARAEFEDIIHERDAIR QLNELDRLMGEARHRRDRGQGQGDVAPHTLTPEELYRARLAPHLQEAQTALNENIEST QNQNVALSQRVQSQRSEIEDLLSSLESVVADLEGAATAATQFSAENNLRKEALQMEEE VKARSDI AFLA_006940 MRFFMRAIATYDNGLKKYPHAFDLAYNKEALNLDQDNADALFNT AQVLTSLAEVVTDTKHPSDTQLCRAVKYLQEAIELFQRCLIIQEMRYTEMQEQIKLME SGNVGPREEEMQEQTMQESTENAGESRPSDQQEQWAAVIEPVTKDTLVDTAVAQLETL TTLCNLLTFNPGVGLGWVEESSADLLQEKISAYVEGSSRHYEASLARAKFTCALNEVL YRSGRIDVETYQTEIAHVFGPDLDLSADPEGLCSKADALTSFNTAVTDLPPSHDHEVF KNSLILRWQSLSAALDALTKASKLPDADNLPRIHVARGDVEMNRWRLGMSPWEYTMAQ QNATVLLRNAQTYYRGAAALARRDGNADEERDGTCKEALAAALEGQKDKLQQLKATAF KELMIVAKDVVDDGWVSPRDMEALLS AFLA_006950 MADTQAPVNGNYPVPHAYHESYNHAHAVANNASNFQPAQSTTPT NVPSSEQKNAISKDEVGWYFVEQYYTNMSRSPDKLHLFYSRRSQLVFGTEAESVPVAV GQKAINEKIKQLDFQDCKVRVLNVDSQASFDNILISVIGEISNKSEPSRKFIQTFVLA EQPNGYYVLNDIFRYLVDEEDIVNEEPTPAATAASAAPAEPAEPAAEPAADEPTQPET ESAPTVESQVADEVTVAKVDEKLDQAEEKAEEEQPEEPAPQANGTEAQTSEDVQEPVA LAESEASKTEKPAAPEPTPAPTTQKENVAPAKEPAVPARAVPKTWANIASKSGATAPV VPAIPVAPAKPAPATSSAQSVPAPAAAAATPTPATDSTPSQPPSNDGSGWQTAGHDHK KTQPRASDDQNVMAYIKNVTDKVDATLLKQTLSRFGKLKHFDVSRQKNCAFVEFADPA GYAAAVAANPHQIGTEQISVEERRSRTSAYGGNTNYGAGRGGSGRGRGDRAGSQGRGG FQRDGRGGFAPRGRGGSGNVNAKARNQAQAA AFLA_006960 MSETFEFQAEISQLLSLIINTVYSNKEIFLRELISNASDALDKI RYESLSDPSKLDSGKDLRIDIIPNKEAKTLTIRDTGIGMTKADLINNLGTIARSGTKQ FMEALSAGADISMIGQFGVGFYSAYLVADRVTVISKHNDDEQYVWESAAGGTFTLTQD TEGEPLGRGTKMILHLKDEQTDYLNESRIKEVVRKHSEFISYPIYLHVLKETEKEVPD EEEETKEEEGDEKKPKIEEVDEEEEKKEKKTKTVKESKIEEEELNKTKPIWTRNPADI TQEEYAAFYKSLSNDWEDHLAVKHFSVEGQLEFRAILYIPKRAPFDLFETKKTKNNIK LYVRRVFITDDATDLIPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKKT LELFTEIAEDREQFDKFYSAFSKNIKLGVHEDAQNRQTLAKLLRYQSTKSGDEVTSLS DYVTRMPEHQKQIYYITGESIKAVAKSPFLDSLKQKNFEVLFLVDPIDEYAFTQLKEF DGKKLVDITKDFELEESEEEKAEREKEEKEFEGLAKSLKNILGDKVEKVVVSHKLVGS PCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSAIIKELKKKVEAD GESDRTVKSITQLLFETSLLVSGFTIDEPASFAERIHKLVSLGLNVDEEAETSEEKAA EEAPAAATGESSMEEVD AFLA_006970 MRPPVPDIALRRARKSSIEQQWIAPRDTAPATFFLARSRDHDAE EELSPDESVPPRESMYGVQSLEEAIHDANLLTSECEPYLSGGNPDSTTPIEPQQQPPP RDHLDNGENGATSWPRRKSTLKPSDLLNASKLEISLPSSDRTSPRPLTPSNLSNPEDP SFSLPSSPKSFSNQSLRHLDDISITDDVSSEVVASGEEDNDFPTPSNICLDSASQLVM PSIRMPSRRPFTERGKSLGRLKVLIAGAPGTGKTSLIKSIVQACEDIVHVDPFPSLPP SKSSRSPGSSSRPFQTRSISSVTEIYASTKPYPVWWSDLEDSRVLRRRRSMGDVVLER NLCFVDPQPNELDCAAQTDIVVQYIRQQLLRAMTSLNSANHDFQSMLAGNGGAQVDAV LYLISESTR AFLA_006980 MSSAMEQDNSLSWPDITAPGDDEFSNFLEFGMHFPDMEGHGPSD QHPPRSIAHSVSMPTSTAPSGQEQLMRMETDAVAPQSSSYDRMMGDFPIELSSQVHQS QSHGSIPAPFSDAPVTPAFYAQKPPHPQIFNHQQLQQPQEQSHHHHVHHSQPTSQPYV PNGQPVIPPTPNSIEMQGSAATYPQRVDENHDMYDRYARINEEQALYTPLVSPAMTPL ETQFRLPEYTIPGEYFTPLTSPALEAQNANSTGYPFHSGHVSDMGFVNSPIDSSIPVS SSPNSPGLIRKHHRRRPSNTKTFSARAKKQQSPSVRPQTRKKSLLQINSDEVLNGLSQ HQGGPRSQPSTGSGLRYGSNESSGQDSVSPEPLSEPLMPPPALPTARRSPAIAPQAAQ SQTNEPATPAMLMRIQRPQHSPAAIGQASGPVSSESQDDIMEDVILPEAATPTTHFSQ SQVARIDTSLRNGRTDAASVITPALEPKPTPLAERTPGSVAPSPRTVAMPSPSGPVGK KSDTPKLGPLGRKRQSLSSSQPSPNLRPKISPSIQPLVRGECISSETSALYLASKSNY QHILDGTLLPGVSYPEALAENLSSKRTNHKLAEQGRRNRINNALKEIEALIPAEFVNM KNAKDATPCSLKGSEKEKEKPSNQQISKASTVEMAIDYIKALKKELEETKGKLEAAEA RLGEKETSQAHDGDSVSPENEQLEKPGEVVGVLTSPATNGPA AFLA_006990 MTSQYAGELCTLLVEDNFGELFARIFSTLNRYDRLSFSRLKFYS RLSNAQLRHGLAAMIQQHLVYHYTSYDDGITYYEPNMQSAYYLVRSGKILELIEHRLG KYAATVMSTIMFLGHAQVGYLETLPALRPSNSDVNRASEERGGIHETEEYHGEEARQE TEEHRETEGRPNGLNSDYTSSERPALLHPTLKALAGHGYILRVRDAQFQSYADNALDA ERTIKSRPDIKALKGKKLDEAVTEGTLELLKERLDGDLTRGLMFNGVPRGAKRRHTTG ATEASNKKARVDYAAVDEDEDGGEENEWSDDDFGEDTIPMESGITVRINYEKLDVALR NRRFLELAERDSSPVTAEVYESLLRRIEYQTAKCRDTTEIPREGEEGEHYSVPVPLRA VVEDVDLFLDLAGSVGPMEVSQPINRRGKRPLEETTNGAAHDGTNGGQSDGNRTYEVD QHLCLLAQPPYSLTSKRMVSGLITWTVEFRHLARKLRHLELERIIEARYGDVALRVVR VLHDKGKLDEKRLQEISLLPFKDLRQVLASMQTGGFVDLQEVPRDALRQPSKTIFLWF YDPDRVGNSVLEDTYKAMSRCLQRLRFERSRLKEFLEKTERSDVKGNEERYLSEAELT LLGQWRAKEALLLGEVARLDEMVAVIRDY AFLA_007000 MLSRSTFSRNAPRAVQKQCSAAGINSRRSMASAATPGLQYDVTE AAGVKLANREVAGPTATLALVAKAGPRYQPFPGFSDALEQFAFKWVGQFFKELPSSGS SSQYQLRPGATSKYHGGEQRVSSKAGNAVVIAFPGSAAFGTSGYKPEASVLAALLGGE STIKWTPGFSLLAQATQGFSQVRASTKSHTYSDAGLFTISLSGKADHVASASKNAVDA LKKVAAGEVASEDIKKAIALAKFRALESAQSLETGLEATGSALLSGGKPYQIGEIAQS IDAVTEAQVTDAAKNFLSDKASVASVGDLFQLPYGEDLGLTV AFLA_007010 MSTTTAEMAPAGRKLEKKPVKFSNLLLGAGLNMFEVTTLGQPLE VIKTTMAANRGDSFASAMGRIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVASEAEF RAKVLGAPDFLAGISGGMAGGIAQAYATMGFCTCMKTVEITKHKMAAQGVKPPSTFAT FMDIYRKEGIRGINRGVNAVAIRQTTNWGSRFGLSRLAESAIRKVTNKDEGQKLSAME KVLASGLGGGLSAWNQPIEVIRVEMQSKKDDPNRPKNLTVGKTFKYIYETNGLKGLYR GVTPRIGLGIWQTVCMVALGDMAKEAVEKLTGDQVTAKH AFLA_007020 MASSLRIGTSVLRSTSLAGKPVVQSVAFNGLRCYSTGKAKSLKE TFADNLPGEIEKVKKLRKDYGNKVIGEVTLDQAYGGARGVKCLVWEGSVLDSEEGIRF RGYTIPECQKLLPKAPGGEEPLPEGLFWLLLTGEIPSEQQVRDLSAEWAARSDLPKFI EELIDRCPSTLHPMAQFSLAVTALEHESAFAKAYAKGINKKDYWNYTFEDSMDLIAKL PTIAAKIYRNVFKDGKVAPIQKDKDYSYNLANQLGFGNNNDFVELMRLYLTIHSDHEG GNVSAHTTHLVGSALSSPMLSLSAGLNGLAGPLHGLANQEVLNWLTKMKAAIGNDLSD QAIKDYLWSTLNAGQVVPGYGHAVLRKTDPRYVSQREFALRKLPDDQMFNLVSQVYKI APGVLTEHGKTKNPFPNVDAHSGVLLQYYGLTEANYYTVLFGVSRALGVLPQLIIDRA LGAPIERPKSFSTEAYAKLVGAKL AFLA_007030 MSSAVAELDNYLQSMLALKPPGVSGSKINSITSLCTANVQNESV LIQKIYTHFKKAPGTHKLGVLYVVDSVTRQWVEAARKAGQPSGSAAPDGTFAAGVNRV TELLPVLMTDIINNAPEDQKEKIRKLVDIWERGYTFPAPMLASFKEKLNAPAAHSKLG AAGGSYKRQDKCKRNSDIASIDVESTTPEGSPAPNYIPLGGPQQSQLPLSSNGATSTT PQSAPDTSSILKALADMAKQNTAAPSASAAPAVAPQANPLGTLTQQSAAPQPVSSSVD QASQPQNGQASVNPFTAGAMATPFAALSNVAQNTALVQPQSQSQTPNPLTAAQNPLAA LLPQATAGPAQPTPAVTTDALQQQLQLLQLLAAQGIPQDQWATALQILSLSNAANMGN MNPTQAAGFNLPGQNVNTWGRPDSQSREFDRDRERDRDYMRSPPGQYRRRSRSPGWDR RRDVSPPRRRDSPVYGEYHGDSPGRRGGDPRGRRGNDYRQRSPPGRRRRTPSPPRKDP TLPPPGPKFIEWDYSIGQGNIKVLSRTLFVGGVTSSEAHLRSLFGKFGIVQTCIVNID KRHAFIKMISRQDAVLAREGMESYKSGDMQLRTRWGVGFGPRDCSDYQTGISIIPVER LTEADRKWMLTAEYGGTGGRPIESGMVVEEPDIEIGAGVSSKAISRRIATDTGGKRGP ISSRTQQDRFPRPDRDGPSARMGPGGHGGQPDRDISNANNVGVPPAVPGFGFSFPGMP MFPPGFMMGGAQAGTSSGSAQPPPPGQGGN AFLA_007040 MAESRQPHFETLQLHAGQEPDPATNARAVPIYATTSYTFNDSAH GARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAISALAHS GDNIVSTSNLYGGTYNQFKVFFSRLGITTKFVQGDKPEDIGAAIDDRTKAVYVETIGN PRYNVPDIEAIAKAAHEKGVPLVVDNTFGAGGYYCRPIEHGADIVVHSATKWIGGHGT TIGGVVIDSGKFDWGKHGARFPQFVEPSEGYHGLKFWETFGNLAFAIRVRVEILRDLG SALNPFAAQQLILGLETLSLRAERHASNALALANWLRTNDNVSWVSYPGLEDHPNHVT AKRYLKRGYGGVLSFGVKGGAAAGSQVVDGFKLISNLANVGDSKTLAIHPWSTTHEQL TEQERLESGVTEDAIRISVGTEHIDDIIADFEQSFKTSSAART AFLA_007050 MALDFPWIYPVRVVQVIFAIIILGLTAYIVSVYNNDTVNFMLFN SIWTAFFATPYLALAPVHFPQIAHRFVIPAVEAITMIFWFAGFIALGVLLPAPRFCHW SACNCAQAATVFGAFEWALFAVTTVVAVIGALRTRSSTGTKPAPQTTAHVGV AFLA_007060 MAFEDGFNVTPKTVGKEAMANDEAFQVNAPTPSSDLKDVDCEKH GATRESNPVPDLKRQLKSRHLQMIAIGGTIGTGLFISSGTAIGTAGPVGALIAYLFVG SIVYSVMQALGEIASYLPIQGAFTSYAARLIDPSLGFAMGWIYWFSWASTFALELTAT GLIIQFWDKDINIAIFIAVFWVVITLFNFLPVSFYGELEFWFASIKVITVVGFMIFAI CIDAGAGDKGYLGFTYWTNPGPFAAYAGVSPDSTAKFVGFWAVLIQAGFSYQGTELVG IAAGETENPRKTIPSAIRKTFYRILFFFVLTIFFIGLLVPYTNEDLVKDGNDANSSPF VIAARLAGVKVLPHIINAVLLTVVLSAANSNVYSGSRILIGLAQEGLAPRWFKKTSKK GVPYYGVMFTAAFGLLGFMNVSNAGSTVFNWLLNIAGVAGFITWCSLNACHLAFMRAL KARNMSRDLLPFKAMWQPWYSWYGLFFNILIILTQGFTAWIPTFSVTDFFIAYISLIL FVVLYVGHKIFYRTSFVPPLEADIDTGRVSLENESWETTTTKWYQRIFRSFRG AFLA_007070 MFFSQQPVHLARADELRQEPPKGSPYSVALPGTEEPGRSRIYRA YLAQKELVRTLDPQVLTAHDIFESTANRVPKNHCLGWRPYNQTTKTFGPYQWLDYQTV QKRRADFGAGLVELHHKHNCHRSGQYGIGLWCQNRPEWQITDLACMSQGLYSVSIYDV LASDATEYIINHAELHCVVTSLPHIPTLLKLKPLLPNLKIIISLDPLDGGEQVGHSKR ALLESVAAGQDVSIYTIDQVEELGATSNRPYNAPQPSDIVTINYTSGTTGPPKGVVLT HENAVAATAGALVTTQQAAGDTLASYLPLAHIYARLSEHAAFWAGARIGYFHGNIVEL VDDLKLLKPTGFMSVPRLYSRFGNAIRASTVDQPGFKGALSRHVVSTKTANLKNPDTS KATVKHALYDRIWSKKVAAALGLERTRMMVSGSAPLDPSLHNFLRVATGADLVQGYGL TETYAMACAQSSKDLTAGNCGRLAPCTEACLASLPDMDYSVEDKPYPRGELLLRGTNV FKEYFKNPEETDKAITEDGWFRTGDVCTIDEMGRIIIIDRRKNVLKLAQGEYISPERL EGVYLSELGYFAQGYIHGDSVQTFLVGIFGIQPDAFAVFASKVLGRPMSETDIEGIRS VLNDDKIRKAVLKDLERVAKKHKLAGYERVKNCSLMLDPFTVENNLLTPTLKLKRPPV VKQYRQLLDELYAQATAEESAPKAKL AFLA_007080 MRLFHASTSSLLFLLSTVVVTSALTVVIPPSNLLPNPNALPSGT HATLTPIPSSTQSKSGHVSPHSLTAPLTRSATFIFQNLDSTGKPESYLLDVRSAEYVF TPYRVDVAADGTVLGIWETFRGNPWENRGAERYVLDAASVNAAKLPEVAVDAKVLARR GFYEERPKFSPLSLFKNPMILLAIVALGFTFGMPKLMENMDPEMRAEFEKHSRASPIS GATRSAMAGGGAPGNFDFAGWMAGAHPRPGGPEPAALQGVATGREGGNVRRRG AFLA_007090 MSFAPPPGPPPPAVPEGWKAQFDDRYKQWFFVNLRTGKSQWESP QGPAQEELHAPPSEAPPSYEESGPANPSAVHGANEKKNLGSNNPYNQAGARSSSLDSD ARLAAQLQAEEDARARDSRSPRQQAQPGAAADYYTEASRPQSVGYQSSSTPPQHITGP EQKRSKGFLSKLMGKSSSGSAANHGRPSSQPSQPYGYPPGGYGGYPQQPTGYGYPSYP AQPGYYPTAAQPVRRHGGGMGTAGAAALGVGGGLIGGALLAEALDDHHDYDDYGSGGY DDFDGGDFGDF AFLA_007100 MTSQTPEHVRSRNFRSHASRRPTSVQIGSDDPSIIEEAQNLLSQ FMRHSSHETQGSQSGNRATLQKVSDSRTEHSSESADDPQVVYVISDDSALESVPEKRT VPSARRRHSARHPRLRSSRATPGLGNLSKRPGNNSETDPVLPTTKREQSNNSNYVRQT MYPPHLLSDRKSYAINNDKAPRTRRSARSKTGPINYYKKLNLFNYESEEECSGDEDVD VLANSDQPQQRHGRSRSCDLSMPPCYRDSQLVGHTQYSVIYSSSRVQILKAPLKTLDD FRSVGHARYRPERNPANYAKGLRGEDLALDNILHFDFDPKEMTAVLNLLSFSGCHWRF APDTALTDQLIQVASTYNMHPKSFKKMSSILKLSRLLSTEEASDSNALLLEILTSTPC TKELRRARRLAMRLLGSQEKRENEGPSTSGDQHCHKVEEVRRLSGHLSFAFALGRRQC ADIEAFIADAQRGHLPTVPSVIKAVKLEDGSSATRKNAQASGNLNKLLQDRELGCAVS RQVSSRFLSNLGVSRTWKGASNDIIVLAWSPDGTRFAAGATAQCDEHNMEYNRGNNLI LGDLTTDSLEELPDHWSPRPPGFSRGTMNDHRLFMSVTACQWFDDTLYTASYDKTVKL WRFPNHRASCYKTLEHDSKVQVMAPSNFSKNVVATGTQSVGFWQLDESTYNVLDLPRQ RSKREIDLIPTSLAWGTIPITRELLIAGMSEKGDGVTHNGLLAAWHIAEASSTPIQLS PNSQNIFDVKWHPSLPLFATASSARGGGTTLLSSKNTRSVVRLYSPLISKMCTMELEC PALDINDVTFCPGNSNYVTASCTDGITYVWDTRNPDTVLHKLQHAEPLNQIDETIPRE QADVGVRLALWGNSVTQFYTGASDGILKTWDVLRSPDDALIQDVASFGEEIMSGAFSP DRSNLLIGDAAGGLHLLSPNTYADETLSFKFKRASQVPFKGQDPDSESGIMAARKAIL NGHLLRHPIYGVGQGPHYNGPYAAWARPDNTPVHQLGQTKLKEEWQLRQLDGIPPVLR SGLNDQLRREIECQRQLAQIRNGQHVNKRKRLEPGYYAKSRDVLVDLCSEDGFWAAPV KPKRLAAESKYVITENANVEVIDLTGDSDTECATPPKGNLAFPTTQGTESYSGLGHPL EGFEGILEDDHWWPSSDQIDPNFNDADV AFLA_007110 MSQSKIEEQKKAVAAAATQQSDNIAHALAGAGGGILSMVLTYPL ITLSTRAQVESKRAHSTTADAIRRIVQREGISGLYSGLESALFGISVTNFVYYYWYEW TRSAFEKAAAKAGRASTKLTTAESMIAGAIAGSATVLITNPIWVVNTRMTARKSESDE AVLPGAPKKTKASTISTLLDLLRQEGPKALFAGVLPALVLVINPILQYTIFEQLKNVV ERRRRMTPKDAFYLGALGKILATSITYPYITVKSRMHVASKDGPKETLNGSLKRIIKE EGYVGLYKGIGPKVTQSAITAAFLFAFKDVLYDTMVAIRKRDRVSK AFLA_007120 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMEDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTATVNNKPTVQVGQGQGVQSGSAGGCC AFLA_007130 MDGVGGTVDKCKALQGGETKEKTKETGVVYQMKGQAAVAADRER GRGKEALPGSVKFDEVGRRLLKWRLRVAE AFLA_007140 MATKDREVLPDVAKPVHYEVSLFDLQLGDSWGYKGIVKIDSKIT RSTKEIMLNSKEIEVQKAEIFGEDGTKITQASEITYDQKSERVTLKFPQEITPSEVVL SLAFAGVMNNSMAGFYRSKYKPVAKPSPDTPREGDFHYMLSTQFESCDARRAFPCFDE PNLKATFDFEIEVPKGQTALSNMPVKSERDGSSPGLKVVSFERTPVMSTYLLAWAVGD FEYVEAMTHRRYNGKSIPVRVYTTKGLKEQARFALECAHRTVDYFSEVFEIEYPLPKA DLLAVHEFAMGAMENWGLVTYRTTAVLFDEGKSDTRYKNRIAYVVAHELAHQWFGNLV TMDWWNELWLNEGFATWVGWLAVDHFYPEWNIWSQFVAEGVQQAFQLDSLRASHPIEV PVKNALEVDQIFDHISYLKGSSVIRMLSDHLGRDTFLRGVANYLKTHAYGNATTNDLW SALSEASGQDVNSFMDPWIRKIGFPVITVAEEPSQISIRQNRFLSTGDAKPEEDETTW WIPLGIKSGSKMEEVNSRALVAKTDTIHGVGQNSFYKINKDLSGFYRTNYPTDRLAKL GKSLELLSTEDKIGLIGDAAALAVSGEGSTAALLALLEGFSEEQNYLVWSQISSSLAN LRSVFSQNESVAAGLKEFALRLASPAAHRLGWEFKPGEEYLIIQLRKLLIGMAGLAGD EKVITEAKRRFELWAAGQDKNAINTNLRSVIFGINVSEGGSKEFDSVKEEYLKTDSVD GKEICLAALGRTKDARLVQDYLDFVFSDKVAIQDVHNGAVSLAANSKVRHLLWEYMKG NWGTVEARLSSNNVVFERFVRMGLSKFADQSIGEDIASFFQNKDTSAYDRALVIVSDS IRTNAHYKERDEKSVLEWLQAHGYA AFLA_007150 MTEKDLRPTRYLLTLRYAQELVREFFEQNPISQLGVLGLRDGLA IRISDLSGNPTEHISAIQTLRDQDPKGLPSLQNGIEMARGALL AFLA_007160 MATVYQKKIFFKAGYSAGDLVSRSKQHCLWRLNFWVLMPQTFMP PQSSLGLRSFTHFILFPRLPPPRLGAWCVMAR AFLA_007170 MNQGILTRLSEQQSLLGRQRRTFYSNNEGLEAHDGTNKSAKIIS GPVQSLAQDLALPDATNTDTSEVLRLKQELLAANSRIALQEQELAQTRVIKHTLDQAL GPPSEVDFNVREVTEQTISDLQDVFNASNPTFSQLQDGWSGPDDSQSDISDALSTGAY SRSRGFWIPPIQQRLNMNMPNPITDKAYGEPISMPNNFYSQNLSRPWGNPLPDTGVSA NTSSQSHRVFSGPLTGACSFDTRLSSEQSRYASGSGVGPRRSITQANRGGSCFPTQHS PWGTFAASSPSIQAPRSPVNRQNSTYQQIGLYPIPPYYHQQPVGTPLSPTASEFTSSG ATAPQWTSSAVGVGSTHTYISPLEPLNYRRLLDKNVSCDWRYIVDKIVCNNDQQASIF LQQKLKVGTAEQKYEIIEAIAHQAYPLMINRFGNFLVQRCFEHGTPEQIVAIANAIKG NTLSLSMDPFGCHVIQKAFDCVPEEHKAVMVHELLRRIPETVIHRYACHVWQKLFELR WSGEPPQIMAKVNEALRGMWHEVALGETGSLVVQNIFENCVEDEKRPAIEEVLTKIDL LAHGQFGNWCIQHICEHGAPHDKNRAIEHILLWSVDYSMDQFASKIVEKCLKIGGSEF LDRYLTRVCTGRTDRPRMPLIDIAGDQYGNYLIQWILMNAALHQRELVASHIRKHMVS LRGSKFGSRVAMLCCNPSHITRPGPGAGMQVGRFTNFNDDRYQISGQNGGRFNRASQW NPNYPPFR AFLA_007180 MTTAIVTGTGRRSSIRQSDLQPPATDFISQSMTTSPIDKFPQFK DDLYEIAAGPRESHSNFATKYTPNDRWEPRKENNFASEYTNGTIRHSKHKPRKSISEA ISTIRTRNASVSANAQELAQALRAPVSYKLISLCLIWYMTSALTNTSSKSILNALPKP ITLTIVQFAFVSIWCLLLSYLSKILPWLRNSIPALKNGIRYPSRDVIMTALPLAVFQL AGHILSSMATSQIPVSLVHTIKGLSPLFTVLAYRVFFRIRYASATYLSLVPLTLGVML ACSTGFSTNFFGIICALVAALVFVSQNIFSKKLFNETARGESETQVSAQRKLDKLNLL CYCSGLAFILTLPIWVLCEGYPLLSNVLRDGSISLAWLPPGTKQETTWQPSDS AFLA_007190 MRPQLFRAAGRAVRVPKVNYLRSFATTTPRLAEVELTIDGKKVS VEAGSALIQACEKAGATIPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPGM NVKTNSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEVGG KRAVEDKNIGPLVKTSMNRCIHCTRCVRFMNDVAGAPELGTAGRGNDMQIGTYLEKNL DSELSGNVIDLCPVGALTSKPYAFRARPWELKHTESIDIHDALGSNIRIDSRGMEVMR IIPRLNDDINEEWINDKSRFACDGLKTQRLTTPLIRREGKFVPATWEQALTEISSARQ KLQLKENEFKAVAGHLVDAESLVAMKDLANKLGSDNLALDQPGGSSPIAHGVDVRSSY LFNSKIYGIEEADAILLVATNPRHEASVLNARIRKQYLRSDLEIGLVGEEFESTFDFE HFGSDVSALKSTLAGKFGEKLASAKRPMIIVGSAAAEHADAKAIFETVGSFVEKHSST FNTPEWQGYNVLQRAASRAAAYEVGFTTPSPEVAQTKPKMVWLLGADEVGQTEIPNDA FVIYQGHHGDRGAQLADVVLPGAAFTEKSGTYINTEGRVQVTRAATSLPGAARDDWKI IRATSEFLDVPLPYDDIEALRDRMEEISPVMRRYDVVEPTSLGSLSKIQLVEQNKGTQ PTLAPFKKVIDDFYFTDSISRSSPTMARCSAAKATGNPETNFMAAGEMSPQALYG AFLA_007200 MSSEFGLSPRVLRITRSDVPESYVLVHITRAGSSLVDLNVTATE GENPYSGTGNDEEWSQIVSHVFGQLDESAAKVKLCGIESSASVIGASDAEDKELVITI RKRIQTITQRLGSVTLRQDDQQAIQLFDWAGIATLRAYTIEERFASLLARYRSAENTI RQLNKQLEEFVSAKTQHEEQLIVNFVQLLNEKKLKIRNQQRLLASAKVEQEKVSWIQN TRSDQYLRPHKNIRAAKRTAAEMSDDEAESEEEFETMEFNQTMHSSDLGEANETTDER SSTPQPLEEVDENATDEGSPAPSDLTGSEDERHMFEHRGPTGRPIMKEPPAPPPRREL PFARKAHTPGKKSNAQEYNTEDTAGETDDDEL AFLA_007210 MESLTLQVRRGEEETIECWDDDDDLQCYEDIQLRTASSATSVTN SSIRRSGHRDSISSRRSGRSDLDSNVGGDEDWQVQLLDNEEGVNEEAIASAKNAGIPL PANLPRSALVGGTIKRLGRRKPKQDFVDDWSEDVEFPGPDGVLELKNTQESMFPESLR QISSAATSPVKTSASPFWSDDISSHLQSALANLDSYQVDDIVDDADDVPTIRAPVSRS PQRNGFLNDSKPDKQNNDTEDFEQDFELPPDDLPLQLSHRQVISRGSSPTPDELDVDW SEGSIGVRFGGTTRDYRSNPSSSVSVVSPSASSCLTAESEDEGLDGLIIPDGPLDLES SLRKRQEPKYVNLSHSKLAKPSREAASADNFFSGLEVEDDDVFDSRRLSINPNVKCKT ERPGSPARRSATTLTFTHTTVSPKTRIPRLSGHDRPHSTHLETVSESGAPLSKFRTPP SRGGGHSSHSSLSSLTPSGTTSMSPINSAPNRRLVGSRVPKGSIGNERITAGNQLLKK KRSMPSMRNTQQPVSPSFQRSPSRQDGSNFSTIRPKTPVDRANDVRTLSRKSHAPFIP AGASENQSHHASVKSYRQSRRTNSDSSNDAFNSQASSRLSRSSRHDAFGNTRNESSPE ALVTSTKRTLTRPTRRRNFGDGTELASFDDLPTSTSAESRFVKLPSGRGAPRSLRSRL NRSHTIPSRTHTLTPQQPVLGIASKSNDFTPRFARDTNASRNAREQRIASMTFSGKAR ENASFTSLNAIWKAQTVSRVPLNSTIRSRKSRPAISAGCKPHLIKPLGAGVREPKSVN GMQYNPTAFRWEGNEGLIHDFEIGSPQSPKPAPALITNVGAMQNVQVVGGMVFDPQRM CWLKLAPLQPGTKGLVAIQDDDDVFAGLGDLEDKASSSRLRNSSTYDDFGFGGSGDDR SCGDSSDEWPMTEEFDVGPEFIRRQRAEEEKWRRKVDKWVSFDRMRFGDGWRWAIRDL VQSNSTWDHNSG AFLA_007220 MGGDGSFGERDTISRRDLPHLSRCFVSTTVLALLMCPDHFHALE SVTVLYTVPTVEGGGGGVVRPYGQGLMAQSAQLPWPSLLRLPKPLIMTFGLVFLSCWQ WGS AFLA_007230 MKSSSSCSGLSFKSQALYLMVFVTRYLDLFWAFSESLYNTTFKI LFIGSSAYIIYLMLNDYKPTHDPNIDTFKVQYLLGIGALLALLFPHDYSVSEVLWTFS IWLESVAILPQLFMLQRTGEADTITTHYLFALGLYRALYIPNWIYRYFAESYFQPVPV VAGIVQTLLYSDFFYIYYTKVMKGKKFSLPV AFLA_007240 MAAPYSRILDLTKVQCRIFSLNFNPQRLRLGNKVLRQRLRGPTL AEWYPKKAVSFRDLQDSYKPLGLTTFDEAEDDREEAIQIAKLRGKGRPKKKRTAAESR SAKKKK AFLA_007250 MDKVLERAVFTHPGVSNDTEKTYDRLEILGDAYIELIATKLIWK RFREIPSGRISQIRELLVKNETLAEYAAGYGLDRKAAVPQDYLRQSKRWTKTRADIFE AYVAAAIISHPVDGYRVVENWLTQLWLPKLSELGIQKPVLNAKELLARKIMGKGIKLR YIDEHPPAQQGPGMQTFFVGVYLTGWGWNNKHLGSGQGPNKTIAGNEAAHQALSNEPM VEEITCAKRAYEAAKD AFLA_130690 MDKKATALLKLIYLEMFGYDMSWASFHVLEVMSSTKYLQKRAGY LAAVQSFRPDTEVLMLATNLLKKDLVSPSIPNMSLPLITLPNIITPSLAMSLLPDVLS RISHSHAVARKKAVVCLYRLALVYPEALKLAWPKIKDRLMDDGEDSSVTTAVINVVCE LGWRRPHDFLPLAPRFFELLVDGGNNWMAIKIIKLFATLTPIEPRLTRKLIRPLINII QTTTAMSLLYECINGIVQGGILDGEEVLEEKNEIASLCLGKLRGMVVTESDPNLKYVA LLAFNRIVMSHPVLVSAHQDVIMDCLEDPDISIRLRALDLVTRMVTSDTLQSVVNRLV DQLFNARQVTKSTLAAGSCETGIRTDMGEFTLSEGPHAQKLPIALPDDYSIEVVHRIL DVCSYNNYSELPDFEWYVDVLVQLVKLLPPSIEELPTHYTSYRDPEHYKNCVAFRIGS EIRNIAVRVRDVRMEATRAAETLILVDNKQGPSPLVSKQTSDILGPLAWVTGEFAEHL AYPSQTLQSLIDMSNVSLSASTLSLYIQAIPKVLANLICDGDETWDSLKNSEMSLLLA RIIEFLDVLAAHPDLDVQERAIEFLEVMRLAADAVQSETLEVGQAPSLLSSMVPSFFH GLELNPVATNAQKKVPLPDQLCLTQEFNKHIYGLFNNPANGLPEPAGQLPSQMFYHVR EVSVLDKQPVEFLPVDLQLNPTYQDLSSGFGDDTAALKRREERKERNRDDPFYIAAED ESSCTLTPFHQTLNMSNSSGLDIDSIPIVDLNLNGAGSHRTLASLSRDNRQGGSRPKK YEIAPDEIIGQEDSPHLDSNDEPGKAKRSLLQVDSSGLEHLSLDGRGGSSGDPTVPTV VGGHDVEMAMAVQKVEKLRLEMQRASERVHPNGIPAEGTLVRKKRKSKKPTRIGSQEA ALLKAEDEMSVDNTQQMPVSSVAPKKKKRAKKQINAGSSSAL AFLA_130700 MAHATPDFHAIHSSSPITTLRFSQQAPVILERQLHSEKNLISAL IGKQEEPEKYGIIEQLFFSCLQTGDDRSALLCLEQLTRRFGSSNEKVMGLRGLYEEAI CENQSDLEDSLRKYDSYLLENPLNLPILKRRIALLRSLARPADAITGLVELLKAVPTD AEAWCELADLYQSQGLSSQAIFSLEEALLIAPNAWNIHARLGEVLYICARAAETEVTS RYLRRSIQYFCRSVELCDDYLRGFYGLILATSLQLGREYTMDFSQASASASSEDFLPK EKVEKLNLLARRKLEDIVKQRSVNRQLWEHAQGELIAAKELLDRESC AFLA_130710 MAFNIRIGPSPHGSDHSSLSDEEDSSGVQVSPPSDSGSHDYQDP YDEWAHLPYPDELKPSDSASRPRTSHRARSRHPVHGSSSTRRHPGRRHIVQEREHFTR RPRRQPSPESPESMDSGDEYDSPYGRVSHEKRIWPPVAQGPGYARSPSPVPSYVYPNG GAPHAAYAHQSSHQPPSDQLIRLGPHGHPAQPNPYGQASYAYNHQLQQPHGSSMPPYF HDHHLGHHPHPTPPSHVRGDGHTPSQHPLARSLSSHGPSPYGGPPLGPHDLVPYGPGG YYPFREPYGMVPGMIHPSYFNPYPRVPSPSQVESTGSPPPPPTDTSKDEAIARLEKLI LEERTEREAREQREAARQAAMEQEAAEQAAREERAAHEMRIVEEAAARAREEAEQKAA EEAAKAKKEAEEAAAAAAAEAAAAATEAANAAAAEAIAAARAAASDKPPPEKKKPIKF KDAVGRKFSFPFDLCCTWQGMEELIRQAFLHIEIIGPHVAEGHYDLIGPNGDIILPQV WETVIEPDWTITMHMWPIPEKPKTPEPAPPPDPPVPEAAAAPEALPAPEDVAVAVPAE EPKKKSDSTGASSVRCLVKESLRTCFFSGTQLSPRLVL AFLA_130720 MSNLRVFSRDNPQRTLTITTNDFALIFKHRSTAPTINKSPSNGT PRCLVEFATLQSVDLRGYRALGDGLGTLGLITLGEEVFLCIVTACSDAAAVRPGETIS KIDNVDFFCLSHSDYESRLEYESESSFATEEFGRGPSFENKEMVTDHPFLALKKLLSD GSFYYSLDFNLTDRLQDRRASPEWPVIKVAVQYALSTPTRGYVSSRLILPLDLQTTTR ETETMRLYITDSGSRKTEQLRTMKPSFGLEILIIGSVSTATSSGNLWHRKTTKSFTTM IRAFPFYTEGLITFPPTYKYDIDSDTYDTSEKARIPAWCDRILWRGSCLRQIDYNTAK LRFSDHRPVWATFSCVINVVDEAMKAKLRRILYTERRNDPYNILSKTIEQARAQSEEH IPPTPIAPGLPPASSDHHRWWLENGALVKSALRPPGDGYNLNIHHSSNPFSSSSDASW INTHRSFKRQTITKNQDTVSQGPQLPPRLKVQIPPIDRGTGSHSSSSLNTINRYSGQL GNEKAAPPIPRKPVSLSSKQCLTASCQVTSSPQTVANTEPIFKGYNPIGQNLGGKVNN STPSAPTERLPDTGMSNGFWIGGQEEHRQGKSLQTNSSIRSPPRSTDEVHDLLGGTGD EIIEWKPLIPQ AFLA_130830 MSSQGWTPGSFLGARNAAHADMFTAASASHIRVVVKDDTLGLGA RSKRDPLDEPTGLDAFKGLLGRLNGKSDADLEAEQKKHEDAKIARYAATKWHTVTFIS GGLLAQEKLVSLSAQKESPGAQHGSHQNRGGLDMQKSEEDANTSIKDNTLKALREEQV SSVPIAEDTSRSKSKRHRKDEQGKKDKKERKTKKRKHMDEPSPIDSDIPERAILETDL QATVTDSRDTTPPVAKVLSKERRPMGRHLLRGRHIAQKKKALMDDRSLNEIFMVKS AFLA_131040 MAISPLPPQSSSTATAASDTAVKFRAAQSSANHQGNTSSSFITR STDRELTEQLNDDVRHKYIKDKKLGEGTYAVVYLGHLRADPSSLVAIKKIKVNAEYKD GLSMDAIREVKYLQELSHSNIIALHDVFSSKDQNLNLVLEYLPRGDLEMLIKDGNIHY GAADVKAWMGMLARGVWFCHENFILHRDIKPNNLLIATDGEVKLADFGLARSFADPYL NMTHQVITRWYRPPELLYGARQYSGAVDIWSMGMVFAELLLRVPFVAGSSDLDQISKV CEAFGTPTEDNWPGVTRLPNYIPADKNHIVPIQGREFFFRQFPTAGPIGADLLMSMCT LDPRKRSTARQVLQHNWWFTEPRPTNKEDLPKKSDGIQKMSNDLARRGGDIVDNTFKN AARQLDFNAKQ AFLA_131050 MTMRSSFLLSSRLIRPLAIGKKCVRCFHKHASTPSVPSPTPFVP DVETFLTLIGRGMTKHASKLPSWEKLFTLSSTELRDIGIEPTRQRRYLLRKREKFRNG VFGPGGDLEHVVDGTAQLRVVEVPLTPRDTTTDNQASRPSTSSATLSPGMRKVIVNLP PDASEYTHDPSKPLKKFAHMKIHRGSMLSGPFLQPIKGTDNCAALLKVQEGMWEDKLG HKVDGGERRRAEVRAKKRSEERRKGTA AFLA_131060 MDPYRFFFNCREKYGDIFTFVLLGKKTTVYLGTKGNDFILNGKL RDVCAEEVYSPLTTPVFGRHVVYDCPNAKLMEQKKGPNGVFDVCKTIAEITIYTASRS LQGKEVRSRFDSTFAELYHDLDMGFAPINFMLPWAPLPHNRKRDAAQKRMTETYMEII KERRKAGSKKDSEDMVWNLMSCMYKDGTPVPDEEIAHMMIALLMAGQHSSSSTAAWIV LHLAASPEITEELYQEQLRILGHDMPPLTYENLQKLDLHAKVIKETLRIHAPIHSIIR AVKNPMPVEGTPYVIPTSHNVLSSPGVTARSEEHFPDPLEWKPHRWDEAIAVSSEDEE KVDYGYGLVTKGTNSPYLPFGAGRHRCIGEQFAYVQLGAITAALVRLFKFSNLPGVQT LPDTDYSSLFSKPLGNSKIQFEKREPVTKA AFLA_131170 MDEFSASIRPSALGKLATLAVRSPESDEKSDIARISRQFQLSTS YPHDLNGVLRNQAPTSRVPMGIRELDVLIALCKASPSVDKSEHASRLVAQLSRYLPEA HSQLFRPSPFLHHIKPSPWEALTNNVTLALLSLGSESRYPLLRETVLDAVEKYLEHCA KSVQAATPFTHHDSGTDYPVTAYEAVSILSITVSLVGFLEASTKFASFWTAIEKLRII EKMRSILSEGFKVAVETASSIVRNANMSDNILRDWRRYTRRYAADGRPLGAMLLQEGF MRFVKSCTTSLVGAHNMSEDELLDDYMSGVGIARSHDTDEIALINRTAEVIDDQIKLL EDDSDYLQLGSHLQQRLTYSVKAFAFIGYLHCVLSGRANSEDFLPWLENTLMDPNQMS CPELATATLKSIAIVARMSLNSASSGSRSLLRFIVEGGIPAGPTASVAAKCLAQVLGV MSQDAVITTLYSLGNVLSPGSGTEKAYRGQSVGDVPGHSNASASFSQVKQNSEISLLV TGEEGSIHYRNVVHAIVTIAAHSNDEKISALAQSMLLQKIGKVSASVDAYIIKETAAL SLSTAQGEFHLLLKFYDRAYWDGVTKGYSNVATAVESAMAYLSVTLQRNTPLYRTYLT HLLESIVNKGDATDFENERQKDITLAPDDLSPLLKPLALLVSDRATPRNCADIADYDQ DISTLFRDAWFNIAVHGISLTSAVARTHMKELRILAKHSPPLVSEDRMEMLESDVELN TILRRGMGPQRLVEQKKILITELPSRESEIKRLNYPKAVFLNAALLVESLRASSGNCT KVLSYFRDPALTTIEMASCMTTIADKVVNTYVSLTLSGRHEDFSVPFLSKELGDFLVA CCHRVERVQNVAVLCANKIIKECPSALCEKDSLFALLELLTVMWQSCLEEELDEFEWK PSFTSPTGIVKVDLPDNYHFRRKTLDVFLERARAWVTAVMDIAPLDIKGLLQTYLSAS EDSNGFGNISMGRSFALDMGSLISKSDQRLGKRNGSIEPYGINRVDVASEFMAQYTTR QKYRYPDTQLSKFLGWENSLCILINSTSPRINAPVLFQLKSNDVVENRLSFLYEQISL GNEVSFLEVRDWLRKAAALLCCGGDHHPSILHYLVSLPFEIFSTESINLGISLWLGAI HENPIVEPKILVEVAEAWEKTILRKKGLFDPSFDYVDPLYAKIELLPSDKALMLQKQQ KAHKVLSPHSHVLQFFESHFSAIQLGNLQDQQLFCRLVDKTSVGLMQTSGHPLSRELH FRIILFGLKVLKHFSLADPASSWKLKDQLLSAALSWFRHPPRWSFGGNRLQIKAEDKV LSDVASALANVSNVACHTLGPYKSLQAKQDLLYTLLENERSRLKVWLYPLDQERKHYI PQSSGSRNFMEEATSLLRLAWVEHPGLAIQLGARFPSVKLKNDIRWLLLNFPEKVIDE PSSLEIMLGATLPADISFQLKYLLYWAPVNPTEALTYFLPAYGNHPFILQYAMKALES HSIDVRFYFVPQLVQALRYDALGYVERYIMETAKQSQLFAHQAIWNMKANSYKDEDSQ IPDPLKPTLDKFMDSLIASFTHEERDFYEKEFSFFNDITGISGKLRPYIKRSKPEKKE KIEEELRKIKVEVGVYLPSNPDGVVVGIDRKSGKPLQSHAKAPYMATFRIQKTRTRFD PGGVISSFGQQTLTQDQQARLDLEQETYEVWQSAIFKVGDDCRQDMLALQMIAAFRSI FSSVGLDVWVFPYRVTSTAPGCGVIDVLPNSISRDMLGREAVNGLYDYFVSKYGGEDS IKFQEARTNFVKSMAAYSVISYLLQFKDRHNGNIMVDDAGHIIHIDFGFCFDIAPGGV RFERAPFKLTSEMVAVMSGTQHTHAHASGGNSYNPTSTQPYRWFESLVVKAFLASRPY SAKLSHIVSLMLDSGLPCFKPDTLKNFRDRFVLEKSERDAAEYMRELIRKSYMSVSTK GYDQFQLLTNGIPY AFLA_131180 MSGGRSTSPISVPPSSRQRRASLASGLGLADYLSKSGNQGTTSS VPTGPMASAVANAQSHHGRRLSITTLGLSGSPTQTSPFGGRNLRHGSVSSSMGSNPAS LEDAVIEDNENGPPSVTPTSPFARRVSFGAQALRDVRGGSTGNGRYPSPWSPVAGRRS NASPASSTSINTTTVSVAATTHKVISENDRSNPSWRPLGEGFNWSEALRTRAERAPSI GNNSSNTPQAQHTANPAQSGQHQRAASIASMEQPAREMPKQPRQNKPDFFQEKILRGD FMD AFLA_131190 MCRQVCYGGISQPVRWEKKGTPDLEGGNKKKRNVPLSETEDQTK NDLYSHLLGVGSGGNSMIHLMAMTDEVKGQKMVNMILDWTTWEEWKSLKGIKH AFLA_131200 MGGMRSALPGYRADTVVFDRRVMPQRFVPTGPGHGVVYSSMHPL PLFLETTPNMNMPVHNPFSQAYSLYSQEQQQQQQQEHMPSQHTGPGYQPLGYSSPTHL GIPPAEYGQIYYAPPTYVASHGHSAVQANVTIHLHQNCPSKNTQENFAKASTTLSKGS KSRTPESGYDVSKIIVDGSTPMRSTQTPPSAMGKQKRENYAADLMAHGSPLSRTDIHL GGTAPHPINLTRPITPRGPPRKPKQSGHALWVGNLPPGTDINELKDYFARDATKDVES VFLISKSNCAFVNYKTEVACLAALSRFHDTRFHGARLVCRLRRDSISSAPQPDSSSCS SQAENGADAIHNEQNESMLVKKIVDSTYSSPRMPNRYFIVKSLSMDDLELSRQSGIWA TQAHNEGNLNHAYQTADNVYLVFSANKSGEYYGYARMVSPIQEDDGLIMEMLPRPNHI QAESEDLDLTPTPATSTAPNGRIINDLARGTVFWEADSSEDEGGRKIAKSVAEVAEEV AESGFQSIGKPFRIQWLSTERVPFYRTRGLRNPWNANREIKIARDGTEIEPAVGERLV QLFHTPYVG AFLA_131210 MGNVGSRLDDSGSLYFKDQNKFTIASVTILDSRRRVLLNLTPNS FPASRYIAKRDISDDTPIEYIQDPDFSPSSPAPTFLLRLTNEDELNFNFTFILRQTKT GNVANSTVNGVATSLPEVADTVLTGLTFAHAPNSKELDNLITREFHANPNLQNNSNVQ FVGDYSTNGSPSVQFEWSWRWKPPKPVEDKGGGWRNSCSFLEYDQRANRLNTLAHFSF WVQNTMRALPSPQILSPRLELSVPPRHRIPSSHSVLSHSSDADAASYPQMTPSTPPEV DSVFVPPPLSAPPPPPVKIDLPHSRPGEDKSIVEDGPLFRATMKALEQKTGNMRAKIK KVLKKAEAAQQAQTTCNDAVEAFLSALNDASTPDGNAIQPALDHYFEKIARQILDYER FNTRQLQRLVIDPLIKLYNNDIKQAEAKKKEFEEESRDYYAYVSRYLGQRQDSLKEKK RAESDSKYQAKRRNFELKRFDYSSFMQDLHGGRKEQEVLSHLTKYADTQAKRFLEAAQ KVDDMIPQLDALIHEVSQADKEFQFQRTEREEKRRALEKSSNMYLEPDSLVNSNVPST LSGNGSGAQRSENELGRADSTGSQLRSVISNTSTSTQANASGITPSAGPTIAPTPTSL SGQQRKEGLLWALSRPGSHIDPKGINKQAWHKFWIVLDQGKLSEYSNWKQKLDLHMDP IDLRMASVREARNAERRFCFEVITPQYKRIYQATSEEDMGNWIRAINNALQSAVEGRG MSPPLSPTADNSSIGRDIGSVLTGKSSSYSGQHSHSTASNASNNSVTRRTTVGARPSY VRGDGNSFEDNPSRLLQTVRDADQGNNWCADCGSASKVEWVSINLGIVLCIECSGIHR SLGTHISKVRSLTLDVNSFSNDIVEILLQIGNRVSNMVWEATLDQSQKPIETSTREQR LKFITAKYSERAYAQNLPSQMSRFATPDETLLAAIKKNDIQGVLYGIALRANVNITDR SRNTHAVFLALAAADPASPGSTSSRPSTSNSVKPIPFPIAELLVQNGAEIPSQPPPIP LSPAAQLYLSQRTARVPAFGTLAASASGKPTADSLGTLPSIRGTGSDNSVMSSHGPSS LDSKEREKLHKRGSAGARLAGKVASLGIDR AFLA_131220 MENLKDEQREFKNKEGGQMAFLQQSSASSFSISSSDEEELDERS GVVSKKRGEFAQKVHDMRDVKGQVMKWAQAEHKSKGVPAHGEEIPGHRPSKITQENTA LDRQQENSSTRL AFLA_131230 MKGTLTGGSTEQKPAGGFDSTPFPHAPPGYTLKFTFHRGINLPC ADFGSFSSDPYTVAQLNVDIPKRHKQDNNLTFRTPTVRKNRDPVWESEWIVANVPASG FQLKCTVYDEDAADHDDKLGNAYVEVNSISGHWNGIKEQSFKVKKRTGSKRVYLFGNI AALASGRLDVGSHLVISVEFLGKTPSEEGGQVYTVGPNYWFKHFSPLIGRLAGTKDEV QSQNGKKTITRYKYVPLPLLAK AFLA_131240 MGKKTTKVGAQTASTADTSALPRRTSSRLARSSVSDARKSDATV TDKERGLHNLTTLRSLRGNKLAAVEVAIPLKKQSPSRSTSSSDLIEDISGDGINAYST PESSVAVTPAELDMTKPRKRVSASARARELRSSIMSINVQKGSKRDFATIAADNPPTE SSEAALAQALQLQEYQESSPKRRKARNGVSFALEDSTDNDSVLTGLRSNEGKDTGVKT RKRCPARKTRNSVRGMVSDSESSTNLEDESWDEQEYISESDSMSSVGIDPVSQSIMDS AGSRAGARTRAQASMASLPTEPLVRRPGMSYRALRERKKLERQHPYIMKMWDELRNNP PIIPVAAEQPPGISRNLKSFQLEGLNWMTRQEGSQYKGGLLGDEMGMGKTIQAVSLLM SDYPVGQPSLVVVPPVALMQWQSEIKEYTNGQLKVLVYHNSNSKVKSLSEKDLLTYDV IMISYSGLESIHRKEWKGWNRGDGIVKADSIIHSIHYHRLILDEAHSIKQRTTSVARA CFALKAKYKWCLSGTPVQNRIGEFFSLLRFLEIRPFACYFCKQCNCQELHWSQDEGKR CTHCKHSGFSHVSIFNQEILNPITERNNPEARTEALSKLRLITDRIMLRRIKRDHTAS MELPPKRVVLHNEFFGEIERDFSRSIMTNSTRQFDTYVSRGVMLNNYANIFGLIMQMR QVANHPDLILKKHAQSGQNVLVCSICDEPAEEAIRSRCHHEFCRRCAKDYVQSFNTGT VIDCPRCHIPLSIDFEQPDIEQEEEHIKKNSIINRIRMENWTSSTKIEMLVYELYKLR SKKQTHKSIVFSQFTSMLQLVEWRLRRAGFNTVMLDGTMTPAQRQKSIDFFMNNVDVE VFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQRRPCVITRLCIED SVESRIVLLQEKKANLINGTINKDQGEALEKLTPEDMQFLFRGS AFLA_131250 MPGQLENCETCSKRFTVTPYSKTGPNGGLLCTKCSRSLEDDGKK PKAKKRGPRTGRRQNQSNLLDGIAQQGALSLAEMCTKKVADNINDIEEFGDLPSPLLH RLSQILSKRRALTSRTLNLFLRPDLDSINIYDSAKLETNDFQKIFAFMPTLTNVNLRF AGQLKNTVIEYLLGRDLRLKYLQLDAANLVSDSHWRRLFEKLGPQLEALKLSNLDFSL DDETVEVLCRNCTELRRLKLKQCWKVGHISLQALSSLTSLEHLSLDLVQETSNDSLIK VVSTVGPRLHTLSLEGFPNADNCLLETIHDKCRSLSKLRLSGNVVCTDEGFAKLFTGW PNPPLEYVDFSSTRDVENSNLDGSRDAIGLASEGLIALMDHSGSAIQKLNISSCRHVS RAAFEEIFSDGKVYPNMKELDVSFHTVMDDYLISRILQCCPVIKKLVAFACFNVRDVR IPVGVAMIGGLKAQDTIVTEGRS AFLA_131260 MPIKLPKGFARRKSSGNALEEGENPPAQSFRVFERPSVDKKSYS EGNLLAKRLSDGQRFYPTAEDSDNIFAEVDSPGQRSPGGMHERPKSARFHSATDRSTD GLTSNTPTSHSRNLFSKASAPTPPPQPSTPGPSRSRAMTASTTSTATPPKLLDTDLQL GQGEDEFHNMFDEVGKMGASRERSGELFPSSPELVARKQEKSPRPTPINTDRSKEIEP SPYSWDSRHSGEGLLMASDSPQDHTPALQQTLNSQPVSPEGRRKSLPLSGAVPRTTTH RSLEQPQAMSDKSLRRSVLYPSKRASTPVEDEDAKLIMESLYTNKRSSQILLTSEHEN SDAEHDTPLFSNSHAITVEGSGRSDAKPMLTHKSLGVAEDHPDPSIAAHARLAAQYEE RQPVPTASSNKVMTPSQFEHYRQQQELRRSNSDASKSEDSAESEFDEEDEAEKNREVE RQRRKQEAHLSVYRQQMMKVTGQESPAPALRSEFDRANNSTPNLTVTPLNSGHRSGSG KSSEGDEDEEIPLGILAAHGFPNRNRPPSRLVPSNSIPNLRASFHQPYISSSSSVADH EPAFGGGSSVYGGPAAAAGPSPALPPGGLVGVIATEERARAMRRGSPNTQAMYEYQGG PSTVPTPPSVIPRPYTMMSMNPPGPSGPQSGISATEQAQIQLSQQMSSMMQMQMQWMQ QMIQMQSGQVPPQQLAPPGGFPPTASANANMRPSSMPSAGGVTNSPVAYRNDQRTLSM LDPNVSSRLNSPATPYNVAGNRPSTPAGQGYAPSIAPSERSNVGLAPRYRPVSMLQPE SGPAAFPFMSKSWNDENQKSTLSVPPDRSLQTKPTIDQISSGDKSAIISTTVHGADPD DDDDEGWAEMMKKREKKRNNWKMKKETSSFGDLLNAVH AFLA_131270 MNAPDRYESFVLANGENKVEMEIDTRIPSSAIFTFNKEDHTLGN LIRSRLLQSSHVLFAAYKVPHPLVPKFELRVQTDGEITPKEAVIAACHELVRDLGILS REFTKEYELRKMVGATQQQQDGVQDGA AFLA_131280 MYSSSNSFMGGANSARPGQPPFMQQPSYGQQTTQQQQQHQTGLA PQPNGYGSQLSGFGGSHLQPQPTGFSPGQLQSQMTGFPQLQQQPGFQTSAQPPQLTGY SIQSQAPQLQVPSSTGLPVRLAPQTSSEIADSFRGSAGAAPPPPPKTAGSKIPNIRLS FITAQDQAKFEQLFKSAVGDSQTMSGEKAKDLLLRSRLPGSDLSKIWVLSDTTKSGQL FFPEFALAMYLCNIRITGRGLPDALPEKIKNEVSSMVDIISFQVPDTQPEMAFPTNAP KFDAPLLENKSAPPAPQQPQPQQPTHSQLLTQLTAQPTGFHTQPTGIQSTQASFPGQS SSLVPQATAFPGQSQQQFLQTQPTGLMSNPQPTGYSGFRPPVPPMPTSLGPNLSPAQT GGVSGLVAQPTGVPGQWGFVNAPSSGLPNIEALKQQLMPQPGREGGFSAAGLSGNAHI PWAITKEEKKIYDDLFRAWDGFHKGFIGGDTAIEIMGQSGLDQKDLERIWTLADPHNR GRLNMDEFAVAMHLIYRKLNGYPVPNRLPPELVPPSTRNLNDSIGTIKSMLSQDAESR KASGAFLQPQKTGVSYLKDHSFRGGSGVSPGFGRKDATLFKNNDEAASGYRSSARRRV GNNGRTPSPATSQTSEEELSVGQLRKKIRETQIMLDAVDFQDENRAEEEDALDRRDRR EVESLLDRIRRVQDDIDTHPDAAFRNLDNGAERRSLRRQLQSYEDQVPQVASDVRRVE REIAEAKLELFRLKDAKAHPNSASNIVGTGPGGAVTEADRIKARARARMQARAAELAG RPTPSSQEDDGAAARRVEAESAKVKADREKNDAMTRDVEDSVKDFARSLEDTLKDASE NSTREHERRRWEDALGVEDVIRDFIYDLKRNSRTAYVRKEEASRSMHEEHERSRYDEA PATRPSPPPSTGSTGSLPGSTHEDRVAAARERAQKRIAERMAAAGLKPHNNTAETLLQ RQEREKKEREDRLKQAEEEDTRREQERQRRLAEEQGGSMAQPAKPASKKPPPAPPSRR GRTDSAGQAEAKRAAEESAIVEQAAREQTIREEEEAQQERKRLEDDARKREEEFQREK EAQEARLRALQEQVQQGKIKKQEAKRRKEEADRLAKEQEAKLTVQRAELEMAKERERQ LQLELEALDEESSSDEEGPENITPQHSTPGQSQILPEVDIAAPVAPSALVPPVPGPEP DRPTSATSSPTSDRTGLAHLPLETESKNPYFKKISLPAESQVATPQPISKAPVTSPKA DVQSTNPFHRLAQQQENAKPAFTAAGPIERVSRARPEVDDDWSAAGSDFDSSDDDDDD DERPGGGSAKQLASILFGTMAPPRPLSAMDDKSPSKPSTPAPDTPVAASTAPEADGTL SIPSASIPPPPPPVVAQVPSIALSSGPPDAPPPPPPPPVPHMAPSAPPPGIPPPPAPP AAPAGAPNRSALLASIQAGKGLRKVQTNDRSLSSVAGRVLD AFLA_131290 MSEILSPVFSRPSGRRYSVRPFYWTLMVITTLAVLSWVWGLYED EGIALPVKRFLRGGGSDRQGIFKRGTDLECRMVRKAHDKCSYVRMNCPDHEDGLFSYL QFYYCALAGAKPFAFTILVLWLSLLFSTIGIAASDFLCIDLSTLAGALGLSESLAGVT FLAFGNGSPDVFSTFAAMKSNSGSLAIGELLGAASFITSVVAGSMALVRPFKVARRSF VRDVGYFIVAVSFSMLLLADGRLHAWESAAMVALYCFYVVLVVTWHWYFVRCRRVYER DIAARSHFHIPENQELEIEEADDDDPGIVSESTSLLHGVSVEDFDVLERGGEASWKDG DDDETRNRYLAEIRDNMHLYRPSVHRRNTLNPIRPSLVGALEFQSVVSSLQRSRSTHQ NVPISLPRYTDGYDGSHAAHPERDNISVASHPWISKPSATGHLSPNSGTGSTRTRAVS ADDVTGLKLDTSMFASGAERPRVAITRPSVDDPTAFVQTQTHQTNKSGESGFSSLSSR QAWRSPSPEGSARPRTPQLLAPPGIFHPPNYQAETPEPRSPLEVSPRETPSASGSVDA PVESPSCPFPPFLDTSNPAHSRAPSIRLPPVSSPTEELQVHDSIYENGRPRASSQMQW LFSFTSVLLPSVIRTLFPTLAGWKTKSFWERMLGVIAAPSVLLLTITVPVVEPAQQET SADPVTVVVTSADGGDSGVPAVRLPEDSPLVLAVDHRSTAEQASTGRTGNSQSGQGRQ RWDSELPAVQSRPDPSEATPKECCQWLVWLQIFTGPFFVALIAWTAIDSDLDIRNFLL PSLLSLLLSLVCITGLITSSRHSNPWQFSTAWRPLLAFLGFIVAICWIATIATEVVSL LKTLGVILNISDSLLGLTVFAVGNSLGDLVADITVARLGYPVMALSACFGGPMLNILL GIGLGGLYMTLHAKAETVVTDGVPYEITISKVLIISGATLLSTLVGLLIVVPLNKWRM DRKVGWGLVILWCISTLTNVIAEVLT AFLA_131300 MPRVANPKHRRSGGASTPHKNSPIKIPLNDDMGEKAARMEARQA RHDRQMDQIKAAVKTPMPPRRYTGHERGSSMSPVTPRGSGHRGRESDVDGRRAVTPMK RVPILANFEEWMKMATDNKINANNSWNFALIDYFHDMSLLKEGDGVNFQKASCTLDGC VKIYTSRVDSVATETGKLLSGLADSRDRKPHETGVDDDGAEDEDEGEEGLGRKSRRKA QRSHEATLAPSFASLQLKKFELEFSVDPLFKKASADFDEGGAKGLLLNHLAIDGQGRI VFDSSDDATEMSSKDMDDVRQGSEDPQRPRSPSPPLRQSSDDIFEDNVEIDMTSLANQ FFPDLERLEMQDICPSLKNFDLGDPSGSLDIPFLKAPEDWRNDKGHDEGHNPNDASGI MLDDDNAVGFDDDDATLAGFDLGGDTGFGDGGEAWAREAALEPMLKVHRVDRDNDEIQ DGEEIDHDDAYAISLTHQPNKQDHENILSYFDNALQKNWAGPEHWKIRRIKEHAAANT ATAAPKQRKEKEPFEIDFSAPLESSVAELMYTPASSNSTISLPKTQWKTKGRNLLPDD KHFNSRQLLRLFLKPKARMGSRRLVGTRQFNQRKEDRTAGNGEMDEAFWANHKPENNP APDEEGAPGAYDANFFADDDGLAFPNGLGLGDDDDDNLPFADAREMLSPPSDGQPGNS AGDAGGASGLTALLNMVGATPGSALQSGAGGFGSQLVTQGGRRARPDYVAYARVAKKV DVRRLKVEMWKGMGERLIASTSFDPSQQGPHREQPTENEADESPAPTPVTKSPGQLPV NEMQQENGQLRFTQIMNSLKSVYPPETLRDISTSFGFICLLHLANEQGLMLQNDDGSS GLGEGRLEDIFVIKDANAVLEEGAI AFLA_131310 MAPTQQPTVEERSSSDTTASSIKSPRMARFVEATTVQSPTGPAD TSRSPFADPPGQSQTHPDVSDVGFGYVAANDSVQHVSYHQLPVSPLKSALKVPGTPGR TLNPLSPTFREEFYVEKEEKSAEKENARDLRIKLRVRVAKIFLRFVNFGCSLIVVTIL ALTLFVFHSTKSLPSRGGFPAWANGTNPWTQYLLLSVACVSLFACLIVFWGYWKGGHK RAEKLTVYYQTIAVCFFMFSLVMWIVAAALYQNEKANGNSQDLWGWSCKKNTRETLFH NDIDYALLCRLQDWGLVCAIIEVVLEVLVILIYAVVFYRFWTKRRLMKSMDRRDKARS DLYLAQLRLQSAPNTPGFSLSQKTPIISTTVPQDPYSMAENGEACSTQFATPRSPTKP QPTFQLQAPPIRVQQATPKTDQMEFLGPISVPTASGPASNVNQHMAAAPGERTYDAVP IPNAYSSPMSPTFPRASR AFLA_131320 MKGRLLLPSECRPHLGREHRQHYCHGSFRETRILRTIQVQSQKK KKKKKKKKKKKKKKKHRNCSWN AFLA_131330 MSSAEVASSFFRQPFQAGVKHPLSMAALMQSNNEPVTISNSLPG PSASIISSSPESVAFLKHTKPDSSLTSIASAGLNVSRSRDSLPPMTTTTQPVGSVDRL VEHEKDPEQNSSQVAREALGATEKQQLNTINDSVHMSDQMQVDSHSTSGNTADAFGTA DNSTSLMNTSTVASPGPIEDSASQDGDRPRQRDEMDLQDASNKSFSYPMPTAGLGDPR RGLSLPGSGFNKAGQRSPSAKKHRCPYCSTEFTRHHNLKSHLLTHSQEKPYVCQTCQS RFRRLHDLKRHTKLHTGERPHICPKCGRRFARGDALARHNKGQGGCAGRRASMGSYAP EDEYGDAGGHPGAEDTMDGLVYAEPERMDEEDERRMSMPSIKKHDIPTESITRSNTAN SYQPRQPSTYPPIAAGRPSPGGLFPPPASHGGSSASASPISQPGNLTFPPPGQHSGAS IFQPSNVTESPKPLSPNALPSHQLGHGPELHRAHSPGMSQSFQQQSYNRSGSSQASVA NHTAGSLGLPPPQPGAPQLPPPPGMNTSDSRFTIHPQGSVQPPPAATKHTPSHSHSSN HNGPLASKPGPEVTANNNGHLPGPHDSNYADQNREREDKLWAYIRSVHEELAGLKTEV AALRAQLASANVNTLTSSTPGATQPQVEPSTVGASQR AFLA_131340 MSSYLRLAPNPFTILPFHPSLDNVQSRYPPHGFQGFILADADSF LASVSTTFHKQRRPRHSPPATAPVYVSSRTIRNAHKEEFWVCRKSVHQNAPVDGSASW EEFQSGLKENHTKNEMEYTPSVTGVERLLDWPREREIEGGWQEVDMSENRSDFCWSLL GY AFLA_131350 MPLRITSAPVSGVKKSKKPSNTAFRSSPFASHARRKATAQSFTD VKPNDPSGGFEEEYGQGPLPDIGMSRYIPETTPVEDVIQAICHIKDNVFEDLPARTGM NSTRVAELLNHRRSLPPLASVAHVHTLLDAPTKVERDIMDFVNSGRIRRLIVPGRGND AAGLGDCLVLSEDWERLVRDSSIGSHLKDKFLDVLSRPGIMFAVPDTLFTAPECMALV RAGFLVSSSSANGSPGIISLPTFPPTPSSGNSASRGGPVAVHDKEGGNRSRSHTTAFF LSLPNTGPYIRLLSAGRAHLLTLVRKSNSSEVPLHLLRDRWDGAVETDKGFSAAKRIR REHAGILPGRTKKWKELCGMNFRWVLEEALGAGLIEIFDTGSVGPGVRCL AFLA_131360 MSRKIAHSTRALSRRRLAQRPQLQQPVQRSAYLKDRTAIKKSVS HPALPPNMSGSSRQISQRRNKSILDTRSRIQLKMEVF AFLA_131370 MTEPSPKKSDKEQKPLYTQRPSGVHHSREPSRKGSFSSAEWTIE TAEQGNGGLRNAVRSATDAGQLEDKVWVGTLGMPTDALSKYTKSAIAEKLEDEYDSLT VYVSDGDFDGHYTHFCKTILWPVFHYQIPDNPKSKAYEDHSWVYYVKTNQAFAERIAR NWKRGDSIWIQDYHLLLVPAMLRKLLPDAQIGFFLHIAFPSSEVFRCLAPRKELLEGI LGANLVGFQTEEYCRHFLQTCSRILCVEATNEGVQLEDRFVNVNKFPIGIDPTSWDKR RKATDVEQWVKTISERYEGKRLIVSRDKIDGVRGIRQKLLSYELFLNTYPEWRDQVVL IQVATSTTEQPELEATISDIAMRINSTFSTLAHQPLVFLKQDLAFPQYLALISVADAL MITSLREGMNLTSHEFVYCQDGKYGNKKYGSLILSEFTGSASVFGNHALLVNPWNYRQ CAEAIHTALSRSEEERKQVWTQLHSAVLQNSTANWVKSFSETLNRVWNEQSSREIMAV PRLSVGKLEEAYRRSSRRLIIVDYEGTLASWGSPKSIIVTTPQRAITTLTELTEDPRN IVFVMSSRMPEEMERLFRMVAGLGLIAENGCFVREPKTDEWFKLTNKAQTDAWKEAVR QILGYYQERAEGSWIEQRHCSLMLHYGSAEDQAAASRLASECADHINDSCANQGVHAV MMNGALVVEPADTNKASAAAMVWRHCLERSKSDHSGRPDFLMAIGDGRDDEPVFRWAN KLENAKGVDYAMTVTLGSRSTEAKATLTQGVTGVLSCLERLAASSLEQ AFLA_131380 MTALPANSPAFEEAIKVTPLESHRYSAFLRDEWCIGTVPHGGYT TAVIYRLALTHFAHTHPTLYDAPATPISLQLSFLRRTAAGPAILTVQDMKLGARTSTI HINLCQPSEKKAKKGELEIKVAGYITVSPSTAEVGISSNTGWDLQPAAQPGTGPNGSV NLAALGQTGRDGKWVLLQPPYPKFRRATLQLELYGPDPALGKPKVIDQWSRFRPGGDR EARWTNEAVAFLVDMFPMALGGLDQMASASTPSQELAEKTARFWYPTVTLNIDFKKRL PAQGAEWLYSRIHTKVVRDGRTDLNVVILDEQGDVVALSTQVGLVLSASRNLGQRQTK I AFLA_131390 MVDVKPPSIMNAPLTEEASLDSFRSHPHANRLLNHPEYYPIRTW SRLPKPSTGEDGYFAGTLATSITIPHCLTLRRRWLPPLAAARPPWPSPTDDPVSSEPS ALPPDIFMLLDLATPGVSGHPSTAHGGIIATCIDEAMSLAVTLYSPPPELDASDHKGS EEDQTPRGKLYTSQLDVRYKRPVAVPGLLIIRAKVVGRVGRKFWVRAQVLQADEENPD QLVVTTDAMAFWLQTTSTL AFLA_131400 MLPIVFLSTLVASATAVTTASNYTFPEGFDLNQVKPADKSAWCQ AERNACPKICGGVADKNTCDPQTLDFTCTCSNGTDADVAPYAETVPFFVCQENYRQCI QRSTDLDGDEKCKEAQSQCGSKNASDASGSSSSTTTATSLPTSTGSSGSSKSESSSTG TATSSGSTSSTTANVAVRMAQDHATGILATVLFLGLRLVL AFLA_131410 MPAPTTTLLIEGSFSELADEFAQYLDALRKSEGTTTIQAEISPL LEPLRQQEQSDAEPDRKQRDEVLKKLVSAASVLNNAPEKEIISAYNLLVHLIHYASDP DMFLSRICSYLAKPITSSAQFGPSLAISILSTIFNTLAPTDSSRFHVFLGIVAVIRQS GSTVAFEALKPQLTAQLPTWLSSWELDEEEAQRLHLAVADAAQAAGDPELAQTHILQA LQTIPAAQASSKEARDLAIRALTSALTHPAVFDFTPLTASDAVQALRSSDSTLFELLE IFTADTLDAYEAFVTATPLAGISGGVLADAGEALQNKMRLLTLASLAASTPSRSLPYA TIAASLRVPAEDVEKWVIDTIRAGLVEGKLSQLRSEFLVHRATYRVFGEKQWAEVQGR LMVWRRSLENVLGVLRTERERFVRESLQAAAAAEEAAQGKSNDKGNKSGDRRQRHGNN QQSQQQQQPQEVAAAE AFLA_131420 MADTQHPTPRFSPSEVTVVFLLGGPGSGKGTQSANLVRDYGFVH LSAGDLLRAEQIREGSQYGELIKTYIREGKIVPMEITVALLSNAMADALKNGASAGEG KKARFLVDGFPRKLDQAIFFEDTVCPSELTLFLDCPEEVMEKRLLKRGETSGRDDDNA ESIRKRFRTFIDTSMPVVDAFKKQNKVVSVPATGSVEEVYALIQEGFKARGVHQQ AFLA_131430 MSPCSGVFRNPALPIRSRVAFSLNQAARSSSRPHHLRQEQHHRP YSSSSSPNSSQYNRSQFKIFPFLAIIGIGSGSYVFLVKSRTGIHKPKPDSQSSDS AFLA_131440 MYNEPRLTEFRPFKIRSDIAWYNACEEMASACVSLKVLHVRLAI YDWPIRLEIGELWSMPLLLFGHYDGGLDYAGIQLQMRRFQDDKLRTVERALEQTMMKP KMFQIREDERLSKELMGPIKAKKVLKIVV AFLA_131450 MIFFLALVFFCFRSIVSASDDDFMSFVTLPQVRALKFDITYYDR DSVSPGYWFVAPYGVIDPEPPTKQWKPCQVGPYIYDADGTLIWAGSCLYDNRNIFDFK AVPNIDGGSYLSFILQHAYRNDGNDKGTGYVLDQHYETVKAVPVTNDLGAFNMHEFNI LDGGKTALACSYRNKYMSLGDLGRPDEYGWVVTGGFVELDTATGEVLFEWDSEGYIPI DESVRVGPSTPASGEPGWDYVHVNAVDKNAAGDYILSARFTSTIYLISGQDKSIIWRL GGEKSDFVQDFTFSKQHHVRFVESNATHTTISFLNNASDEAEQEEDTSAALIVQLHTS VSPMTAKVIERYSRPDGDLTRLRGSVQKLANGNVFVGWSERGYQSEHSPEGEVLMEAS FASSRFSTYRSYKFDFTGRPSAPPDVVSSVYGTDETDLTTIFHVSWNGATDVASWNFH ARVNQDGMPVLVGNTTKLDFETMYIADGYLDWVSVEAVDEDGNVLGTSEIQRTDTPSN WRLAGFQGDMTPTPHDPSTLYGDRTSADDDDDDDDDDDDDDDDTDEVYLKAQEAAETI YKAWEVIRGVGGLLIFVLVLCSTGGLLAGMYWYFRRRSMQAYHEVASEEGQPLNEPSI AFLA_131460 MRFFTALSLFISGAAIASALPSSSETVEANCVKPYLCCGELKTP LDSTLDPILLDLGIDAASIVGSVGLLCHAWDETCETGPKCCTEANLLGGTVALGCSDL ATED AFLA_131470 MAPISIADIVAALPAEDTWGPATSTDNMLQGVPYAPFSKGDKLG RMADWTSESKDQRTGRQAYNRNFRDQQVYGAGSSNLFSIQAAEDESSFSVVDNTRTSA KRTFGRGGGTVFRGRAQRGAGQRGGRAGFQRVGAGRGQGDRFYDNRGGRSNRGRRFGW KDYDKPQRTREPSVNVRPDWTMLEEVDFSRLLKLNLETPDGEDVDSYGFLYYYDRSYD KAPVKGAERRLQSLDRAAYNVTTSQDPVIQELAEKDAATVFATSDILSMLMCAPRSVY SWDIVIVHQGNKIYFDKREGASLDLVTVNENAIDAPLELAESSAKQESINTPSALAME ATFINHNFALQTVAESQDAKVDMKNANPFYNASEETEPLASKAYKYRRFDLSLERDEE PLNMVVRTEVDALLKNPVNGEDQQLIVKALNEFDSKAQGSGNALDWRSKLWSQRGAVV ATEMKNNSLKLARWTTQAVLAKADGMKLGFVSRANPRSAAGHVVLGVVGYKPRDLAAQ MNLNLGNGWGIVRTIVDRIRALDAEEDEEKVKKYVLIKDPNRPVLRLYSVPANTFEED EEAAAEEEEQKAEEDEE AFLA_131480 MDVGTSHKSKPRATASCHPCRTRKVKAIAQAEMITELRGKVNQI REQVGQRLAGRPSFDDLEEEEEAAAMEIVYSALRLGSEDLVWHIVGRIRNGEDVRDLA KDVARDIGIEDDFSV AFLA_131490 MRALETEVSRLREAYTQEISAANLTVHQHREMVRSLSEENNILK EILAAHGISYEAEVERRKAERTSTTNATYQSSPFASSSVGSQPTAVAQSVPSTQHAYT TPPTTISAPSSSLSPIVNGIEHIDVSPTQELSPQHQNYSAAPCDALATLDRIAPASRQ PNQPPGIFENDPQLQIDFILTLESPCREHTDYLCRRSITEADDEDMPFSGHALMASCP PPSYIANTTHEQAYPHQTYDLPHANLTTLLNLSRQLVTDGQITPIMALQCLKNHEMYR SLTRDDVKIIIETLNTKVRCYGFGAVVEDFELMDCLSSVVGSRVDMGFSRAGDDTLYS AFLA_131500 MRVMYVCMYVQLVQLLVRSQTTRHEKYIPSQYFARERERNALAE TSGKFLESKKEANERGKKRKKRKKRKKRKKRKKRKKEKRKKKKRKKGKGKGKRGKKDP AFLA_131510 MEKSEILVHISAPCGVSDDAHYRAQVEAILGFQSTSRQVLTLKP DDNNNGHDYTISTATSDGLSRPQLPSNNPPLQGPVVPTNDTSNNPAIIISNKTIEYEE RELSNGQSHDSSPKDYLDTPVSVIPDSQAGRPPSDTANLQDVDHQLSTIRAPSFPCSA DSIRAKRYQTHSPPLRSGRKKLRHSPCRNDKANGTQIRDPAVQSTALSTFVSTSFPVE IRPPLPPVSKEKFTTHITPTLEMLAARLKSRTYRPLEQIRELDKLERGHWYLRINVVE AEAHDPTIPWQQSNGACIWDLSTFCRFWSFLSEFIKEGRAGWGVWCILEDLLSAQLPH NSQESALREMDIRQLTLKTYAWGEIASHIYLLLFLASERRIRKMGAQWRDSRDDVVIQ MP AFLA_131520 MDSYDGYGSPGRAREGDPYASRAPVDSYRRRSPIAVASQDRRRG RGRSRSPAMIDRYEPSDRRASRDDYYTASREHTVRDRDERRRAHSPNVANIDRYVPGQ DSGKRPIPTNPLPNPLNLDFQVGFNWFAEWWRAEQSIKEEKERAKHGGRRPSDRVKGE REAREDREKERAQIQAAYDAYKVDLQIKMARTFVQQHRNEEWFKERYVPQVRDPLRRR LMDFRAGAYEQWERDIDGGLFDEFTLEGIYKSESDGAGGVIEKEEGETTAVGETLGVL DLLPTRGGELRDEALSQPALLIKTLAPNVSRDNIEDFCREHLGEQEGGFKWLSLSDPN PSKKYHRMGWIMLHPAPDVAVVERGDGREEEGEDMEHDKATNGAVSMTAAEKALEAIN DKTIHDPIHGDFVCHVGVHVPPSQPRKKALWDLFSAPERIERDLELARRLVSRLDSEM GGNADGYAKIEERVEELRGKGWLQPPVTGPVSVKKRRTEYDADDMDEGEAEEGEEQEG WEDDEVDDEELLAKKKKLDLMVEYLRRVYNFCFFCVFESDSVHELARKCPGGHLRRPR AGLTTQSKAVARASALGQPFPSKKKEPSEGEEQSSVEEKRPQRFSSKSEQQLQRAFNW VKTFEDKLLQLLEPENVDIRKLGGKPVDEALEEELAKHVKQEDESKYRCKVPECTKLF KADHFWRKHVEKRHAEWFENISSDLSLVNAYVLDPARIAPSRSDANSNGHFPLSSGQS QAGTPRGFSLASMPPYIGHNGNVPGGFQSLPGAGLPSMMGVPNQAGSWSGNGLASGDH SGLHQPGAMRRNNRYNRSGPYDRRGPRHGGAGNGRLSPVRGMSGMYGSGGRLPANAGT PYIPPGHPAAAAFAGAGGFADAMGAGTAQQAMGPREAVQGRSLKSYEDLDAVGGAGSG ELNY AFLA_131530 MMEYPPQYQQPHGQHPHAPSHIAAPYQTAPQNPGSTVGSMTSPT NPQAHMQQAHPTHQASPIVPSQSHYQQAQNAPGSVHQQMNFPQSYGVTTAMPQTYGIS PTQAAAMATAAASGQFYPLHQDSMAGQMQQGPRGSPRMAGVQQVKNERNPRSPPQMPG QMPSMGSQVQMQQNAQMQQRRMSHVGSPHVQAAQPVLNHVVRPSVPPPMPPPPQPAVQ QSQPSPDMAVGAVEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRH NHAMRRPRGPGGRFLTADEVANLEKKNNAGGQENVDSKPTGENPPSAQKRKSSDVNDE NTNSAKKAKTSVAKTSTSAEESEHESAEPSDEDG AFLA_131540 MGKQSQADAKQYDSWSTKDNGVNNGGWKANNEGEEWARGSWRIQ QAQKVMGFVGVGLGKRDSRREGASDGAFVPDAFTDELTGSAARLAVEAARQSDQPTDS AFLA_131550 MKENQTVLIPPPGAVDKLRKAGGGKGAVEGPKTFAFDRSYWSFD KNAPNYAGQDNLFDDLGVPLLDNAFQGYNNCIFAYGQTGSGKSYSMMGYGKEYGVIPR ICQSMFERITEIQQDKNLGCTVEVSYLEIYNERVRDLLNPSNKGNLKVREHPSTGPYV EDLAKLAVRSFEEIENLMDEGNKARTVAATNMNETSSRSHAVFTLTLTQKRHDAETSM DTEKVSRISLVDLAGSERATSTGATGARLKEGAEINRSLSTLGRVIAALADVASGKKK NASMVPYRDSVLTWLLKDSLGGNSMTAMIAAISPADINFEETLSTLRYADSAKRIKNH AVVNEDPNARMIRELKEELAQLRAKLGGGVAPGAAGGAVAAAGGVPPEEYYPPDTPLE KQVVSIQKPDGSITKVSKAEIVEQLNQSEKLYKDLNQTWEEKLVKTEQIHKEREAALE ELGISIEKGFIGLSTPKKMPHLVNLSDDPLLAECLVYNIKPGTTMVGNMDQGSHVEIR LNGSKILANHCKFENVDNVVTIVPGEGAAVMVNGLRIDKPKRLKSGFRVILGDFHIFR FNHPQEARAERVEQSLLRHSVTTSQLGSPAPSKTHDRTLSKGGSEVDGDSSRADSPMP SQRGRESEWLHARREAVSAVLGPDHISHMPDDELDALFEDVQKVRATRRALVEHEEDS DSLSSFPVRDKYMSNGTIDNFSLDTAITMPGTPRPQDEDEGQNGGDTALQSVRQDMQR QLDQQKEEYQEKLRNAELSSGQGEALRAAKEEFEEQLRKQKEVFESHIKDMGQPLPKI YENGFAKLDTRELEIARSVFLHWSQQNYVRMAEKVLQHASLLKEAQVMSHIMDKNIVF QFAVIDHGHNMASSYDLVLNGISGDEDIVLDEAKKPCIAVRVIDFKQCVIHLWSIEKL QRRLQAMRQLHQYIDRPDYIQHFKLENPFSEPCSPQYSMVGDADIPLTAVFETRVQDF SVEATSPYTQNVIGIIRLSLEPSSAQAPSSTLKFNVVMRDMVGFAEWEGTDVHAQLFV PGISEEGGATTTQMINGFDESPIRFESVHSMSLPLSSPRSAALKVCVYARVTQMHLDK LLSWDDMRDSAEPPPQKRKTPRIPESEFYSEERHDVFARTQLLELAETGDYLPVEVVQ SNNLDAGTYQLHQGLQRRIMINLTYSSTESLPWDDLINIRVGSVRLLDPWGKIPDQDL QTPDVPLKFVQEPVRKDNADGTSNVTIIGQWDSSLHGSLLLDRVTADKYRVQVTVRWE LISSRLQDSVPFEVDLTLQIQGRTYVRPQSMFKQFFNSTRIVHSTVRMFSLAVRPVSA KRAADLWRMNTQNDYVKGEELLTTWSPRKVSLVRDYVAARKRRRRIAELNAAKGALSA HCLAPSTPRSGRSTPLRKLELTDRKTKLLQKYVELWATKTDPIETILVRSNTEPPPGG AAFASRAKQTPSGDDSSSVSEEVPLKPRFVATIQTLPKNTSSLKSGYLLTPDDTNSHW VRRFVELRRPYLHIYSAEGDEINAINLRNSRVDHAPDFARLLDGPGERADQGTSPKGR PNVFAIYGSQNTFLFAARTEAQKVEWILKIDESYFSNNAPKAVGNRSR AFLA_131560 MPATFTRGKATLNSLHRALASFPDRDRLPNVEFVLTTEDYSSGE GPIWSYSKREENTNVWLMPDFGYWSWPEVGVGPYKDARRRIAAIDDGEVTVDGQVIPG MQFQDKKKQLVWRGNVATNPQVRGKLLKAAQGRSWASILAIDWGDENDIRFNLLPIEE HCRYMFLAHTEGRSFSGRGKYLLNCRSVVISHKLVWREAHHAALISSGPEANYVEVER DFSDLDHKIEFLIDNPEAAERIANNSVKTFRDRYLTPAAESCYWRHLIRQYASSSEFE PVLYTTREDGKKEPRGIPFETWVLGS AFLA_131570 MWSSQLLPWATGLGLISTVLASPFCVQEVGAVLAIDSDFPDPSF VQAADGTWYAFGTNGNGKRVQVASSVDFKSWTLLDKEALPTLASWETEIDHWAPDVIR RNDGRYVMYYSGEAKEMVRHHCVGVAVSEGTDPTGPYVPNETPLSCRLDQGGSIDPAG FLDKDGSRYVVFKVDGNSIGNGGDCNNGIPPLKSTPILMQKVADDGFTPVGDAIQILD RDDSDGPLVEAPNLILHGDTYFLFYSTHCYTDPKYDVRYATSKSITGPYVKNGEKLLK SGDYGLTSPGGGTVCGCGDRMLFHGFCRNNTRCTYAADISISDQQVTLL AFLA_131580 MESATLSNLINKTNMNSTTPPLLSPSDTALLEAFIPGYSFISRF LISYLQIDLSLYLPYILTYIAIAAVLKYSFSKLKGVLETHCIATAEIRLDDEISNYLL YWMAQQPFANRSTRFVAATRISTQSYYYDSEDEDEWGEEDEYDEDGNVINNFDEYWAR TRNRDKFKRLRFTPAEGTHYFFFRGQLLAFVRAKGDKKSSSSSRWGARFETEKLYLSC FGRDPAILKELLAEAQRVYVARDGNSTIIYRAQRSPGDYVDWSRCMARSPRPLSTVIL DQAQKDAFLDDIKEYLHPRTRRWYSNRGIPYRRGYLLHGPPGTGKTSLCFAVAGLMGL PLYLLNLSSKSFNEDDLMSLFQELPRRCIVLLEDVDCAGITQKRVSDGGEDSTAKPAE GKEGDSPEDADADSSKQGISLSGLLNVIDGVAASEGRILVMTTNHPEKLDPALLRPGR VDMSIQFGYAEPGDIKELFSAIYSTLEGDVRSSRTKRPRGKKDQVTSEVPWHQFSREQ IQNLADQFLALVPGGQCTAAEIQGYLLNYKRDPEAAIEGVEEWVRSIRSKREGQTEAT VKAD AFLA_131590 MPTRRDIPSRSLLSPSDYDDDAESLRSPSEQDSDSEDDEFLRRS RTTLELAEHDRTVLNDEEETEKLLIRGGPTHGLRRIFSPNSPSVKIGKRERRRRRREE RRDARRGQHEKMTDSGELMFEMEEGHRDDESSLLSRSSSDLDRQLKEYGGDERPQRVS WLKLALVFAAIFVLFLIFLLGAYKASTPFRTTKAPQTLLSNGTALFAPTTILISLDGF RADFLNRGLTPTLTKFIAEGVSPQYMLPSFPSVTFPNHFTLVTGLYPESHGIVGNTFW DPELQEEFYYTHPSVSMRPKWWNAEPLWMAAENQGVKTAIHMWPGSEAHIGGVDPTIL DKYNGSEALPRKANRILELLDMSGLEEEAGIVSERPQFIAAYVPNVDADGHKYGPNST EIRSTISEVDDMLGSLFAGLQDRNLTDIVNIVIVSDHGMATTATERLVQLDDFVDLSL VDRIDGWPLRGLRPKRPEDLETLQKQLESIAVNYSHAVEVYTREAMPERYHFTNNDRI APLWVIPKTGWAVVERPDFDAQSALEKGEVYHPKGVHGYDHEHPLMRAIFIARGPAFP HQPNSRVEVFQNINVYNIICDTLGLDPRPNNGTLRLPLKPVGLHSDEDTPALENPSDP PVSSTAISASLSMTSTVLASTSPTATSTTAPEAPPEAESDDEADSENDQPSTWWGTLW DKIEDLKDWAGDLIETVKDNFP AFLA_131600 MHISNHVVTALAIAAGTASAWDKNGEHTVTITSCEEAATAAPVV PPSAPAVQPSAPAVQPSAPAVQPGTPAQSNIPSAPEAPAETGAPAGTGAPTAPAAPAE TGAPSAPAGTGAPAVQPSAPAESGAPAAPAESGAPAASGAPEAPAGTGAPAAQPSASG VQPGGPAESAAPAESAAPAAPAESSGPAAQPTAPAETHVPAIQPTAGGSSIPGIPAQS SVPLIKPTVPAAQSSVPAIQPTVPGANTTAPAVTPKPSASSGFPKFANSTIPKTSTSS SSHTTGGGNFVGGGGSPAKPTSTGFAPTSPGSKLIIPGLTAVAGIAIGLMFMA AFLA_131610 MSTLTPQERVLLETATKTITSIKPSDTHSVASAILASDGRVFSA VNVHHFTGGPCAELVALGSAAAAGAENLTYIVAVEDTRRILSPCGRCRQVLWDLCPGI RVIVLGEEGPRVVGIGELLPFAYSWGGEE AFLA_131620 MATSSFTDHQPWYQRIQWFNFSMLVLIPLWAFIQSLWVPLTLKT LVLSVVYYLFSLGSITAGYHRLWAHRSYTASTPLKWLLAAFGAGSLQGSIRFWVREHR LHHRYTDTDLDPYSVNKGLLHAHILWVLLRQPRNERKQRARINLSDIDHDPVVVWQHK YYFLAAFGMGWLLPCLVAGLGWNDWTGGFLYAGVLRAFFVNQATFCVNSLAHYLGHQP YDDRHTPRDHLLTALITLGEGFHNFHHEFPSDYRNGIEWHQVDVTKWFIWVCSFVGLA GDLKRFRHNEIAKARLQQRFKRLNEEKRRLDWGTPLEELPVIDWTEYQRRVGMGQVLV VVEGVVHDLGAFLAEHPGGEATVKGMLGKDATALFNGGVYDHSRAARNLLATMRVAVL RGGGEVECWK AFLA_131630 MGWKRQKPQAELRIPTIPTFPLSSPITEEVVTPISSSPDERDME KLRPFDHLGAKVSSQNPFARKVEYGQRQEDREPVREANSYYFVQKAAESADNPKPAVK KNTERPLGLNLVTDFSLAAPKPRDNIPEEGFVDLNDLKVLSKERAEERSAQKVKGILK KGTSHGLQQLPNEPSNLAKRGSSLFDWRPSSSPKQRGKDDLSPSDRPIMIGFSMPREE TTTSRKRYSKELDVADIQQTPLTPSIVVTPAKDDDFWAGFSQVCNPPRVASSIYSQPT PCIEKSELDIPPVPAIPAEHAVAKSEVTDQETLKRQSVTSRKQRAYSSGTVFEEDVQS HPGLRSRSYSNGSVKRAFDRLSGIDNMSRLSVNTEVNRHQSQGWWTYLLSPLLGRSNT ITSRRTLIDAHPPPVPSIATDLTGSSDEWWEKEVSYFSPDTPETTIASRGISDWQPSQ NNPFADDKAVDCQVQDPSDLNNAAFGFPDQTIQGAAAEYYHACAHEVFTGKPYFECIN HVCSITPKDQIPVPNVDTTEGSGSGGRGVLIDVDDAPKPVDKEPEGSKSIVIPVTTSR PPSTVIDKQPYTPVENSKEDSGSKDDMRAPPEENSTKPLSEEAIREPAPAAGNQAETN PFVQPAQPSSTVPPPVTNVYIQYTPVPSSAPGATIERVVPQYIVVPPSSEGTQKHEEQ PQAQPQSPGPVSPGFQRATEKTGSIPLSDIHSTPAPAYTSHRNTPATLPPRVDPLPIT REATMNPVTESNRIESRRRRLEKEDAIGRKAGGLWRGRGCFSNKGCFGRPGREGRLRR RWYAAIASFFIIIVVAAVILAIMLTRKGDETPVQSQWLNLTGYPPMPTGIATVAGPEP RVQNSGCITPSTLWSCALPNEQQSDNKPYAANQPNFRVEIRFRNGTYSNSTTVASKSS KAKASRRSDNLFSPSPSPPDMNDQTFLGNTTDKNSVPYAGEETPFYMTILSPLQMSTS KLSRRSDSAFPDIESLLPSPDLDSDGTAAAAKLYPLPESQPVRLYNRGQDTEHYGFYT YFDRSIFLESSAPLTDSKNDDSTSDGNGGPSKAHARVRCTWSQTRFLVQIWTRPGKTL LSNSSSGSTTPTPTHTGTSNPTSSNSATDFVRPGSFPYPITITLDRHGGAIKKKMVYC YGMESDQHVNSTEVKLQVEDRGFGGNTINPAPGIFNMSDTALSASGYGGFDGGTGGCS CQWTNWISRS AFLA_131640 MEATLTHRPWEPATTGPQTPTVSSSQTLPSISTLTASMTSTAAP PAEKSPGNVSLNTIERDSGNWSMPQSTRSSTYSTATNGTGNYPSLSFLTSSQPSPNRV STVSDRSPYPNDHSNANTPSSSGAQPSPNFGSAQPNPALPSINQNYDAPSQRGSIAEP AESRRSSIDSRMNQGISSLAINPASPYHSTNASQTSIVSGLQRERGISMDVNMNNTYR GPRYSGGQPLSPLGPRAGEHRGFAAGRTAPAISSNPRSEIYNAEAPTAGLAYAFPDPD VARSNSISSTTEKSNAQFCRKGSTAESFSSSIYSDSRLPRGQHELPQNVHHHSLQHKQ VRGLIGEADLHSGSTPYSRTPELRVTHKLAERKRRSEMKDCFEALRMRLPQSQNNKSS KWETLTRAIEYIGQLEKMLSNARRENDLLRTEVDDMRAQLNQQQQQQQANGQSRPQSM FEHHSMATPQANGQSHGAMFPSYAPGAGMTQEQPRTLPPLMNGSVAPMQGVQYTDERR AFLA_131650 MAIEQYPDFCRMSGTKLEKFVSVGAAICLQVQDNSSSSLCSLVI TIAAETAPL AFLA_131660 MAGWGFPTAIKGLQYLFAGHDLGSVIWLGHPCLLVKCAHRLEFA YSKSLLHVLQPWPAWTWGWEMHQTTTS AFLA_131670 MKRKTEGRESLGGSGQPDSKRRALTSEEAAARFRDGLFEPSEQQ KYTDQYAESAPYKHGVIHPLIEPSLLRAVRNEIQENLDFTEKETDIYKIFQSGDLANL DGLDDASLSRLPSLLKLRDAMYSARFREYLSSVTGSGKLSGRKTDMAINIYNEGCHLL CHDDVIGSRRVSYILYLTDPDTPWQAEWGGALRLYPTTTKKDAQGEDVKIPSPDFSLS IPPAFNQLSFFTVQPGESFHDVEEVYHFKEGEDRSKKRVRMAISGWFHIPQKGEDGYE EGLEEKLAERSSLAQLQGRGDIYDLPQPKPVVCENESQEVEGKGKGKVEEQPNDTEFT EDDLNFLIQYIAPSYLTPDIAEEMSETFTNESSLNLEQFLSEKFSARVREYIEEQEKK TLPQSSDEIQAQTGWTVARPPHKQRYMFLQHSTAAQDEKTPFQELLNDVFPSPAFRKW LAAITGAERLTSYDFMARRFRRGQDYTLASGYDGEEPRLEFTLSLTPTPGWEKEADEE EEEEDDEEGENGESEKKPKADPKEKEPMSDAEEPAVGGYEIYMAGDDEEEGDAAIYRS AAADEDDGILFSTAAGWNRLSIVLRDSGTLKFVKYVSAAAKGDRWDITGEMGVEFNDD DEDEDEDDEMEADEE AFLA_131680 MQPTQDNDSNPNDRPGTPPRPPYSPVTPVFAHLAPVQNASTSNG APSHPIVPPASSPSPTSRTGGATASLPTTPAVFAPEPVAVPISESENPDAIALRSTIS ILQLQKQQSLRDIRTLERLKQAAAADPEGFARELAAGNLTAKDPGGFVNFTHDEEDED DEMRDSKEGGALGLGTIPTPQNVVRMPPINWNKYQIVGEPLDRMHEDQRRRPSPGEPR REEPAQRAPEHVLASPYRPLVDKLESPDKVKGASKNKKT AFLA_131690 MGSKRSHRRSGLYNAAMEKRASEASKKRPLPSPGAELLDSNKRN EENDSEIFTMDSFSTDLMLRARYVAAKQASFDEPFELSEGDPL AFLA_131700 MPEKTLHLTAFMRPVSLHTGAWRYPGAYPDANFNLTHLKSFIKK LEDAKFDAFFMADHLAVLNMPVEALKRSHTVTSFEPFTLLSALSVVTEKIGLAATAST TYDEPYHIARRFASLDHLSSGRAAWNIVTTGNPESAKNFGLDAHVEHADRYKRAREFY DVVTGLWDSFADDAFIRDQETGIYFDPEKLHVLDHKGDDLKVRGPLNIARPVQGWPVI VQAGQSEPGKQLAAETAEAVFCSPRDLESAKALYADIKGRAVAAGRDRNHLKILPAAF IVVGDSVEEARAKRLKLDSLVHYDSAIASLSIALGTDASGFDPDGPLPTDIPETNASK TGRAGVLKLAEDEKLTVRQLAQRHGGYSGLAFVGTPESIAEEMSVWLDEEGADGFTVV FPFLPQGLDDVVQRLVPELQRRGIFRQDYEGTTLREHLGLPRPNNRFFS AFLA_131710 MMEVNSDQPVADAIRRQATSSQAVDDAWNFLDKYGDVQHTDLDL VAIRHKVDRRILPFMFCCYFLQFIDKVMYNYAGVMGMKTDLDLKGNNFSNGASAFFIA SLVAEVPNTYLLQKVPPAKWLSINVMLWGVAAAAAAGAKNYTTLLVARIFLGIFEAPV APSLMIISGQYYTKSEQAPRFTFWFLGLGVAQIIGGLISFGFQHVHHAFAGWRIMFLV MGLITVAVGFATLLFLPDTPMQAKWLSDDQKVTLLQHVRVNQTGIRNGKFDPKQLLEA VLDPQVWLWALMLALQAVSSGVVVVYSSTLIAGFGFGGPISALLNTPSGIVSIFFTLL VGIGVRKASNRWAWVFICSIPGIIGGGLMSFLPKSNRAGVLIGIYLVNSIVAPTPVIY HWIAANCAGYTKRAFTSAMVAGFFCVGNIIGPQTFQARDAPEYRPAKIAVLVTQAVAG ILAVVLFGYYVWENRRRDRAQTTQEGQGVVTDEKAWGGLTDKQNKDFRYVY AFLA_131720 MSKAGTWIKMFVVGTVICVGGPALVQAIRPTDEELFKRYNPELQ RRSLEEGDRRAQEFDDYVNKLKQWSKSDKSIWVAAQEQQEQMRTQAAVQRSQAKDEAK AQREEMRKELLGEK AFLA_131730 MRNYGPRPSRPAGPPQDGYFDQGYDYGYDNGAYPPGSGGYDDAG YGYSSDQAVPRSYGPPRGAPRPPRGGYGPPMESRPDRGYAPRGRPPPNRYDAPRGPSD RRAPPRQDRSRPPPRPMPPPSNATWDNPFPMFPSQEPRSRSGSTATGIETGMARMDLN SPMSPVTVPDRPHTSHGRRQEMPRQPPPGSAGRGRGDYPGGPVRSASQGRPSTGQSDR SERSYTDPNGPPPVPHINRSATMPVTAAPPMTPPVAPPVAKPMYPGQATYQDPSFATK ANAHKSLHVDALLDSYYSTAHADEPDMPNFDAMPDGGQGGAIDESLFGLEQPKPKTPA PSAPQGQYAAFNPQPTEVHHVQSQPEMRPGVVPNQFENAGFHFDLPASAPAAQHQERM EYGFGHEDPMQTPHQQQHGSWGSQMNAYPDGQTGYVGRDGSIRSNGPPQSYRANQPAY GNEAPPPVMNQMDPVDPEQNPDALPHHPAPFRPGHDQGNKPAPVRQYNSATDSAPPPV VAQQGPPVDPPAGPVTQEELQQLQRVAKSNPSDKKTQLLLAQKLAEASVVLVESSRLD PKSKAKAREKYAMDAYKIVKKLVSSGYADAQFFLADCYGQGILGLQVDHKEAFHLYQT AAKQGHGQAAYRTAVCCEIGPEEGGGTKRDPFKAVHWYKRAASLGDPPAMYKMGMIML KGLLGQAKNPREGVSWLKRAAERADAENPHALHELALMYANAGPNDIVIRDEAYASQL FHQAAELGYKFSQFQLATAYEYGLMGCPVDPRQSIFWYTHAAAQGEHQSELALSGWYL TGAEGILQQSDTEAYLWARKAATSCLAKAEYAMGYYTEVGIGVTANMEDAKRWYWRAA GECFTVWHFDTIANQRYSTKQPKDFRKPANALKKSRRAEPGCRKLGFLGLVPTNRSRT KATASLCDVGTGNYRFNVSCTSIFFSIINPFALPLFFFRPGWKYYYAHG AFLA_131740 MSSQPTTPPTQQQPTKKPSTLTALSILTVLIAVSLAFFIGYNTN SNTTEEQQPHSETANLFGLKRFFTSTPAVSREISKTASRKAGSEKMSRTPIYFLSHGG VSFYTIFFSPNVMYQTDHPAYKKLGQIGKEITTKVKPKAVVVFSAHWQAGRDTIQVNT AEITDLIYDFYGFPSHFYKEKYPNVGSREVANKVLDLLGKAGIKAEGVKRGLDHGVWA SFKCAFEPESNPLNVPIVQVSLFKNEDPVAHYRLGQAVSSLRDENILIIVSGMAVHNL RDLWFSMNDSRPLPYTTSFDEALKKAATAPPAEREQALTDLLKRPDARQAHPTFDHLL PIHVGAGAAGDDVGKRLWTMGEGSMSWAQFRFGQVANSSSSL AFLA_131750 MSGKYVFTKGLKELRFLFCQTSEHSAPTRSFLQRAYPTMKKHNP HVPILMREASGTQPKVYARYAFGKEKLEPLNGLSDAQIEEKLTKLVKESS AFLA_131760 MSSRVGLRFFQNSRAAFRNAQHRFGAGRRFQTSDAAAAEPQSVF QRLWNSPVGVKTVHFWAPVMKWALVIAGISDLSRPAEKLSLTQNGALTATGAIWTRWC LIITPKNYLLAAVNFFLGCVGIVQVGRIYNYHRSLEQSPAEAVKSMEHEVIDSAKEVV AKSEAAVEKSA AFLA_131770 MKLTQATTLLLSLGLSLPVEGFSLSRTNAVGPKPPFRPLPASTP RNKTCQVQSNGDGTDDAPYILAALKQCNNGGKVVFAEDKEYTIGTALDMTFLKHVDLE ILGKITFTPDTDYWQENSFKHTFQNATTFFNLGGTDVNVYGGGELNGNGQVWYDLYAE DALILRPILVGIIGLHGGTIGPLKLRYSPQWYQLVANSSDVLFDGIDISGYSSSENEA KNTDGWDTYRSSNIVIQNSVINNGDDCVSFKPNSTEILVQNLHCNGSHGISVGSLGQY QGEVDIVQNVLVYNISMYNASDMARIKVWPGISSAMSEDLQGGGGLGSVQNITYDKMY IENVDWAIEVTQCYGQKNQTLCNENPSNLTISDVYFNDLTGVTSGKNDPNVGTIICSS PDVCSGIHATNIDVKSPDGDSGFVCTNVDEEFLDVECASSS AFLA_131780 MECALPCVESVFESAHPFQEPNFELSRDITLSEAFQKLFEEESS RASSPSTSGSTVAETLAKLTILDTFMLIHLLYAFINTHMTLLATILPKSRVPHSQQLR NSRASSINSAIPEDSTLASIRIALSRWHDHWTRLRSTTSSHDWAAMGFYKNGYHFWLV SQLLITKKESVDVVMRMEVKCEDKLEQLKVLLKDDNE AFLA_131790 MKSSGSKHFCCTICQRGFTRIDHLKRHHLRLTIYGTTTRTAPNE ETERFPKLDKEAEGVMRANRSCQKRNLHCDNERNQVKEPELGGSASSKNDDQEPSSDR GSIKFLLNGGTDTFTEHWNLPPSNDRPRTLNDYQNSKSFEEADSSILEYSTKENYLEY TPTYVEPDPSALSFFHDTFLDFFNGPFGDPHRASNDPYTSGMAYQSMAPSTQSSDLRL TGQQPAYEHEAPFANAMIQAILTKVWSLRLDAKTQEEISEKLNFLLTPTRMRKYMALY FKYWQPNCPMIHVPTFDPRTVSLSLLTSVCFMGAKYTEDKRELDAAQRLVDFAELFVF SNGILSGENEISSTYYGVQNVDDDPSCWVQFQNLQAAFLMVICQYWSGSRTSRNRAME NRFSEVIKVGLVQISGLVRPGLLGTGCAQNGSTHISAWARGASP AFLA_131800 MLRLLAQAAGVETTQKKPQSANRCFVEPRSVDESRALRVVIIGS GISGIISSIRFRQRIPNVDLCVYEKNPDIGGTWYENRYPGCACDIPAHTYQATFEPNK EWSAFYASSPEIHRYWKRVAHKYDCMKYVKLQHEVRGAVWDEQKSKWQLQIKDLNGGS TFSDQCDVLISATGALNNWKWPNIPGLHDFKGKLLHSAAWDESYDYSGKKVAVIGNGS SGIQIVPAMLPKVAHLDHYIRGRTWIAPTFAREEIDKRNVEIENFSFTPEEIETFKKD HKAYQQFRKDSEIELELQSVHGTTILGTPEQVEARDVFLENMKRRLSRKPELLSGLVP SFPPACRRLTPGPGYLEALTDDNVSIISSTIVQVDADGIITADGTHHPTDVIVCATGF DTTFAPRFPITGRGGISLADRWQKTPETYLSMMVDGFPNYFISLGPNSALGEGNLLLL IEKAIDYFTFCVQKMQRDNIRAIAVKKEAVDRFTRYCDQYFAQTVFGQKCRSWYKGGA EDGRVTALWPG AFLA_131810 MPVFTEYSAASRELRVLPSFAPPLPRLSSPFTRDDQAEKYEVVI VGAGPAGLMLDLLLARYGLSDDSLLCVDAKPGTLKSGQADGLQPRTLEVLKSLGVADE ILNDGCHMEEVAFWNPSANKEEIIERTSIVPDVAVPARYQHEVTIHQGRIERILETDL LRYSKRGVQRNTKLLDARIDEAGDPEFPVIADLETDGQRRTVRAKHLVGADGAHSMVR RCMGLQLVGESLDHIWGVVDLVVDTDFPDIRRRCAIHSPAGSVMVIPRERIATGDYLT RLYVQVPEEAMPDQDQVPVNGTTTPKADARARRSKVTLESIFQYAEDAFKPFYIRPKE NGAVDWWAAYQIGQRVSDNFTVKDSKGVNRVFIVGDACHTHSPKAGQGMNVSMMDSYN LAWKLAHSINGLTPDSAYPGKPDSLLDTYHVERHTIAQELIEFDRAFSSMFSGKIGSG EDGVEGLTHDQFLEVFSTGNGFTSGCGIEYPENLTVEKKLGQGIKSPEEDYWMYGLKD MPMGTGAICKMGTSAKSLTTLGTSVLPHFPASTLEQVVVHPRLDKTFTWRDVPQELKQ HSEMRFHSGYEIDDIYAVYGVDPAQGALAVIRPDGYVGTIAALDDVTWTGPDDPDNPK NWPTKKKWGAVLIVSCFTFISPVMSSMVAPALQTMKTDFHIEDEVTSQLMLSVFVLAY AFGPLFLGPLSEIYGRVIVLQLANLFFLIFNIACGVSRTAAQMIVFRFLAGLGGSAPL AVSGGVLSDCFLPEERGKSIAIYSLAPLLGPAVGPIAGGFIAERTTWRWVFYATSIAD GVIQVMGLFFLRETYAPKILRTRAKKLRRDTGDTSYETEAERQNKTLPEVLSTALVRP FRLLATQPIVQALAIYMAYVYGILYLMSSTFPALWTSPEYYNESTGIGGLNYISLGIG YCLGSQICARLNDLVYRRLKARNSGTGRPEFRTPLLAIAAILNPVGLFIYGWTAQTHC HWIAPNIGAMLLAMGNIVAMQCIQTYIVDAYTRFAASAMAAGSFLRSIAGFGFPLFAP YMYQALHYGWGNSLLAFISIVIGIPAPIFLWKYGERMRKMSTYAAG AFLA_131820 MVQLASTLVAGLAGLASVVSAHPGHDVKAEAAERAAFLKNAPLR SRSLDQCATKLRARGQEQRNIARREHAVKNLRRSMGLESRSHFLKARDLDTALNTTHH STQNVNLETDPNVLFASEATCILAPDVTQGPYYVSGELIRNDIKEDQEGVPLYLDIQL IDTNTCEPVPQVYLDFWHCNATGVYSGVVANGNGNSDDETNLDSTFLRGLQKTNKDGI VQFQTIFPGHYTGRATHIHVLTHPANETSVLPNGTITGLYDSKTSHVGQIFFDQDLIS VVEETSPYSTNTQELTTNAVDSILAEEAENIDPFVEYVFLGDDVSEGIFGWISVGIDA TEDTSVSAAAFYTEEGGVENENSGMGMGGGAPPSGSGVPSGAAPSGAAPSASASA AFLA_131830 MGDAVAPNALADTTRVEAPVTFKTYMMCAFAAFGGIFFGYDSGY INGVMGMSYFIQEFEGLVSLDPATTDSDHFVVSSWKKSLITSILSAGTFFGALIAGDL ADWFGRRITIVSGCAIFIVGVVLQTASTTVALLVVGRLIAGFGVGFVSAIIILYMSEI APRKVRGAIVSGYQFCITIGLMLASCVDYATQNRTDSGSYRIPIGIQIAWALILGGGL LMLPESPRYFVRKGQLDKASHVLARVRGQPEDSEYIKQELAEIVANNEYEMQAMPQGG YFTTWLNCFRGSLFHPNSNLRRTVLGTSLQMMQQWTGVNFVFYFGTTFFTSLGTISNP FLISMITTIVNVCSTPVSFYTMEKVGRRPLLLWGALGMVICQFIVAITGTVVGDKGGN NAAVSAEISFICIYIFFFASTWGPGAWVVIGEIFPLPIRSRGVALSTASNWLWNCIIA VITPYMVDQDKGDLKSKVFFIWGSLCACAFLYTYFLIPETKGLTLEQVDKMMEETTPR TSAKWKPHTTFAADMGLTEKDITDKVHVAHREV AFLA_131840 MYALGIVFRHSRATVPPFLDDQSLNTSLSALYLGPVRRSAEESD DMNPQTREIFIKNSWITWNGRNHFWLPPEYRPKYTAVYGNIVAIIIGNHEMTVFKFTL H AFLA_131850 MAILVQSIKWLFQAMATCLHRHRMTRRSACGIHALETASRFLWD ILIVFAQSSFHLLHALQIYLRQYQMIAAYGFGIQQRQSACRISKPMMRRSVSSPSPAM ERFLHQQVQLWNPMTGQNLHRLQGPSDKLTAIAFSPNGKILASASWDDSVRLWDPLYG HCLAILWHPVPFYDVAFAPDAKTLAPSTSHDDSVWLWDPLTKQCLQKMEGHSSWVHSV AFSPSNYSEKLLASAALDDRVVLWSTSAEQGRVVAPNKSDDRFQAFTVSRDGGNCYHG AFLA_131860 MGSFHHTARNWRIKVDNGVTLFRVEVKDLHGNWVERTIRLDDHI GNTDGWFIWGGKNFTQSARDIRLEDTEWGPKLVAVMRSNDGGDRGLQGMLLGDKIENR NGELHFTGP AFLA_131870 MQVDVLIVGAGPAGLMAALWMARAGVRTLVVDRNSGPTEAGHAD GLESRTIEILDSFDLGHTIWNESNHTIDICLWCQSSDGSLERQSISANSTPGWSRFQE STLGQSRIEAILLEQVLASSHVEVRRNTVPTSLYIDQNLVQNHDQHCFPVRVGLIPVP GPEASKAVNGDISIESAREVIEAKYLLGCDGAHSWVRKQLGLKLEGASRDVDWGVLDV FPITDFPDIRRRSIIKSQYGNLMIIPRERKLVRMYVQVSSSLAEKYRASDRDPDMIMQ AVTKIMQPYHFDASRVEWSTIYSVSEKAPIVKKEHRTVGHRYCRELSRYDRVFLAGDA VHTHSPKAGQGMNVSMQDSYNLGWKLASVIHGVAPPSLLRTYHQERLPIAKRLIEFDK RICRGMLEAENTFDEDHRKALVEENTLMSGLGITYEPSMVIAKPVGFKTNGEVNGKSS LSIQPGQFKTIRLGARMPSALVLSHADSQPHELQRIFKSTGEWNLVVFGGNIANEEQW QRVENVAATLSSPKSVVQRMNARKHLDSDRVTGSLAICLVHSASSTEVDIGNLPDIFR PPDDDTGFDYGKVFVDKKSYHVGGGKAYKEYGISPYGCLVLLRPDQHVAFKGDLEDVG ELENFLDSIQLGDIS AFLA_131880 MPYKPEEEIYVRDIPLSYMWKYLKTNQPPPKKCNDGREQELLKF IQNHPRYSEMKGSPEAVLAAIDEFGCTKDFLMNVGQEKGRVVTGLIAQEKPKTFLEIG GYVGFSAILFGNEFRNSGGQKYLSLELNPTFASVARELIALAGLDQTVEIIEGPCRES LRKLHQQGAGAFDVVFIDHAKVLYFNELKLCEELGFVQPGTTVMADDMVRQGNAQYSA YVRDSPAVKRQRYEEEKANQENGDVSLGNPSLIYETTMFHGLDPCGTEVSQPAGWQVI SRMKF AFLA_131890 MNMGSVYQPRHQQVCYSAILDKKQPVPVSDATEVDKKQDEVVYE KVDQSTPQLGIDPNQQDISAFPMLSDKGFLAQLQEFHEALVKAIVNIVERWWDDSVSD FPSRMPLEPRAEEILNQILGSNAIVEPTGDFKTMADGLFDHFDLDLPIHLVRGRDYLE RQEFALLAERKTGSRPRLVNVSDLQLKVDASSSTGLAPYCKKPGAEDEQALEKVYQVA LALFPEEYSLLSLDMLRHLARISVNDFRTNLLVNDQRFLGIILQELDDLVKKHKVLTP DQARILQEGIVPTILPGSPELKKIFFNQGNPKVTLKDDFMLKAARASRGNGHLIGEEL STEEWEAALRGMQSPNIHTNQASYVLQPYVRQPKFNILADRNRTVRQSQVVGTYYAVN GRFVGLGPWRTGNGKICNVYGGGCVLVNSIASV AFLA_131900 MIEAFFEMFNPDLPIHLVQSPVFFAGQFKPGIMQPFLAWVEKRT GMRPRSSFSASDPPSSPSSSPKKEETLELIHQVGLQLLDFSALSPEIVRHLALSGAND ARTRLLVHDKRILGILHQELDGLVTKHRVLTEEQANLLRRRIVPTIIPGSPEAKQLLD LHREGKLSKDDFIIKPARDARGQGIKFGDELSESSEWGEILAGLQAPALSSDKTTYVI QPIIKQTEEDLFLDEKVGVQRCQRVGTYYSVNGSFVGLGAWRAIVASERVCNMATGKA WKMGSVFVSHE AFLA_131910 MVSSVSKTLLVLLAAHILGVAAADNCTLASFNITLGGRLQSLKP FSFPCFSQYNGISMDPDEAACKVIQSNYSDPFLRANSVNGYMYNQAEICASEPANQCL LDNSDPANPQALDASCNQGNMPSFSLEVQGPKDVVEAFRFSSCSGTRLSIKNSGHDFL GRSSGQGTLSLWTRHLQSMHYNPAFVPIGCNATSKYDTIAVQAGVNFDEVYHFANAHN VTFIGGYSPTVGVSGGWTQTGGHSILSPVYGLGVDRVVQYKIVTPDGKYRIANECQNQ ELFWALRGGGGGTFGVVMESTHRVEPRVSIVSASIKFPPKANSSNVLPFLDILVNNAV KWAHEGWGGHISGNSLINVTPLLSLSEAKDSLSEAIAYAKSQGGTAVIEEFPSWYEFY QKYVVPNAVTVGNAHFAATRLIPKTPISCSRRLEMDSNYTRGYRE AFLA_131920 MMWAFTELMGNIFQCHPVQYYYDTTLNGHCMPGQTKLFQTSACL SLVEDVIILLLPMPVVWRLRITVQQKIGLTIVFSLGALVCIFSLLRVIEFNHFHTDDL ASSSAKESVWTALELNVAIICGCLPLFRPLVHRFLGKAKAQSSRSLRTPRYLNRLSQD PDGFHKISDPHGLRASKSVVVSTDPSGRHSSDVELGGITVHTAIQQDVESRPITGSSD AAMETTWPR AFLA_131930 MPAKDDKYTDPELREQVKEEVKQSDKGGKPGQWSARKAQFMASE YKKRGGDYTTSKEEGQDESQKSLEKWGKEEWQTKEGSGTAKQEDGTRKRYLPKKAWEK MSDKEKEETDKKKQEESKKGKQFVSNTSKAKKERKQAAEESKGEDQQESENEESGSGE DRPEESDGSNDVDEMDNEEEQEGEDEADEDEEEEQQEGEPQDEDDHSTEAGKKRTASQ EEGANKKPKTRQDSHAEREQED AFLA_131940 MGSGIGPTIDWLPQGRVQLQDGKSSNLRTHRIAASITGPGLVGG SFGQPDNGKLAHAAWSQQWGALDAVQAGNIDNAAGWC AFLA_131950 MELGAENRLTAKFCQELIRAFNTVRQTLGSNSEGAVITRGNNAK YFCTGLDLDEAEQNPHATTEGFYPLLHTILDFPFPTIALLTGHTFGGGCPVAFAHDYR VMNSQRGFISMPPVDLGMYFPGVGVLPRLKLRPQIARKVLLEGHRFTGEEALRDGLVD FIAQPDDMLAVAFALAAKWAPKAKAGAVQQISHVYGRSTFLPGKTKL AFLA_131960 MSDFTDHLRPSQPNGPEILARKRTQTHIPVDELAHHLLAQDGYL ERQARILRIVEQEPLFDKKRQANLSREDLFKLALARAKLLRRLVDKHGWDIDDYKMAE TLVDDVSPYYLHLHMFITTIREQASDAQQAYWLPLIESFKIIGAYAQTELGHGSNVQG LELQARWDPGSKEFILHSPTLTASKWWNGSLGRTANHAIVVAQLLVPNSGGEYQSHGP HPFIVQVRDMQTHQPRKGVVIGDIGPKFGYATIDNAYMLFHHFRIPHSALLSRYAKLD PDSGQFSKPAVPAVVYGTMTYVRSLIVLQSRMALARAVTIAVRYTTVRRQFRDRDDLK GPEVAVLEYPTVQIRILPLLATTYVLHYAGQAMQRIYREAREQIQAGDFSGLAHMHSL SSGLKSFCTDLVADGIETCRRALGGHGYGGASGFIRLSPDYLSRVTVEGDNWMITQQV AAYLIKRMHAAVANIDSATGNETEDLYKEFLRANRSLALLVEIFHDTLQNDRSLPPPA KNTLRDLYHLFAFFHMETHAYDFFRSGAAPQRDLDELPNRVRHLMARIEPHAVALVDA WKIPDYLLDR AFLA_131970 MALRLVKLSDKFSCYARGENEARFIYHEIFEDHGYDKVELPEAP FIVDVGANIGLFSLYMKEKYPLAKIIAFEPAPENFEALERNLAFHMVSTVKAYPYALG ASAGFAPFKYFPNMPGNSTLNVEEKEYQIQLFKENYDQTFADDMFKDAKQIMVPVNRL SLFLCLPHSNVEVIDLLKIDVEGTELEVLRGIDDRDWNKVRNIVMEVSNVKGGLDKVK QLLETKGFTVTYVAVRGIPELFKLFIVTACR AFLA_131980 MDLYKAVVLDLHLSPGLISAIHKPTVLVGIACALFFSQLLFWTA KYSHKKTKGLADLPGPSGWPLIGMGLDLPVRPRELLNRWAAQYGDVFKVRVGWYNWVF FNSPDAVKEVFDRQAAVTSGKPPLPIAQDYCLRGDGVLPMTYNAKWKRLHAFLKQLLS AKASAAFIPSQEFEIKQLLADLSHEAGKNSTDFYMHIRRMTFSIVMTSAYGLRIPKWD CQEGLEEETVSWLASAVPEAGPETTASALNGLIRYLAMFPDAQARAHDEVTRVLGDTR MATLADEPNMPYIRAVIKETLPTGTILLANLNALHWDSERFPHPFHFKPERYLNHLHR SAVYAAGGDILARDNFTFGAGRRICPGIHLAENGPFLAVANIIWAYEFKLPLYDQGEE IPLDITDEGFMEGAIRVPKQYTVRILERNAARSRLIRSEWGQAQQAGYVLRGSHVDVN GGG AFLA_131990 MATKQPEQPSAATALGPPNGGVASWSGVFASFLLFVTTWGFSTA FGAFQSYYQSELLSTSSPSRIAWVGTIQAFFLISTGVIAGPLFDRGYLHHLMIVGCFL TTLGLMMLSLSTEYYQVFLSQGVCCGLGSGLIYVPALSLVSTRFTTRRGIAVGLVTSG ASIGGVIFPIIFIRLQPRIGFPWTARTMGFIQLACSCIAVPLLMATTKTRQAPPRQII HWHAMKEWHFNAYGIANFLMFMAYFIPIFYVPAFAQTALHTSTALSFYMVSILNAGSA IGRIGSSLLTYRLGASHILLVSVIASAVLLFGWTGIHSVAGFIVFCVLFGIFSGVLIS ANPLVIAHPVVSPTPSVIGTRMGMQWFATSLGVLIGAPIGGVLQGHGGSDGFLGLQLF SAVGMIVGAGFLLVPTMAIWRYDQP AFLA_132000 MPPPLKRVATRTWLLNSDKPVPGQLIIICTWLGASPKHISKYID MHRAVAPHARLLLIESEVSILVSSYARQRRLIRPAVDVVLETLVETENGSTSDAPPRM LLHTFSNGGTNTATQLLITLRGIVSQPLPLIGLVLDSTPAKGTYWKSYNAMVFPSRRR HASWAQSSSTVY AFLA_132010 MGKRIITDAMSLLRPTSTTTLTVQISKTSLFNNMLLLTFLVTCY AAGLPVFPNQAVLRPSLALPGDNSHRYSLPMFDLQPWERVDEIRLARKGYLYGSPLLG NTSFFPTGALGDAMVARDRAQWFRDVGYVTSNVYHELDQAAAALMKSLSSYEVLYKDQ WVSTIPDGVAPGMLTNWTQDLLFSMERLSINPYVVRRLHPSNDHLPLDVDDHVMRDLA GGRTLAVLHQEGRLFLANHSYQAAYPKTPGRWTAACTAYFFIHPRSGDFLPLAIKTNM GSDLTYTALDDANDWLCAKMAFNMNDLFHSQLYHLAHTHDVAEPVHQTALRTMSARHP VRGYLNHLMNQAYAVRSVGEEFLFNEGGFYDSSFALPNWAGKEFTTDAYWEHAGHFRA TDFYRDLATRGLLNCSYGPPLRSFPFYDTVAPMVKAIEDFTSVFVETYYPESGLMGDD HELQDWIREHLFHRPAFLPGILGMIPDISPPAASGSPRRSAGPTVCSSRRVRRIRLAG FSFPQAIQV AFLA_132020 MKVITIIWLWLITAHCYPGRMDWDYAVQRHQQVLQGDTDRGFGL NEPFIPTTAHILPEKKPFPIDESVHYYERHFSGSGPDGTYRRSSCPAVNALANRGYIN RTGRNISYSELTHAVRRVWNFADDNVTPPSLSSIRRPFPEGDQNLGRDICPMKARFQR EDCGQGELEHRKCIV AFLA_132030 MPPYCKIAVIQLYVKPLKPADNFARAVQFIREAAAQGCHLAVLP EFHLTNWIPTDPRFAPLCDDWESYVHRYQALAKECNICIVPGSIVRPVSASPQETSTS STEPDGKPAPALENVAFFISNTGETLGSYVKKNLWGPTERAYLRSSGDSPHEVISTPF GPVGLLVCWDLAFPEAWRELVSRGAKIIIVPTLWTRSGASEAGHRQNPSAPSLFLDSI LTARTFENTCAVVFANAGGPPGRNYCGLSQINIPYAGPLVRLGTAAEGMGVATLDLAV LEDAEANYAIRKDLADPSWHYKHTQRIPAEPSKGKL AFLA_132040 MQWDPYIQHGYRTQLNSFKQCFLSLFYMHNESVNTWSHIVLEIS FLILLLAIDYWIAQLPFKVPFSDMLAIQSYVAGTAGCLVFSAAFHTTNAHSPEVARAF LKLDYFGIVLTISTTCISVAYFTSTLQLAYILFTVLCAAMVFCITLDVGMDGARAGPW R AFLA_132050 MRKVIASRKSQGHPLEDMERELELLQANSMDERAIKYFKRMCEG GEEALGLWKKFRDLSIIKYQASFARLNIHYDVYAGESQIKNESMKEVENMTKEKRVSE VSDGAVLVDLTKYSKKLSKEIVRKKDGTSNYMSRDIGAVFERDEEYHYDNMIYVIASQ QDLHMAQLIKIIELIGRKGLADKLEHVNFGLVHEMSTRRGTVKFLDDILRDAKDKMQE VMRSNQAKYEQVEDSEKIADILDISAVLVQDMASKRNDYTFDMDRMTSFEGDKGPYLQ YSHARLCSIIRKADLPSEPLKDADLSLLQEKHAASIVQKLEHAHISVEVDSCPEFKL AFLA_132060 MARPCRNCGGPRAEDVPDKIELCEQCTASNMPVASFDSQAGSPT EPNTPVPDTQSDGFQGGDGHSGKGKEPADLDEPILVPDPESVPMGCRPSQIETGTGAV ESMSAAQSESASRGGNRLKRKASALNAEEPVLDSESDKSVGSVSPKDTSNIGQGHDPS SHEQTINAPASNPAKKVKMEPACGSCRRSKVRCTHRKPVVNPRDDAFQSEAQRPIQPK ESDQLSRDDPAQDDPGEGSSKRAGLRPKSQPADTPDGKIPPKPRGRPRKHPEETQAVV NKGKAVEEPESPPKRPRRGRKPAQRIGSSAQGKSGQATAPEPAAATVPTETMAANIYI ATNMALNNVLAENFQETVRECEVKWQAVSDSLGEAMDSFREAKRKIDAWLDMWKKGEV AFLA_132070 MAPQAPWRSLFQSHLTQNSSTSFTLSTVDHDSQNRPVPRSRTCE FRGFWPSPQLHDKAVEALNSQGIGQNPAVYESDMISLTTDVRMEKVGQLDSSANVVEG IFWLTDVGNQWRVKGEAFVIGDPKGGAHEEAARKEIQTGMNVTGKDADVSEWTWERQV TAYFANHSPVMRGSFKNPSPGQPRTQEPADPNLKLGQKVDDLQDSIARGNFRVVVIRP NEVERLDLSDLQNVRRVRWTFVPADNTGGQGEWVETELWP AFLA_132080 MRLTVELIQNSLSYINPLKDRELDLRGHKIPAIENLGIAKDQDA IDFTDNDISTLGNFPFFPRLHTLLLARNRVKHIQPSLATSVPNLANLVLTSNHMTELA DLDPLRNLTKLTHLVLLENPITRKEHYRYWVIWRIPSVRFLDYQKVKDAEREKAQELF GTAEEPSALASKIMGIKSRTFDIPSGSLADRAPADKAVRVQLTEAERKRVEKMIREAR SLQEIARLEKELNEGRIPGGALDAAEDPDQMQT AFLA_132090 MGKWHYGVVLDAGSSGTRVYVYRWLDNAVARKGADSKDLRSLPE IKTKPEWTKKIHPGVSSFADRPEEVGSQHLAELLEHAQTIVPPDAIKDTPIFLLATAG MRLLGDVQRNLLLEQICSYARANSDFLLPDCDVHIQVIPGVTEGLYGWIAANYLLGSF NAPEQHDHGKGHHTYGFLDMGGASAQIAYAPNSTETQKHASDLTLLRLRNVDGSTQEH KVFVTSWLEFGVHEARRRYLESMQAASAVDSAKELPDPCLPSGLRTTLDGKPFTSKQE GGMHLVGTGKFDECLRQTYPLLDKDAPCLDQPCLLHGIHAPAIDFDVNHFIGISEYWH TTHDVFEMGHKDKAYDFNTYQQRVESFCSQDWETIAKGIDDHKWKKLNHEKASEVCFK ASWIINVLHNGIGVPRVGLEDTTSSGHNGTKEVITHAQEKGYLDPFQAVNKIDSTEVS WTLGKMVLYASSQVPVEIEEKALPVGFGSNVAGIPNDFQYPSTELLPNSEGLHGANWH DALLNARSSRRVPGLVLFLLIIIMMVFFLCGRRSRMFHKISNLFGGRSHPSYPKKRKF FGGKLPFFGPRSPSYERVLEDGANEFDLGGIVSGRSSLDVNRLSDTETASFLPPKRAS SWGSPTPSLKFGLDNSSSGTIGLGITAGSGINAMDRAGLVVRTESRDHLAPIALGPTS NGRRSRAGSPSRSHPHRSPIMTPLSHDE AFLA_132100 MPEGGDPTRVDVNAQYAGLEYNYIYITACTFIVFLILPGIAFLY SGLTRRKSALALLFQGFMILAVVTFQWLFWGYSLAYSRDGGPFIGTLQNFGLMNVMVA PSPGSAVLPEIVFCLFQLLFCACTVMILAGGAFERGNILPSLIFSFFWATIVYCPLAR WTWSSNGWLYKFGAIDFAGGGPVHIASGCAALAYALVLGKRLHHGEASPRKPHNTTLV FLGTVLIWTGWLGFNGGSSLNASMRAMVAVFNTNTAGCTGILGWVLVDMIKHRGRFSV VGACEGAIAGLVGITPAAGCVSLWLAACIGFITGIVCSSLQNINDWLRVDEGMDVFKL HGVGGIVGAFLTGLFASESISALDGASLTGGAIDGNGIQVGRQLAEICAIAGYSFTVS YILLFILKYIPGMRLRVDEESEMMGLDRAQFFDEQIGDWSIAHGTSSPMLMGVSKEPS ASKDEQTVKTPGV AFLA_132110 MWHTNKVSFLNLFTLILGAVESSAQSSTQWPQQTFKSTPLEAPY LNVTKNGKTELGYLFFSPSNSNWSYPTIYQDDGQLVWRGPLVNTSAEQPQMLNGEPVL AYWNGANIKGFGFGSISILNSSYDEIYKVTLPGTEEKFVTVLDPVTYPSYIDIHESQI TDQGTILVTAVNVTQMDLSSIGGPKDGWVQDGLFYEIDIKTNDVLFRWSTVEHLSEIP LSNNELPLEGKGTNKTTPYEYPHLNSVAKYGDSYLISSRFMCSFFFIDKNGNVTWHLH GQKGGDFTLTPGTSFCYQHDPRFESQTEDKITIHFHNNENADFTSETVMTTGMTIALD MKTKIVTLVDKLWDAEQPVYAESQGSYQNLTNGHVLMQHGAVPKLEEYDENGALVMRA WFGYHGGTKTYRGYRFPWVGKPRTKPDVAACSTEGKIVVYVSWNGATDVQEWKVLGGS EEGQMKKVTVVPRNGFETRIAVDEVVEKVVVEAVGGVGDGRRSEVVTVGQSC AFLA_132120 MAPTVLVTGATGYQGFGTARHLLAAGIQVNALVRDPSKPKALEL EQLGAKLCVGTFDDPDSLRAAAQGTLAVFLNVMPTFPNFSSELQHAKNVVNAAKEAGT VTSIIYSSVTMTGKHETFPGWGADYPMAWYWTSKAAIESLVRASGFRYWTILRPAFLM YNYLSPTADHMFPSLPSEHVFRTAYKPDSPMTILDPDDVGKSATAAILDPEMYNQHEI DLGAQALTPDQIARALSQASGKDIKVEFYTADRAASLSSVNPRITAQLWSNDVGYQVD MEALKKYPIKLTTFSEYLHWEKCAVQKTFG AFLA_132130 MPSEKGPVDQSPPDLEIVGDKVTIHPSGFTGGPEPQDDGITERN LVHHMARFRENPFDFLREVSLYMSGTGWRAYDDVIGQPIFYSGFSDRMKTNILASPLL RGKVKELAEARLSVEEKEGLLDVKPGPLPKKKAHRRNEIESNLKEVVDSMLDNMICKM ESKRFIRGAYYLCTQLLTRAYHQGIHVSSEEVLRLRSVAEEAAKKKQSIVFLPCHKSH VDYVSLQLICYRLGIGLPVVVAGDNLNIPLLGPFLQHAGAMWIRRSFGNDPLYNTVVQ AYIDTLLQQGFNFECFIEGGRSRTGKLLSPKFGILSFILDSVLSGRVEDTIICPVSTQ YDKVIETESYISELLGQPKRKENLADFLSSSSVLSLKLGRVDVRFHEPWSLREFVTQQ LTRLPTQIDTNSGRKLNYEERGRILRTLGYRVLSEINDVSVMMPTALVGTVLLTLRGR GVGKAELVRRVEWLCERVRMKGGRVAHFYRYPTDVVVDRALEVLGSKLVGVVSGLAEP TYYAVDRFQLSFYRNMTIHLFITEALVSTAMYTKVKRGGGPSHQRISYTDLLNQVSFL SQLFRGEFIFPPEGLTTNLEKTLQGLEKDDVITVSRDSSGTPTSIELSDAERQCGREN YDFYCFLIWPFIEASWLGSVSLLGLTPLVNEQKETWIDLKKAQDSAQLLGKTLYHQGD LSYFEAVNKETLKNSYQRFAEEGIILVRKGKESRAAPVMKLAPEWTPERDPSTGKLVP RGRLWDFTELIAQSRREGKNRRDGATVSSRVLIMTDLVGRKLFEGAASPDLEDSVDVS ARQQRRKDITPSSKL AFLA_132140 MASPSLRQYSFQVFRDVPPLRQMRRQLVLDKKTVGFVPTMGALH EGHLSLIRQAAAENTDVFVSIFVNPTQFGVNEDLSSYPRTWDSDVAKLEQLNDEFARL GGDTGRITAILAPTSKVMYPASPPSSEVDGDGSFVTITPISRKLEGASRPVFFRGVAT VCMKLFNIVQADRAYFGQKDVQQTVVIKRMVQDFHVDTEIKIGDTVREHDGLAMSSRN VYLGNRRRSVGLVLYNALKAAENTYNSGKLARSDILDAANCVTNQVLSEQQALSPSER ALYEVDYISLADPDTLDEVESVDPAKGAIISGAVKMLPLEETKPGEDCGLGDGQVPVR LIDNLIFKPRA AFLA_132150 MADDGLLLNFAIGDTNIIKPETKLKGGTWRDRLSAKKIAQHRTK NPRKPGEERPSSGKGPQNPNRIQVSSSRPSKRQKTDADGDHEKSRHDNKQHPRQFVSS LFSKNPTPRNAEEEPEQEPVEDAKPTNAPLIDGLDTFTNLGLSPSLAAHLLTKLELKA PTGIQKASMSQLLKEDSDAFIQAETGSGKTLAYLLPLVQRIMTVSNPKNMSTNSKGEP IVHRDSGLFAIVLAPTRELCKQISVVLESLLRCAHWIVAGTVIGGEKKKSEKARLRKG LNILVATPGRLADHLENTQALDVSNVRWLVLDEGDRLMELGFEKELQGIIQKLDARQR PSRIPGIPTKRTTILCSATLKMNVQKLGEISLKDAVHIKADPADEDGETKRKDDDGFR VPAQLKQSYAIVAAKLRLVTLTAYLKRTFMRKGSVMKAIVFVSCADSVDFHFEVFSRR KQYRDESGDEDEEKEDDDEDNSKTKSEASPHGTIAPAVAFSNPSNPVKLHKLHGSLPQ HVRTATLNAFSREREPSVLVCTDVASRGLDLPNVDLVIEYDPAFSADDHTHRIGRTAR LGRDGRALIFLMPGCEENYVEILKQGYRDGGKALTRTTAEDILKRGFGGNITSETKNW EEKATDWQMDLERWAVDNPQYLEMARRAYQSHIRAYATHIASERSMFNIKELHLGHLA KSFALRDRPSKINVPGLRPGDKEAKKDYKAERNTVGKKRKAGGRDDDFQPSNDATSAA QKMRAKLKEHMAGASEFNLA AFLA_132160 MSTPTQLQPPASPTYILRGHASPIHGLHIFHQNLRLISGDADGW IIVWDLVFKRPVAVWKAHEGAILEVKGFTFSNQTVTEVYTHGRDHKLCVWRFRAQDED LLQKTLPVDISEQNQSQATQPWLVHSLPVNALNFCAFSMLFLDEEESPDTGEPEASDK TSTQSPGKNPPQHHSLFAVPNALNSGAIDIFHLPRERRLCTIPADQTTQTGMVMAVTL FYSSTRELYIASAYEDGHVMVFALRGQLTTQDFSGKASSDSWKWERVYVARAHSQPAL SIDVFPAGGYFVSSSADALVVKHPVPGFGEVGTVKKVDTKHSGQQGVRIRSDGRVFAT AGWDSRVRVYSCKTLRELAVLKWHKEGCYTVAFADVEGSFDSGGGATGDGDGAQVTKS GEFSLATVRRQRNQKVQKTHWLAAGSKDGKISLWDIY AFLA_132170 MASVSTINGNVLPSPDLDVSVEVAATDQTADTPGLEVEIATPDK IATDDNARESPFGEGGALTPNSTTMSVSRTPGSDTGRRKDTARKPRRVRTGCLTCRER HLKCDEALHQCQNCRKSGRICRRPGAQPASMNATFGSHPHPPYQPLQDQSASYAPFNS TGKGDVKTNNYPCLQDPDDVFLMQVFVEEVGQWMDSMNDVKHFTHILPFHALGQPMLL KAFMACGARHVYLKTPSYGEEKASYLHDAASRDLLSALHDPDRDSALCATTAVVLNVY ELMCSKSFPSIHGMNHIAGARALIKECHWDARTQGLGGACFWLNVSMELLSCLHFNWA LAWDPDTWGVNMDFERDQPSVAGNEELWTHRMVYLCAKVANLRSSMSQLQPLDRSTND MEISHRCQEWTMYNEWCDKWAKAVPRSMVPLAFLPSWQTNSKSSFPKIWLIKRASIIA RLFYHTTRILLTKTHPLENEFSPEMQSVQQSHAHDICGIVANVKDRGVASLSIRFLAV AAECLATREAQEEVFGIFDDIAKETGWRSEQVKEGLQQAWGWNPTQQHQPVPTDPNAL PLLNDHHAFDIDPTSTLLKMPPGVVNPIMAFADFSMDNHPYQDHYVAPHQISDYQCGS L AFLA_132180 MPELDLFLDITAPNGRQYKQPTGLFIDNEFVKSTSGQTIASIDP ATTREIASVYAAGAEDVDKAVNAAKAALKDDSWKLLPATDRGMLMGRLADLIEQNKEL FATIDAWDNGKPYHVALSEDLVEAITTFRYYSGWADKTFGQTINTTPQKFAYTIRQPI GVVGQIIPWNYPLSMAAWKLGPALACGNTVVIKAAEQTPLSILVLGTLIKEAGFPKGV VNIINGYGREAGAALVQHPLVDKVAFTGSTATAREIMKMAAGSLKNITLETGGKSPLI VFNDTDMEQAVKWSHFGIMSNQGQICTATSRILVQKDIYDTFVEQFKGAIQTTSKIGD QWDESTFQGPQVTRAQYDRVLEYIQVGISEGATVASGGGPHPANSNGESGYYVQPTVF TNVKDSMRIYREEIFGPVVVIVPFETEEEAIRRANDTTYGLGAAVFTKDLERAHRVAA EIESGMVWINSSQDCDPRVPFGGVKQSGIGRELGEAGLEAYSQVKAVHVNMGNKL AFLA_132190 MSTQELTGVMVALITPFTDDGSKIDEGRLKSHIDRLLQAGVHGL VPGGSTGEFTVLSLAERKQLTELCVKYAAGRVPVVAGTGATSTQEAVELAKHAGEVGA AAVMVVPPFYDPVNYEQLTEMMSEIHTESKLPIMYYNIPSASGLTLTPQQIADLSKVG VKYLKDTSGNAPAYTELVFALSDKITAFNGWDTLTFYGMAAGAPGCVWGAANVIPELA VQLWEAIAVKGDLKLGRELWAKAFPICKFLESHNYAAAVKTGVELTGQPTGGLRKPFA LLADQHKAELASFMQSAGIKTV AFLA_132200 MPPSRKSKSRRTHTLGGCQTCRRRHVKCDQMRPTCLTCHAFGVS CEGYSTEIRWMSGKHQSQQQRQSSSESRSADKSATSSGGHGTRRHLYTEKSRASMSTA LAADLASGTVDALLTEVDTKSKEVEGSSTGDVAVGPFGVLKFDLCPDTNNKRQNAQSE KTSPSPATNRLPYDEALEFDPLLSSVTDPLLGADEFLHWADLFGLGFDLTSGILSDDF NHGELTHGLYSSIYRSGLDDMSGQTHGQDLTVFERDEEQRGMSALTPQQSPIELVSPT SDILTDAPFLLKHFQDNVIGQMMTLPVGQKSPWKLLNIPTAVLTLGDLTYLGGHNLNH ARLANFYSLLACSAHHLSLNATIESPHSAEHWKQVTHYSYHKAKGHIQQSLKIEVNGP KKAKYKDQLMAISAMAAFAILSGHQKDARCYMIDAERLMRLRGLSKREISRKARVLHH IYTWMRIVGESTYVLHDYNPSASFIEALNHCFQPREDMLEYDNSRRSGLDARLDDFLL LQPRQSDSDLDIDAPKEREVALHDIHLEDSRRWADTLYSEIYGIPETWLSLVSQTTRL ANVMDTLVVSGGTKRFMNSEAWEALQRRATRLENMICSFNSDKSKGSNTDSAGGPARP HTHMLRALNTALVIFFYRRIRNVHPSILQSHVDEVIAALKDFDTALAQHKVPGPGTAW PAFMAGCEAMAPSKRDALLRWVEKGGAQCGFASFVVAKDVMTAVWKEQDGYFRFNRAG GPLPTWMDILRQKKLWPMLS AFLA_132210 MAVVLVFGGGPNRELLSFHYWKHPAPVNEYLVPGHVGRLSAFVA TICHSVYAFGFAPELLIVTGGEMESPRPNLPTAGKRYFYRLVLFYIIGAFAISLILSS DDPKLLGGGSGAGASPWAIAARNAGIVGLDSVINTVILLSALSAGNSYFYMSTRALYS TALIGSAPRFLMKCTKSGVPYNAVACTTAICLLSYLNVSSTGATVFNWFVNLINTGAF QSWICVCIVYLRFRKAIDAQSVTDLPYRSRIQPYMSYISGGMFSLLLLLNGFKNFIHG HWDTSNFLTCYIALPIFLVL AFLA_132220 MLRLCPGYDDQERAQVSPRSDACPRRHDWNWPLYRLWVAIGNVS PSFMEATFARSRWLSGSDKDGEKSTAKSIDFLGDIYVLEVRYLEPTTPDSWLCRIA AFLA_132230 MTRIFLTGVSGYIGGDVLYVLSEKHPEYDITVLVRDADKGAKIS QAYPKVRVVQGELDSAVVEEEAQNADVVVNAASAQNNKGAEAVFRGLTSSKRMKPGYW IQVSGATLLSAVDIEKGVYGEPSDKIYSDIDGEEDIKALIHKYAAKRLIDHFILNLPE TPLSPKTAVVYPPIIYGRGRGPIKQRSVQIPELARITLQNKTGYQVGRGLSTWSNVHI TDISQIFVTLVEKAVTGEQGPFWNENGIYFAENGAINFGEIGRLVAEEAAKLGLSSAS VKEISDSEANALSGHGSVLWGTNAQEGAQRARKVLGWTPTGKSLQEEIADTVRVEAVS LGLLSA AFLA_132240 MAHRGETLHKDISCIADLKVEGSKKLPRMVRVHTDYYNEGAMDL ITLRENEASFDRYKIRPRILINVDQIDTSTEIFGTKVAFPLGFSPAASQKLAHPDGEV AASRAAAKYNVCMGLSSYSNYSLEDVAAQGSGNPYAMQMCVLKDRSLTLQLLERAEKA GYKALFLSVDVPLLGKRLNEYRNNYTLPEDMSWPNILSHGLDTSNRTDYGESLTNQQK DPSLDWETTIPWLRKHTKLQIWLKGVYTPEDVELAIQYGVDGVIISNHGGRQLDGVPA TLDALRECAPVAQGRIPLAIDGGIRRGSDIFKALALGASHCFVGRIPIWGLAWNGQEG VELAVKILLQEFRITMALAGCRSVKEIRKSHLSVLKSDGVLSKL AFLA_132250 MHENPQPSRVGVDESTPLLKNGQSHANYSSDHCNNALETGDSST PYISDDEEPVITLSRVTSINQGLEIEPNLERIPSAGTTTKKAAIDPEGKSPSESTGYA SRFINVSPTRFWLIFGGVQMGYIIGFFDSTLMASSHPVITSHFHASNSASWLSTAFLL TSTAFLPLFGRVSDTFGRKPVYLFAIAVFFLTTAWCGMAQSIGSFIAARAFCGLGAGG VFSMGMILSSDLVRIEYRGVYQSYINLCLGVGGCLGLAFGGFLCDHVGWRGAFFVQLP FIFVYFLVAAWTTPADLGLKKAKAERMTFLQLLRNIDLVGSFILVVAVTSLIMGLNLG GNVLSWSHPLVISSLVLSLILAVIFVRYERTVERAVMPISLLSKQPCANLIFGNFFGS IAVNTMFFNAPLYFQAVKLASPTDSGLRLVASTLAVTASSVSTGFIITWTKRLKPTVI IGDVCLLLGGLAASTLGMGTPDVVAMFDPNEQAVATTTLGLWRNLGSVMGVATSSWIF QNSLVYQLEEMVTGPEKESIILLVRKSVQAIVKLDPVHQEQVVGAYAAALRLTFFSAA LWGALMLLMHWRVRLPRLGSKA AFLA_132260 MRPPLRIAILECDTPIESVDKRYNGYYGLFSQLFHECAKSLGLD PETGLDITRWDVVHAQEYPKLEDIDAIVHTGSKHDSFENDPWILKLVEYTKKALEDHR VKIIGICFGHQIIGRALGVKVGRGDAGWELAVCNMDLSEQGKKLFGKDKLRIHQMHRD IVFSCPTNVIPLGSSSNCAIQGMYQPGKFITVQGHPEFNGFIVSEVVNKRARAGVFPK ELSDDALARVELAHDGLDILVVFLRFLLGEIE AFLA_132270 MFSVIIPGRPCLTDIVPVDPQPNGQATKFAFTIPLTPDLSDLVV FLLPGTVLPPDTAAAIYIQFPSDPNGFRFIGALANEKPSAILPTSPPPDLQPGMTATL GISLEPIATVAPQLEALEAEKGASGQLVRQTRQITTKVLAQRIIGNAFNFLASFASSD QDAVPLKAFRDWWSKFERKVDMDPSFLEREDPSASG AFLA_132280 MGKSNLELVDECDKFPYYEDNPAFYAAHLKKHHAFKVNGCDAVL GYILNSAVAKFHWPAEHWAVDSTNQTVTLNTAADATPEERSKVMAETLAAEAKRGNFE ILKGWRNEKYPVYAPGGKFLLDMERCASPLFGIVSYGVHATCYVEDEQGMQFWVPRRA KTKQTYPSMLDNSVAGGMSTSERPFECLVREAEEEASLPGDVVRATAKSVGCVSYFYV RDSRAGGEVDLLQPEVEYVYDIKLSRDIVPKPSDGEVEEFKLYTVEETKKALANGEFK PNCAVVFIDFFIRHGILTPENEPDYFQILTRMHRRFEFPTASHFAN AFLA_132290 MSDPLLFEDTFTITGVNQQKYDRVSRLTCTSTDHSSTFTLDVNT ELYPCTTGESVSMSLASTLSLDGKEDTGSKGWREVGMGEQTLANDYDYVCHGKVYRFE EGTTQGNMAVFISFGGLLLYLEGPYKKLAPLRIDYVYLLLKK AFLA_132300 MSFRKRNIGLSAGVDRASALNSTAQPQQAAAAPETNPGIRPSPD DGRPTTSTGSRSLDNLLAGHGGLPIGKTLLIEENGTTDFAGALLRYYAAEGVVQDQKV HVVGMPEQWGRSLPGLIGPADVADEKPAKRKGERMKIAWRYERLGEFGAGVAGSRAPV ATTGDQASSAANGDQAQQPAFCHAFDLTKRLTHPSIANITYIPLARTNEPFFVSIHKR LQASITQSPPNTVHRIIIPSLLNPTLYPPEASQPETILPFLHSLRALMSAPSARVTAM ITMPLSLFPRSSGLVRWVELLNDGVIELCPFPHSADALATSGAVTAGEEPPQGVLKTH RLPVLHERGGGSDQNVGQDWAFTLSRRKFEIKPFSLPPAEGDKEAQEGAGSSAMPKKA DLEF AFLA_132310 MTTEPTLPIPVSYIAGNYYLFSIDAVTYLRREHHICGVLIGTLP QIPQQNVFLGLPLELMPEEARLLVEKGVACIVDEVKVQNQGMKALMEEDRKKYLRELE SQGLQAMRLQASRKEQQREKTLKKLEEKKAKAAKSKKSSEDPEQAPAVADAPKDDPLV DLFADSQPSSHSQTTSRRTSTTVAPENAMGITPATARPPLPAEPSSEQLLPMPQVPSS YPLFAHLHAEGYFLSPGLRFGCQYLAYPGDPLRFHSHFLVVSAEWDEELDLMDIIAGG RLGTGVKKGFMIGGAEKKDNTADEESVRTFSIEWAGM AFLA_132320 MTAPKPHIAQTLLSRAHSPDTASQLFKERIKQKPLYLRPTSPTP EDNRDRRRRHRLQKKAYFLRKQKPRPLSAREKRVSGIYDLPKEECKHAIFKGLHAMWV EYMRDVLDIGGRKAEEVNVTALSHGSKLVSADFHGAEVEVVRSRCAGRVGVRGIVVRD TKFTFVVVTEGDEVKTLPKEQTIFRFRVPLSAPRQDEMDVTEDAGANAGSSARKELTF ELHGSQFLNRPVDRANKKFKWRNVDYL AFLA_132330 MVSSSVGPTWLSLPSVSWSSFTHWPTCSWKTSLTFNSNSDESQS SMDDQKLKGTLSYGSSSPLIPWSSPLSSLSSMTPSPLTPPSPFHEPESPVQKDGIRPC LSVSREGYVQGPRLGLPFRGNNSPSPCVAKSALDIMQDIESKSNNFFTAMKDVVLPLL GDKERFYQNKETPFASVRPHKSLDPQPTGFNRELTMQPRLQSQLKPYQLRGLSFLLYL RDNGIGGILADEMGLGKTIQTLALFQHIKKHDNTVRVDEPGPFLIVCPFSVMETWLSE TIKWTPELTSIKFHGTPSKKEAVMKLLSTVRGKNRRSSKSAVDIVITSYETLTSDIKW FRKFVWQYVVLDEGHRIKNNQSQRAQAIHRISAEYRLVLTGTPVQNDLRELWSIFHWL YPHVFIPSTAEPFEEAFSLADGKFNPEFFEQVQKFLGLIMLRRVKESPEVGLSIPLKT EIVLSVPLSEFQRSLYLRILTGIENSILEYSEKKKYRILSNILMELRKCSIHPYLLDD AIPDPYELGAHVITNSGKYIVLLKMVQHFVLERGRKIIIFSNFNQALNLCEDLLLTIQ KNGDPVRYVRLDGSTSNARRNLSIYLFQNDPRYMVFLISIRAGGEGLNLVSSSTVIFL DEDWNPQVMRQAEARVHRIGQKHPVRIFKLQSKGTVEEQISRRIVKKAYVATKIMEDI NAAHDMKAFANMIDTRGLAYVMDTEDLSALVHSRAVLTSIQSSATELNWFGWKTILKV CASNQSTELSGRNTAINVQQEKAWLACTERVKTNIFDGKKVDTRFRSFSVYEALPKDL CRADRRIGKERTVMIDGFSVGKDSIRLETLSKKRECSSLLQRDSKEKMTHEAVSSDLH GRQANCKAKISPDMLPMQEVQPSRMRFMSIGVSQRMSPAGRQTAPWRKETCMSPSLLF AMR AFLA_132340 MSNQGAPKQPNEGKQEGFARYLQRMRTVLRKSSSSKSESASNSQ ETSGQASPTKSAPSKTTAPAKATAAPKTTTAPAKDTTANSGPEPTVFKHWGAIQEEKA RALFAKYGLTLEPGEWRSTTDIEVQRVAKPIRMRVRRTCHRCQTTFGIDKLCTNCQHV RCKKCPRYPPHKSSHDHQTESALQTILSQKGKEPAGAPRKVKEPPLTLPSRTGGQDLI RKEVKQRVRRTCHRCCTTFAPDATECENCKHTRCKKCPREPAKLDKYPDGYPGDAEPP AEPPARTWKKPRLRVRYTCHKCSTMYRSGEKTCANCGQEKCSETIRDPPKKQKPEPDP EVVRRVEERLKVTLSAG AFLA_132350 MAHLSTTLFPLGNNARAQSLTVSLKVPFKDCLGMRSGDPETLSR PRPWFWISVNTGLSRHYFPDRTENKVLYGNDHEQDDPSYHAIVPLGRVMAAYNTPSYT CTYLNASRPSSAEDIEIAAEDATFEFEVDSTNGLFNCLNNK AFLA_132360 MASESRLYAFSPETKEKLRKFRLGTSRANDPQAIIYIIDQKNQE IRPEDGEVYTKMEDLADELPDSSPRFILLSYPLTLKSGRPSVPYVLLYWLPENCNPNS RMMYAGAVELMRNTAQVNRVIEVEEEGDIISIESKLQGED AFLA_132370 MFSRLSQLTRHLARPSLNYASTSPVALPPLSISQARASNMTSSA VHNYTKTIHTAACLIIGDEVLGGKTIDTNSAYFAKYCFSLGIQLKRVEVIADDESEII EAVRRMSNNYDFVVTSGGIGPTHDDITYESIAKAFGLKLKLHQGAFDRMKKLSKPHPM QPQFDWDTPSPGLTAKLRMVELPHDDTLSEEQQATFVADDMWVPIAIVNGNVHILPGV PRLFEKLLEHLKPTLLPRLTDPEGKGIYRYLFSTPLPESAVAPYLTDLATRTSSRGIK VGSYPRWGKKRNTVTLVGTDKDFMDSLVSEVEENVQGTRVSQEDELDPPSDAEEGK AFLA_132380 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIMTFQ QQILRVKDKDYFPIIVVGNKCDLGKERAVTVEEGEALARQFGCKFIETSAKSRINVEN AFYDLVREIRRYNKEMSSYPSGSGAFGNRAPEGKMDVSEPGDNAGCCGKCIIM AFLA_132390 MKHFRVCCNSIRLLRHLASPPSSTTLSTLTPSARKNPFSCTVQP AIAQRTMATAMAKRLEGKTIVVTGASSGIGRSTAKEFARTAPKNLKLILTARRIDSLN QLAQEIKEEVGDGVKTLAVKLDVSNPAEVQNFVPSLPAEFQEIDVLVNNAGLVKGVAK APEIAPEDIDVMFSTNVTGLINMTQAILPIFKKRGDGGRGDIINIGSIAGREAYPGGS IYCATKAAVKSFTEALRKELIASRIRIIEIDPGQVETEFSVVRFYGDKEKADAVYANC EPLTPDDIAEVIVFAAGRRENVVIADTLIFPSHQVSLL AFLA_132400 MRLGELGHGRNFQLHYGYKFFEEALRPVSGFNWAEDVEEALQQQ YERWKSNDKSDGEADDTSEEHGGTDTPISSQHGFDISPVWGEHRHEDNSYGSKAPVRG TQLETPFGVAPASERCRLTTSALPQIGEGQEDELYNSYENHYETVQMRSEVEQEFVFR NYCMEHDEEGQIHHFNWLGYPLRVPSGTPAVISLLFQLSDPKVPKPRDELRFQSIFAR AMIFVDPVIVLLERGLHDLDRRGFNLVRWATGRVSRYYTLHGRWTEDQFEWQECRLPD EGIIEEYQSGSVACGNGFISLCNIRSRGEWAAHKAHLQEKYDKAARHAADDFHRRRHC RVYKPSLLSQSISQRDVECTAGETAAFYQKGFMLIYDLTEDHIETLSDSSSCEQEYSG IYVNSRESIEGYCDECAEMVGNDRREGRQQRRPRCFRIHSAADRTTQMYENWQAIITS QHGTESTDTWEALSRTPNGGRKVASRKKSRLGRWKHNLNAWKVTLKRMMVQRGRSICT NAQKVFRKRGFSR AFLA_132410 MLGPTVGTAAVRVGRDKRPLNGMIVGSPGTISSFRGKRAIIYYP KTSQFVLSD AFLA_132420 MDELLPHILLTRDADDGVSRGQRALVVTAVLTAISILIVGMRMY ARIGLLKMIGREDYTILFSLVLAIVYLALVAAEVHFGLGEHNSAISEEMLKQQLKRLW AAIPMYNASLAFTKFSILFQYLRIFPDRRFRIACWVMMGIVACYGTWAVVSGYVNCVP VAKFWDRTMPGSCLSFEGVWFFNASMNIVTDLTLLIMPMPLLSQLQLPRLQKFALMGV FAIGGLVVVTSILRLSSLRTVANDPDTSYSNVGAAYWTAAECNVAIICACLPFLRPIV SCLFPKLLSTHSYNRYTRNPTATATTRSRATRMHLPSQNDDFGMCTIDIEHGERKPDA FKGIEVTTEMYQETSKYDESTSQRRLVMES AFLA_132430 MLGRLIDQLLPTHLPNQPNWDTTITNILKTTPSLNTYSYVSPWG TALPFLHASDLNIINLETAVTTVDTPWPNKAFNYRMHPRNLEVLQKGRIDYVSLANNH TVDFGVTGLQETVEAVRGVGIKFAGVGDRPGEAAVLGMGGSLSDSSFAAGGSEGTEGT EGDVGGGSSGSGSRCETKEYKIHIYSASDHPRVWADIPQFNFIDYSPATRAKLKTLLT SGEEPALKIFSVHWGPNYAWRPAGEIRALARFLVDECGVDIVHGHSAHHVQGVEVYRG RLIMYGCGDLVDDYALNGEFRNDLGALWRVLVSEDGRGGLSLGRLEVVPTRCRLFEVE VLGVEDEDHAWVRERVGELSREFGTVVEGELGRDGQVIVDLG AFLA_132440 METVRSLPRNPDVVARHPSAEDLDAAQQLISSAQAGREHPVDRP RDETGSRRYEEMPSRGLYEADKFLEGSTPYPSEIGANQSEKASSPKSQKDTSFLGHSC SNCGTKSTPLWRRSPTGAMICNACGLYLKARNVARPTKRNRVQTSPETTQPPSNPSHP PHDSTAPSSHEGGGCHGSKGSCPGGGNCNGTGGAEGCDGCPAYNNRVYKSTPRGTVPV HAWNRATTSDSEKPPLQEPDLSVKNGTPATTTTEGNMLVSCQNCGTTVTPLWRRDENG HPICNACGLYYKLHGCYRPTTMKKTIIKRRKRVVPALREHSPTGATQSSNGSSASPEA SPAALAPHDDHYRYYSSEPMDHYKHMPGDRISPQAPRQFGFAPPPVDFTGFGSATVSL PHHPPPPRLLEPERINAPSHSPVSQFARRSLSPNPANPKKRTLAETASSAEAASIPTT LESGSNQLPPIMSAANPSPPGRLSSISSILNHPNTRDESRLDPSLAALSRQQHQHSHQ ALAPPQPQSLPGVTELDSMREERRAQLQREAEEMREMLRAKERELAELGRQ AFLA_132450 MKNEAEKKKRARPPLTLMFLFLLPGRSSQVRFPESQQCGLIEGR FVIDLQGGRRIYTGLSLWIVLSACSGLVFSQESWGRIALLSLVTLQNFALCLGNYLLS RPAGEGKLHAPVRSSAVVIGSHVTNSASSVGADSFRIRS AFLA_132460 MDLSNIFRLVNIAVGVIMVLGGISQFFPPSMSSIIVGGYVIIFG LLVAGLEFLPNVPDYVYRYASFLFSFLGRGIFYIFVGSILLHDHVLRYIAGSIVGFIG VGYLALEFIPSIEPPSNMRESDQGWGAEQV AFLA_132470 MDAGADPVMVHEYFSEQNIPFFRRFHDISGNEILMRASGGIGVI LGLMCSQGGFYNLFALISNVLGLSAPKDWPPFYGSPLEAYSLRRFWG AFLA_132480 MTYKPPKVEEDYSDTSEPQKRKRDEKYPELEPATPQQEFETEKQ QPPSKAPRPDETPVEEGKINAEGEVNDDEEEELSDVYEEGEDEFEEENDKNEDDEEVE EEDKEDVEDNQVEADDEEEEEKEEKEKEDTGKHEPKVQKAVDKLGRSPLDGTKIAQKP LTASPETLLAMVIDAMLKSRPISHDLTQRAITKVIEAGYHDIRKLGDSSWEERTMILK DGGYNRYREQGATNLGELAELVDGKYGGDLNNLLEEAHHNRDEVRKLIKEIKGLGDLG ADLFFNNVQSVWPEIAPFVDRRSLQTADQVGIGTDLDTIYADLNHDSMKMSRLANGLS AARLDKRQGELLSI AFLA_132490 MSTNNIVILGAGVSGLTTAYLLSKDASNSITVLAKHMPGDYDIE YASPWAGANYLPVGKASSSHGKWERNTWPALKEITEKYPEAGIHFQDAIVYNRTKDQG SATGDWFSELVQKEPWYKDVVPDFRNFPDNELAPGIDNASVFTSVCINTAIYLPWLIG QCRKTGVVFKRAVIKHVADAASLHHSGKKADVVVNCTGLSSRKLGGVNDDKLHPIRGQ IVVVRNDPGAMFSISGTDDAEDEVTYMMTRAAGGGTVIGGSYQKDQWDPLPDPNLAVR IMKRAIALVPQLVGEGQGIEGLDVIRHGVGLRPFREDGPRIEADKVNGVSVVHNYGHG GFGYQASFGCAAEAVELVNGVLKQKGRAKL AFLA_132500 MTDVPTGGRIVPVIEAHQHYSISPADEAVADQHPPTQQLTTQPP QPQQEEDSDGRSSQKLPSQSQAKSSKSTRSKNAETECLELPCLSKLGLYALPTEGDGN CLYYALSDQLYGDFTHADEIRVRLADHIAANKDYFMNFIAAVGGERRAPRRAAASAAR YSYCSSSSASPAPPSSKDKERSFDSKVAESRKKGVWGGAEEIQAFCQSYKRDVNVYTM YGIQNFRDVHASDDEEREAVHIAFHDFHHYSSVRHTEGPHTGLPCIPRAEQTLHKEAS STGPTVVDMASPWKISAIQEGLGGKYDRDTIVGMLQQCRGNIDRAFENLLGEDTSAHP AETSASKAIMKSRLQQPSSRSSSPFSTGSKRSADESELEDNPQPATRRSRARDQKRRI LPDVTVGIAFRDDQNDLVSLRLRVSPDAVAEKAMTTETPSQTESDSFESGSGSGSDRT GILEKNNKSRIKQKPASSEPVTQKNEPKEEPKPRRSQRLTKSRNAPKPV AFLA_132510 MSNADAPVERAPIGVSYLAGFIFLSYVISMMGCTTTLELLHRRT ARAGLYNWYLLLTSSVAMGGVGIWCMHFIGNRAIVLGNGESDIQILYSVTFTGVSFVL PVIVLLAAFYAIGTSEKAGYIRIITGGVLTGCSVCGMHYIGQLGIANYQCSYRAANVA AAAIIAVFASTTALSIFFRWRATWTDSWWRRGICGCLLAAAVSGMHWTAAVGTSYRNH DPSVNKGGQLSRTQTVIICAVLACAACGVLSACAVVAGGHRRKLRAQAQQLVLTCAFF DPEGRIMVTPHALLPSRKIVDRYIGRTFNEDDLTRTHPAFLWAVRASRNWKVVRDAVP LMRGRIESDEATLAHYISKGVFTEQETELQPHFDDLFKRHFCVTAQDLADEVRQPLQD MGILYDHVLATSTPSSRFSRAMGYSMIRAGKGQLLFTVRQLKKNEASRMAAAGFRFTT IENVTTILSRRIHVPVLSLGTHLKDMRDYASSHRIFEPGVHLISFIMRPTIHDHFEVL TAKGTGNPLPSSTLSTKRLQIKHLEMIAHMEGWTMSTCLNWLKSDNARAYKDIDEFRE QLIHAITTLSSSLPPDVNLASKFSARPLIAPCRINRISDGQNCILLPFCVVGSLDTQI SNTDYSFTPLRLFRVQQQTNDGLSESDGFAKELSEDLLYSKTRPTSSTDSDFPDSIRS KIRFWNPRKVPDSMLKTDSQESLSENTITPEIMVRKEVKVDVAKLAEPTFEPSLGRHA SQTTVVAGEMAFNTYVDELYNLCYSPGVRLRPDPAFSRKSIR AFLA_132520 MGHSTTTCFTPEELITATTPAPNGYANSKCLAEHLLAQAAKQSS LHTFARVGQIAGPVRSPRLRNKTEWFPRSCPELLHVGSLPNTLGSALDRIDWVPVGLL AEVLVDLALSKNKTANESVDVFQPMNLYPLTWEALRPIVEDALLKTSAKKLKSFPSKT RSGASGRTSRRPALAAMFLATRSCRHS AFLA_132530 MALAFQEELLERYTDLELAHYIASSPSCTSSSRVFNLSSNLIAK IYRTSEVEDALKATEVASQLVIRGPSVRKVLKNRGRAYTIMDRVEGTTLDVVWKELSW FMTIKLGLQLRRFVKILRSVTSPTAGSLTTGECRSFWLEDRYGLPANSGSAAFAHFFR FWTNFTSIASDASIKATSSTRLTRFHWWGANDHRTIRLDSS AFLA_132540 MSEGNVVKIESKKDFQEKVIESKDLVVLDCFAEWCGPCKAIAPQ LARLSEEYTGAKFYKIDVDDLSEVAGELGVRAMPTFLFFKDGKKVNEVVGANPPALVA GVQSLVKQ AFLA_132550 MAIWPFGRKNKRHTVQLDTVAAADVHIPQEPRLSLDDAKLGRKP SRKKSKRQKNRHLQPADDLSGPVHDAPPLSSQPTPYPPPTSHSEQVKGARGSSARTGL TPDGYMSRTTVGQDQPSLSRNASLRRQNRSENGPAVLKKKLSKRKAYEIAREREIRLM SSSPIDIPRRSTLPGEQGSAYTRRGPRAQSRRSDRHFSDVSLPLRDSTSSLSDYSESC TFKVNGFAAWTPRPVIRYVEAPRVPTARSLKPSEASTRRETATAVSVSEDNLHSKKRV DNLADDLDAGALRELLERDRRRRERKKAEEYEKLQRKLQRAAERQRLEEIASGTHDHQ GESQDMAENQRGRSSADHPAGSRECIAEDASGLNETRYNAPGVSTGSWLRGLSKDTER SDRQSFESVHVIGNIDDSSIRERKLGMRRSFAPSQEMGMSRSTLSPSHSPTRREVYSP TSSQAYNLGRESTSDVSRTIDSERRLSDHSSGRVNTITSLFRRGSSRLKRRYRERFQD RGSEFSSNTSHESFFKVPTQSSGPPPYVPPKTFLHSGTMKRSQSKFREHFGDEPLSPP DSRLQSPDIPEEPFEQSEMEIEKSELHEKTQYPIPGSDSEPQDARGNRHYSWTGDSME GDADNIPLSQSLASIDSEGSWMSDNDFEMVNAPEPTEETWHDEIAKRPVLVNPAVRPK SNEGLLNNVQSMCAISMEEEFSPIEEHPAEFYFETNDDYDGRHGH AFLA_132560 MGPPTAQKPRARRRGSGDRPGTRPITYLAVGFGEVPVESTTKTM AFLWLFVAFGYLLLYAFASLQTFDTQDMYLKRIAN AFLA_132570 MPIAAIEALVLLLTHSPSSTISETLDLLEKSTAHLKKSIPNPIG LSAGTDLFQRYLITTLQRPGQLGPAGDFNAIRAHLLSNGRLFIRRAKESRDKIAAFGR GFVRDGSTVLTNGGSRVVASLLQKAADDKGGPSAVRFRVIYVLSPAKDSSAEPEGMET VRALRAKGVPVATIPESAVAYSLGKADIVIVGAEGVVENGGIVSRMGTYQIGLLAKAM GKPFYAVAESHKFVRLYPLGQYDLPIEQRVIDFNTEEDITDGHKPQSVAHSSDVVDFT PPHLISALITDSGVLTPSAVSEELIKIWF AFLA_132580 MAYNHRMSQQFRGSQQHHGRGRKKEDENDALMRLPDKEIAGCIN DIGIPFTAADLIKPNPQQIQMVFEWFAELLMNITHEAVEPAMRAAADDVGGDFPDIVP TDTRNLMGFFVSLRKLMMECGVNDFTFTDLTKPTHDRLVKIFSYLINFVRFRESQTPV IDEHFNKSEKTKARIDTLYAENQEMEQRLEEMRRNLRANEAQVKEKVRRNDELKARLL ELRRNQERVAETLERVKADKTRRQTQLEEKTEKVVRTRQEVEKLRPYAMESPVSLQAS LTELSENLLREKAQIDAMEKRARALQTSSDTFTVVSNDVQACVKLLEDISVELQKEED EESRASRNKEAISERGNSVREVEQTEKLLQRQLARWNERIETLRKNAQEKAEAAQARM EELREVQKQLREERAEKQRDMERRRIRIEQTEKKMVDLKENIESEIQSAHDEYLRLES HIKLYITEMEKCI AFLA_132590 MTRGSSTGLTSRNVTTAHIKKLLDSRHDREVLDGMRRVITLMYR SEPSLPFFSAVVKNVANANVEVKKLVYIYLVHHAEAEPDLALLSINTIQKSLTDQSPQ VRAMALRTMSGIRVPVISQIVSLAIKRGCGDMSPHVRKAAALAIPKCYRLDPNTLPQL IGYLSTLLGDTQYFVAGPAVSAFLEVCPDRIDLIHKHYRGLVKKLVDMDEWGQIATLR LLTIYARKCFPPRTEKVKQAVSKGFYDDEEGDDESGREYEVPIVDPDLEIFLRSCRLL LQNRNSAVIVNVVRCFLYLAPPEYLAAVVGPLVALLRSPQDVQHIVLYNIVAVCLRHP APFRKYVSHFLVRASDPPHIWRLKLEILTILFPHCGLHLKGVIISELEHFSQGTDPEL VRESVRAIGRCAQSDPSTAGHCLRLLLNQIISLDDNLVSESLTVIRHLIQQDPASHEQ TVIELVKHLGLTNNPDARATIVWLVGEYAGIEPERNFAPDVLRILVQDFANEAEAVKQ QIVLLGAKVYLHHLLRNPPKEEPPASPKVEQQYKNEWTDDQDEEKNEENNHDEQKPEP QEDRIALLWRYLLLLARYDTSYDLRDRARLYKSLLASPSSTQLANLLLLAPKPVPHAP SPSETRKDLLIGSSTLIVGPDAGPHGLMGYQNLPDWVELGKEPDPSLREPDVKSELVE KAPATAGERLDRALREHQNTAAATSRQPNGRMPAAASAMKNKTLDQWLEDDESEEETE SEEEVTDSEEETDEETEEETDEEDEDEEEEEETEEETDSDDGEEARQLLTQSGTHVQG SSRDVL AFLA_132600 MHCNPTFLARHISLYVCHTLPFHKMARSSLSEILTAASLSLAPY EDLYKYFHAHPELSRQEKSTSEKLAAHLAQLKVYELHTNIGGYGLAGVFRNGEGKTVL LRADMDALPVKELTGLPYASSLTMRDTEGNEKPVMHACGHDMHITCLLAAAETLVKMR DEWSGTLIVLFQPDEERGGGAQAMVDDGLYSKIPVPDYVLGQHVMRMRAGSVGSRPGA IMAAADSMKITVFGRGGHGSQPHQTVDPVLLAAHIVIRLQGIVSREINPSDLAVLTVG SLQAGQTENIITDRAEIGVDFRSVKLEIREQIISAIKRIVEAECAASGSPKPPVFTPT RRFPPTLNDKDAASQVAATFATHFDDFDDDVPRTNVSEDFSTLATCRGIPSCFWLLGG IDPELWDKAQADSRTEEIPGNHSALFAPVIQPTMRVGVDALCLAALTFLKN AFLA_132610 MKESNVVHIAAPVTVVGDIHGQFFDMLEIFKIGGFCPNTNYLFL GDYVDRGLFSVETISLLVCLKLRYPHRVHLIRGNHESRGVTQSYGFYTECVRKYGNPN VWHYFTDMFDFLTLSVVINDQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLV WSDPDTERDEFSLSPRGAGYTFGAQVVRKFLEVNSMSHILRAHQLCQEGYQVLYDDRL STVWSAPNYCYRCGNLASVLEVSDTGERFFNIFDAAPENDAHRNEQQAQQNKDGQNPV IDYFL AFLA_132620 MPEHEEDLVASKTEGFKVGEKKTINEYTELDKNDESLNRWKASL GLATGATIGDPSDPRKCIIKSLALEVEGRPDVVIDVSAPGAVDTLKDKPFTIKEGAHF RIKVVFQVHHEVLSGLKYLQVVKRKGVRVSKDEEMLGSYAPNTTDKPVYEKKFQEEEA PSGFIARGHYNAVSKFVDDDDHTHLQFEWSFDIAKDW AFLA_132630 MARSLPKKNNPHILPSETPSYEELLRCRRLGKTNLTVRPTVIGT SNATKPENLGPFEYAHLRVKLPRDLKGSEIFPSHNTQQQPETYFLMRRSKDGYVSATG MFKIAFPWAKLEEERSEREYVKARKETSEDEIAGNVWISPILALELAKEYQMYDWVRA LLDPTEIVQTPSSTKKHMQITPPPRFDLPPIEAPAQLTAAPRLRRGRSASPSKKLASP QKLASPRKPRSTRARESKEAQEVKEVKEASIVATSEANANLQSALDATAEAGSVNGTI QPSVEHDEERVAPTPKKSASTPKSTKTPRAARAKELKELKELKEIEEVEETKETKEEN VKVDVETNADEAKDVQTTKTTVSVELPVSLLPDAPSAEDTEKMIAKAKEMVEEAAKLQ STEEEPVPSSTKAAKKRNIEEALSDDEEDEEAKTLRTKRAKVLEEKLKRERVRNRALV GVTAAFALAASIPYFF AFLA_132640 MSLPGLDLTQPSADNQFAPAPPTQVSLSKGSEWRFEVAFGTVIR VKLLAGTAELFGTELAPSQTYTFSGTKGAIYTWHGCTLEVGAGDTGPSVDGLAPGGLS GATPRGLGAGGCQSEYTAEETPMVEYANVHFALETMRQEAKATGKDGPRVLILGPENA GKTSVAKILTAYATKVERQPIVVNLDPTEGMLSVPGTLTATAFRTMMDVEEGWGSSPM SGPSAVPVKLPLVYFYPMQNPLEAEGSVYRPIVSRLALSVMGRMAEDEEARETGIIVD TPGALSQGKPGSLEMINHIVTEFSITTILVIGSERLYSLMMKNYDNKPTSSASAVASD ERITVVKLSKSGGCVDRDAAFMKGVRESQIRTYFFGNPIPSTASAALSLSASSTTNVT LSPHAQQLDFNTLSIYNYTIASLEEDEDEYDPSQLGAGDSFLPGGGNDAEASQAQQDE PARATPLPGIVSSIESATPPVASNVPLKKVLPPAPSTLANSLIAITNAPTTASAAEVR DASIMGFLYVAEVDSEKGKIRALAPVGGRVPPRAIVWGKKWPGEVVGLVG AFLA_132650 MLLPFLLTLTLLTPFYLIYKPPSLLIRYFQHRWPDTLWHVPLPS NRKLIALTIDDAPSVHTPELRSVLADNAAKATFFLIGSQIPGREGELHELVRQGHELG NHAMRDEPSRSLSDEELREQVRVVQDRIRAAYSAVGGREPRERWFRPGSGFFSERMRG LLGELGFRIVLGSVYPHDAQVKWWWVNARHILSMVRPGSIVICHDRREWTVPMLRVVL PELRRRGYEIVTVSELVRAGVEAGTISGT AFLA_132660 MFFWNKRPRWPPSPSVEDEFESLSRELHGLTKIGDKPGIEGVCA RGSIDQYPVIIETLSLTSIVQTDTLGGYGHGSTSSVISSGPPTPPSESKQPCLFDAGN ATQQGSQLRPAPLATPPMSRDTSPRGQPRAPAPQPVQNSRPATGHQKLASQPVNSGSQ GSKEQKDSVPALHKANSSPIPLKPSKPSAPVRTSSLPSKPASSILKRSVSGRPTGPPG TKIQQPTQFTPSPVNATKSPPPIRTSSLANKSASSVPKPSGGVRSNQPTGTKVQHPLP VSSTPQQAVKQPSPMPTSSLPSKPASITKESRGVKPDQPTRQSTANVKQSLPANLSPQ KVTKPVPQVHTSSLPNKPVSSSVPKRPASINTSAQQPNSAPGHQANLAATKEKDHGIK PGPSPAPQGSGKPPVGSPKTLSLAERLEEKLRRKHEQRESGGSPDAQKTNPPTPVSDP KPSGPIVAKSDPTTTQEPANQAPRPQLAHRSATVAPPSVKKGSGPASLIEMPVPRLVP SDEAQSAPVLPKRTVSFQTEALKPASLKSLEHTLEQLQSLQVSLPQPVRPPSPSRSGQ CLLPCPRSVPVAGYQDWYTIKGMTHLNICPSCLNQMRKSKFRDLLILGTPRPRSEKVR CAMSEPWARLAWMQTINKQLDHLHLLCQITQPPLGTKPCTGRVVSEQHWYRVVDPATG AFLPKFNVCSACVRNLRLLMPPHQDTFKLCTTLQERVCDFVTDSPRFVRYIDLLDIAA NRAEQEHSPQPDLSEFMAYARRKVVLRDCRRSRVALNTWHYMPQLPELTVCEDCYDDV VWPMVKANYPIARKFSAMMRLPPGDGLARCREASCQLYSPRMRLKFREAVEENDLAYL NMIALQRYEAEQRYRKHRAQLLEDEERGYDCDAELRRNLEEWKRWE AFLA_132670 MSVPSTMKAVIVEKLGGPEVLEFKSDHPVPTPQEGQLLVKNNIS GVNYIDTYFRTGLYPSAKPEILGREGAGTVVALGSGPNPYGFKVGDRVAWMTTGGYAE YTAVPAAKTVKIPDEITDEDAIAGFLSGLTVITLAKETYAVQKGDWVLLHAAAGGAGF LMTQVLKSLGAKVIGTAGGPEKVALVKSLGADVVIDYRSEEGKDWVKKVKEVTDGRGV DVVYDSVGKDTWEGSLEAVKRKGTIVWFGNASGPVPPLPLQKLSPKCVKVARPQLFGY IETREEFEFYVNELFGLLKSGQLKVKIHKVYPLEQAAQAHTDLEGRKTTGKLLLKP AFLA_132680 MASKDIGPGGLLSLPLRLRQDVKDSHQSIVGSGKATLTTSDQAS GNELDFAHQAVVEAEAPTVADPMEIAENQANPSHKPLLDDGDATVTDPFENADGASAS KPQAAVDNGKPSLSTPVQAADDQPDSTSQPAVSDGDTTLTQPSQAADEQAKLANSVHS SAVDDGETTDMATVDLVAPKKKKKRKTKSKAKHGKVVEMLWKSSRPLIHRIEAAILRY QNSRRMEPDRREVFMRYLAYGGVDVGPKMFTGVDEQELQKLDSEQALIAKGQASIRHE CTKLTVDFNTVVKGYLTSYFPYFFNPETEDMVKLATVTIRNFLSYLLYHEVCPEYKEN IDEARRSCDIAAKELWQNQEFATSSPGDFNKACSTLFGGFFYDVNAEENSWNKRKNWN FLMKKDVARKVVKFAIAGSGTNTMALQFQTLANQNALHSALVPDIHGFEVIAVFPPTP EVREFYRHHAPDLNPVGRMVGKAYRDPGKPRYDLSGEERLMWETGAASMPDFQFFLEE SLLKLCYPKMKVITPVWELNCGLNFFEDVHTVYSSIYTVLCNDLMLGYKQSVDLTEKE PDDVEEIEEPNGDGAGKKETTL AFLA_132690 MHRLLRRERAKPTNPYVNGWRFTVRQHIPPPPTPMTDSFGLNDK TGTRERRKLHPVQRCIKHPPLQGSDGPFSIELQICKILQAGNEQNAQLVLVEVISVVP LKALEKGKRVVAKIYDPLYLNDDGGFYDPFVCVDNHYTHEVAAYMTLSDVQGSMIPEY YGSFSLKVPVDASNTREVRLILIEYIPGRSMWDISPKEVPQRDRQNIMKAIIQFETLA YTRDILLPDLKPRNVVVANTSAHEKAVCIDFGDAQFGRGSIFNSPVIDAYLLPGTYIS PLLRWHAVFRTRMLDFAGWIDWDWQPWLETEFKHTAFTITPKIRETFLPTHMLEAWWQ SRKHGELY AFLA_132700 MPSSSRSESSKAQKLDNDSFVAFDHAAAGQYVLSIHLHPETVRN SHAVADGYVCESRRRIPHCSFLDLPIVTNYNSDGVRCTPSGSFIAKPCTPAEVAFYES CALHPAFAEFIPTYIGSLTSADGQQQPIALASAQPGAIVLPSSDSSEVSTAVATPQPN GEANAPDAAATTEKDWVPSGGKKIDTGLSIVLENVACGFKRPNVLDVKLGARLWADDA PLAKRTKLDNVSKETTSSSLGFRIAGMKVWTGVNGENDEGGKTDPYATKYEGSEGAKG EVIEKDGYRRYDKWYGRSFSDKNVKEGFETFLAGAKAGSVDRSKLIARRLADELKNLQ EVLESEESRMYSASVLIVYEGDPEAMEIALEEEKKVKENPKEDSEEEDDESFELQVQQ DGAYQVVDLPVGKDGQPQQAINISIDPETIQLGDTDIEEDEEEPPKVHDLRLIDFAHA SWTPGQGPDENVLMGSKCTSFYLEMYPIVRNQPSSCQQNVSHPCPPGAFRLERPKHCP SPSPPETPSSAEHTPDQDAKARSNNPP AFLA_132710 MADQAPSQTPVPPSSQPPPLSQTGSQEPSASSASTSGEPATSSG ATALPKPDPDATDGPALDASIEQDIDLNASNDTKMTNANDAEDPTVGNPIQTATSVDA FAAAAAPSKKETSLREFLGKMDEYAPIIPDAVTAHYLTLAGLPPPGNGPNQTPPHLAR LLALATQKFIADIAADSYQYARIRASNSSSASNPMGSLNAASGLGMPAGASGAGAASG AAGASGGDAGKGKANTHLGIQRPGFGGGGSGGSGQGRTVLTMEDLGMAVAEYGVSVKR GEFYR AFLA_132720 MSRPLEEDDGAVSPRASKKPRLKSEPGDLSLPPQPPTVVDQGPG NQIEEELASALGPSIVDTVERQDDKPSHIGTPAESAVAPEPNQDIDTDIATVISSIMN HAERVEEQCAIGQQQLADNSGQLAPKGMVFVKANSHLKIQSLPILDNLVSIILEQRFF FAWIKYVLTFIQSTQILSLLAKSTYQDITSFVSEPDSENGQAYATMRSLFDHTKKVYS TKKSFLSATELELTESSQVDIIRKANLASFVSSIFGTQEIGFSELNDNFLDVFVPEGG RLLKVQGALFLELKTQAFIASMNNTERSRTELLYDLFPDDLEQRLLERRPGTRQLAPS ETDFVNRAKSRRDILLNDINNEEAMKALPDKYHWEDFLRDLSSYVTKNFDTISNQQSK KITKGRQPSSSSGDTQEPSNAPLQGQFSVASQPPDVPVDRNMHGDLVARAARAAQIAL QGHGLRRSQQQSQQQQQQQQQQQQQQQQQQQQQQQVQLHQQQPQPQQQTQQQQAPQQP QHPIQQQQQPQQQHVPHHPTQQGAQIYHGYAPAQPSGQLPPQQPPHHQQYQPSPTPPG YQPQPTQPTFQQGPIQANFQQYNHGAPVSMPGRPNSAAANHGYMPGIPHYSQSQPTQV LYERARMAASAKSSPSSRKSGLPSQRRPWTTEEENALMAGLDRVKGPHWSQILAMFGP GGTISEALKDRNQVQLKDKARNLKLFFLKSGIEVPYYLKFVTGELKTRAPAQAAKREA RERQKKQGEEDKAHVEGIKGMMALAGAHPQPVALGHGHDGMSASPSLPPDAGAHAAFD QTAEQNLMQTLGQEVHGDQFGQHQHHQHQHQHHQHQHHPHHQDPVDPNMHLGQ AFLA_132730 MLISNFHVITAAAAIHTSLASAQNLEEKVWAVVAYNLYGDSIPS ALPRPKALTPYGANELYAAGSVFRDRYVAIHDNNNTSNTRIQNLSPYLIDAEEVDVFS TTDPSVVASAQAFMQGLYPPLEKSLNATFSDSPFQLANGSIVTAPLGGYQYPQIVTLD AMDPRSIKLDGDTGCLLHQVADTEYKYSPEAQEITQDSAAFYSKIYPFSLSGVLDPSS ANYANAVMISEYLDYESVHNESLLHNVNQDDIDRARSLADRYVFATNGYMDSTGTNVS DRIRTVAGRTLASSILDAFNNNIDYRGTNGKIALLFGNDEPAVALASLMQLASPKYEN FYGRPTRGASMVFELYSLENNSSPAYPDPSQLYVRFLLRNGTHSADFQSYPLFGHGPS NIAIPFTEFQAEMEEVSLGSTKEWCLLCNSQATFCSDVFDGHQNRPTSDKGLSPAVGG VIGAVVTLVVIALISILGFLVCGFRMNRTRRSSLGGFKGNSKMASDSDVTFKNPTWED VKPADTKETHSSGAGITVVRGHERTGSWEMKSQQPADNNNHTGGEQAVSPFNEPEEEE WQIHSAIRPVTARETSLNRLHCPIRVPKDPPRSSRAQTDAGFARFLKDHTSPKHQRVT AGGRIVPMEPQTPAPKFKLPMQKKEASDHEMEALGSIMRIESESLSGNIPIGSMETNS NRSDISRNSVSPTGILPDLAKLSLTNGIFDQTSQGSGCPPIVPWPAPGYALPSGYSVA FNNQQLPRVDQYPQMAYVPVFPDQAMYGVGSDMHSGIPDMYPSLNVQGPMSSMPTSGQ PHLAVSTASSDFTSCSNAASAGSSSAFSQSQLSYEPGYPTFAPQVYQSVGSQFPAPKQ PQYLPPMSQGMPHLKCLDEAKKQYESLSSQLSRLDRYMAIHTWDIDSRSKKVMVEQRK SLVRELDVVRMYREQLELIFGKPSMNGSSDQQRVNADLLVPPITYLTGSMADYQGFLG PVSSSSSASCAGQALPTYLTPPTLPMLYPESEASIPVFPWQNLGNPGSAVGVKDMSAN GTLGNLNLSQQNDETRTNLNINQRDKQIHTSTFSDESGSTGDEQASSRLPSPSDLQHL YHKIEEATERGEPVGRLLKELSVVTTQLVKQKREEGRISHRSTRGKQNLPPLPSGKPD STRMTTNGRPMKPASHLWGSEAHFREAVRSCGDTSSTSDNEAKGKLSSSCVSTTDSWA TVHERSSKRLVSMGSLEDPKCGRNPETIWESPRSLPKYKIMSAEIHASGAPHNVGWIQ GRLEDRKEVKPSKNTTLHRTHRARGGDSGMQDVKLPISSLNTQLLSRNRGLVFQKTAA LAVPQNVNVQAYVPSFDGPGDAPRNETGQRTMETGDRQTQETACYDSVQEARPWYMPK QRPKPSRETLRDFFRQVRDEERREMRDSHNEGQSNDR AFLA_132740 MTQLFASSPSNVSNEKRGSFPSLSLPSKKHTNESSHLPTKIKNF FRINSSSSNSSHHSHSHSGDRDREGSPHTGAKTESKSAFRQSRFLPTIGRNRSTTVAS EGNPLDEGVSPTATANPYFVHQGQPSLQHRNDGSEPSSPPDTPELQVDGVSAAEQATT ANKTELARKLRRVASAPNAQGLFARGDNDGRPQTAETGNQPPVEETDSQITIAEDTVG SLAVPKLGADGKIPSPGEIRNQVAFRRTYSSNSIKIRNVEVGPSSFDKIKLIGKGDVG KVYLVREKKTSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSE DYLYLCMEYCSGGEFFRALQTRPGKCISEDAARFYAAEVTAALEYLHLMGFIYRDLKP ENILLHQSGHIMLSDFDLSKQSGPGGAPTMIPGRSGNSTTSLPTIDTKSCIADFRTNS FVGTEEYIAPEVIKGCGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFGNILRDDV PFPEHGGAQQISNMCKSLIRKLLIKDETKRLGARAGASDVKTHPFFRQTQWALIRHMK PPMIPHQSRGTDTLNFRNVKESASVDIGGSNPTKMKGVPMDSGLATPNGEVNDPFEEF NSVTLHHDGDM AFLA_132750 MASAKLVSFKYGEIPVGAIKPRGWVKDQLRLAADGLAGHMFEFY RYVKNSSWLGGSEEYSELNEAAPYWYNGIVPLAYTLNDERLKAQARAEIGGRDWSKFF VPGAFPTSASIKPQPNFQHGINVAQGLRYMAQKYRMNHDEKLARQTREAVDMAFRYHG TPSGSITSDEFLGGLGPQRGTELCMAVELMFSLSWLHRLFGDNDYADLTEQAAFNALP GGISPDWWTHQYVTQSNQPWIKRLDGRPFYDVSPYGNIFGLEPDYVCGNGLIHRFLIP AETSIELDGGHASVTADTHYPFDQIISYKFTTTKSFDFYTRLPSWATASSRANLPGGR VIPLVREHDDVFHFTVPTGSSQVTVTLGTEVRVVNRPLSSAVSIYWGSLLYALDIAYT ETSTAPTHWKKNVDPLPTDSTYPQLRDRMLIPKEEAEWRVAIDPSQIVTHWANRDTDP ESPLPNPIYARGAPPMVISVAATRIAWPVVNGAAHGVPTEVTTEGEPFVARFVPFASA PLHMAEVPTVSLPKLNLPGQSH AFLA_132760 MIKAIKEDGIIQPPKSVSADKDEVGHVAEYNEQDHGADSELSRS LESRHLLMFSIGSSIGMALWLGSGTSLINGGPAAIFLGYCIAGSIAWALSQAIGELAV LYPLASAFPQWATKFIDKAPAFTVGWAYWFSASITLANELQGVVTVLSFWTQSVPTAV WLSVFLVVILIIVICAVKIFGEAEAVMSTIKLFWVVVVIISCIIISAGGAPNHHKTGF EYWNSMPFTNGFKGFLSVMGTCIFAMGGTEFSGIAAAEARNPLKSVPKAVNSIWLRLT LFYVVGGLMVTITVSPKDPDLFGGKGINASPYVIAFRNAGIPGLAHAMNAIIFISVVS SGNAQAYAATRTVVGLANIDMAPAIFKKCDRLGRPWAAIFITFLVGGGLCYLNVTNSG ATVFGWFSNLTALCILWLWGTIFLSHLRFRMAWKAQGRSLDDLPWRSWAGLFGTVYGL AWCMLLVVVEFYLAVWPLNKKSSAENFFANYISIVAIVGLYVGSKIYYRGPLWIHANN IDLNTGRRHYMSEDLEARAKSSSGIKKIAGFIMGESKV AFLA_132770 MRIQLNLLLASAITTILAVNPRDAPKEPTGVKTITSLNGSTIRY KEPGEEGICETTPGVKSYSGYIDITLDIHVFFWFFESKRDPKHDPVTLWLNGGPGSDS LIGLFEELGPCTVAENMTTVLRDHSWTEVSNLLFLSQPVGTGMWFTVVSGFSYSTKEV GSLDPTYLTVESTTNKTEEGRWSVINVTALDTSRLAAESAWELLQGFYSALPNLDADV ESTDFNLWTESFGGHWGPSFSTYFYEQNEKLPEDGSKGRKLKFKSLGIINGIIDEPTQ TKYLLEFTKKNTYGVQLINDTVYDHGAFSLNMPDGCQDQLDYCNWMKRENSIVRRSAC AAAQYICQTTVEGLYYRFGDRGTYDIRKPTGQDVPPSYWRDYLNTAPVQNALGVDLNY TSSNLIYTAFSLSGDFAAPYLPDLEKLLELDIQISLVYGDADYICNWLGGEAISKVAK WSGQEAFNNAGYTDLVVDGTAYGETRQYGKLSFTRVWEAGHEVPYFQPAAALQIFNRT INGFDIATGEVEVSPDSDYATNGTAETTHTTTLPPLASSTSG AFLA_132780 MSTPMSDGSPAQESENPLLRASNPMVSDLEQEVLDEYSRLLGNV NKLSEKLADLSGDPSSLTLDGLRLLERKTATVCTLLKASVYSIVLQQQIFNENEEQQQ MEQQQSDQMQYHDQGYDYQDEDMSFEGRYA AFLA_132790 MSFARPARCVFCSFTRGVSAGTRVPSRQFHPSSTQFANRKPKFP NFKARDEKTLEEITRDMKPKHFKPYTEEEKAALKEEYTPEQLAAIEAGEAAIDPKDMA EQFAIRRDPMKLHYLDDFSTIEPGVDKHVRAPKSNSDYNATLKSDDDFVEDFARFFQE MPEDATAADWVRFAETLRVTLGKEENELNPHSALVPDLFSPGESLTEEAKSVKPFEMQ SSARFGESEEITEALKRLLQSTGYTQAFVKGLATKTLVSHSVVNQTRLGKVRRMYCLS IAGNGNGLLGIGEAKSEESADAITQSKYRAIRNMQPIPRYEGRTIFGDVEGKVGAVEL KLMTRPPGFGLRCQHLIFEMCRAAGIHDLAARVGRSRNPMNTVKAAYDALMSQRNPED IARARGKKMVDVRKVYYSGRY AFLA_132800 MQNPPKSPDVNAKRRKKTKVDREKSSGFDEVLQADIDNLLRKHK PESEIGVEDASTPPPASSLPETFTEIEVKVAEISSTGDGLALSEDANHVYVVPFTVPG DKALVKVIRHFPSLSYSLTDFLKVVEPGPQRNDAGIGCQYFGKCSGCQLQMMSYEDQL AHKKRIVEKAYANFSGLIPELIPAIDDTFPSPLQYGYRTKLTPHFAGPGGNRRSKAPK QPHTEVPPIGFTMKNQRRDLDIEDCPLGTDIVRKGLKSERTRVAENIGKYKKGATILL RESTARIPKDDVDPGSAVKDREIEVGEDSGDVIHIEREKYTEEKRCVTDPNGTSVEYI DDYFFSNRAGAFFQNNNSILSGFTEYIRQLALPKHTQQDSKPIKYLLDAYSGSGLFTI TLSPLFKSSLGVDVSGDSIVSARENARANSLPNTGFAAADAATLFKDVPYPPDQTLLV IDPPRKGCSDDFLRQLLTFGPRRVVYVSCNVHTQARDVAVMVQGDKEKNIRFEIESIR GFDFFPQTGHVEGVAILNKTTF AFLA_132810 MSSPTPKLLKADLFKSSSENLTDDERIDLSYQRAYAVAKAYNIL DLTPKFWQIHQDMALSLDHAAHTLISIQYNIAGAIFAMFVSDQPEYQPLLDRILRFEV S AFLA_132820 MLTEVGHGLDSRNLETTATLLPNGDFDIHTPNSNAAKFTPPSWP RKGFPRVALAFARLLVSGDDWGVKPSIVWLNDGYSLNEQDRSH AFLA_132830 MQESFMVMTRRGAVGSLAFTTVLVPLMKRAVFVAEIGTELLLNR YQPPAPMNPTCLLAQHEKGLFDESRSILKGLKGGHRHAEFNSLILPRCPALVEAIGHR RAYEAAAKAGVDSDLLALYEIHAVLLDPSWYIQHTDLTREYLFQKEARLLDTLLPRLD TLLDSTGAGLYCTAPILSLASWDAFVDRLETLEAVGMSEDKARL AFLA_132840 MASQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIATKSGAGSA KPPVANKPGFLSAGGGAGSHLSSKRGTEDLDFFIGDEALAAANGPGYGINYPIRHGQI ENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIMFESFNCAGLYI AVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIPIAGRD ITYFVQSLLRDRGEPDSSLKTAEKVKEEYCYVCPDIVKEFARYDREPDRLLKHTVTSP NGRSVNIDVGYERFLAPEIFFNPEIYSSDFLTPLPNVVDGVIQSSPIDVRRGLYKNIV LSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGARSGGLDVAVVTHKRQRHGPWF GGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGSS AFLA_132850 MLKHQSPLRALVLSCSQTVWSLPPFLFPQQPTSDLRADFTPSST SQLEDTMSRPRRDDVSAIFVHAGAGFHSPHNEKLHLETCENAAKVAIHMLKNGGSAVD AVEIAIMLLEDSEITNAGYGSNLTIDGTVECDATIVNHLGRSGAAGAVSQVKNPISLA RVVLEASTRPLTLQRVPPNFLVGQGATNFAWEQGLIVMPHDGLISEEARGRWLRWQQD LEAAELKEAQQHPARYERHKASVRRPVSVNPTHLLSTPSSIRPASSLSSSLGDTRLRD SGSSSPVGSNDTLMPPPRARDTGYMDGIMSQTQKSSSIPGSTSLEASHALGPKMDVET TPPSVATDIHYAKMDQISDTVGAIAVDSHGNIAAGSSSGGIGMKHSGRIGPAALVGIG TAVIPVDPNDPDQTCVATVTSGTGEHIATSMAASTCASRVYYNQRKCEDGSFEEVTED EALRGMIASEFMGHPGVKDSHCRGAIGIMAVKKTVDGVYLCFGHNTDSFALASMSSED KKPVSVMSRSNGNGSIAQGGRAYRSRSVVAFHVPLAINQPM AFLA_132860 MYHDPQDPAPALLTVVLDTNPHAWALLEDSLPLSKAIANILVFL NAHLACNYANEVAVVASHSQKAAWLYPHENPATKISHDADGDVSMNGSSTNNNTTEGP GQVNKYRPFRIVEEQVTHNLRELMASTSGPDVKANNSTMMAGALTLALSHINRRSIAW AEAHGADTAAETSGGGPPSGGHASGTDTTEGLQSRILIVSVSGASDSAHQYIPIMNSI FACQRLHIPIDVCKLSGDAVFLQQASDATKGIYMSLTEPRGLLQYLMMAFLPDQRSRR HLVLPTRVDVDFRAACFCHRRVVDIGFVCSICLSIFCEPLDNGTCLTCGTNLEIGDYG AKPAVVARKRKKKKRVNGPSGTATPTPTPTPGP AFLA_132870 MKFGRNLPRNVVPEWSSSYIKYKALKKLIKSAAEDVKAGHEADL AGVHAQRTYLETKVDPSPFASNARVTESLKKINDWLSVLGEQKVSDDASSTRSSLSLK RGPARPHLNLPSSLLVAVDEALRKDDTHVLLELLEDLKTSTDNLGGNIFPKVLESLLQ RSIYYHSKSCISVLLGRMDSLDDEDDINKRNCIHRLVISIGRAQSTTDSEESASMVLD FPLETSNYITPAALPTLQPPRNVVKESDHPQHLDRSDPSVSLLQYLLDQLRREQRSAL LSKDISGRTPLHYAAQYGFRVVCEVIIEHLQAWDMFDVSGGIDGPHWQDNDGWAPLHL SVVGGHPLTTRTLLDAENWRDASLEKSTTRKQVSKSSAVLAMATKANFVDIVKLLVDA GVDINYQDEQGETALHVAARFGHHMCAKILLEGSDDQKADTELAEHTYSWTPLFIASV DGSLSVVNLLIEAGANLEKADSSGWTAKEHAALRGHLDIARRLAELTPEPEVTEAEPV IPIPVASSSPPAPSSLIERRSNTSTPSGSSSTRDVEPVKSFGHRYLTDEAMILVSLGT MDMHRPVEAVNLDRIPMENAHSTQLDTALSIVVSANGAHGEPEIIDLPVQDNISTEPI VFHTADATKVRLLFDLVPTYAGSKDQVVGRGVALLSSIKQSVGSNRINLKGDSTVPIV AANTLEVIGTVTFNFLIVTPFKHPNMTITGDQTYWKSMSSTMVIGHRGLGKNMATRNS LQLGENTVQSFIAAANLGASYVEFDVQLTKDHVPVIYHDFLVSETGIDAPVHTLTLEQ FLQLGDSGSSRRSGSPSQALDALGKDAITYAPRQRSMSVGGSEYDPSELNEKIKHTRD FKKKGFKGNTRGNHIQAPFATLEELFKKLPKSVGFNIELKYPMLHESEEEEMDTYAVE LNSFVDTVLTQAYELGQGRNMIFSSFNPDICLLLSFKQPSIPVLFLTDSGASPVGDIR ASSLQEGVRFASRWNLLGVVSQAEPLVLCPRLVRVVKESGLVCVSYGTLNNDPANVKR QVSEGIDAVIVDSVLAIRKGLTEHQSNTVTPQRSPLVQPTPVALKDTLQIPILNQAEQ KQDHLHVKPDAVI AFLA_132880 MEESARDQETPGKEKSYRAMFENRVLLDKFFPLALFLPEDPDLE THIHPHTLLVSITRKDAQILPTVLHYWNHDSSIAILTKLTAAQLDHIRGFKEVGTFPP PVEGVCDSLALHRCFASLVEGKGNREAVDEVISQLRGSGDITSSKDCEVEFCVFVITV FGVKSEGLLTGGLAPVWKWAKPESVYYPRTGFWEAEVESVLADAEWMAGRGLQLLMQG VSEETKQELRRARTTKDKQRRYAGQKNKNTIANHP AFLA_132890 MVHSTGEERAVHLTREAIELVDAGHREAASRNLREALSLAPDNP AVKEAFIKIQEEEATGHHLLDLCRRYTSRKDESAGKDAALYLRTDGLKPPEDVALECA KLLLTHKAHALSSLQDNLISGLVRQNASVRQFFSDKLQISVTTFFDEMYDRGDGAAVC LDTVVLDPSVWPSEAARLHCERELFLLFIAKLMESGHDLDGRSLKGIARLLAVDASKL QDQVDDEELDVILSSLDHRLPLEWRSQATLATVKYLEASKETGQSRFTKLISARLTKG RVDDHIIAFSATAAVFPVVPEIAATLFLSEEFMASLKPVSARDTKRRRVEVSMLELLN AACINKACREAIAKNFSDWLSHTLTNGSDESSELAAVVLAKIRVSDKDAGSNDQVQGD DASVAELVERFKTIMSSRRAENIQNVIEGLAYSSVKPIVKEQLAKDSTFLRDLVKVLH ENLSDSSVLYGGLMIIVNITQFLPNLTEEQKKMSQLKSYAEASPDARAGLNPLEKDES VIARCNAVIEAGIMPLFIECSKTNLPSVQGLVSKITLSLSRNQKTRGTLAQQGGVKLL LNIGTSRQGVSGSIANDAVPNASHALARILISVNPSHVFPPSGFPQVTSAIRPLTALL VVPETSADQPRDLLPIFESLLALTNLASHPDESAPDAIVRQAWSVIEDLLLSNSPLIQ RAACELVCNLMTCEAGIVKFADGSKRAGQRLHILLALTDADDIATRRAAGGGLAMLTE FDSVVAAVLDKPRGVPLLLRLCQEDDEGLLHRGVACVRNMSCIASGDIGRRAKEALKK GGAVDILSNVLKKSRNPAVLQTGVEALKPLVE AFLA_132900 MEGFSNPEDMGPAFCIGQHESKRTVPITTQVVQAAHESNYDMLT APITTSHFHSRVLSLLSSHLSTVQAASPDAHGTMGTTQNTRPLVIPPLAPSDTHLTPN EAMTQIVGVTSSWIDLCSPDPLIADISRQVLMLEVAYAAFCGIGYLLIPGPKLHHKGM HSEGVVYYARAIQDAINVGPYIQFNIWLGAVDNPDLEIDEMGDLAPLAREEFLVNLDE GQAPKEDPFGTWEAWDTVRRTCKYHSRLFVALSLQKHLPSMSVQSRWHSEPVRLLTFN ANSFIKNQKGYPVLSKAHQSLIARFMRLRSPPWILLCDVGTIPGVDADNSHVTNIEGA EYPSLAQAAVSNKKHFDPTPHLSYIRNLQQRQPARTAIERFGVGYQDYLQAPLQPLTV NLESITYEVFEKDPIKYEWYERAIAKALKDWAEQKKPTSNPDGRVVVAVVGAGRGPLV TRALKASAETGVDIDMWAVEKNPNAFVLLQRHNATIWGGKVTLVQSDMRSWKGPRVEK KPSSSQPSAPVGQSLGIEDSMLYDAEADPNNKTKAPEAAAPNPVPELMPTTIDIVVSE LLGSFGDNELSPECLDGITHLINPVHGISIPESYTAHFTPISAPKLHADVMHQTISNP AAPETPYVVMLHAVDFLSTNQPAMLGNTTGGGSYHGNVRSSISTLPGSETPAPFVQTA WSFSHPNRHIPPQSPSTSTISNAHNVRRTRLAFPTQNRGVCHGLAGYFETVLYRDVEL STNPVTMDSKSANMISWFPIYFPLKTPLNVPDNGEVVVTMYRQTDDRKVWYEWMVEVF ALEGHMEPPAPEFIAPVMSGARGGSPSADSKPQQRKSSGRSGLRRVKVGMSELHSSIK EGCLM AFLA_132910 MVDRRSDAEDGSRAKRQKMDKTGTDPKDNPYLAHMYADASPNGN EWAADKDSPFAKVKRHQSTAAQAQKIEDGDINPFNGQPYSSKYFSILKTRRDLPVHAQ RDEFLQLYQKSQILVFVGETGSGKTTQIPQFVLFDDQPQSQRKMVACTQPRRVAAMSV AQRVAAELDVKLGEEVGYSIRFEDMTSPKTCLKYMTDGMLLREAMNDHNLNRYSTIIL DEAHERTMATDVLMGLLKEVVQRRPDLKIIIMSATLDAQKFQRYFNDAPLLAVPGRTH PVEIFYTPEPEQDYVEAAIRTVLQIHATEADGDILLFLTGEEEIEDAARKISLEADEM VREVDAGPLKVYTLYGSLPPHMQQRIFDPAPPPRRPGGRPGRKVIVSTNIAETSLTID GIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEGA FKKELIDQTYPEILRSNLSSTVLELKKLGIDDLVHFDLMDPPAPETLMRALEELNYLA CLDDDGNLTQLGRLASEFPLDPAVAVMLISSPEFYCSNEILSITALLSVPQVFVRPAS QRKRADEMKNLFAHPDGDHLTLLNVYHAFKGQDAQENPKQWCHDHFLSLRSLQSADNV RMQLLRIMEREELEMVSTPFEDKKYYENIRRALCAGFFMQVAKKEAQGKSKYTTIKDN QNVLLHPSTVLSYDADWVVYNEFVLTTKNYIRTVTAVKPEWLIDIAPTYYDITSFPKG EIRSALLRAAERLSRKEKMRSDSSRKR AFLA_132920 MQSLWSRAASARSSCHCVSCLSTATPGLTSRAASAASKRRLRIG NSVTALYTSIFAAAALADAQAKDQRRHEWQEKIAAVKEEVNELVDEEQRILANISARR KRRSLFNGVLTSRQYSTVAGPSPGQIRSSIRDIPTRSLAADTHWQDTYETRLRDGLET YSNEKDECDSKALEDLVAESEDSLFSDSDEYGFRLGDDDFPEWLSNDIVRQKVIRKLA VKQLAIRLLLRPTIAHSYMGLRMNYISDGSVPKLNVAELLHELNQCRIRLHELKSIRD VNIDDLASDLRVRNIEEMTWENRKLDEDVRRDTELFLEGTMSLQELLLRLSSNLLQAT DPDRPYCLRMMLLAFTKCRQNDLGDLVLKTLLPNKFPLNSSLVLSIITFYRKSKNVKG FDLFMEMLCGDSYPVDMLNMGYFKRTVINGVEISIPPTHTTNAIMFSTLITACLRFNQ PDRANAYQQAARAAGYMDDFATLFGYLRFYGIRRDWTKGVATIKRALAFMASSTEHEL HRVERLVVLMVHLCDMCERYDVSEAIIVAAVENGFDWRSAQRQVDVKFSFDPHFRRWH VAEDVSTVGAIKEKPLWERSYAFVNTVGEQLNDLSLPEEESSARKWHKLMGTYSQEVL SAVLSGRPAKHKKPEEDGQQNLLKTIDVENNRFYNAEVTAKAHQKEIIALKDQVAQLK RMVFDLTKQSALKHSQTSPQDLGQIKDKLRHTNPRVVEPTVNIRYVGN AFLA_132930 MLGRSVSLATVLLTLSGSFANAHGSHSSEQNPSSDWATQHMQEE HHIDSFDPASFFTFHDYDNSGTWTADEVRKTYGLDDESNAGVSEERKQQAVREVFGLF DPGNTGFVTRDNWMRLISEGKRLPDFGFGPGHHGDIEYEYEIHHFEKYHGDDAKEEDL THPEDIEHFRRHDEEDDAAYRLEQLERMQIVEKNIPQKFLKRV AFLA_132940 MDSHHATRQLLEYLRTGYPILLLLVFISAFVANSVLAAKNANNS TTAAQTGPGGRPLPKRSRSTMAIMKNPQKFSQNTRSWFRWLSVGILLTILGDAAVNVA HVMVSRSEQWWCGQSVVIYVVGSFFVYSIILVSLLDTDPSPTFAQFVPWLVAVPIELA ILGISSSINAGNHHEPVVGDPTGGRLQKGVTSWELLELICNCVRVLILSILVALYVFS SIRMHSSSRKAPRAYANGASETTGLLDPSHAENGNAYGSTPANQQPTKPADAWVRPTT VPSTSWWEYLSGYSLFFPYLWPSKSRRLQIVVVICFILIVLQRVVNVLVPLQVGVITR KLTKTGDSFDVPWFDICLYILFRWLQGNQGLIGSLRSSLWIPVSQYSYMELSTAAFEH VHSLSLDFHLGKKTGEVLSALSKGSSINTFLEQVTFQVVPMLVDLCVAIVYFLIALDA YYALVVTIVTFCYLYVTVRMAQWRAEIRRQMVNASRQEDAVKNDSMVSYETVKYFNAE DYEFDRYRGAVSDFQRAEYHVLFSLNLMNTSQNTVFMLGLLIACFIAAYQVSLGQRDV GEFVSLLTYMAQLQGPLNFFGTFYRSIQSALINSERLLELFREQPTVVDMPSATPLPV CKGDIAFENVKFSYDSRKPALNGLTFRCEPGTTTALVGESGGGKSTVFRLLFRFYNSE WGRILIDGHDVKNTTIDSLRKHIGVVPQDTVLFNETLMYNLKYANQNATDEDVYEACK AASIHDKIMSFPDKYNTKVGERGLRLSGGEKQRVAIARTILKNPRIILLDEATAALDT ETEEHIQGALSTLSRGRTMLVIAHRLSTITTADRILVLHEGKVAESGTHDQLLAMKGR YASMWRKQIRAQRAAAEAQVLQDRAQRLRSASTSGAVGDDSSSQSDEDRNGNTHASAV RQTQGHHWPAHDQKA AFLA_132950 MDEGKPEKMKNRLFKGVMETEVARQSPADVENASNMTTPLAQPL PDSTVLSSDSSNSHMASLDDPTDIQPLPPSLLSPNQGTMNSNDWSSMSDVEPRMHRQS NASEDIHFPGESLPSDPIPGLVSQSLEGDQRSAPRAISGRGDWRARSRTETSEVDEYD QKMEDKKAAIRDYKQYPKKILSLESHVESVRPPGFRRRESSESLPMFSASTGSSHPSK SIGTSLSSAARLYNVSESVDTTEGPESEAPRIPYSRARSDSVIGPVTAQNEELQNSGP FVEGVPDTNVHLERPSSPLPLLKESSPLETPCFEKNDCRGGVYTPAADDPAGKGTHMI DEDLTGLTIPISSDGTVDKPWSAEVLLKEAIRHYHSSSCVDVQSAAHLLQKLRTLFQS YEDILPREECELIFKTYNEHLIRQCMYTEAAELRLLCVPAYPAVYEYAQIDTTMNVFC FTCKRPYENPSNDNTRCHRCDTSQAPCAICMSVDPPAEWVAEQSVSCAQSNLELDSET TSHFLSSSRSSIKTEPIPTSELRRLDETYLDSYNPSGPKGSALWTWCQGCGHGGHLAC ISMWLNDTSVSEGGCATPGCMHDCGPGPRREHNRTILLEESKRRDSAGRKAGVGFVKR DPWTRGESKAVEKVRGMLSIGTSGGTSASTTSAGPSVSSNLMSPKKVRLVTPSEQGKR RGTSSRTSLGGSGLST AFLA_132960 MPVKWQRLRLSNREAIPPILFKYSSTSKGYELYITDLTNIWSER LTRQDILRKADEDDTTIDPSEDMEQFGVLLQKIEDALRSQPGSTVTLNHSSGDLLELT TSTKLPAPLQPLKWAARLSKEPQSVATGQLLLPLIKAEADWESHQKSLIDQLHKKDWI LAKLFDKIEAVGIDLSTIFPGISGLRAGQKGTTLAQAAKHIKSVAPFDEKAWLKEVSA SASASGLAANILAEVSNCNGPNRSKGLGPPPDKWWEKLTVTKTTVAPIREESEPKKGR EPSKDVLEADTDTPTETEDDEFERQETPPRLKHVKSREKTPINIGQDDDLGKAPLNKP SPPPRQKSSAKPSKGLGVIGGNKHTRAPQPSPPRASSTLTPQIADDQTDSGSDHESVA LAPTSPTKPKPELSEKAQGKPRGLGVIGGKKKQRQASPSEPRSPSTIKSPSATDQPPP ATSPPTEARTKRVSKLGVIGGKTSKSDATTSLERGPEEGNVDPSREMSNHSSEPPRSL SAERRQLKIMEQSEREEEGQERADRKREELKRQLEAKSKAPAKKKRKF AFLA_132970 MSSRFPDRSGSTKRSRSRSPSSRRPQKLPRRYDERDYQSDGRGR PAQSQSRNMKDQMRLNQLQEDEQVREWVAQEDVFVLKQAKKKAEIRVKEGRAKPIDWL TVTLRFIDPTRNPLDDEIADSDLDIVDPDGVFEGLSQSQLLDLEKDIDTFLSLEANSQ NRDFWKTMRIICRDRQKITAPEGRALNSVAADINRLLSPKTYEQLQTLEIQVKKKLDS NEPIDTDYWEELLRSLTVWKARAKLKKVFQAVIDERVRGLRQQQRDEADSVRAKLAPL APVSQPPTEGKAQAGSDEEFRDLDPDPLLQIRPEDKVLEIVDESNFLDQVARERQKVL KMGFVPLRQRQAEKSSLVPVNQTPNLPAATGSSRFSAIPNEDFSQATKALYERELARG VSENEEIFTGEESVSTGSQPQWANKYRPRKPRYFNRVQMGYEWNKYNQTHYDHDNPPP KVVQGYKFNIFYPDLIDKTKAPTYRIEREHGRKRGQSFAAAGEEDTCLIRFMAGPPYE DIAFRIVDKEWDYSAKRERGFKSTFDKVDSPIV AFLA_132980 MDRLLVSQDLCVDSTPSPQETTLQYALKRTETSASSQAVIDGAP LGTLRHHYPCPAVEHWVSSGPSSPTSMMPCQNPWAMETSAFTLPSSHTSTPSMPDGLP RFFLSCDSDWPSSSLTSGPTPGQLRPGPSRTNDSRTSSEWMREPDNDVSYALKPDRYT ATSEAFGPHQRPSGILTTTYPTAALTPPSPAFSASSRQMCSPVIKSEFGRADTRSTPA NAEGEASIDPPYSTLIYEALRNAPGKKLSLQEIYGWFEENTTKGKDRSSKGWQNSIRH NLSMNAGFEAVRVEKAPGKKALNYWRLTDEAITHGIQSTTRYRKQANYKKTVGSDPPA PQRQRSGAKGGKATKITAKFRGLMNQDELRKERYHQRLVSQRRPHKILHSQHHPSPVT AAVVSRFHASCPATPLTRTSAEPFDLGSIVGNADPPSCTAIFCDMAGPGSDCLAMETG FLGWSSLPPFPHGLLTGSDISAEVQLAV AFLA_132990 MANQRLQYRRRNPYNTRSNKVRIIKTPGGELRYLHIKKKGTAPK CGDCGIKLPGVPALRPREYSQISRPKKTVTRAYGGSRCAGCVKDRIVRAFLIEEQKIV KKVLKESQEKAAGKR AFLA_133000 MASLPPPPPPGWGASAPPSMPLAPPPPGYQPPADPTVAKFAQKK NEWLRTQRNRFGEKRKGGFVETQKADMPPEHLRKIVRDIGDVSQKKFSNEKRSYLGAL KFMPHAVLKLLENMPMPWESSREVKVLYHVNGCLTLVNETPRVIEPVFHAQWATMWVC MRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDENEDSPVYEWFY DHRPLLDTPHVNGPSYKKWNLSLPQMATLYRLSHQLLSDVVDENYFHMFDLNSFFTAK ALNVAIPGGPRFEPLYKDIDPNDEDFSEFNAIDRIIFRAPIRTEYRVAYPFLYNTLPR SVKVSWFSHPQVVYVRTDDPNLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPGNN EDEFELPGEVEPFFADEELYTPETASAIALWWAPHPFNKRSGKMVRAQDVPLVKQWYL EHCPQGQPVKVRVSYQKLLKTFVLNELHKKKPKAQNKQNLLKTLKSTKFFQQTTIDWV EAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLMR EILRLTKLIVDAQVHHINPVYDVEPIEKITDAYLDQYLWYQAEQRHLFPSWIKPSDSE VPPLLTYKWAQGINNLSNVWETADGETNVMIETELSKVYEKIDLTLLNRLLRLIMDHN LADYITSKNNVQLSYKDMNHTNSYGLIRGLQFSGFVFQFYGLMIDLLLLGLQRASEMA GPPQSPNDFLQFRDRATETRHPIRLYTRYVDRIWVFFRFSADESRDLIQRFLTENPDP NFENVIGYKNKKCWPRDCRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWDDTFSSVY SKDNPNLLFSMSGFEVRILPKIRNQNEEFSVKDSVWSLVDNTTKERTAHAFLQVTEED IQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLLDTIVKCETK IQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKRWSKQTDTGITH FRAGMSHDEETLIPNIFRYIIPWEAEFIDSQRVWMEYSQKRQEAQQQNRRLTLEDLED SWDRGLPRINTLFQKDRSTLSFDKGFRLRAEFKQYQLMKSNPFWWTSQRHDGKLWNLN AYRTDVIQALGGVETILEHTLFKATAFPSWEGLFWERASGFEESMKFKKLTNAQRSGL NQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQK IHESVVMDLCQVFDQELEQLGIEAVQKETIHPRKSYKMNSSCADILLFATNKWNVTRP SLLFDTKDVYEPTTTNKFWLDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYPSATG LMIGIDLAYNLYSAYGQYFPGLKTLIQQAMAKVMKANPALYVLRERIRKGLQLYASES NQEFLNSQNYSELFSPQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQ LFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEV HLLDFPNISIRASELQLPFQAAMKVEKLADMILRATEPQMVLFNLYDEWLKSISPYTA FSRLILILRALHVNIDKAKIILRPDKTVITQEHHIWPSLSDEDWIKVEVQLRDLILND YGKKNNVNVQSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQEEQKQLTAVTTKTQN VRGEEIIVTTTSQYEQQSFASKTEWRTRAIATSNLRTRANNIYISSDEVRDEGYTYIM PKNILKRFITIADLRVQVAGYLYGSSPPDNDQVKEVRTIVMIPQVGNTREVQLPHQLP QHDYLNNLEPLGVIHTISGNEPPYMTAMDVTQHARLMNEHSSWDKKTVTMTVSFTPGS VSLAAWGLTPQGYKWGAENKDTTSDQPQGFSTSMGEKCQLLLSDKIRGYFLVPEDNVW NYSFMGSSFGSVEKRPVYVKIDTPLRFYDDQHRPLHFQNFAELEDIWVDRSDNFA AFLA_133010 MERQVSGQGKQTGRQKPVSVLQLAQEIDPLRPDLPLVRNQDQGC PSNWSGNPELFDGTYYQLAWKNRGEQCFPNNCFPGI AFLA_133020 MSATLAEYRDYAIDYAQHASCKPEEHTSTLVYHTHQPQLQHPKP PDTPFQQGSSRSTAFEHHHANATVLPTANPHGLHQQVVLTPAQMLSRQLPVQYTPTSF EIPSIQRGKKRHLSDTEGESDDANHGIRPQLSILPSESSAEPTHHSPEMLFSVHGDAG QHHQMQGHDLGPPDSVALPQHHHHHRLPPHASLRDSQRHGMNMESSPFPSGPPSVVGQ PGMPDPAPRPRGPKLKFTPEEDALLVELKENKNLTWKQIADFFPGRTSGTLQVRYCTK LKAKDVAWSDEMVQRLQRAIQEYENDRWRIIAGKASNRAIGAQVVSPGSRSSSATNQP ALLTIRPTTGRSGFKLTSNLQTPSALNVLTSLLRRYNPNSPGQCSSNAQPSPSRGALL SGPLLPALSPPPSSAVREPNVKFKTAS AFLA_133030 MARSFLSKVGSQTCCHTIIKTEEDLVPPGAKAGTVPSDIEQATG LERLELVGKMQGIDIFDMRPLDASRKGTLENPIIVNGAGDEQYAGCTGFPADSHTVNW LTVSRDRPIERCGECGNVVKLNYVGPLEDAHDRKSSTLAEALQFPLLTQSLQTITATA TATPLPRSPRLSPTTSSLSTGTGKYLTQ AFLA_133040 MSSEPPLSTLGVNSEKEPSKTPFTDHPSHTAEYDGEGRDAADGP FLSPRIAAYVRRFENQLIEYNLEARGIERVQQHERMKKLTWVSYLQAFLLWVSINLAA NNITLGMLGPVVYGLSFLDSALCSVLGALVGALVASWMATWGPVSGVRTMAFGRYTMG WWPSKIVVILNLIQMLGYSLIDCVVCGQILSAVSPNGNMSVAVGVDPMVGIVIIAVSC WVIATFGYQVFHYYERWVWMPLISLIIVVSILYAVSSSKFDLSTPSSGDPRTLAGNRL SFFSICLSAAITYAPLAADFFVYYPERTSRVTLFSLSLVGLIVSFTMAFLVGIGLASG ISSHPEYGAAYSRGAGALIVEGFGPLHGFGKFCSVVVALGLIANTIPPTYSAGVDFQV LGRYAEKVPRAIWNTIGVIIYTVCALVGRSNLSDIFTNFLALMGYWVAIWFAIILEER FIFRFRTGYNWNVWNDPSKLPVGVAAFAAFVVGWVGAILCMAQVWYIGPLSRLVGEYG ADMGNYVGFTWAGLVYPPLRYVELRMLGR AFLA_133050 MSFYVRQQMVLTFPLPLLDHCSRSFHYADKLSACHNGLFYILPS CNNRFTQVVGKQKAIVTSTTLPSSHRISMQGMAIMKTSSVVLSVDQGI AFLA_133060 MSAPPNSKRVKASPSTSAPSHLLAPQQVNPFQRVPSYEGIPMPQ SQLSPPNPKKRRASPQISTATTMSTSGTTAAAGEAALEPGPGVPEAPPRKKGRTNTPW TAEEEQRLKTMRDAGRSWSEIAKTFPFRTEGSVKKHWYKDMHYAEFDEDESIALREAI KEYEASKWKVIGQKVGKPAKACEQYAKEHFKDL AFLA_133070 MSSAPTAFYIDFAWVYYTRQRVKLRNGGVVDSEDFRNSWLVNKV LSFRQRRSVDEEQHLHDEGAEAEHGADGERPRNNRWGARGISISADDTLNETRNPKPS SAGDDRLEGFLEDEEDTWEEHANQQTQHKHSPDATGNQK AFLA_133080 MAESVPSHGLGITAPFPNDFPRTVTAGLGPVPDPDYVFSGAALS PPPPPVKRTRRSPKPTAAAREGPVTILPHPEGLQRLEQERRREQVDPHSHQRPRAPGR GRRDPQAEEEDVFVERLREQNLAWKHIREMFREKFNKDASEARLQMRMLRRRKDRSAR WEESDIRLLIRARDYWEREKYNLIAEKHDNAKHNYGI AFLA_133090 MRLIKNKVELNGSGTVTLCPEEPEDMWHAYNLIRPGDLLRASAI RRVTTTQETGSTTSARVHLTLEIRVKSLDFDPQLSQLHVSGQIVNETQHTKVGQHHTL DLELNRNFTLEKEIGSDGEGVGWDSIAIQMLKDAVDDGGKRRAEAVAVVMQEGLAHIC FIGQFQTILKQKVEMSVPRKRQGGSDHDKGLTKFYQVTLDTLLRQMEFNTSSTSLTSN ETVRPVLLASPGFVAAGFQKHIQSVAATSTPALKRLLPNIVVVHSASGYLHSLAEVLQ SPSVKTILADTKYARETKLMDDFQDQLRKETNKATYGPREVESAVDQGAVGRGGGVLI ISNRLFRSQDVAERKRWVSLVDRVRDVEGGEVRVLSSDHESGRRLDGLGGIAALLTFP IVEDDYDSHEEQS AFLA_133100 MAPLSLQSTLKLVSGYEIPVVGFGLLELYFHLNRVLASILTCRG SPPDITEKVTLKALETGYRHVDSAKYYANEAECAEAIRKSGIDRSKIFYTTKVPVSHM SYEKAKEAIEASLADAAGIGYIDLQKLISTRVLLHAPFGGKEGRLGAWRALVEAQKTG KVRSIGVSNYGIHHLNELEEYINSGVGGQISVGQYEIHPWCPREDIVDWLKRRNIVVE AYSPLVQATRMQEPVLQSLVKKHNKTPAQILIRWSLQKGYVPLPKSVTESRIIENTQV FDFELSEEDMQSLKTGVDAPVCWDPARDSRL AFLA_133110 MPASPKRVVLEKSRTVRRRYQRSNKRLKFTASQIARIERDEERE RKAQKLREKEKKRIANKKKKAEKELKAREERRRLGIPDPNAPTVPSSQPSLFNFLKKS PQAPAEQEMTCEDTESDTISTEVDTSEDSNSENDDLDDGESVGLDISLDNVGGAMEPE KVNCGGRDDDEFSDCSIFYDEDVIKEAETVAVLQGTIQAEPEKKEHKDQVAAAVPISL PAGESFRDDTAILLEEFADEFDTDEEFEQELLRLDAG AFLA_133120 MIRHLHGMEAHSKASYDWLDYLEIRFCLRFGEFTNWDLAGQVRQ ELAAKTEKLSDYLVPPDTSKTTILVSGFRSVSSRGHVVGWKL AFLA_133130 MATSEDIKNEIYKLSVLPFILERRGDYGKAIEIHKSAIDILSAA AEKFRKSSNVRKINRKMFERQVDLHCERFAYLESLKRKGSFEGIILPPTVLDVMQELE RDDGDNSPWTLSQIRKALHDYGKEAPTNTEPPSQLKPFLDAADSVKAPFFAPTLSSSA EPVIYRLSHSSELVDMGVRSHWWFVKDSANKHTLYALQAVWSQEVPIVEAILRRAGEF LPQMGAVSIKIRKTKGGSFRLVTSTVPQAGDIVEIPDGEAQRKDWSPRRFKYGGRNFV WKSGRADGKSADGGLFRSFSWETLYETKRVWAKEGSRTGKMEDETIGPRLCWGEKGGG NGAAHSIYMVGGLDLQFREHLLASQLARLVRCSNPPQKDSTGAETVSAGGESILSLVE WLS AFLA_133140 MPSLTINNHNLHYADSHPNGAPDQGQTIIFIHGLGSSQNYYFPI LPHLTPNHRCITIDTYGSARSTYTGQPISIASIAADVIGVLDALNIPKAVAVGHSMGG LVVTLLGAQYADRINAVVAIGPTHPSSMLTSVMNKRSETVSEAGMEPMANTIPYQATG SGTPALTKAFIRELILGQNPEGYAALCRAIASAPVIDYSAVRVRFLLLAGEEDKSAPL EGCRIIFDGVSSENKCLEVLEKVGHWHCVEAPDVVGGLIARFVGGV AFLA_133150 MATHRFDPNFTDNVVNAMGPKTNPRFRKLMTSLIRHVHDFAREN EVTVDEWMAGVQLMNWAGQMSDDKRNEGQLVCDVIGLESLVDEITFKLAEEAEDAPTA TAILGPFFRADTPYRKNGDNIVKGVPDGEMAFMHGRVIDFTTKKPLVGATVEVWQAAT NGLYEQQDPNQEEFNLRGKFKTDEEGRYNFYCLRPTPYPVPDDGPAGKLLKLMDRHPF RPAHIHIIATHDGYKPLTTQIFDSKDQYLTNDSVFAVKDSLIVDFVPREGDPQAGLEL NYDVKLVPAPSNSTNDV AFLA_133160 MGQQRRGKPSGGRDVTVSKALSLLLRHAAEKEGLKLDAQGYANV ADVLAWRKLKSLKVTFPEIVAAVATSDKKRFALLHIPSTEAQAQQSTSAEASTEHGIP TTSAGQDSATETALAVSESDLDPAHFLIRATQGHSIKSVDAASLMEKLSLDEEAKLPD TVVHGTFHAAWPAILASGGLKSMGRNQVHFATGPSVESVLAQGAQGSKEVTGDHGEKV ISGMRRDAQVLIYIDLKKALAAGCPFWRSENGVILSEGMVVEGSSGIVPVEFFDVVVE RKHGLGKIWEGGKEVQALPEELVKQGNPKGRRNANKKKEDTTQP AFLA_133170 METAIRWSPSSTTAEQRFLSVDVVGKAFRLCKVTSFDGQNLEHE VLAAHTKVPAFRAFDWSPADESLVAVGQSSGDATILRLNSESQESFSFPIRHQRYCNA VAFSTHGLLAAGLDRVRNDFCLNVWDVNQRLAMRGGKGVVEPLRKLASSEPITSVKFF RDQPDTLVAGVKGQYVRIYDLREGPGNPALQFPTRCVHGLAIDWLDENYIASCLTSND PTICIWDRRVGSRYTTPGVGPANTLETGQPGPALEFKNVIAPKSTIWSLRFSRTKRGC LGVLANTGHFKTYDVAKEYLSEEYRSSMDETLGQESSKNYPEQIYTKYVRDVSSPFNH PTRGYPESERIVSFDFLNMSPSNEPTALTLSGNGQVNIITTKPPSPPVRLSSQGLLIR GTSGDDADFRTISPLPSQGLRVSEVIEDLRERILPSHDIQEISRENHHTKPLSSREAR ERALSLGASGNLITAEEALTLLTINRLRCKEGYLFDAARNRRILADDPRLQGFWGWIE RARNDSSNDSMIANGLDLNYVGVCDIWNNDLGDTLDTRHLEPDARLDISKVIVNLVRE QLNLPETRGCETDYPEHRRLCLRLCGAAQTHRELEELVRTLSADSQHTKAAALAVFQD EAKLAYLALRSHHPTQAHKLLAMAIAGAAKGDTDPDWEDTCAEIAKELTDPYARAILA LVSKGDWNSVIQETTLPLKYRIEVAVRWLPDDELTEYINEMTAEAILQGDIEGIVLTG LGPSAMDLFQSYIRKFNDVQTPVLAMSHSVPRFINNNPNRARFEAWRETYRWQINSWK LQLERARFDVGSRKFAVTWDGRKLIEPPRQQVSLTCNYCTRPLTQHDASSQLSPSTTG EVVHPTPGNPLGTSAMSGMVCPRCGRHMPRCGVCTFWLGSPDPMSKACLAADAGQQAG KPTQAEMMRRFIVFCMNCNHGFHAHHAQEWFMKHRVCPVAECNCVCDR AFLA_133180 MPREDSRSQSPVSRPSGDRHYRDRSDPPKRKHNSDGASHQPYRK KVQLPKKEHQYPSVNELKKRIRDVKRLLNRVDLPADARIVQERALAGYENDLEEEEKR RERSKMIKKYHFVRFLDRKTASKDVKRLERREKEVSGSDLDSAAKEQKLAALAQKLRV ARVNLNYTIYYPLAERYIALYADAKKKKEQVKDGNNDEDGDAGYTLVHANAADKPAMW HTVEKCMKDGTLELLRDGKLKNGESGAVTKEKANVDKKKTSIRDSVQQKDTTKTSVKP QRREDKKAKDSRGFSSKNDSRHSRARQSSPDDNGDESDGGFFEM AFLA_133190 MAATSTDRITPVSGVASPVSPASSTGQIPLDQPPKLKGRHKLLQ SLQRISSSPSLKRGRSHSTGYRRDGKASLSCVSLGHSAYAPCLGNGSSSQLYGGLNVR PMTSGQAGPVEEHEGNARIRLVGSDSPNTAQSRSVPLPTELRPGPLGSPLGSTDVIQE TAVVQPASKPKKTFDFWGSMPGELRMLILSYLTPREIVRCSLVSKAWNKMCFDGQLWS AIDTTDYYRDIPSDGLVKIIASGGPFVRDLNLRGCVQLREKWKSEGKRITDLCRNVVN FSLEGCRIDKTSMHYFLLRNPRLEYINVSGLSSVTNSAMKIIAQSCPQLEILNVSWCS GVNTNGLKRIIKECPKLKDLGASEIRGFDDEDFALELFKRNTLERLIASRTDINDVCL KILVHGIDPEMDVLLDRPIVLPRQLKHLDLHQCSDLTDNGVKSLAYNVPYLEGLQLSQ CPELSDDSVIAVIRTTPRLTHLEIEDLERLTNSTLLEIAKAPCAEHLEHLNISYCEAL GDPGMLQVMKNCPSLSSVEMDNTRVSDLTLMEASYRVRKRGYGEDLPRVGLRLVVFDC ANVTWAGVKEVLSSNAYVPRSRKSLQATSAISVVAQAVVPDESTDTKTVITSSITPPP QPSVYPNEIIQLKCFYGWQMTVNEHNKRVLRGDLAAANRLDRKWADYMMATEEAGAAG AGARRRRRRAREAERIYNADDEGDDAYGIGGVSALGGRRRRAHSGGSCLVM AFLA_133200 MRNTRPSPMVRKFLRANASADPPRPSLLPSSDNSAENSWPQDPI RDEYCFFYGTLMDPNTLCKVLGSSKPPPIMRPARIIGYEIKLWGPYPALLDKPLHPVD GMVCGLLSPRQLDRLAAYETDKCRLRSCLINVLNNDGGAEKTIEGVSFMWNGRQDELR EGTFDLKQWGKDRQLRELG AFLA_133210 MYLSCQRARAEIYSVSLTLSAFVKAAAARIGNPHPAKWKEGKLS GPPAGCVSMVLERGEKKKSVDIGNDSGTKWVERPPSLPPTWATTTPPAGNPTAKQTQF ILLAGQVASE AFLA_133220 MLLASFLVFFSSLCCYIMYHTASRAPASIMSGHKSRHIQIIDTL SVMYISWWLKRHMRKSKDE AFLA_133230 MSTSSLIPVTSRSHSPSPRSRSTSRTYSTRISLNDAGALEDLHR FPCESLHSFSFSQQSEELLHSRQNILKRSIDFMRDRFGWAANSPGIVNAQARISGDAE VQSVVDLLSKANVLGRDDRYNYARGPMTGPAVVDTGDVFEKAFFESQRPMAEIKELPR GCAPRTEQADTVSDLAGPQVSTQRRGRRSTPSSRRVSLKRTYTDVSSGTLQGRLMETL AQPFSATEPFSPTSTTFGFGLPIPVLHTHSSKWTPVSQAVFRTESRAPWTILAANDLS CLIFGVTQAELRRLSILEVVQKDRRQWLESKLRDPSTDVAAKLPERPRMTPVNPKSMG MGNGVTAQLLSKPPSRSRRAQTDDGYGSSKRMPQPLNHPSTKSRGVLLCGDVVPILKR NGARGSASVWVMEKRGGLIWVIEEIQEDVAYIRCDKSWNITETDGDTDRFWGRDMVMP GKPITDILPRLPKECLEGPLDQGLAKLCELKHFTAQNIAGTCFPVTVAKDTSSDSLRI SSFPHVAGMMVLASSTLKVISSNSAFSAALFGHERPEGLHVNELIPGFEEYLNVLTEE EDVSLEDGIVIPEPSFRRARTLSILREGKANVASVFLEPNGLTANHRDGSTITVDVQL RVVKSGTIFPKQDEQSPTNDGDADSENDDTFAVAELVYALWVTYSRQIHTAGLSSPPR TDSPRVRSPSVVPASSLRSARTPHLPSLNTEQTSVETQIPTSTLSQQLTEAASEPLTD KPVQPVPEVKPVNAKEPPKKRTITDYVIIEEMGQGAYGEVKLARLKKNAAKKVVLKYV TKKRILVDTWTRDRRLGTVPLEIHVLDYLRRDGFKHPNIVEMEGFFEDDINYYIEMMP HGLPGMDLFDYIELKANMDESECRNIFRQVVDAIHHLHTKALVVHRDIKDENVILDGE GRIKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLQGKSYRGKEQDIWALGILLYTIVY KENPFYNVDEILDHPLRIPFLPFSEDCIDLIRRMLDRDVDNRLTITEVVEHPWMTDSE AFLA_133240 MLPVPTIFSISDNFSPLRQRWSVLFRDNNNTSDLRATLRSEQGE NLCNDGLRSICWKAFLHFDNLDRTRWPQKISESRSAYGALKAHFMKYIEHPDDLQSTV DPLADDEESPWQTLRQDEQMRADISQDVDRCLQENFFFREPTTKAKMTDILFIYAKLN PDLGYRQGMHELLAPIIWVIDRDAIEATSWEGVDDTEEDDSSMLQLLDASYVEHDSFT LFCSVMQTARVYYEHNRQRSASGQMDVVPIVNQCEHIHNDLLTTTDLELADHLQALEI LPQIFLTRWMRLLFGREFQFQDVLILWDFLFSEGLRQELVEFVCIAMLLRIRWQLLDA DSSTALTMLLRYPSPHPHEPYSFVLDGLYLEQNPTSDRGTFIISKYSGKPPDSLKRAS QPGTRHVSGRKFHTRGDARNMSEASSPSRSPARNSPKSLEALFQDVSEGIQRRTESWG VAKAVRGAVSEAKRNMQTIQSEHNPRIMRHGDSPLSRTSDARWAQESDTVAQLKTKVQ ELEERNRMLARSLGQALNDIRSRMMKAEGLDHATADAMKQGLAKIQSVQTCLESTSAP LRSANRSPSIAGDTGSAKLCDQSDVRGDKANRSPSVTSRVGESGTSSSSSVEGELKAH DRARIPTSLPLRPATRPSLAESEFSWMLGGSRHISSFNVLFGNGDEEQRPYAEPNDLA LRSLRGPKSKQ AFLA_133250 MENSSLRDSAILPFSRMKHLIINSDQSKHRLEPEISLIFFKDTP SLTYGPLVETLLDHDTLDFLANCDNLEQPSLGLTISRD AFLA_133260 MKGPNEPKKLAVSPGGLDLLDLLSIEDKPPQRRSQSSRTLVNKS SSHKNSKVVSTASGVAEDEDAFDDWGDFVDGPPTEPPKSVGGHRLASAQVPTQKAKIA ANLEKKISPTFNISSSAVSPAQIRPTNIPPPSVLLELFPRLFDQLRKEATEARKNAQE KQNIENVALLILSTLKAAARVVAGRTLRWKRDSILSQSMRIGPARAGKSGGMKLNTVN KNEDIKEQQEAVDVISMWRDRAALFNSVVQASGRRPISAIPTNIRAMTATPEQGALKA SHACALCGLKREERLPKIDDQVEDSFGECGSSDNK AFLA_133270 MGLAYNVYLTSNKIFGCKQCKTHLADYDDIISRNFRGQHGKAYL FNNVVNITQSEAVERSMTTGRHIVRDIACRQCRETVGWKYDKAYETSEKYKEGKFILE EELLCVVC AFLA_133280 MLEILADESVGGNSPTVIINRGAKKMQWPFIGCGGLGFSKTAHF TCFDSMTQLCEEDIPCIHLPPQHRSVYKMSTENKMRAVVFHSPYKVAVEERPIPKIQD SGDIVVKVTYTALCGSDLHTFRGIEPAGTGFVMGHEVTGEVVEVGSGVKSIQKGDMVV SAFTTSCGECFYCKQGFSSRCEKSVLFGCDHLDGAQAEYVRIPNADGTVMKAPEGVEE KYLVLMADIFPTGYFAASNAFKGYTPEQISEQTVVLIGCGPVGLCALINALEFKPKHL LAVDSIPSRLELARSLGAEPWNFQQDREGLDKRVKELTNGRGADAVIEVVGLSPALRT GFDLLRPWGTISSVGVHNGEIPWAGNDAYDKNLRIQMGRCPVRSVSPQALDVLKKNQH KLGFMADKIMPLSQAVEGYELFNAMKVQKVIFKAGE AFLA_133290 MDSSELHDTQVVDETMTDAVNMVDEGDMDITPKTEEEYAQSMLT LRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVPGVHDRVLTVTGPLQGTARA YALVAKGLLEGAPQMGMGGVVSNNGTHPVRLLISHNQMGTIIGRSGLKIKHIQDASGV RMVAQKEMLPQSTERIVEVQGTPEGIEKAVWEIGKCLIDDWQRGTGTILYNPAVRASV GTAPVNQNVGNGYSSRPYNRTGNGADFSDQSGGYGRRSNPDTSNRGYPLVTEDGEEIQ TQNISIPADMVGCIIGRAGSKITEIRRSSGARISIAKAPHDDTGERMFTIMGSAQANE KALYLLYENLEAEKTRRSQLPQE AFLA_133300 MQMSHDLVHGMTPASALPPSAENHHSNSRPQRRQTVSAVFPTIH ATQRDAPLNSARISRASVYGSGVNHSSALASPTLTESPARRPRSNTLGVQTRFLPAQQ QSHLARLDQHCPGAMFVDDPRDTGTATALTSASTSDSPTITTPTAQDMISSPSKTPGA SASQPHTSVASAPKLPQSRFNFEYQLPHRRRTLASHSSVIDTPSSLNPTPTPQRTFLY DRPRRSIQGFNLSHSRAPKESSSLPPSLRLAIDDDSDSALAPDPDPTIKALSPNATKR RKDSFYAQQTLPSVKRRMPSYTATYTEGNDRRRTVNGVNKYATEDGNRTPVADDARSD IFLNIARSDSSRRESLGRSELRRSRMRMSGSGFRSSTSRANEHTSSPDQLRLNTYESP LHSNNGSPSQPRSSLAYSYSASAHPLDDHSRSLHSGFISSSKSTIGVPRSRLSQISPD DSPEKTSIERRGSLPDPRTYRHSTLSTIRSSRQPSSSEVTERPRAEPDRSRQDGTEST LSTTAPSTVWDELEDLKSRIRKLELTGKLPPSSQEAISSASAERPRTATTTVTTVSSS PKRGHKTSTSSPGSDSIPPTNPVHPLLQSALSKAKTVLNKDVFTALEATATDAISLST TLSTNKAPSGGISVVNGYGPTDRLSRRKADSVCRGLTELCLALSDEQLRRHQASSKPD EDTITQQPIGADDETLTPTTPYRRSTTQEPEGLSRRQSTRAASRRSSFANPSGNTPSE NNKEVNWGNDTTFDAKQTQSPGSSLPTSRLSRLASLRAQRLQTDDEPAEHRSPHGRSI SRSMTDISNQSSAYRAPPRQRFSQGFAASQAPQPQQDQTPRYSAQSQQSQLPQPRTPT ASQSGIPLRRTLMTPATSRSNIQAGSRRYGLPSGISTPGKANDDAPISPRQDPSQTRI IAPSAKLAASYTPISRPRTNSFGTTRRFGIRQRPMAISDGAVNSFDDNID AFLA_133310 MSVFGGRPPSIADKEEQATAVKPLEVPTNVTVNTSRRPLPFKHR ESISSMTSASTDSSPTTTISTFDSPSGAETSPSSSPESPTSMPLSYTKFMPPPRNVES HSLSTAHNNLSKLSSDTPNPVRPDSPGRRARNLKNLSLRMPPPSQSSRPPIATASVVE TTSQHHLSAPPSPVHIPPRSSRRKPANLTIRTPGFDRSFSSNISEIPPTPLSRQPLRH TESSPSLNSIFSPSFGPKGGMQLPRPMTHHGSRRLSASEDNPSPLQPVPDENSVSGGV LHELEEEDDHLDSRESTRRSERGYPNGPIQIYDSGVYLYLEPTVQEASQFDVVINVAK EVVNPFTNTTAKNGSVMSVLRNTSTNPKPSPIEVPGTAMSDISFKSAFEYPPNESSPG TPRHDASKPEYIHVGWDHNSEILDDLYPLCELIDSRISQGKKVLVHCQLGASRSASLV IAYGLYKNRQLDFNSMYEIVKGRSRWVGPNMSLIYQLTDFRSRLLRGGPSKPAPEEWF AEGPRRGSEPQPSRTEALNQPEIVTPAGPASCAGLSQASTSSLSVPSTNQTTPTSADS LSFPKTPSHKRSLSPRPLTLRKSSFQVGDSAVRSIRPESVLNPWRTESGPYSLAKTDF FVRDGPVEPPALFSPRTTGFLAAPMSQSISSQLENNGLHGLRFGSRIADPRSPPPGNE RLIMRNIDEFL AFLA_133320 MLHSRLRPLPRRRTPLSSSPAAPPPDFHDEDSVEDESAEDIFGA FLPHLFPDDAPSFHGDPGQHLLYSSPRYGTLQIMVPSYPNQSENRSEEIAAGQRSDVD HGRKLFAHFLWSAAMVVAEGVEKAEHLASLRQLDPDTAMWKVTGESVLELGAGPRSNP LKTHRTNPLTLLPPKPKPGILNTSPNLQPITLPLLPGKPHHQLLLSPITTRNHQKPTL LHQPPRNPRIHLTNPPLHLLPSHRSIGIPLNNLLRKRNGIKRPLIKHNIKPPFPIRKP IQLPLLANLLRRMQNIPLLKPNLSLTLTNTIPIPIPSTLLILLIRQISRMNLQPLQLP RQLHTPRRIINPNHHTPEPAPLAQQSQHTPVPSPQVQDTGVVYPGGDSVARREREVLC G AFLA_133330 MVSSIEQELSLSRPLPQSNPRHHAHSISLGAVNANHRVTRRKSV TTAAAANAAAAAVAASLKDSAGESVGVSMPSHRRGSRKGLESSSVGAPSGFGSYFSRS MNSPSHEPPVARKASPTNSNDASSTNLATVDGNNSANKSTNNNKNRNRRASEGSHLIK SEGKRSMSDLRCDRCGKGYKHGSCLSKHMWEHDPAWAITSKLLISKHQQVQLLEAASV LVNMNQDGPPEGAEAESEASSASPGASSELRDGLSSAETTPPPMDEDDEDDEDMSPEP TMEKRFSVSTASGLFSHSYQSIPSSSFTGSAPWHSPAFSHYRHSSIDTRPSTAEAKLH EDDEADLAAAIGLCTFNTPRTRPVPMSPSVPPVPPLPSRFLDQAGASGNESQSLDQSA TKEADALFPNSTPNLFLSLSYNPSLSYKVSDEREVKMGDADRTTRQSRNADVDFGHRP TAADEDDDGVFGRMEE AFLA_133340 MFVPFIGLFVTLTFFGARLKVPGMKELTDRREPLFMRISSAVCI ASEANTILDIAQVATWAYKKATHDKNLLPYDWDNLWCSVNQEDLFTEKGLMVINNTET SLIALHPVCYEEDKQTWWNDVHGDENADKNASTTLPSFKEFLDIFIVISCIALHSWAR MSSWGRSAAKDSRPGTTSKTSDGSDEDTSIFIQIHPKVDNAISRNQRGQVLSAQSLDH STATEEDPEVDVVSTENTLTQLTPETCYFPERLWALDLYRPQNRALGLIMRALVACPA THTVQPATSQPEPPEPAQPGPSSQAGYNLPEQVQLERPNKKRKKNRPSLERRDRWTRK RPRRRESSESDIGDREERGR AFLA_133350 MRVETCHFCSRPVYPSKGISFVRLDSKMFRFCRSKCHKNFKMKR QPRKLKWTKTSRAANGKEMIVDSSLVLSQFAKKRNAPVKYDRNLVAATVKAMERVEEI RQRRERAFTKRRLAGKLARDRKREEDRRVVAEGEHLIRKELRDREEGMPLVQEGKQNK IHSEERPRQKKKTRVLVDGTTQEEMDVD AFLA_133360 MASTLAMGLGVATAAFLGRAGYVALRRARGGVNAAGKAFYKGGF EPRMNRREAALILELPERTLNKDKVRKKHRQLMLLNHPDRGGSPYLATKINEAKEFLD KHT AFLA_133370 MDGMGEGPDGMGFDMPMLMNQQPHLFGGYNHDSSRGSPLNNVLS NPTYNEEPGMAGEDNNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKTDCVFTQ VEKKRNPPKGYACASTVLPLSCGH AFLA_133380 MESLLRLSGLLSEDDGKTDLGTLEKRLADRSLGNTALNSLKSPT NKFNGSSATSQSQHTTASRHSTPRMDSHSSPHTAATSPNSPKESETEVEGLSDMMCSL VTNNCGETRYIGSSSGFSIFSPKGIQWVNEKTGDTSFQEMISSAYVDDNKWMYWKPEI FSDIFARRVFKPLPPKEEALSLFRDFFENFNCMFPLFHEPTFMHLVERQYSRDPYEGS GWWASINVVLAIAHRLRVMSNLVPQEEDKKAWLYLKNAMGVLTELTMRNTDLLSVQAL LGMSLFLQGTPNPQPSFFLVAAAIRLSHSIGLHKRGSGFGLNPVEVEQRKRVFWIAYL LDKDICLRSGRPPVQDDDDMNVELPSEDPPDNIGNVPLSDGKGKFNLFRTLCRFATIE SKVYKRLYSAKASKQSDGELLNTIGELDRELEEWKDSIPIDFRPEHEIKASHTPLILH VVVLHFSYYNCLTTIHRMSVHHGYWTSRLSNYAIQGLNARPLNPRVFLSAVLCVTAAR ASINLIKYIPHGDFACVWLILYYPVSALVTLFANILQNPNDARARSDVKLMNVVVNFL STLVSDESNGSIKRMLGLCGEFERIAQVVLDKAEKESHSKKKRKAAPDEPQDLRQKTP DENSVPSPSTKRPTGAPPTATLFPSSSYPINLGNTGPDMSNPTRAFAPGQTVLGTNGV PTSMQESMHTMSGMGHDFPEMLSPNNMDGVGFGDQQPFGTPTETPMTSFQQPFVPQDL WQMPMTIEWDWADMSSNFPVFEGTPNTGP AFLA_133390 MATLLRRPGNLARYSRRAADYIYRAGPRSAHISQSRLSSLITTP RFRTYATQSPKPPNENDGQHQPPNQPGNGSGKDGEGKKPEEPQSKLTKQEQESVDQFI QHLKSKVPQSQHQMLDDMRSIMMSEGLPAEVRDFIQKHLKSGKPTSLMDYVNLTRYMA KYLENYASKLNELEEKRTRDQEKSEDGQSQKQQGQQGKGKNEWKPPPNARVFEFRFDP ASFLITSLLSYYVYRSFFPGENSKDITWQEFRANFFDKGLVEKLTVINGNRVRVELNR DAVSRVYPDSPATQPLFHYYFSIGSVESFERRLDEAQNELGIPGSERIPVSYTEEVSW GATLLSFAPTFLLIGSVFWLSRRAAGGAGGQSGIFGIGKSRAKRFNHETDIKIKFSDV AGMDEAKVEIMEFVSFLQHPEKFQKLGAKIPRGAILSGPPGTGKTLLAKATAGESGVP FFSVSGSEFVEMFVGVGPSRVRDLFANARKNTPCIIFIDEIDAIGKSRAKQSFGGGND ERESTLNQILTEMDGFNTSEQVVVLAGTNRPDVLDKALMRPGRFDRHIAIDRPTMDGR KQIFRVHLKKIVTSEDMEYLTGRLAALTPGFAGADIANCVNEAALVAARVNADHVTMK HFEQAIERVIGGLEKKSLVLSPEEKRTVAYHEAGHAICGWYFRWADPLLKVSIIPRGQ GALGYAQYLPAGGDTYLMNVNQLMDRMAMTLGGRVSEELHFDTVTSGASDDFNKVTRM ATAMVTKFGMSPKLKYIYYEEDPNQFHKPFSEETAKDIDTEVRRIVAEAYQQCRTLLT EKKKEVGIVAEELLAKEVLSRDDLIRLLGPRPWPESGEFAKYFDGAKGATIAPPEPTQ SSEATEGKDGRDQTPSPP AFLA_133400 MALPLPEVQSAVDCASFNHTVLPFLSQLITLPERLQVAAVAKDV DSLKDIYLSTNPFVTALGFSLALAVFFLLFSEINRNYSQVDRFWPFLPAIYNVHFAVW ARLSDLRTQHLDTIAVISVLWSVRLAFNYWRKGGYQIGSEDYRWAIVRSKVNNRFVFF IFNIVFISLIQSLVLLLLAAPTYNFLLLSRLPGGKTFEVPDLVFSRVAFFFLIIEYFA DQQQWHFHCAKHEYQKTARIPDQYKGQFTPEDLERGFTVSGLWSLSRHPNFLAEQAIW LTLYLWNCYRTESYAQWTGVGVLVLLLIFQGSTRLTESISSSKYPEYSEYQARVGRFI PRFSAKPKYKAKAKKKAKKTEKVEQSEEEEGKKHQ AFLA_133410 MTVPRVLCVAEKPAIAKAVSQHLSGGSFQTIPVRGNQYVKNYVF DFNFGGPWGTCSVTMTSVIGHLTTLEFERQYKGWLSCPPGALFEAPVHITVDSDKAAI AKNIQEQAKYCKALFIWTDCDREGEHIGTEVRKQAKEGNARIVVKRAKFSNTEKAHVL NAARSLIELDDLQANAVAARIELDLRIGAAFTRLQTLQLKHISEALSEKIISYGSCQF PTLGFVVDRYLRVKNFKPENFWGIKVMHTRDGIKVNFLWRRVHLFDRAAVTVMLERCL MAKKAKVTKVNQKPTSKWRPLPLTTVDLQMMGSRYLRMDSQKIMKVAEALYTKGFISY PRTETDQFDKGIDLKKLIEKQFPDTNWGQYARGLLDGGYRTPRAGRHNDQAHPPIHPI CWVSPTALSADEKKVYEFVVRRFLACCSEDAKGQTSEVEIQYGDEMFHAKGLIVLERN YLDVYVYDKWESSQQLPNFQMGELFEPTEAKIFDGKTTPPNYLTEPELIGLMDANGIG TDATMAEHIAKIKEREYVAVHSRGSGRNAVKELIPTRLGVALVEGYDNVVTGLPDSPS LSKPFLRKEMELRMREICAGSKSRTEVVQQSLEMYREVFIHTQRRINMLKDAVRKYLV EEAAS AFLA_133420 MFGIGDRLRMVKVKGAAKLFPPDEDREIPILAQFADYLSPEVCA VTVDDDGLLAGVSTDPKEDDTPFVAYLPISVVESLGDCRTVQYSKLQEVDRLGPGVGL LSYEDEYGIPHKVAFKFNPLDKPQRLQMAWDELNLLKSLPPHPNIVPFDRIVLEDVES RVIGFTTKYISGGTLDNINVPFRFEWLQQLTQLVDFLNLELGIMHQDIAPRYLLIDSD THKILLFDFDWAANGKKRLLEGRDDVTAVVFTLYELITNDTQFTSIPHLNRTLDMVQS ISEWACSLELDCDVSKFRNFLNDWVATRKSDGDLGTISQRTQLAYMAGTINRARVQCT FRAG AFLA_133430 MGLSYEAIVVIVLIGCIAAVLIGYSIHSLSTNGFQDDEQKVEIP YEQRKYMREYRLRNVQWLAREARGGRVDRDVEAAFPNALVNRIKGMSW AFLA_133440 MAAAAVTGLVNMVVLRPLTVNTMRERKHQETRDGKKSYDPPPHS KEMVALNKKFGRLHGFSSLINLVCLGATIYYGALLGKRLA AFLA_133450 MKYVLVSGGVISGVGKGIIASSCGLLFKTAGLTVSSIKIDPYLN IDAGLMNSWSHLNPRIPAFIDTIKRHGEVFVCDDGAETDLDLGNYERYLGVTLGGDNN ITTGKIYHHVITKERRGDYLGKTVQIVPHLTNEIQNWVEKVAKVSVDESGREPDVCII ELGGTVGDIESAPFVEAMAQLQRRVGKDNFLQIQVSYVPLIGSEQKTKPTQRAISDVR SAGLRPDIIACRCETPLEEATIQKIANSCQVERNQVVGVHNVSTTYQVPILLAQQGFL STLSELLKTDSISKDQKLIDSGKLIWQEWQGLAMNQVHSLETVTIALIGKYTSLHDSY MSVSKALEHASMHCRKKLNLIWIESTHLEDEHKTNNPAEYYSAWHNLTTANGVLVPGG FGSRGTTGMVLAAQWARTNNVPYLGICLGMQLAVVEYARHVCGMDKASSAEFDETCEQ PVIIYMPEIDKTKMGGTMRLGKRATVFQPGTEWSRLRKLYGEKQEIWERHRHRYEVNP ELIGQLEQGGLSFIGKDEAGERMEVIELKDHKWYVGVQFHPEYLSRVLAPSKTFLGFF AAAAGCLEEITEAYKDRHDLSSKLPMV AFLA_133460 MADKEATVYIVDVGRSMGECRNGRSVTDLEWAMQYVWDRITGTV ATGRKTAMMGVIGLRTDETSNELEDDVHFSHIAVLSNLKQFLMPDIRKLEDELKPSKT DKGDAISAIILAIQMIITHCKKLKYRRKIVLVTNGQGRMSDEDLGEIVKKVKEDNIEL VVMSVICYQIATKQKLTSSRGIDFDDPEYGYKEEDKDPHKAENETLLRTLVEDCDGVY GTFEQAVAELDIPRVKSVRSVASFKGYLQLGNPEEYDSALRIPVERYYRTYPAKPPTA SSFVLRSEPEAGQEEAESSEAAAATQKGSQSGDAGLTAVRTMRTYQVEDKSAPGGKID IERDELAKGYEYGRTAVHISETDENITILDTFAGLELMGFIQTDQYQRYMHMSNTNII IAQRANDKAALALSSFIHALFELECYAVARLVVKENKPPVIVLLAPSIEPEYECLLEV QLPFAEDVRTYRFPPLDKVITVSGKVVTQHRNLPSDDLLDVMGKYVNSMELVDADEDG DPVETFPIDDSYSPVLHRIDAAIRARAIHPDQPIPPPSERLTKFSHPREDLIEKSQKH LEKLIEIADVKKVPPKAKGRKRTRETEKPLSGLDVDALLHHEKRAKISPNNAIPEFKQ TLAQAENIEAIKDATKQMMVIVEDQIKHSLGDANYDRVIEALGTMRDELVSYEEPASY NDFLGQLKDKLLQEKLGGDRQELWWLIRRNKLGLVTQRESDQSRVTDTEAKEVSLTKM KE AFLA_133470 MSPNLEITIPTTSLSPTSPPYTVYNLTLRLPLRSFTISKRYSDF LNFHKTLLTQTNIPPPAPLPQKTWFKNTVSNASLREDRRQALEAYLQAINDADDPRWR NSPAWRAFLNLPSAANASHTSTRLHAAITDPGSGADNPISDPTLWLDVYRDMKSHLHD ARLHLTRRDQETTPQKQHESSARAKSSLVRAGSLVAALEEGLKVMGEAANRAQSPSGK GRGGSLGDGELRRRKDLLINARKEKDGLEDLLNAMAAKSRVDHAVASVQDKEALVGSA SRKPARSGRVLGKETERTRELDNQGLLQLQRQTMEDQDQSVEELLKIIRRQKELGIAI NEEVEIQNALLSIANEDAERVHRKIDIGKKRIGKIS AFLA_133480 MSSIRDKRKQPSSHTGGTPYAKRSRPSYAEDDDEDEMAPTVTPY ERPRNHPIYGQKSAFPGLDTAGDDELFYGPAEDGLEYLRMVRSEANSLPFLFTAPQPT DPPVEETKQSETEQDLNEPQPEEEKKKTDIAQEGFYADGVYVAAPLTTSKTQPDTTEP TQSDAQSGYYNLLHHRFLLLRSILKCTPPSTAIAALDESHPISLPRRSRDARKEWRRL LLAVDPQTVQLACMDMDSVLGVLEVMAKLMSENIRSGDAERVRRIGAWAWGLLGKCRD VGQLASEEVGEIRDLGKRAAKILRKMREENEKKRSAGVDGNASSGESEDENPVDDQPH VEEKTTENAEATSDPAGESPDAEQEPPAEELEMAKARLQAKIEQGGGSKSSEPEAQKD ESKTQYNAVEVAMQTRAMLDMIITVVGEYYGQRDLLSDREPWNESTTLF AFLA_133490 MADSELPTRPKPEETPAAAPAEGAEQSKSASKNAAKKAAKEKAK AEKAAARAAQEKAQAAAAEANDTAKDLYGKIPESEDVLPTTKFDDITDDHYEKEITVV ARVDNARVQSAKLAFLMLRQQGKKVQAVIAAAEPISRQMVKYTGGLNVNSIVQVTGVV KKPQVPIASATLNNHELHIRKVYTIAEAAQQLPMQVKDAERPPPETTEEGNEVDADGV PIVTLKTRLDNRVLDLQTETSQAITWISSGVAELFAEYMIKSGSRWIFTPKLVSSATE GGSNVFEVKYFKRNGYLAQSPQLYKQMCIAGDMESVFEIAPVFRAEDSNTHRHLTEFS GLDFEKTFHGHYHEVLDFAEDLLVFILTQLKERYKDQIAVIQKSYPKAGDFKLPKDGK ALRLNYMDGVALLKEAGVDVSEQERFENDFSTAMEKQLGQIIREKYDTDFYVLDKFPM AVRPFYTKADPKDARFSNSYDFFMRGEEIMSGAQRINDVNELMESMRAKGINPDQEGF EDYLNAFRQGCPPHAGGGLGLNRIVMFFLGLPNVRLATLFPRDPQRLRP AFLA_133500 MRPHGLYRSFGLLHRAATRSFLETGAGRRFVQTTTTSPPRVPDF AFAFDIDGVLLRSSKPIPGAAESLALLKEQGIPFILLTNGGGKHETERVAEISEKLQL PLDPSVIVQSHSPFAELVRGPDEQSSLENKCVLVVGGDGDRCRQVAERYGFKNVITPG DIIMANPTIWPFSNVFKDYYKSFARPLPNPQDPKDPTKGLKVDAIFVYNDPRDWALDA QIIMDFLLSSQGVLGTLSEKNGRSDLPNRGYQQDGQPPLYFSNPDLWWAAAYHLPRLG QGGFREALEGTWAATTGGPSKGVELKKIVIGKPYQGTYEFAENQLLRNRSRVFGAEAN IPLRNVYMIGDNPESDIQGANTYRSPYGSNWHSLLVRTGVYSGGEPTWTPESIHDNVK KAVEYGLKSSQW AFLA_133510 MERGNYNLEEWNLTRWEMRRSPAYCLLGASRTGDRRQFISLSAT DPMISLNYLFSLLSLPHTNSTSTTKDRLQTTLPWKSVMHPSRHIHLPTEVVVQIVSYI DGNELDRQRTLHACCLVSHQWYSAAIAPLYERPRVDSGVSFQRFTETISPPINARKNK LNLGSFVHRLNLSHLVHHSSNSLTSRLLGRIKENLEVFVAPTLTFSISSLPALSKCTN LRSLDLGLVQEAIPFPNLKQAISRLHKLVTLRLPQSTILTDSESTKVPWPPALRRLQI SGRFNPLLIPTFSWPPALTSLTLKNCSELSVSNLSSLMSSPQLGESLQRLTISGSNRG LTPESINAIPAFLPNLNFLSVPGDMVEDSFFIILCHVSPPLALEVLEFGFPCNDLKLS FETKTLISALDTGLASLRSVGFLEDLVSDERWEEDEEIDKALQERVKHRGSQPGAESR DDEEAGVYYI AFLA_133520 MSYMKKDEDADQTMIKLDRTSVFQDARLFNTSPISPRQCRTLLT KIAVLLFTGEQFPTNEATTLFFGISKLFQNKDPSLRQMVYLVLKELANTAEDVIMSTS IIMKDTAVGSDVLYRANAIRALCRIIDATTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPIARDVVRRWQSETQEAASSGKQSTGFLGFGGSSTHAISQSNFMTQYHAIGLLYQ MRSHDRMALVKMVQQYGAAGVIKSPAALVLLVRLAAKLAEEDQSLRKPMMQMLDGWLR HKHEMVNFEAAKAICDMRDVTDAEASQAVHVLQLFLSSPRAITKFAAIRILHNFATFK PHVVNVCNPDIESLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMADITDEFK ITIVEAIRTLCLKFPSKQAGMLAFLSGILRDEGGYEFKRSVVESMFDLIKFVPESRED ALAHLCEFIEDCEFTKLSVRVLHLLGVEGPKTSHPTKYIRYIYNRVVLENAIVRAAAV TALAKFGVGQKDPEVKSSVSVLLTRCLDDTDDEVRDRAALNLRLMAEEDETASLFLKN DSMYSLSTFEHQLVMYVTSTEKETFAAAFDVSTVPVVTQEQALAEERTKKLTTATPTL KAPSTGPPKSKANGVAEAATVAATQKYAEELMRIPELKEYGTLLKSSVPVELTESETE YVVTAVKHVFKEHIVVQYDIKNTLPDTVLEDVTVVATPSEEDVLEEEFIVPAPKLATN EPGIVYVTFKKLAGENSVPVTSFTNILKFTSKEIDPTTGEPEDSGYEDEYQVEDLELT GSDYVIPTFAGSFDHVWEQTGANGEEESETLQLSNMKGISDATEQLISALSLQPLEGT DVALSNSTHTLKLFGKTVSGGRVAALIKMAFSSKTGVTTKITVRAEEEGVAPAVIASL S AFLA_133530 MQVEVNPNEDTEWNDILRKHGVIPEKPQDPEPLIQEALVEAERK AYENRLEDKDLDELDELEDEEDEEFLEQYRKQRLAELSTLQKTSLYNQVYPLQKVDYG REVTEASNNAFVLVHLSSSSSGNVESQRLTELWRQLATKFGDIKFCEIRGNMCIEGYP ERNTPTILVYKDGEIRRQLVTLRELKGPRTTIEDLERMLLDLGALKESDVRLKKRSDD SDDVRPSKIKQSRVDDDDDDWD AFLA_133540 MQVSSEGPLENSTIEAAKEVRVRRILDAEMQLAEDPDAILEMAE EQLNEADDTPLHGLVQSPSRMPGGSSMRNIVSEPIFKTRMQLLNGGRYYNGCRRQNLR VDIYLPMNIIATINVNKYPQHRLLVRAELKQPGERHPNCWARNVLDSDAGARLAITIT REEGDHFITVYATNNGYWAPYKANTFVDWLNGMGYVQISQTPRRYLHFQPHLLEGLPE ELMYFVNGGYIDDKGVKFKNESERESRT AFLA_133550 MLKKKGEKEKKKRKETGKNGRKRRRDEFEVQLGKQEGDYIWSLR GRLHFQQEVI AFLA_133560 MTPAQSKRKAQNRAAQRAFRERKERHVRELEEKVNNLEQASSNL VADNERLKRELARFTTENEILRATSGSGDRTHNHSDEPTTTGPLKYTPTDFYSELVPK GEPSRLHRVSTCAKTGEKLLGAGATWDLIQGHELFQRGLVDIKDVSERLKNITQCDGQ GPAFPEAEVLKAIEESAAANDDDLL AFLA_133570 MEYPYYPNPQSQPFPLYNLQGAPTLGQNDDDNQHRNPQTDDIQD TLASLVRTLIIDRLKLSPVSLTVARGYRVISPSTPPFAFRTPPHRSDIRHTLHPSPSR SIPPLEVTFSASRPSRRR AFLA_133580 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGTLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFSIYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAMIVDASNKKDKEAEEQQRRQGVAAAAPMGTP GAAAKPGAISSQRRKVIERGTLENTPDTNRAVGGSDRMLRSASKVAASGAYGPTGSRS KRDDGYGAQWY AFLA_133590 MAFLFKSKKNQQNTTLPPANRNLHTSEGASTNAPAAPNGVKERE GSNSQTPTPSSSYNNSLNSVSSINSPEQQRVRQRAESESQVQRPPQQSMNSNAPNTSP GASLYPWSQRRLNFSAPQTNPFPRYGAAINSVASKEGAIYMMGGLIDGSTVKGDLWMI DSSGGNLPCFPIATVSEGPGPRVGHASLLVGNAFIVFGGDTKVDEADTLDDTLYLLNT SSRQWSRAIPPGPRPAGRYGHTLNILGSKIYVFGGQVEGYFFNDLVAFDLNQLQNPAN KWEFLIRSSHDGGPPPGQIPPARTNHTIVSFNDRLYLFGGTNGLQWFNDVWTYDPRAN QWTQLDCVGFIPTPREGHAAALVNDVMYIFGGRTDEGIDLGDLAAFRITTRRWYSFQN MGPAPSPRSGHSMTAFGKHIIVMAGEPSSAPRDPVELSMVYVLDTSKIRYPTEAPNGD RAPPPGMRKGSADRQSPQAGRTSREAHNQPPDPRRPGASRESMMSPTGRPAEVGPTSG PGSRLPRASIAQAPSGPPPPGQAPTPGPRGSTPQNVMNPRSKTPTKTDRSYGGPPVDT VRAMAADGDRESPIAKESPKEARPVHDSGAGSGDRRTPNQQSRMSARAMEAGEAAPLI SAPARQRSLRQHRQRSSMDSADESVLGRNASIDGSVDSRGYRNSKTLGDEPRSPRLTA HQEALIKELEAVKSRNAWYASELALAKKAGYTPNPSSSPTLDERAGDAFADEDRPLIE AFLAMRAELAKMQATVDRQAAIASKRVAEVEHQRDVAVNEAAYARAKLAAHGGSQRGT PQPDGRSQDPEEVMTERGTDISRRLALALASQNELKSKLDTVTSELEQEKRGRELAEE TCEATRRRLAELEMQNNALEAESLRAELHQLEASMREEALLRAEAESATKQLTLDKEE LMKKVEDSSIHLKDFGTNLGVLREAVSASSGKAALLEKQLEEERERREGLEKKLLQLR SEHEEQNAELENVVRRLHDAEELAESNAREAETHKNAFLTGLDRASSFDSDSSIRSLA DQRVAALEVQVERANRLAKASQTAADEAADKLRRAEERIAGLEAYQEQASREGLQLRR QLQAAMKEGQSHAAENRELKAQLENHQRESGALAIQHAALKDLLGERGVNYSDSRRSP RLDSPGSRFGTPEQSRLRELEQQLSTSLKAHEELKASFETREQEADRAYKEKLEQLEN DYQSAVHYVKGTEKMLKRMKDELTRYKSQNAKMQSELEAAQNDRAQTSDRTPMQTEWA TERAQLQRSLTDLQQDTSSSIAKLESEIAKLKEDLSAAEADHEKARSEYESSQQELIA SAEKSRAELDQLKRENALLEGRASDAEQKVSMLLDQVEASVGHYRRQSQHGQNMNGIS RTYSNASSNTISRSRANSAVSQEDPFPDNRGSMALDSLANELETLRSHWESTNRNYRL STQSDFDRTPTKETGLSDSLAEWRRRLDDDDTRASSPEKSKPRNTEGGPTTTNMI AFLA_133600 MEQSHPSYGKPLEVAQRLEAEGDNVPSIPKANPRLRLRGSMSDL YRGQGKQGRDKWKETKSPQEGKEKEKDKGKIKTRVWEGNGPWDMDIGEEERGRFKVGH ILMHNFLNQ AFLA_133610 MKDPNLIMEQKATMEPQETSGHAEPRKNTTKSVRVAFGPDLETH IPPRDKSPAPGPGHHRSFTTVERRHPPVTTPDRPTSSSAGEGPAVIDDNPRLTSDRAS DSARPSTLKRAKSDYGPRLGFEKSPGEDEEDFAMRHGWQEEYTSSEYLKILHSNFYMY FTEKRHETNGMPRDPVGSWPSQDWRMKDRLKTVSAALAICLNIGVDPPDVVKTNPTAK LECWVDPTSTTGGGQTKIMEQIGKKLQEQYETLSLRTRYKQYLDPSVDETKKFCISLR RNAKDERVLFHYNGHGVPLPTQSGEIWVFNKNYTQYIPVSLYDLQSWLAGPSLFVFDV SHAGNIVQNFHTFVEKHEKENIEAKKRDPNVITQNYGDCIILAACQKSESLPTNPDLP ADLFTCCLTTPIEIALRYFILQNPLQSNISIDDFRVPGRLQDRRSPLGELNWIFTAIT DTIAWNTLPRALFKKLFRQDLMVAALFRNFLLSERIMRTYKCHPISSPELPETHHHPL WKSWDLAVEMVLAQLPALIDHEEGRRQYEYQHSTFFAEQLTAFEVYLSSGPTEKTPPD QLPIVLQVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELKP VMVFIWARIMAVDHTVQNDLLKDNGIHYFISILNPSSPIPVGNASEHRAMCAFIVSIF CKNYPQGQNVCLSAELFDSCLRHLMDVENPLLRQWSCLCISMLWSDFPEAKWMGIRCA APARLCELNFDPVPEVRAAMLHAVTTFLGIPDLTDQVAQIEESLAMAVLPMASDGSVL VRKELLVFFSTFVRRYQNKFLVAAYDELQDEKQSLLSKVEQATPRGHSFEDAPNGSAN GSLSSYKTQKLSRNSTFGTIWKQLLILSVDPHPDIAQDAATIVDYIHLSLVQSPMASL TEKIRQEIMDLSNSLSQKTQIRERLESKKTAPPPAPPSVAPPKQEGYLSLSLKRTASV AASLKNLAFGGSLTEPQSPQLSASDKSRMPMTPRGRAPPEWTRPPEFLTHIQYFREPQ MKPNEPDEPGSADYNERLWRRSRNERIITETQPLKSKAGSSRWDTSIALLSNSSQPMK MCFHQFEDHIAVADDKDTIAIWDWQSHKRLNRFSNGNPPGSKINEVRYINEDDQALLL TGSSDGVLKIFRNYESARDVEIVTAFRALPELIPSNRNAGLVLDWQQGQGKALVAGDV KVIRVWNAATEVCTNDIPARSGSCITSLTSDQVAGNIFVAGFGDGAVRVFDQRIKPTT SMVKVWREHKQWITNVHMQRGGLRELVSGSRNGEIRLWDLRMDNPISTLYATKDTLRT LSVHEHAPVFMVGTNRHEVKTFNVDGTFLSTFEPYSSFLHHNRSSPIASTAFHPHRTV LACAALNDNHINLVSC AFLA_133620 MGEESDDWHPLNALPGAQTPPIRCTVYDNPQDLERNLEYAENIP DLESMRFPFILLWWTRWMDRDLLSEPSANQCRIGVDESNELQSPSPLDWILIPGLEPS GCSMGPLSSSEIRVTALVIASNPEINTTLAKARRSRRAIAMPTAQGEIKGDFNQFEGH WVVDGNSVQLRGNFSQSVEQFHSINATLEYDSTEDLVGPCLIDTAETPSHVGNTDVAL SLVRQDGRKVKITGSLSFPIPGRFTLTGQGFWAIAD AFLA_133630 MILNLPLLAVLSGAGVTWAASSPNCLSGSSFDTCCSDRDAVGKG TVDGVVMNYACGTYAKDETVGFGTVHKGAANAKDCAGLCASDASCQAGFWSKVGSKCY LLNGNGYKTYHNKGTFLLFEKTTEDPGSVDECKDRVDSATSQCNAEKDKIKQQGSQLL QKCQDEKDQAITGAGSKCEAEKDAIRKENAQLAEQASKQCEVDKNQALTQAGSKCEAE KDAIRKENAQLAEQASKQCEADKDQLRQQAATAASEYEKKIADLQSQLTQCQANVPTA KPVPAIDPNCESNSWVNMCSSCSQDTFVIDGKEFKKKCGVRTVGAREEQWLYRASLIA CMKECATRGDCLGVGWRPAEGINGVSFHRSPHIPALVQLTNITKHCHAHISVGRPLRN VPVWNEHLVYMPERGTPY AFLA_133640 MINIRSASVAILLAPLCHAILASGQQNVLSPPRRKYTLDDIKPL THAGSGEVKCCPEGTLFDGQSCVLGVPKCPVNTVFQDGKCVSVSKPTCPPGEEFNGRV CVTEASPYCPPPTVFQDKACVSKEPPTCPTGFELHGQVCVSKLHPTCPNDDRFNGQDC VSSQGPTCPQDTTELSNGVCVSKKQPSCPTGSQFDSTVKRCVSEQKPVCPEGTVRKGQ NCVSVQGPACPEDTTFNPKTQTCTLTQDPTCPPEQEFENGQCYSTIQAECDSGTQLSV DRLSNTARCCPVGLTWNGEVCLIPVDVDGNCPPGLIKIGNRCEKQTVTIPICPPTFKP QGSRCVSVEPPECPPDYTRDGSVCSSLESPQCPEGYQLKGSDCVSTETLACPEDTKLE GEHCVSIDAPTCEGDARFDGTNCIVTTPECETGKYFNGKDCVTINQPSCTSGTIFDGH RCVSSNRPECPVGSLPSHGTCITNSRPSCKSGSVLVGDDCVTGPPQCDKGLIFDGTQC VTIDHPTCPPSYKWVNEECVNTEVKGCEPGYTLRNGKCVSDVRPECEPGTSFNGTACV GDAPECPPDTVFDGTECASEEIPGCPTGLKFNGKKCVAETDPSCPTNTKWDAASKECI GDKPPQCPPGQEFTGEHCALVDGECMEFEYCPARSLGVVLFDGAKM AFLA_133650 MCTTFKAAIFDMGGVLFTWNPIVDTQVSLKDLGTIINSETWEQF ERGKIEPDDCYHQLGSQIGLPGSEIAATFRQTTGCLRPDARMTSLLRELKDQGVAVYM MTNIPAPDFHQLREMHYEWDLFDGIFASALEGMRKPDLEFYEHVLKQIDTSAAETIFV DDKLENVIAAQAVGMVGLHLTDSLATCMELRQLVGC AFLA_133660 MMLSSKSSICVGADGGWAPVSHLPYLQSSSKYTITAVCNSSLES GNKAISTYNLKSAKAYDAVESMCYSDTVDLVVCVVVFFTHYKFVKPAIERGKDVYVEW PLCTTTEASKELAQLTKEKGVKTIIGVQSRVGHVHSTLQELLDSGRTGRVLASHIVGS STTPETGDRLDKRYLYFKERHTEGMKA AFLA_133670 MYQDDVYELRNLDDLPSSQAICLTKFAKAHPRNKGNKSWKPLQL ESTKDSQTPMTLFGPGQSKPRSRLSALHRAHSGECNLNKHTEGNIMTDSSLNIYSASF DTPGNRSPYESVPVHYDHTVAHESFLTLHPSLSEPHEDRSRDFSSISWDPSLGAGYEH VSSSKPSTPVMECQSQTSELSRSPLSNDIDAPQMPRADTLYPELDSPFEDDEGYTNEE KLTLLKGAVYEQITAEQDNVSAAQMEAYDAHIQGTTTMDSSYARVPNLSGESATTDSI NSGKVDFSANCQLEVTEKPLGLSPIWYDFTERWKGTPATGFPGYLNLMTSKRIRPPPG LSEPVVHKTTAWPLPYRNDPLVTEKRLDEANEWFRKDARGQEQLRGQVTDIAQNYAEM IEGFSGATRALQESTAAKQMILLIGNVIINLHFYVSEGSERDAADFANFEDVDSCYCE PSLGGRRSYFDRDPSAGHWKLRLGRAPSTMSYLPESNLSSSHTRAP AFLA_133680 MSHLLHKVKDAVTGHHHDSKDPPINMGPIPATTDLTNMDRRRAR GSYGSSTNTYGSQTGQGTYGSETTNYGKLNPGNYRSGAGESGSHPAAGNYGSGAGEYG PHPNVRNYETNAGGYGSHHGTGHYGAGTGDFGSQAGTGSYGGYGSGPGRYSLGTNSYG SPGGAPGGSSYNTPGSGIGRHSEGAYGHEPSKLGSPTLESENRYPAGGAQRSQW AFLA_133690 MRPPRLIFLVFCFIFFPIFLTLFSVLTSSSRVTTPSSFAGRATG LHALFSFNIPSSLFPPSAIISLTDDNSTFFLARPAAFGPLLPDKGLSGQLWIGSGFGD RTTAGAEGELGCSDIPGWGEGDGHRQDIPAASDALLGKPVPGGADPATSNIHRTDPKP DIDSQASPNDGVVTPSTNDGTDDHLHHPLPESKVAESGVSEQRGDSHQNRQTEHADIQ SLQESAEITGKVVLLSRGGCGFLEKVKWVQRRGGIALIVGDDTRGGSLITMYARGDTS NVTIPALFTSYTTAHLLSSLVPPQARGDSSADDAPGPRHTKLFGQSTTEYQEIVPSTT SAAAVSPTSTRYAASSGGKSTTATRKAGFIQSLVSLLGIGRNSGRLPEDSQRPPSSGN IDWVLKDPWDDMEMSEDGTDRVHNRAKADSGAGERKKSDVSSQEADGDGFVIGVQDWR DPDLLVPISSSIPLPSSVPESDASKTQTTGKGSRPTGASLKGGSITPGSGEYRTLDKS KSSKAELHSSNHKSLTSDTQGYAKQSKGWFARHFSWTKRGEKDSSRPVRRDHIEDRKL HGAAAVQGLQNTGQLEHEGLWVTLTPTSMSTSPFFDTLLILVVSPLLTLTAVYALLLL RSRIRRRRWRAPKSLVDRLPVRTYHTINTSSSSTSSSSRSSSPGPVSPTSPLLGSRNR SGHRRSQETAEATVDLKSPKMKSSKKEKAGSSSALWRRKYTGRQVECVVCLEEYVDGQ SRVMSLPCGHEFHAECITPWLTTRRRTCPICKGDVVRSMSQNKAAETREPAESIDHTY PHELDSHPNASSAPVPITNNGEDEVSDLERRGGSDAGLLERHSSSAPPPNWRNFAALS FSALSGDTIWHQGRTDRER AFLA_133700 MDDKAKKALIEEAKHAQEVAQEVALSGAYIYPFKGIVYFAYHKD LWRPLLSQVGQISSLGFSVTGAMFFFTYVPQVAIMSFTSGPFAPISAALLILTESSTI TNFLARSFLLEEALTDTFDGTLIACGHESLVAEGRQIKPQAGRDAIARLGKMVKRPLD RMKPQALLHSLILLPLNFIPVVGTALYAYAQGKKLGPVAHTRYFQLKGWGEKQKDAWV EKNRGAYTGLGMASFFLEMIPFASIAFSFTNTVGAALWAADLETARR AFLA_133710 MSPRIHIIGLGSVGVIVANALASLRQRPDLTLMFHRRLSCEGQL SLTVNGIYNNVRSGFDVEEFHDGHWKRLPGQSGRRRPSSYDDPHVSPIDTLIVAVKAN YTRSVLNTVKHRLSRNSTILFLQNGMGILEEVDESVFPDPEQRPHYMIGINTNGTSRT GQLSAHHTSLGEMPFGMAPRLSSPELSHGQWHQDPRLIRSARPMIDALSNSPNLNARL VSVEDVLHLQLKKLAINAVLNPLTALLECKIGMLYSSQQPWIRRLIELMLEEISAVLR ALPLPFQTSLDLEAEFAAKQLTSMVEAFARRLPQHSSSMMQDVGKGSETEIAYLNGFL IRLGMQMGINCPVNNYIMQAVLEKQESQRYGGDKQRASTHQQRKAQPL AFLA_133720 MKVVELDTTVAELHTTHGVEWPLAVDLYHTYTHIDLHDHFKRET RFIEDEDPEVYYQGDGNFSRFRQWALCFKTIRFLPMVGPGLVILHVPQDFRKNIERAL SQFPERQRPIVQYIDLDSSNFEVDRQSALQGRKLVYWRPKSWMSKESSLVAPEVSYEL NDKRFLSHPGIPTPTMEIIQLAQPKQQEYLARRPLPFVVKFCRCSSGQGTFIVTTEEA RHQMLDAVSRYVTRGGEEVQLSELVRSQRPHYGVNFFVDDNETTEPEFLGATEQVSTQ DGVWVGGIIDYNEQGDLERSLRDTMSAVAHSLRQSSYIGWVGIDVIFDHHDRPLVVDL NARMAGGIALSLFSKHFLSLGLPLAQVDTVSFAGPASRIYDILSAKIESGQIIVTLAM EISDADSMASVVFGAALLPCSKTKKGKQEEVPHSGGRSSKPRLYRRALVGLSTCFIVW TVLEALFIQHRVSTVDRIPPTPPRQFERIFIASTHWNNAVIQLAKTWGPENIFVSIFE SGSWDDSKGALRDLDLELDRLGVRRNLTLSEIAHQDEISRPPSEGWIDTPRGRKELRR IHYLARLRNQTLRPLEDLARNGIVFDKVLFLNDVVFTVDDVISLLNTNDGVYAAACSL DFSKPPRYYDTFALRDSNGDETLMQECPYFRSVTSRDALLAMSPAPVKSCWNAVHPHT AWISLHNIALAL AFLA_133730 MRRFSRSKQFSRWFGLFSQEPHPRQLTEMDPVWWLFSALTKMET QLYAVPQSAAVPARNIPLGGTAYISRESGKSYMSFRAKADTNSNIVLRPTLLSMQVIR EISSNDVSVSQVIEFLNTSRRERRPVDKDLTTGAMQQIVTCPSTIHLQGISPLSDAIT AQRSYLDKEVQAEIDILLGHDRELAWKYIQQ AFLA_133740 MAATVGPADELLDEQRHELMEQLADIIGKSRVTSAAWACLWFAD IEILQSLITHLIEDEASRRFFKTNDTGCARSKKKSKDAESESDSEDNQISDEDSNDET PGRKRKAGAIGKQSPSKIPRLITTPDLQKATTSSVKPSITPTKTSTGRKKSARKLCEK RDDLTCLITGFKVPIEIAHIYPLSLGQKSKTEQEQFWETLSNFWTSEKIDSWKAEVLG PQGTEHCANLMCFSNIAHKLWEKARFALCPLQLSDDKKTLTVKFFWLPTMKYLRSQSI TRLPSPIAPDLISSTKDGIPLAKLFKLVTEEKIRSGDILTFYTTDPVKLPLPSVKLLQ LQWTLHRVLAMSGAADASDEDLDPDFHRPAGAGLCWENEVEEEEVEEEEVEEEEDEEE EDEEEEDEEEEDEEEEDEEEEDKEEDDVKAFKENLAKMVKVAEEAKPKVRARAADVPQ FGENRPPRERRPPAKGKVVKQKGSGEESEPSSLQFGLRRLNIG AFLA_133750 MSSPSPINLSRGWPASDLFPTLILQNAAVSVLSNPIITEQGLGY GPDEGHFELRKNIADWLSRNYSLSRALSAERICISGGASQNLACVLQVFADPMHTRYV WMVEPIYHLVFGIFEDAGFYNRLRAVPEDECGIDVVFLEKALKKSAIDHQPVTLPERP YRKTYTHIIYCVPTFSNPSGITMPLSRREALVRLARKYDALIVSDDVYDFLNWEPTDA ADGESTHGGPPDLFGNCVSNGSFSKIVAPGCRVGWAEGTPEFIRDLSAAGSSHSGGAP SQLMSTFINDMFENGSLDRHINETLLPAYSRRARALTAAIKQHLLPLGVKFVSDSKTY TVLGGYFIWLKLPVSLNAKEITEIAFREENLVVAEGELFAVPGNNLPENELKHRLRLC FAWEKEEKLNEGVERLSRVIRTALGCT AFLA_133760 MDEYENDAKYNLAETCCASISLNDLMSFSGQQTSIIDYAQKQVY GAIRGSKALRSNIAKLYTTESSDNLSLDNVLVTNGAIQANFLALYTNVGPEDHVICHY PTYQQLYSVPQGFGAEVDLWRSKEDAGWQPDLEELKSLIKPSTKLIIINNPQNPTGAV LSRETLQGLVDIAREHNIMIHSDEVYRPLFHSVNTGQQEHPPSILSLGYDKVVATGSM SKAFSLAGIRLGWIVSRSPEIIEACASTRDYTIISVGQLDDSVATLALSTPTVHNLLE RNIQLARQNLAALDTFIEEFEWAIQWTRPQAGTTAFIKFVNREGEPIDDVVLCQRLQK QTGVMLVPGSQCFGGGVDFKGYVRMGYVPEHQVMVDGLQALREFMRNGYEQLPVATA AFLA_133770 MKYTRMPIEVESPEEYGYEKIKYNLSESSIADQTLGSLGLQIPD LKLLYNEHKGSTALRTLIVKDHDNLTHDDVLITSGAAGALFIISSSQLAPTDHLVVVR PNYATNLETPRAIGCEITFIDLSFESGFQIDITAVEAAIQPNTKMISITTPHNPTGTI VDRDTLDRLVSLTKERGIILLVDETYADISYQGRLPIAASLGDHVISVSSLSKSYGIP GIRLGDNWF AFLA_133780 MGRDLPRSQESRIAVTGYMDSGCRPPGYKRYPQRRKTRERPQEL FTFVRPTFLSEFPTDRRLAFPDLALEAPSDTLFYRPEPVITFHNLPHLRPSTLYVFGE LSQLISHQLRDSLARRTGVDIGGSGGEARKSPSQVVTRLRMRASFAHCHNRGFSLFPE SSRTGA AFLA_133790 MLDCTLYALSLEQMGYVLKRQRRLAVLSVSVLVPEGDEAKRGLL ETLRLGGEELEVVEVVGVPGQEWKDVADSVKSEELFRDVFPSSSDMLDLGAALPRLES FSMTVLRAPAFGSVSWVRKDGKWVDGTERL AFLA_133800 MDNEIPLPPPRRIRHRSPTKTPVASGSSLRKTYQLSRFDDRSSQ PSSDPALFSSDDIPASGLENYHAPVPSGSRKRRYRGTWWGEMVKDPKRKRADFKEKRH VDSGVWMGSDESGADSLLPSEDPSMWGEELLKDTGNSAVTGSRTDVAMHDSERWTAQG QPWTQRSGLKKVEEPKEHQAARNIVNECLESGQDSVDLSNCFLRVVPPDLLRPLQHLT KLPAIIEPPITEDVYSSLQPFLRLFLTGNSLQSVPGELFELGSLKVLSLRYNKLTEIP PAIRRLTLLQEVNLAVNRLQYLPWELLWLIKKGDLKHMIVRPNPLMQIHEAEIAQWHS PEGTELDSPEGTLKLRDYEGPAPEEAWAPIHVATGPVRRFNMEGMPVDAPASGMSASQ PNQQESRVPSLREISLLACTRSVFFDQVSDEEMADYPGLILRMLRQAKEVWNGGGRSC SVCHRSFVLARTEWIEWWDCSTYESGLKGPRCSGEKLRPLPFRRLGCSWACVPNAEAD QHSREELQL AFLA_133810 MKVTTIASVLCLAATQLVAADVVVTSTATNTITKTVYRVTSETA AESLSATPLASSPSSTGSIASSHAHHASAASTSSTNTASPSATLNSAAGTFDANKPVA LVAGSLALLLGYL AFLA_133820 MSSLSSSSLGGVANRMDWLYVYIAGTAFLVCGLVAVVHLLSSQK QKADFNKNGGLFTYVKFIYATFLKPHDKSGNGQQDALESFYKTQAGAYDATRKRLLRG REDMLGLVAAQLKFKTENKELKAGKAVWVDVRSFLFHTVIWDVADNVDWRRDWVSTIE SQCWSALTRYRYNIEAMSAFLPVNEFFSHVYLVDLSPSLCQVARERFERLGWKNVSVV CQDARSFRLPDADSVDPRTKSTPGADLITMSYSLSMIPDYYSVVDSLPALLKPSGVLG VCDFYVQSIVDVSSRNYTGGAFNRHVNWLGRVFWRAWFDVDRVSLEAARRDYLEYRFG TVVSASERNYLLGGIPYYIFVGCQKDITSSQSGREAIEKLDASFTESPYLAPANHRQE MDKAIELSAQEVRSKAYESAVINLGSNLPLPSSFYQNHHYRIFYNDLLPKHTQFKNEY IYAFNWEDPRVDHRLLNIQRDDVILAITSAGDNILDYLQKSPRRVHAVDLNPNQNHLL ELKVASFIALGHRDVWKIFGEGKHSDFRQLLISRLSPHLSSQAFQYWLEHAHIFTSSY SRGLYETGGSRHAIKMVRYLFKVFGLEGQVQKLCEAQTLAEQREIWPRIRSVLMSKPL HWAVVGTEWFAWKAAGVPRNQRNMIIDDFFKRNGLNQDMKQAKDISGQSIWEYVVDTL DPVVKDTLISNDNYFYFLCLQGQFSRRCHPTYLSPKAHVKLSSPGAFDGLRIHTDEIN EVIKRITPGSLTIAVVMDSMDWFDPEGSDASVQAQKLNHALKMGGRILLRSASIDPWY VRHFEENGFSARRVGARFPGTCIDR AFLA_133830 MTIIPETQTAAVLPPSGATADALLQIKTDHPVPSPGEGEILVKI EYSGVCHSDVHSIRGETPMLTDVAGHEGVGRVVMVGDGVDEQEWIGRRVGIRWLYSSC LNCEICAVNNTACPYQKNAGAVCYFPYNVPGTFQPGVQEYIVSPAIHVTKIPSEIAPD VAAPLLCAGIAMYSSIMKTRTRPGDWIVLPGAGGGLGHMGVQIAVKKGLKVIAIDSGE KKKELCLALGATAFFDYKVDDIEKEVKKLTGGLGAHAVICTANSEPAYTQSMRLLRSL GVLVCVGIPSVPFRLPATPFDMIVKGLTIVGNSAGTAKEMDELMEMAVAGDVRAHIEC FELDQINDVVMRLGRSEIDGRAVVKIPV AFLA_133840 MPEEEPSLNISSLLTLAVVSFFVIRWFLKRDGDGSDGSGRSRAR GVIDPAQVEQISQMFPQLSTRDIMWDLQRNGGNVAATTERILTGRGLETPPPSFQPQV AIPQASAPAQTAAASAASKSEGQDLISRYNLSGKVATEGAETTQSSPSSGWSQNKEER QRILQKRRDDMILAARKRMEQKVRESA AFLA_133850 MRITEVVIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDTAKSPIGFEEYANIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILSMIEEAAGTRMFEDRREKAAKTMGKKELKLREIEGLLKEEIEPKLEKLRSEKRAFL DFQQTQNDLERLTRLVVAHDYLRSNERLRVAGDEFESKRRKVQAIEDNAAKLKSEIAH LEEDVKRVRAARDKELRKGGRFQALEDEVKSHSHELVRLTTVFDLKNASIEEEKEKYK EAQQTVKDLEKLLKEKKKVYDKLQAKYDAAKAELDAQTAEVEQKEELLQTLQTGVASK DGQESGYQGQLQDARNRASAAATEQEQAKLKIAHLEKRIKEEEPRAKKAKEQNSGLLK ELEGLKSQAKKLDAELARLGFEPGREEQLYQEQTALQKEIRELRQRADGLQRKVANID FQYADPHPNFDRSKVKGLVAQLFTLDKEKLQASTALEICAGGRLYNVVVDSAETGTQL LQKGKLRKRVTIIPLNKISSFKASAEKIGAAQNIAPGKVDLALSLIGYDEEVTSAMNY VFGNTLICNDAETAKKVTFDPSVRIKSVTLDGDVYDPSGTLSGGSSPNSSGVLITLQK LNEVTREIRSKERLLATLEETMRKEKKKLDAVRTIKQELDLKTHEIKLTEEQISNNSS SSIIHAVEEMKANIEQLKKDISDAKARQAEASKDIKRIEKDMSEFNDNKDSKLAELQE SLDSLKKSLAKNSNSVKTLQKELQASRLESEQVGSDLSAAEEQSAEAENALNAQKEEV KSLKREQARIKDAHDIAQAHLDDERAKLTGFDEELRELEQTMQAKNSQITEEGLELQK LGHQLEKLQKDQQAAAQTVAHMEEEHEWIEDEKDNFGRPNTPYDFKNQNIAECKATLR NLTERSQGMKKKINPKVMNMIDSVEKKEAALKNMMKTVVRDKRKIEETILNLDEYKKE ALHNTWAKVNGDFGQIFAMLLPGSFAKLDPPEGKEITDGLEVKVQLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFKTRFSEGTSVVQALTPADLK AFLA_133860 MDPPTPTSDKKISDLILSPPLIFPPCIETQAQETADSDSSNMSK NKDGSSSGGFHQEYIASLRYRNDLPPPDMPPKFLDIPHDGLERFLTPGFASNLARREE PNIDVDAEGGMPIDLVGIPGLHLGDESAIMAPENPDPIDPADLPLLMTLDQLKNPAPR NTNVSFLRRTQYISAGLRAPEGPKVTPMRSKSRPAEKAKSLDDPAYIKKYIQKGFDIA YPDSKHVGEDTPSQIKGHMPTKLEVDAWATPVHPDNPKLKPVGFYPLMPDLQGFPDPG GFVQFKFDKAPIQDVSGKRDRRMDTGILLPSAPEERVCEEHATKVALHKTNPKLYPDP GPIPWDYDLFLPEKKDSIKKVLASMQIYNPDRDNEELYTHEGPDNSKFHRFDRMRTFA TSAQTLGGDNKQKDIAVTLFNPSEAKEDYLSSKQKAAYYYPILGKTRLKPERARTIAQ AGLAPTRPKTKEDQVDQIQVVVRDPDEAEVYKRSMHRAAIDPKFAKTMPPAPEGANDE HESPEEGDKEAVSRNREQSVEEADRMSDE AFLA_133870 MHFIGSNALTIWCSPGLERTDNNMELSSWPQIPPINQKNYYTDY LKRDDQYLAFRLQNEEARNRMTKTAKDRDRALAMAKANDLGIPEADADDGDTNMEDAE EATAETVGSKVIVVHVGSQNLRIGLASDALPKTVPMVIARKSTTSESEDREEPCPKRL KTDDGSELEPEKMFGSEFSSQYTTMSAELKTHMRQNKRRTLPNSKEMVINYNRRTVPE TISEHNDPMRVEWTEIPDVAPEYIVGQAALRIPDESTPRYKLYWPMKYGWCNERDYKS KRLLFLDISLILEDAIKNQLGLTSKKDWLQYSCVFVIPDLYEKSYVTQVLEMLMREFS FARVCFIQESLAATFGAGFTSACVVDIGAQKTSICCVEEGMCIENSRVNLKYGGSDVT EAFVKMMLYDHFPYADINLWRRYDFLLAEELKKNICTMNEASVSVQVFDFHLRIAGQD TRKYNFKAYDEVHLAPMGYFQPSIFDHSLKLNGRRTLISRSVDIYDGQPNDPTSAAQS ELLTAIAPPLNGQVNGDTQSGTLDVQSTPSRSQQVNALSRLQEAEATPRSSVAGSPAP DVTSTPQAGGVGTPAVGGQSQSTSQPRAPTVEERDDILPTFPLDSAILMSIAHAARSD ERKMRDFLGGIMVVGGGSLINGFHSFLEERLQALRPGFAKEIMIGTPPRDLDPQVVVW KGASVFGKLSGTNDSWIGQLEYDRLGHRLMAYKCMWAY AFLA_133880 MGGSFSRLWSFLWTKKEIRILILGLDNAGKTTLLYRMKIGEVVT TIPTIGFNVESVTYRNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIERLGT AADELAAMLNEEELRDAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGLNEGMDWLVQTLQSENA AFLA_133890 MAFPLPRGITPPEISFLAEMEMVTILPRQRLEGLELLGGPVEPL LPPRRASLPLWLALLLKRQRRANILPPPWLHPESLSLILEIETQHHEYQHAFSPPPPL PGQPSLRDRGKRPVAMPRYTPDGGRYYPAPPFLPQNVAQDHVPSGEPPSLPFHWLEVG TMLLDAASDDLVDPDQTRRLLKELREVRTAKIRSGVDVLDAASTGGGGVALTGVGAME VGEGRGFIAGVVDGLRKIGASKEQARREQMAEDMANGGYDATQDDDDDMEF AFLA_133900 MSAAQPQSGLALAARYAVPFVLLSIPIWMNRVNAVVPEPYLDEA FHIPQAQAYWHHKWTHWDPKITTPPGLYIWSYLLCACALLLRGSPTELNEEALRSTNV AAAAIFLPLRLQTLLDSLRKERNTRPSGAWLSHTVLNICLFPPLFFFSGLYYTDILAL LVVIEAYNWDLKRSAPNASAGPTFVFILLGVAALAFRQTNIFWVAVFFGGLQVIRTLR KSSKTCQSPNVADIAKGGFKNELYDPPVSEASLADYFKTAISLGAAALGNLGQVVISS IPYVAILAAFGGFVLWNNGVVLGHKEFHTAGLHLPQMLYIWPYIFFFSWPILISPVVN LILPKASLPQFMHYGFSEKQIGIPKILTILAIVPVMLATVHYNTVVHPFTLADNRHYI FYVFRILLRTHPAVKYAATIVYFLCGWAVISAFGFSTSTPPPRFIQASQSAPASKPSV QKGQEAQKQTDSKRQPPKKVAQPPKTQQLTPEAFAKIQEAIKQRQMQQPDAPRVSFVL VWLAATALSLITAPLVEPRYFIIPWVMWRLHLPRQPTPLVYREQRPRDAKEALHAKIA TNFPLFLETFWFLVINAVTGYVFLYKGFEWPQEPGKIQRFMW AFLA_133910 MADDNPPKRIKLSSNTTENTTNNKSPKESPPIQDLTSLHRSITP PSPPSRSQRRSQSQSQSQSQSAPPQPVKSTEEEVNEKPRLIPSPFQLTHIRDLAASSD NNVDTVRLREILGDPMIRECWQFNYLHDVDFIMGQFDEDVRRLVKVKIVHGSWKRDAP NRVRIDEACSRYPNVEAVVAYMPEAFGTHHSKMMVLLRHDDLVQVVIHTANMIPGDWA NMCQAVWRSPLLPLQKTDDRVEDLILGSGARFKRDLLAYLTEYGPKKTDKWLKDVMFA SLSPASTSTRQPKYSIIFPTADEIRRSLNGYGSGGSIHMKLQSAAQQKQLQYMRPYLR HWAGDHDTAEPSHTSKQDAGRRRAAPHIKTYIRFSDAEKMDTIDWAMVTSANLSTQAW GAAVNASGEVRICSWEIGIVVWPQLYVQDTESATMVPTFKRDTPEPLENKDSETTPDT VIGLRMPYDLPLTPYAAHDTPWCATAQHLEPDWLGQTWTLD AFLA_133920 MSVDAFFENLSFAQSGAKFSDLQSEASKINVDLLKEAVKAVLAG GDDAKVDGPLADALKAGFEFATKLVKKLTKEPGQTEMLTFYKYFKQARNETVAEAGMF DFVGKAKYNAWKEIKGISAQRAQALYIQAVNEAINTYGTSE AFLA_133930 MAFELKEKGNQLFKEGDYNGAEELYSQAIHKNPREPTFFTNRAL TRIRLEKWAGVEQDARAAISLYGPKSASSLKSCWYLAQALLGLQRPQEAYEVAIDAYR ASLAAKNVQTENLSKAVLRAKQQIWAQKETARLREMNSTLASVEVLIESDLNRALAEL QGKLDRKEIGQIGFVEDEKALREDAEKHTQNVRDAFRIASKGDIQERVVPDYLVDGIT FEIMHDPVITPSGTSFDRIGIQKYVEQAGVDPITRTSMTVNDLRPNYALKAACEEFLN KNGWAVDW AFLA_133940 MSADLKPLRIVMACDEAGQPYKETLKAALEKNPLVESVDDVGVN STSDKTAYPHPAVAGAKLIKEGKADRGLFICGTGLGVAIAANKVPGIRAVTAHDSFSV ERAILSNDAHVLCFGQRVIGIELAKKLANEWVTYRFDPKSASAAKVQAISDYEAEFAK AQ AFLA_133950 MASAEQTYPVLPSTLLIISLKMYFTPTRTLDYLRALLDPKNDIV RPENRSKLLLALIPDFLTIYPSNEIIKNYESSLAPQEAKALPPPFLLGAQDCFWEPLG AYTGEVSPLALRSMGVSIVELGHAERRSIFGETDDQTGRKAAAASAHGMVPLVCIGEV TAPGPVASQAVGLAVRECEVQVRAVLKAIPAHAPVIFAYEPVWAIGKPKPAGVDHISA VVDGIKAVIGERPGDVRILYGGSAGPGLWGAGGLGKAVDGMFLGRFAHEIEGVRKVIR EVEETLNVA AFLA_133960 MASNSINIAFRSAGPRARAIPSLRCSQRPSILHRQPVFRQTGLR YASDTTAEATEAVKQAPKKAGRGLRKTVIGTSLALTLLVGFVYGTDTRASLHRYGVVP LIRLLYPDAEDAHHIGVDALKNLYKLGLHPRERGNQDGDGVLATEVFGYTLTNPIGIS AGLDKHAEIPDPLFELGPGIVEVGGTTPLPQEGNPRPRVFRVVSQKAMINRYGLNSKG ADHMAAVLQQRVRDYAYAHGFGLHDLAEERVLNGEAGVPPGSLRPGRLLAVQVAKNKV TPDADIEAIKRDYVYCVDRVAKYADILVVNVSSPNTPGLRDLQATAPLTAILKAVVGA AKSVDRKTKPYVMVKVSPDEDADEQVSGICAAVQGSGVDGVIVGNTTNRRPEPLPKGV PLSPKEQTTLKETGGYSGPQLFDRTVALVARYRALLDQAPVTPELAEAAQDATTKLVQ AEPDSENVPPVEAPSPANQFPRKVIFASGGITNGKQAQAVLDAGASVAMMYTGIVYGG SGTVTRVKQEMREEKKA AFLA_133970 MSGFPAQQQAQARNATLASARLPNGKLGSGAAWNFNLPVSGTPG IQNNQQRNMGNIGSFAQSLSGSQPATPLDLSEFVCYQRAMQQTPVQRQQHPTSQAPSR PIQTQSLPQQQSQPSHDDVFPSGAQFANRLDDYRNGGQGISGQLGSGAQPQTGNIDEF PPLGRNVAAEIGQDRRGSLMQSAGFGSYNAGLPLSGASQAQSTQNRNAISASINGQER IMSPANAGSGSIGTSRSPVNQASNGVSGQEKEDMNSAVLSNQRNYTEQQSVSGETQEA SGAAQSAEQPPLGEMSELDKFGLAGLLRMIHSDSPDVAALAVGQDLMTLGLDLNQPEP LHTSFASPFVASMTGVPLEQDFALPSCYNVANIQPLQSRIASFSDETLFYIFYSMPRD IMQEVVAEELMGRKWRYHKIERCWLTRDETYPGPVDVERGVSERGIYLIWDPATWKKI RVR AFLA_133980 MHRQSVARLARQCGGLPLAELPPPYLAPSLHFSRIQCSNFSSTA VAAGHGRDLSKSRGVSAIHRTGPKFKLGVSKYPLPKPVSPESLDKRHPTPDHGLWGFF PPDHQALSTPKYDHAHGRSWSIQELREKSWEDLHALWWVCVKERNRIATSQLERQRLK AGYGEWELDNRDRTIRVTQKSIKHVLRERWYAWEDAQKLYNSGYRPQEEGAEEASSTA AFLA_133990 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGNKVLHIDRNDHYGG EAASVNIETLFKKYGNVRPGEEPWKKYGRVNDWNIDLVPKLLMANGELTNILVSTDVT RYLEFKQIAGSYVQQGKSPKATVAKVPSDANEALRSSLMGMFEKRRAKKFLEWVGEFK EDDPSTHQGLNIAQCTMKEVYDKFSLEENTRDFIGHSMALYQSDDYIGKSGMAADAIN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNIDEVLYENGKV SGIKATMKDRDDSGETMSFTTKTKKILADPSYFPSKAKVTGYLLKAICILKHPIDKTD GSDSLQLIIPQSQVGRKHDIYIAMVSSAHNVCPKGYYIAIVSTIAESDANHHIELEPG FERLGEIEEKFFGPPIPLYEPLESGENDNIFISKSYDATSHFETTTADVRDIYRRATG EELVVEGLREDQRLAQE AFLA_134000 MKESRASKRRRVSDAPSVDGDGDVAMGDGVAASPTPQKNARDDE HTGSPTASRSARRRASKGGSKVAEEEPDKENENGPETPSRPGLRSSGRQRKAPQRYED EVTGTPASTRRAPPASGRSGTTPRSTRKARHVSEDDDEEVESPQSEPQTERLTRTRSR RPPVRFTGTETNTNGLESEYDKVHQLVEQTVSVGEGNSLLLLGSRGSGKTAIVETIIS SLKREHHNDFHVVRLNGFLHTDDRLALREMWRQLGRETNTEEEAGKVSSYADTMATLL ALLSHPEELFGASNNTDTVTAAKSIVIILDEFDLFVTHPRQTLLYNLFDIAQARKAPI AVLGLTTKVDVTEMLEKRVKSRFSHRYVYVPLPRSLEGFSEICRAGLDLEDKEVSDYL EEANPETRSLITSEKFARVLEGWRAYLQGLWSDEAFQAHLRRIFFQTRSAKEFFTSAL TGMTELHYSTYDPTGGAATLQIPTPTTFSSQSLSCPDPGPLPFSTSTTTSASPSSLPL SLLLAATRLAALYDPGLEATQPQSLAPLALSFPAAYAEYVRLLTFAKTSASVSGAAAT PGRVWGRDVAREAWEKLISWGLISPVGGGSGTADGRMFRVEISFEEVVDMAGSGGSLG KWWRDG AFLA_134010 MDVEKDSDPVLGSSICTCYADSRVFNALIISDSVASHIPNATDS YSGSHRQTAGTSGTRRNLHPSTRIVELE AFLA_134020 MRVFATRHSDWFATVGDFPAMSQRLVIVTGSGGVQNSEPSKCMH LMRPNTCFPI AFLA_134030 MPTISSIPLDQGSPPSSHLRHSHHRLPTQSSLSNIPVPVSSSPD DFPSSTPPSASCTLTSATPAVAAPTTRTSTFTSTTSFTGSVIGSISRRNRRSFAALAR EKTSNAFANLSAIGGTTNATLRSSASSGSLSKHSRKLSQVSVSEATGASPLTPPLSDS STSSEQLSNTPIESPANPITPAVAEQVERRRQTLQHVPSLVGQQAQAVTPSKMHQTSS RLLRMTEDDRPFTKDFMDLFSTLMVSLKLDSHRVRFTRYDHSFTSEEAINNLGSLKFS QSNRMPDPKDPSRIVTTTTTTTFSMAKEMARSVCQRFVDARFIESVDGKASQFFPLKG ALYQLTPKGINILQRFCQRNGITARHVIDVLESPRNTMQLVNLERDSETDKLSHDRAT IEVIFRRFAGQDGPNVKSSISTSDSDSLSDYSNGLVGVKMAKERKINDKILINTFTGK AAVDWLMDCSTTIERRETVLIAELFVKYGLITMLQEDKLMPLPDNSIVGFQPSKNAIY GITERGQRVCGWIARDKSRETTAYDSRGIPKDSNNARLNHILHDPALRLLFREFLRYS LCEENLSFYLDVSEFTATYHKAEKVGTFKKSDSVRETLAAAYGLYNAFLAPGSPCELN IDHALRNSLASRMTKAVGDDESMFKSLQEVVHLFEMAQTSVFKLMSSDSIPKFLRDPK YAHILQEHDVELIGATRSYSPTPANVPERSMSRSARS AFLA_134040 MQSQQPIPQPQPSPPEGTHNASQFELEQPSLPATDRGKDAWLML ASCCLIQLPVWGFSLVYGIFQEYYSSHNDLQGSKGDLAVVGTTSTVLSPKQVQNLVFV ERLDLITWLEGASDESEYIKPLEGAAAAAASAVDQAQASANIASGAAGGVSAQGKTAK VVDPRLQEIYNGERKMGDRNSVLRGIKPTDFSHVRKTAETFLGRNRSRTGQYPPGAKP GSKPQSIIPAPSAGLPQPRKGSSKTQDPIILLSPSASSLIRMSNVRSFLQDGVFVPPD HPTLSMPSSNILYISRPLRILSDTSNATSRAVGSQTATRKPTRFILVDSTANFRPDYW NRLVAVFTTGQTWQFKSYKWSSPPELFKHVTGIHVGWRGEGVPREVRGWGRGVQSFSV ERWDEKGGVNGAGRWRDREVVEGIWTAIEEGMKQRGWGNK AFLA_134050 MVLSIDSTEEVATFKSICTAHIPLAPFECVRRILYCVDALRPNA RFSDRTPSYTIFTSDCTMAIGPTLGTGLFIGSGQALAAGGPASLLGSYIFISVLVYCV STAVAEIAAHLPSQTGTMVNHTYRYASSHLGFSLGYLRWFSIAALVPFEITNAMVNLG LWNPGARLAIRISIVTAVVFFFNMLPEKAFKRSEAAFTALKLVTTIGLIIISGYLAVR GVPESAARGFRYWHEPGAMNEYLTDGHLGRLLGLVQCILCSTISFIFSPELIVQRAEQ VDSESVRNALDMTRIDCFHLFALYILSSLAITVSSPSDEPLLTNHGIGAGLSPYIVGI RRSGIPILPTVATALIFLSSVASGRSFLYISSRTLCSLAETGHGPELFKVRNDYGVPY ISVIISALFSGFAYLSLAMSASVVFNLLMYFITTSGYISWLFSCVIYFQFRRTTALQG FTPANQTRIQPYGAYFGIAACTFLPLANALLLAAPSWIVARNSIPAYIAVSIFLLLYF GHLMKSIVTQRRLQSEELRKQGCGDMLEKCTARPQGSTVGWRGLTSQAVPQSGSYALD PKVGVATEPYPVTVSLNSPAVNGAVSDSAAPEEEEPYTIKCICAFEDDDGNTVFCEGC ETWQHIECYYHGRDVPEVHNCVDCEPRPLDGRRATERQRRLREQSDGGDRKAKRSGTK SQKKKTKDGEQVNGTHNRSESSTRDQPPAKKAKTNHRASGSVGSLAGVPSLPPDSRKR TTTSMSPTKPSGPSIPLYSNEFLHLYDQDNHHVDTDSNLFVNLELATHLATWVNEPGA LARASNGRSAQDVFTWSGGALDRSHWPSLATETIRDANVDIDGIHPTWKILKTRDPVR KDEIVGEITGKIGPLWKYCVEASNRWQELRHPEPFVFFHPQLPLYIDSRHEGNILRYA RRSCRPNVTIRTFITNEVEYHFCFVAKEDIAADSEITAMWYLDPQLFESTNGVVKQEF SDNAQDVAAMCISNVLSHFGGCACVAPPNCLLANVDRRRHPKALDTNSKQTSSKRKKT KSKSNISPPASNSRAGSEATKNLEEDDQTDTLSTSGSARGQTRSRDLTPTLQTSTEGV VFGEAELSARDKRKIAAVEKKFQQLEQDQQASHRRKKRASGQSTQTTPVIGSASAQAS YFTHLSGRDRQSHSPTSAISPGSLPGGRHGSPRKASNHGTPSLRSRLGRPQYVDSATQ TELDVCDSPSPSTTPSRRPNFVPFTQRLLKRCYADRIRLEKSSQQFFVSPSSQSKHAT HLMSPVSSPRAGPLTVTTPSVTGDRDDMEMKDAVSPMGTPGWQQETSPLSGRSSIKPP LPPPWPSTAAHNSRIPGGKGNSHRVDLRVSLPATIPSLPPANSPGSGAPAVISSPSTS DTAIPGSSVTAPSPVKKKLSLGDYLIRRGTLTTPTSEKSQTQATPMPPPKSPTTQPHM NRELSTVGNNEHAQPRAIGEGGSTKSSDVPMKDVSGPTQASQLSSLS AFLA_134060 MSGFADFDAGHRDLITVTKFNFYGNRIVTASSDHRMKVWDQKDG EWQLTDTWRAHDAEIRDASWNGPFTGQHIGSVGEDMKCKIWQEDVTQPPNSGRRFRSI FRMTAPYRHPFVSIDFRNIDLESWLAVITRDGFLMVMEPVSPDTLADWQPLDQFRVCT APQRGEETSFKVQFHHDPTDITHSVLPSSDRKSLSLVVAAMDSVKVYRTDASRRFYHA IELSSHGGLVRDISWANGSVRGYDLIASGCKDGFIRIFEIYTSAADNEPHGSNGEQSR PSTQSSTRATTQSGIGSALASRAPMSMASRSATGDAQFKHTYKEVACIDSKHLDVWQV GFSYAGDCLISSGDDGTVRFWKRSLSDEWLEYAEADMTS AFLA_134070 MMNSSSLSRKETWRLLMLVGICAGILLNTFQDEGAPLVASIAFT GIVFAVAYSLIKWLGPVFMKAGLKGRDMAKPKRPEIPETMGAVCAVVYLLALIFFIPF AFYKDIVAATSVEVTEMSFLKFITLKLVVVPVPLQPYLGAFVDLGWLYYVYMAAVAIF CPNSINMLAGINGVEVAQSLVIAILLIANDALYLAPITPYPHPATDSHLFSLYFLLPF VGVSLALLLHNWYPSKVFVGDTYCYFAGMVFAVVGILGHFSKTTTFATNRRWPGAPSS AAIGACYEE AFLA_134080 MSEIFAPAPEPSTELGRYRVLSSTAGIRVSPLQLGAMSIGDSWS HFMGSMDKESSFKLLDAFVEAGGNFIDTANNYQNEQSEAWIGEWMTSRKNRDQLVIAT KFTTDYKSHALGKGNAPNHCGNHRRSLHMSVRDSLRKLQTDWIDILYLHWWDHTTSIE EIMDSLHILVEQGKVLYLGISDSPAWVVSAANTYARAHGKTPFSIYQGRWNVMLRDFE REIIPMARHFGMALAPWDVLGGGKFQTKKALEERKKKNEGLRSLTGAGEQTEEEVKMS EALAKVASEHGIESVTAVALAYVMHKTPNVFPLVGGRKVEHLHDNIQALKIKLTPEQI EYLESVRPLDVGFPNNFIGPDPKVTGRASGLLAANAQLAFVPASKPITPP AFLA_134090 MPAADKKPRTLYDKVLDAHIVNEQEDGTLLLYIDRHLVHEVTSP QAFEGLKNAGRKVRRPDCTLVTVDHNIPTSTRKNFKNVEEFIEETDSRLQCTTLEENV KDFGLTYFGMGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGSLAFGIGTSE VEHVLATQTLISRRSKNMRIQVDGELPAGVTSKDVVLHIIGVIGTAGGTGAVIEFCGS VIRGLSMEARMSMCNMSIEAGARAGMIAPDQITFDYLKGRPLAPKYDSAEWKKAVNYW SSLASDEDAVYDKTIVLDGKDIIPTVSWGTSPQDVVPINGVVPGPDDFEDENRKLACK RALEYMGLVAGTPMKEVQIDKVFIGSCTNARIEDLRAAAKVVRGKKIAPNVKRAMVVP GSGLVKEQAEAEGLDKIFTDAGFEWREAGCSMCLGMNPDILSPKERCASTSNRNFEGR QGAQGRTHLMSPAMAAAAGIVGKLADVREHVVTSPVLGKVQPRVDVQPEAEDVDTEEE LDRILDQPADNEPHTNTSGGSSAGLPKFTTLKGIAAPMNRSNVDTDAIIPKQFLKTIK RTGLGSALFYELRYKDGQEDPEFILNQGVYRNSKILVVTGPNFGCGSSREHAPWALLD FGIKCVIAPSFADIFFNNTFKNGMLPIPISDEAALQKIAAEASAGREIEIDLVNQEIK DAQGNKLSGFDVDAFRKHCLVNGLDDIGLTLQMEDKIRAFETKRTLETPWLDGSGYLK RGNRGATMVQAAPVPKTNRGDVKTEPLEW AFLA_134100 MKWGFTGPLLALLAATAAGWPYDESLVDYNLNVNKDTTNPAEYT HAEWKGHEYNPSPKSWRFPFYTLFIDRFVNGDPTNDNINGSLFEHDLNSNQMRHGGDA AGLVDTLDYLQGMGIKGIYLAGTILMNQPWGSDGYSILDTTLLDQHYGTIQTWRDAIT EIHKRGMYVLFDNTIATMGDLIGFEGYLNTTTPFSVKEHKALWKSDRQYVDFRFDNEY NNTCEYPRFWNETGYPVDKDVTDELVGCYNSDFDQYGDREAFGVYPDWERQLAKFASV QDRLREWHPSVKERLIRHSCMIIKALDIDGFRYDKATQATVDALGDMSHAYRECARSV GKDNFFLPGEITGGNNFGSIYLGRGRQPNQYPDSSLASMNLTNTSDHQYFLRDDGLQA LDSAAFHYSVYRTLTRFLGMDGNLAAGYDTPLDWTDSWNIMVLSNDMINANTGKFDPR HMYGTTNQDVFRWPAIELGVERQLLGHFITTLHLPGIPILLWGEEQAFYILDSTADNY IYGRQAMSPSTAWKTHGCYSLGSSQYYNWPVSAGREGCHDEAVAYDHRDPSHPVHNII KHMFQMRQDFPVLNDGYSVVKLSKQTREIQYPGSNGTATEVGVWSVLRDLVSNIQDFG DSGNNEPVWLVYQNDNKTVEYSFDCGSNDSALISPFTTGTTVVNLFYPHDEHELKDGP KSLHLNGTNATNGCLDTLKLKPFEFRAYVPKANFVKPRPMITQFEPGHDVPQLSKVGP DESEDIDVSIYFSTKMDCDQVTKSISFESSTEAGKTPSISNNSVSCKDAKGDDPKWTG QIPNAWVWTAKLTGVYNGIHRLTVKNATSSDGHSSTQATDHFLIRVGQRDNPLVFTSA NYSTSLLNQYDNGTLYIQHRAAGANKYRYSTNFGSSFSDWKDYHGGNDTIEELPWSGT DKQKWQGKHVRVEYWNKLTGSSDYAQEGDSGYDHPRRFPHLFFNGPFNQYGYDAGLDN VVRQDSDGLWKFRFMAEFPAQGQFNVWGMNPDGQPDQSYVFGDVDDDGVLDRMPPSSL SSTIINITDIPPSPYLAWNLGVDDGTLRVHLLPTGSRTIQMVVYFLLWFVPLVTAIAC VYAFVKSFYQVKFNQVGVSEKKSILPLAFRRKLSRDGSGGSINPFMRLANKSGFLQST PAFGAVASRRRTTLIATMEYDIEDWAIKIKIGGLGVMAQLMGKHLGQQDLIWVVPCVG GVDYPVDQPAEPMFVTVLGNSYEVKVQYHVLNNIKYVLLDAPVFRQQTKSEPYPARMD DLDSAIYYSAWNQCIAQAIRRFPIDLYHINDYHGSIAPLYLLPQTIPVCLSLHNAEFQ GLWPMRTQKERDEVCSVFNLDLDTAKRYVQFGEVFNMLHAGASYLRVHQQGFGAVGVS RKYGKRSYARYPIFWGLKKVGNLPNPDPSDTGEWNKELPKDSEIRVDPNYEASRGELK RQAQEWAGLDQNPDADLLVFVGRWSMQKGVDLIADVMPAVLEARPNVQLICVGPVIDL YGKFAALKLDHMMRLYPGRVFSKPEFTALPAYIFSGAEFALIPSRDEPFGLVAVEFGR KGALGIGARVGGLGQMPGWWYNIESTTTSHLLHQFKLAIGSALDSKPQVRAKMRARSA KQRFPVAQWVEDLEILQTTAMRIHSKGQAKSNGGPLSPSGYNTPSEVITPSGMMTPTI ASTGTTTPTGMQTPPIAHSREGSYTNLSVNRDSAYGPQQRNTIVYSRDPSPGGNDEPR LSLGRQLSLGFRAGPGHINLRGRRLKRRSQMTNEESGTATEESSDDDYFRGEEEVTIT REQADEGRHQRNAPRSLASPPNSYFEEGITSGRPPWAQPGNRLSSASVLSVDSVVGEK KDYKLQKVDPFFTDGTGEYYRMFDQRLEKLNGSNSESQLCIEEYLMKSEKKWFDKFRD ARLGRNQSPASSIFQTKGENNTPMSSISHEDLGSNESGSDPRAEKDEFLLGRDYVPPS GLRKWMQIRIFGWPVYSFFLGLGQIIAANSYQITLLAGENGQTAEKLYGIATVYLVTS IIWWFFFRFFKSVFVLSIPWFLYGASFVIIGLAHFESNGSARGWIQNVGSGVYAAASS SGSLFFALNFGDESGVQVKDWVFRACLIQGTQQAYVIGLWYWGTTISSAVANGVTNVN GGIVNSWKMTAICMPIAAFLWAIGLIIFFGLPNYYRQSPGKVPSFYKSVFRRKIVLWN FVVVILQNFFLSAPYGRNWAFLWSSNHAEAWQVGILVVVFFGVIWVAVLTLFGYLSKR HSWILPVFACGLGAPRWAQIWWGVSGMGLFLPWAGSSVSGALASRSLWLWLGILDALQ GLGFGMILLQTLTRVHIAFTLLASQVLGSIATIVARACAPNNIGPGPISPDVTAGGSS VANAWFWIALFFQLLICAGFLLFFRKEQLTKP AFLA_134110 MFMLELFGTGYQDPGQRSSDNDSGTDRRSVIDPQVRCDGEWLNL STPTGVGEAIGSTQTQGRIS AFLA_134120 MSAATIPAPAAEQDYKETLLPLLMKNNVLSFGSFILKSGRESPY FFTSSLLHTAPLLRATSAAYASVLSAPPFVTVAADGTTTPNFDIIFGPAYKGIPVCAS VLNELAVRDSLSASAKGTWDNVSYSFNRKEAKDHGEGGNIVGAPLKGKRVVIVDDVIT AGTAIREAVSIIQKEGGIVTGIVVLLDREERVSDAEPKSAIGVAQRDLGENIPIRAVI GLHDLIEKLGDKIGESEIQRLKDYRARYGAE AFLA_134130 MHIKEKLAQNEAAERVGISFEFFPPKTAQGVQNLYDRMDRMHAL GPSFIDITWGAGGRLSDLTCEMVNVAQSVYGLETCMHLTCTDMPTEKVDAALQAAYKS GCTNILALRGDPPREKEKWEAAEGGLRYAKDLVKYIRDKYGNHFDIGVGGYPEGADDN PDVDLLIDHLKEKVDAGASFVITQMFYDTDLFISWVKKCRAKGINVPIIPGIMPIQTY AAFIRRANWTKIHIPEDWLETLEPVKNDDAAVKEIGKVLIADMCRRLLAAGINHLHFY TMNLAQATQLVLDELKLLPSEETPIQRPLPWRPSLGLNRRAEDVRPIFWRNRNSSYIA RTQTWDEFPNGRWTDSRSPAFGELDSYGIGLKGTNEQNIQLWGEPKSIKDLSRLFVRY LEGKLSRLPWSDTPISSEAKAIKPNLIELNNRGILTINSQPAVNGAKSSHPVYGWGPK NGFVYQKAYLELLIPPYLLDELIARIEGNEDLTYHAVSKNGELRTNTHDSPNALTWGI FAGREVVQPTIVETISFLAWKDEAYRLGEDWAKCHAATSPSRKLIQGLVDSWYLVNIV NNDFHNTYDLFELFKDLNVRDFDVEVAADNADLKSQENETAETTAN AFLA_134140 MEGHIPLPKGHLLNLQPRARNGRRTKFSDQGAENEQNGAHPTGT DQKSAGSKIGTPETPQTLLRRGKATKLPMPRAFADNGTEQKREASVTPQKKEQNIKVQ GQMKRSPGASDRESPNSTDKEREQYWRKVRGKFDRESPTASRNKDKQKDYCQEAYRKI ISLASSPKHEIAKHKQKTASPATRGQRVSEQGAKPRTKIAGPTIGCQGSPVSHTKGHS GNQDGSQASKDKLTGRETHDTPPQRSPVKTDNTTDSSIHSHPSISPVSGPSSSMTEWE DRFVVNMPSAKDPNPPTMSVEQIVEFQKSIENVHKDGETMLDPDALPSPRTTSPEDNP NLPDHERKQLSTLDGQDSRSSRSAETGEQPATCPSGHNRYYSPDEVGKQRFSTIWEES TSRIKQKVSDANPDGSFLGCREIKGPYDKNPDEILLFSSTNERPRVVDVSTPMAKPRD WKKIITPTHTTTAVSEEKTVAQEERKPAFQSSKHAQCSKQSPKTMCHETICQRQDKAE TPAHVSGKENTNHAAHAARTQDQRKSHGDDVFIITPTITRTMVTTGEARGATPKRSGI PPRIAGETIKDVRTKPQMHSSPSGLRRATQNSWERSNATWPAPSFSRDTPMKNAPVTQ QGRAELGHMSAERRQAIRGYIRMPVMVKSRTENLGQRVHNTTPPKAPATSPKNDYQTP ARSMSESSQSIPSSGHDISPAGSLTRDQRYPKAPAQTARIVEVAELDGLQVDDPKDER KTDHKEDDKSGGKYTHEEHLRSKVADLRADLQTSAVQADYRGLLNSITISLIMDIFIL SAAQAHSLFTQIIDNRHSRTVLFKIALNCILNMVEHCLHVFRNLLHACSIYTTTGVWP RPSEKDLARFLTDLCQVVIYLGVLGSIMMLLGRAVEYVILIGSWIVWFVRPLGWFLSA LGRVLQGMTN AFLA_134150 MRDVGTQFSGADVTQSGSKSKSNKVDTYTPTFIVQRGFKTSPNP NYLKHVDPDGISSGRQSLAGTPSGPSQYQGSLQTPSHLRDTSPIVRGPISSIRQPQFR QTPTATGDGGSLGIYSHANSPLRKSSSTNFDRRLQSTGDFFYRERGGSPMKKPSSPLK RSNVPGDASAIASGSRRSHLDLRRQTGRF AFLA_134160 MRKSAYNCDYISRVGATYDDCPIKLREVETPRGQCHPCLIPLTF ELFPSPTAQIYHYGGPSQGTHSTSLLICTSPTADIPQDRQFLAVIGDEDSVTGLLLAG VGHVTDPPDSQRNFLVVDSKTETSTIEKAFQNFTQERKDIAIVLINQHFVAVLTREQV AERIRHSVDSFADPFPAVLEIPSKDHPYDPEKDSVLKRVRRLFGE AFLA_134170 MKFLSTAAALLVCLAPVSTTARSLDFFKSSQSPIQAQAKSVPGN NPLEYCNDPSGDILDIKQVDLSPNPPLPGKTLAITASGTLREKIEDGAYVLLEVKYGL ITLVRQTADLCEQLVNVELKCPLGPGDMTLTKQVDLPKQIPPGKYTVQADVFNSDGEH ITCLKALNIEFKGPF AFLA_134180 MSSPQQRLSSIANQLTSPGAVSAKSKLLAKNPDDVVITLALRTP LTKARKGGLKDTTLDELLISLLTDVRERSKLDPNLVEDVCVGNVLAPGQAYIARSAVL AAGFPVTAAASVANRFCSSGLLAIQNIANQIIAGSIDVGIAVGAESMSTNPDNGAPEL SDKITSHPIASQNKQPMGQTSENVASQFGITREMHDQFAAKSYQKAEHAQKAGWFNDE IVPVHTKVKDPKTGEVKNVVVDRDDGIRYGTTAESLGKIRSAFPQWKPSATTGGNASQ ITDGAAALVLMKRSRAQELGQPILAKFGGATIAGLEPRIMGIGPSIAIPKLLSKVGLT KDDIDIFEINEAFASMGTYCVQKLGLDESKVNPRGGAIAFGHPLGCTGARQVVTALSE LRRQNKKVAVTSMCVGTGMGMAGIFISEA AFLA_134190 MDLFSEISAPTMAMASALSVGAAAYLNAKLAISTDISTIYNDRA FTARLGQRIAQLGDTTTIYKMLERVIEVDGHGSSDALWFENKTWTYSQLKDLVDRFAT VLHGRNINSGDFVGVFTTNSIEMVVTIYALSKLGCVAALINTNLRDDTFIHCLNVSGS KFIISTPDLSEFVCSDLPHIALNISSFDGESAGTTELITAAQLQQLIPLGLIPAKRSP SDFCALIYTSGTTGKPKACAIRNMMTLVTSNPLSTDANNQSKYFPLRTYSPLPLFHGT AFFTGLCYSLGNASTLCLRRKFSASQFWKDVHDSRATRILYIGELCRYLLSTPPSPYD QDHSCIVATGNGLRGEIWERFRQRFAVPEIREFYRSTEGVAKFDNHGVGAWGAGKIGF SGPIRRFFEDDVFIVKYDTETEMPYRDPKTGFCVRAKLGEEGEAIGRVRNRGLLTEYL HNEDATEKKLLRDVFEKGDIFQRTGDLVVQDRDGWVKFQDRVGDTFRWKGENVSAGEI RDHICRIPSVHDAVVYGVKLQGYDGQAGAAGVTLEESSAAVESEFIKNLYRELKKKGV PSYALPRLVRLTEKVATGVTFKQAKGDLAKKGWDPRGDWKGDKLYWLNGKTYEKLDER SWSSIESGQAKL AFLA_134200 MTDSGVPPQESHTQQSRVDEGKSSEQVFQEATPDKDLEQPIAPD QFDVKYYFGPTAFQNLLSQAAGDSGTLYFAGRERSINSIVLLSNGISFAIQVVIFLVI GSFADFGTWRPSILITLSIIAYAIGFGWLGVHTADKWHVGVGLYIVGLIAYQTTLTFW TAAFPGLARNTPEMKAKADAYTAGTISRDEYDQADTIERSRLANIAFYVQSCAEVVIL AIIVGIMFGVHVNASEENNNWGLSVLIAFASGVWLLVSLPWFFLEKRRPGQDPQGRNI FVAGLWQLYYAMKQVWRLKQSLLYLVGYFLLGDSLNTTVTVISTLQNSVVSYNTLELT YLLIAGIAAQAVGIYGFWFIQQRFKLGTKTMFSTIAVAIILLDGWGMIGIWTQKFGFH NGWEFWLYQVYYGLFVCPWYSYSQIMISEVAPRGHDFLFFSLFSIVGKTSSFIGPLVS SAIIDATPSGNASMPFYFLFGLSVASFAVLAIWLDLKKSRREQELFLQGKDGNGSGDM AFLA_134210 MLETQSDQRPVAAQARPDPMLPSDLLSPSSNPPVSTSPTAPFGR IEDAALSRLFSPLNASFTGTGFNGCPITGVHDDSHLSLNSQPYTVSQQQSPGLINKET PTSNTDAADSLPGQEYLAETLSPEVSGTSRSKRKAGTLKQDPSDEKAMTAIKRQRNTM AARRYRQKGRDRISELECALRDMEHERNELRLQLARREAEVAALKEMLRR AFLA_134220 MPSTYKRDKPWDTDDIDKWKIEPFKSEDNVAGSFAEESSFATLF PKYREVYLKEAWPLVTRALEKQGIACTLDLVEGSMTVKTTRKTYDPAAILKARDLIKL LARSVPVQQALKILEDGVACDIIKIRSQVRNKERFVKRRQRILGPNGSTLKALELLTQ TYILVQGNTVSAMGPYKGLKEVRKVVNDCMANIHPIYHIKELMIKRELAKDPTLANES WDRFLPNFKKRTLSKRRTPFKVTDKSKKVYTPFPPAPEKSKVDLQIESGEYFLSKEAK DRAQKEELMEKQRVKREEKMKERAKAFVPPEELESAKKEKKEKKEKKKRKREAEAEAD VDGSEKKEKKKKKKSKSKESASSDGES AFLA_134230 MIMAKEGGEGASIRDDFGRDDPSVWYPTRDGARFPVLLVPYTVF PFPFSLTHILPSSVAMTSGSNWWTTPLEFPLNLAGIER AFLA_134250 MNPDDIPDNLCLQVDDLNRPFTFPPNHFDLVHSRLLATGINRDR WPSYIRDIKRVLKPGGWVQLVEIYFNVQSDNGSITEQHALRQWSTQLMGSLEEVKDLR VGTRLRNLLTAAGLAEVDARMIPLPLSAWSNDPRMRDIGAANRDNVKKLLPALGLYPF TQRLRMTPQQFAELIARAQQEADTHNLKAYFPFKNCRRDGCDTQSAILFQRLVESYCI SIEDVPGLTARGNHNVPSSD AFLA_134260 MTSLLEKASTFFSSHISQFRLLIQSGNDTRAVIVSALSATVVAV TLPRIYRDYRTFISYGPGGVPYNLIGWFAASVILPPWGREMFSTGVYEEKIAAGETTS YLGDEWDLLRKRDHRPQIGPHIVPQRQITEFPSEEIKEKLNQDFYAFANRNQHLVGLS PSKLELHADALFLADGLVPTPAAQQLKGEIAHIHRLKDFSLHVTLAPADCKKVIEAGW GQRHRLSGVQAPKALFGGKVISLPSEYVLIYAPRTKQEAVFVVEIIAASVKYMTGSVE VR AFLA_134270 MVAPEDDTRTSTLMLKVQDAPCEEENMEYPAGFQFLVVLLAMCM SLILTGLDFNMIATALPTITTHFGTIEDVGWYYSAYRLTSCSLQFMFGKLYHLFSVKH VFLASVVIFEIGSLIAGVAPTSATLVLGRSISGMGCAGIISGVFTMVVQCFPLRRRPF FTGIAAGVEGASATVAPLLGGALTDSISWRWCFYINLPIGCLSFLLILLFFQDPQKNA RVSLPWREKFHQLDLLGTSIFVPSITSMLLALQWGGSKYGWGNARIIVLFILTAVLLG AFMWQERRRGDNALLPGRIMRRRSLLAGMWFSFCNNSTLSVFEYYMPTYFQVVRGASA TVSGVLSLPIAIGVPVAVMCGSSATSLLGYYNPFMIITGILTPIAAGLLTSLSVEQSL TSLLCYQALLGVGAGVGFQGPQVAAQTILPVNDSPMGIALIIFAQNFGPALFVSIVQT VFTGQLLTRLGSLLPNLDTYSLSAMGMSDLEKYVPSADIPRVIQGYDKALTTAFFLPV GLACASMIGALGMEWRSVKRKDV AFLA_134280 MSVNDRRASYMSAPRPRVSSNRVGDARSGASPLQSDQINHRGSM SSQKGKVSREQRDMMSDKRAERMAPHSKDKAQVRARNPAKESSSAGNRGEWERSRSRR ATLTDGASPNMRKKDKEPAESPWNPYASLIPHSTAPLATRVSVPSLASALPKSLHPTP LRELSADEQETALLEDLLFVFMGFEGQYIHYHSGYDSSMEKDRLTGPVFQLPSGLDPT LRDLTLSMLKMATHYNALEAFVEVQSRAEYGAVSHALCAAIRKLLKDYLILVAQLETQ LVNNPSFTLHLLHLHTMPTSQCLAQLYSLGQELLRRNGLLDQDLDESIDDFDDVDNIL EQLKEGGDLVPGAMSSKKICKGGNVLRLLTERLATFSGDPTTKTLLEKLLREASRPYM TMLNEWLHHGGIKDPHAEFLVKEQKWIKREKLEEDYTDEYWEKRYTIRENEVPPQLDS VRDKVLLAGKYLNVVRECGGVDISKAVKDVPKTLDDPRFLENVNAAYTYANASLLNLL LTKNSLTTRFRSLKHYFFLDRSDFFSYFLELGASELRKPAKSVNENKLQSLLDLVLRQ PGSIAAQDPFKEDVKVRMNKIGLTKWLMQVVSVSGIDQDNPEAAIEKYQAPQTQGGDD EKDIAGFDALELDYSVPFPLSLVISRKTVLRYQLIFRHLLSLRHLEHLLVTAWLDQNK VLGWRHKSTDRRLELWKRRAWNLRAKMLVFVQQLLYFCTAEVIEPNWQNLMDRVNGTD ADGSEVTVNGTKQVNRTVDELMQDHVDFLDTCLKECMLTQAKLLKIHSKLMTCCTMFA SWTASSLARALATADPELARNKASNPDGRGYDPTRISKLEDTLKRYEDHFNRHLRILM DSLNYFAATESVVLLKLAHALSSISKDD AFLA_134290 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATNE GVILGVEKRVTSTLLEASSVEKIVEIDQHIGCAMSGLQADARNLVEHARVECQNHAFH YAEPLRVESCTQAICDLALRFGETGDDEESVMSRPFGVALLIAGFDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHRSLTLEEAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYNDEEMGRAVATLGGNQ AFLA_134300 MFAVPGWSVSSSALQAQTEPRSQSQAQPQQANGTPKPKDRNNKR KRDDHVTKANVDEMYRRHIEGQTGTQKASKQGSNNSMKAEKKQKKEQDVQGKAGQSPS VPQGKDESKLDKQTKPDVGEGKKADKKQKKQKNKNKQQQQETQNQTEVTSKEAPAATG SIAPAPPKTEAILTPLQQAMRQKLISSRFRHLNETLYTTPSSKALELFTASPELFDEY HAGFSRQVKESWPSNPVDGYIQSIRSRAKVPAAPRKGDKSGSKGRDPLPRRPNGTCTI ADLGCGDAQLARALIPSAQKLKLNFHSYDLHAPEGSPITKADISNLPINDGSVDVAIF CLSLMGTNWVSFVEEAWRVLRSDGKGECWVSEVKSRFGKVMRKKAQIGARKPLSKSEK KKLKKRGGEDDADSDADDAEVYAEDARPTDNDETDISSFIEVFRTRGFILKPESVDKS NKMFVKMVFVKQGPAPSKGKHASATGTKAGPGKKRFIEKPADAGNNMSPEQEAAVLKP CVYKIR AFLA_134310 MENANSIKPLKQEAFTSTTPTEPGRDCSGVKQQDVEQTALDDVP AQKVPKRRTKTGCLTCRQRRIKCGGRETCMQELRQIETRVQRVCPAPSLQEPSGYSGV FEPPADIHCSNSTTF AFLA_134320 MPTTVVSQPQGSQQTNAMPTPYGPGEGQTLAHPASKHYMASESP SWIPSHSWKTESEIRENYIHHGARDLSIQGYYPDIQGSNRPSHSSAAPQTLSNNTMHS QVSSGILQLPKSPQASYSAAPHQEQQTHQFTPAHPSISPSQVTYMDEDDDYYDVETDE EPEEQASTQNFNQLSLIMTSANRDERQLRSFTTYLNEPNILASYHPTLGSSPLNNPKT ARVFLHFIHSTGPSLSIFERHPIDPSTMFGAPVPAAQQGLWTYTLPFKALEHPALLQA ILALSSLHISFLQEAPATVSMKHYHYALKRIGAAVGLPTRRKQVGTLAAAQLLGYYEV MAADPSKWNNHIAGAAQLVREIDYAGITRDLRAHRRRICAQQYEVGGFGFPLVGSHSF NNSFSEDDPFAEKENSIDENIIGTIVGRAVNYDDFGEVDDGYDVRRSRRYFTRKDIEN FRVQCDLYWWYFKQDIFQSMLTGNGTFMPSSQWGQCPPRAGLGRLDAIYGSADHLWLL LARVTTFGIRDRKRKLAAMAATGGNWKPGPELSKFMARFVKGPPDARARPASNSSSST SPAFSGVPVGAQAHGANSAGSSPWSGGGSEKSVSGKSPEPRQSHNSSHGSQPGAPPMY GMVPVSGPKRLPLAFVEATTHAGSVGQDDDDSESLSYSEAEHEWESILAAFETFAHAL GPYFRPLPSDSAPPISTVFGPALQYRTHTIAVIWGYYYIGRILLHRMHPCMPPAMMVA AGVAAPTTAEFSQIIGKIAAGIYYPQLFNLEAGSLSPTLGSCLIEITVPIFFAAVQFT DPPQREWTVAKLRTVSRLTGWKASDAILHGCESAWRAAAKQGRGPPYYPQDEAVAHQP PAWMSATESQTNENSERRFVKVKPPYVHYAMGVLSLEDDMENLGINERV AFLA_134330 MEAYSPRTSYNTLIVEPSPSRHRSRTRQYDISERKVTRAVSRAR SISVHGRRRGRSSPVRMVEPYVESSSSHAGPLIVVRPRDSDEDIREFMPLARRSGEVT RRTELLTDGDYEEVIETKKDRKGPNPRILRAMMATLT AFLA_134340 MDKVQAFGKNLSASFSPFAARTQQMIKEQLGQAEDKTQLPDEYL ELEKRVDALKLVHQKLLQVTSQYSNEAYDYPPNIRESFNDLGRTIGEKVQLLSQASSP AEAQAALTAPPSAKPQPKTFNHAIARASLSGSQTLAQSSTGEDPLATALEKYALASEK VGEARLSQDGQIQSRFLAGWNTTLNTNLMFAAKARRNVENARLMLDSVKASKKAAARG DLDNLSEEARQEIEQAEDEFVSQTEEAVSVMKNVLDTPEPLRNLADLIAAQLEFHKRA YEILSELAPVVDGLQVEQEASYRKSREGA AFLA_134350 MNAQSQLSPTSASMLSPRRLSRQGSYDIPSMGGGFSTANTLGGD NHAVLSATQGSFPPPPLRRASTAPIQSIQPLPPPSPASPTAISTALLVSSCAKLFPIL LVIWGPEGSGIASQTPASSSAGTSRVTPGNISQHAVTGMTPVATSTTGSSGIVGSPSA ASSTLLESLIQMLPQSVPTSYLANFVELTGSLFSLGAADTHLVLLSNIEALYVLLGCG YLRAVTLAVAGLMARWTVQRVILGAIGVG AFLA_134360 MPICIECSYPVSHLYSTYSRADDRSLGKGVRLTQCPRCQRFADK YVEYDFVVIFIDLVLIKPQAWRRQRPIRCMSIILHSLSSLVYCLLKIEILQLTKLFVR AFLA_134370 MSSRALTWPRTAKSSLLKQQTSSFVGQPKLGTPNCRSFSSTADR PINQSAEFSSSSKSYDRLGRRAKEKLLDREFFLSLLNSASTKREAKSYLARLKAQHPP KAQTEPTTGHSKGTVTQSLPSGVNLGSFYGASRSVYDSPVFRHDSTPLPPPSELPEER LHLALIKIRTPQLLDDTIINGVAKTLSQLSRLGMACCVVVDPGTAGNANTLRRVAAEQ AERISIAVDAQPDSKSAHLDSVLSLSPMFPELPTVLSRKALLNPLRDGQIVVVAPIAY TEDVPKAVTISANDAILALTKELAGLAMRPDPDEDPWLTAQKIAKLQKEVSLDRVILL DPLGGIPSFRGPQTSHVFINMEQEFDDIKNELLHVQSSEACTATTPKGGNTFVEDPLE RHLDNLQLSQNVLAMLPSASSGIITSPLEVSNSARTPQANPSDVSAVGTRRQRNPLIH NLLTDKPLLSSSLPMSRREAMNRRRGSINTPSSHTTFVKRGMPLTMIPNPRVEVWTAQ NRPRLSLDDPSIDLPRLVQLIEDSFNRKLDVQDYLNRVNDRLAGLIIAGEYEGGAILT WELPPGVEDDGSPASEARMVPYLDKFAVLKRSQGAGGVADIVFNAMVRSCFPNGVCWR SRKDNPVNKWYFERSTGTWKLSDTNWTMFWTTPGLTENSQRFSDYEQVCRSIQPSWAD DTGVVD AFLA_134380 MQRHFHGWEDTGAYTDRLWTGIMGYSTDSLPHVGHIPNKPCQLV IAGFNGHGMPQVFLSAQAIAQMIIRGATYEETKLPRLFKTTPERLSSQENHILTHLKA I AFLA_134390 MAEAFPVNNGMTSFWRTEPHFLDSHRSTEVLPDTSDIVIVGAGY AGVTTAYHCMRLSQSSSADKPSIVILEARQACSGATGRNGGHLKPDVYYQINAAEEVA AFELAHMAAVKSCVEEEKIDCDLDFDKVIDVQLDDNHCAKLKAGYESLLSRGALTVTE ADFTPNETAESVSTIPATADFSVYSSPA AFLA_134400 MSDYTADKSAADQPINGFKPLSNADGYSLPTTGDDLSRLSARGD FSMANGIPMEEVDDDDEEEEVDEDYVAVDHDDINEFPYWFRRPPVHQRTKLDELHPFV QVLTVSNVDDCVNVESAFPEQERCSRDKFVYRLNRCPELCLGLFTLPILGEDQPKPRP TLVGHIVATRISTQFVTDKAMELPENWQTERMTVENGETVGHDEYGGTIAIHSLAVLP EHQGKQVASTLLKSYIHRIREAQIAERISIIAHDHLVPFYESFGFDNRGPSKCQFGGG GWTNLVLDFIGE AFLA_134410 MLQSCSRTVKLIEYFSSQIAPVPTSQEFLDVVLSRTQRQLPTQI RAGFKISRIRGFYTRKVKYTQETFCEKFQAILDGFPRLQDIHPFHKDLMNTLYDADHF RIALGQVSTAKHLIETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATICRRLKDPLVY LEQVRQHLGRLPSIDPNTRTLLICGYPNVGKSSFLRSITKADVDVQPYAFTTKSLFVG HFDYKYLRFQAIDTPGILDHPLEEMNTIEMQSITAIAHLRSAVMYFMDFSEQCGYSVA DQIKLFHSIRPLFANKIVFLVVNKIDVRRPEDLEPEYQQEIESILKSGDVEMLQLSCT TTEGVTNVKNAACDKLLAERVAQKLKSGTNSSGTPGGRLGDVLARIHVAQPMGGVQRE TFIPEAVKNLQKYDKNDPNRKKLERDIEEENGGAGVYNIDLKKTYDLADDEWKHDKIP EVWNGKNIYDFVDPDIEQKLAALEEEEEKLEADGYYDSDESVEDAEDADTRMKADLIR EKRALMRNEAKMRKSLKNRAQIPRSAKAKSLSQMENALEEAGYDVDAASARARSKSQT RGRTTTRDADGDDAMDIDMSDPRQAIAKAKGRARSQAATNRLLDGVTDTTARSKADRL KKLGQKKMNRMARAGEADRHTTASLPKHLVCHHSHPFYACRSFTNFASSSPASVASAR LNVGKGFVFKCRVHDETMSPPLNISHLPHVMNWVSKNRASGVMFLHVLSVCYSQRSLD ELYCCVNTWGCSNPTESAFIGLIDLNILMNAKTKIEIKIDHFALIYSSTCSFFAAYHL LET AFLA_134420 MGVSNLMARLKPQADHEHQEHSDTPTPVRADSNLEKDNAMIDDS PVKYLTWRSFILGLCVSMGGFIFGYSTGQIAGFTTMNDFKMRFAEQHADGTYAFSNVR NGLIVGLLSIGTMIGALVAAPIADRIGRKYSISFWSVIHMVGIIIQIATDDNWVQVAM GRWVAGLGVGALSSIVPMYQSESAPRQVRGAMVSAFQLFVAFGIFISYLVNFGTESIN GTASWRITMGIGFAWPLILGVGTLFLPESPRYAYRNGRIEEAREVMCKLYGVGPNHRC IAQEMKDMKDKLDEEKAAGQAGIFEVFTGPRMFYRVMLGIALQSLQQLTGANFLFYYG NTIFTSTGLNNSYVTQIILGAVNFGMTLPGLYVVEHFGRRNSLMVGASWMFICFMIWA SIGHFALDLENPPNTPQAGTAMIVFTCFFIVGFATTWGPIVWAICGEMYPARYRAVCI GLATAANWTWNFLISFFTPFISSAIDFAYGYVFAGCCFAAVLVVFFFVNETQGRTLEE VDTMYVLHVKPWKSANWTPPEGIVRDLHGPPASPKQEGRAEHSEPATEIRE AFLA_134430 MDFLSKHTTCLSNLELNLAPGWQTVSAYFLLAAGSLFVASRALT FVRVLLSLFVLPGKSLRSFGPKGSWAVVTGASDGLGKEFSLQLARAGFNIVLVSRTAS KLTTLSDEITSKYASVQTKTLAMDFARNEDSDYEKLKALVDELDVAILVNNVGKSHDI PTPFALTSQEEMTDIITINCMGTLRATQLIVPGMMQRKRGLILTMGSFGGLLPTPLLA TYSGSKAFLQQWSTALGSELEEHGITVELVQAYLITSAMSKIRRASATIPDPRSFVKS VLSKIGRNGGSPSYAYSSSPYWSHGLMAYFLTCIAGTMGKFVTSKNRGMHESIRKRAL RKAEREKAKKST AFLA_134440 MAAILSYLPPFEGFLPKWLFLVSVVSSANSIQAYRSDSYAAELY NGRSADGRPLTNPLSSRTFGTWTFLSAVIRMYAAYNITTPVAYDLAIWTFGIALVHFV GEWLGFGSAQLKGRFVSPLIVASSTLAWMLTQRESYLAA AFLA_134450 MVENVLDDISHRRYNPLRGSYILVSPHRTKRPWQGAQESPSKTT LPTYDPACYLCPGNKRAQGDANPKYEKTFVFVNDYSAVKEEQAPYSPDNADDLESFFL KAEPVTGKCYVLTFSAAHNLTLADLSPAEIAPVIDAWTEIYSAHLSPKSPLAALAPAT TLPPNSPTADLAKPKEQYRYMQIFENKGAAMGCSNPHPHGQVWTTSSLPEEPAMELEQ LKKYRKEHGGKHMLEDYAALESQKKERVVFENGAFLVVCPWWGVWPFETMIVSKQHKR ALVDLNANEKAQLAEAIAEITRRYDNLFETHFPYSMGIHQAPLDGTDEEIESSYLHLH FYPPLLRSATVRKFLVGYEMMGEPQRDITPEQAAARLRNCGGELYRKKLDG AFLA_134460 MVWVGQKKNVGLKVRVKEKDFMFENVNRAWGGPVLPYHNEGQSA CYELTIEELHVRTTRLFMTLEKSLEHSFPKVVNLIRSHG AFLA_134470 MTGLAGAGEVPLSSKIPYWRLVLDQKVVTPEVVNYPYAGSGTED DPYVVSWIPNDPRNPMEFSEIQKWSYTVLVSFVTLTVALVSSAYSGGMGQIVKDFDCE QEVAILGISLFVLGFAFGPLIWAPMSETFGRRHIFTSTFFLLTAFNAGAAGAQNIQTL IILRFLAGFFGSSPFGNAGGTIADMFPAAKRGIAISLFAAAPLCGPTFGPVIGGFLGS AAGWRWVEGFLAALAGVVWLAMGILLPETYAPVLLRRRAEKLSELNGQVYRSKLDIER GRATLTKTLTTALSRPWLLLFKEPIVLLFCIYMAIIYGTLYMLFAAYPIVFQEVRGWS EGIGGLAFMGILVGMIIAVACTFPDNFRYAKLCGQSTGRLAPEVRLPPSIVGGIALPI GLFWFAWTNSPTIHWMAPVAAGVPFGFGLVLVFLSVFNYLIDAYTIYSASVLAANSAL RSLFGFAFPLFTTYMYRNLGIHWASSIPAFLAVACVPFPILFYLYGAQIRKRCVYAAE AEAFMQRLAAKQNPPPRQEQEPAQEKTTVAEKAESVYMSSDSDDSDSLSTIPSQVALD RRGSRASRKSGHSLGRTATQYEENPYDIDRVNTRNSAISGRGRKD AFLA_134480 MEGFRMLLDKRPDIQILWKIKPSSGTTFEDTPLPDNLRTAVAEG QVRVESWLAVEPICILTSGHVKCMVHHGGSNSYHEAIRAGVPQVILPVWFDTYDFALR AEWLGIGIWASRKTAPGVNAPELGQALIRVLASAQSESMRHRAKGIATKLGPKDGRVI ACEKIISLLTEPCNTKMQRVAWLGLGNIGRGMSRNIALKGPQTTPIVLYNRTTSRATA FADSIGSNKATVATTIPEAVAQATITFICVGDDHALDQIITTIISDSSLDLTSKLIVD CSTVHPNTSRRIHATLTERGATFIACPVFGAPNMADAGQMIVVPAGKQEAIDRLQPFF EGVTAKATLPLPGDDVGRASQLKILGNTFILNTVETVAEGLVLAEKSGLGADMYQKWI HTWLGGPFAKYADRMVEGDYHKREEPLFAVDLARKDLGHATSIAQDAGMRLRSVEVTD AYLQEVKKEKGVKGDVAGVYGAIRKESGLEYDN AFLA_134490 MATQPSGSPDSSVEPSPESSLECLGSFVEKENARVKVESRDIEA GEQSQDELDNLVHWDGPDDPRNPMNWSDARKWLIIGLISLSSFNTSLVSTIFAPGVPE VLKDFHTDNSSLASLMVSIYVMGSAVGPLVLTPITEMSGRLPVTHGANILFMIAAIVC ASSINISMLIVSRLIMGIASSVPVTVGGGFVADMMPMERRGTAMTIWTVGPLLGFVIG PIFGGYMVENVGWRWTVWLEAIQGGFIVIASLIFLRETYAPTILRREAMKLQKATGQH YRTKFDSERAAGQMLLTSLTRPIKFLFLSPIVLIVSLYSSVTYSYMYILFTTFTDVFE NVYGFSPGQAGLGYLGLGMGFCVGQITVGYYSDRHVKKQEKIHGKMKPEHRLPPLVLG CFLVPIGLFWYGWSAQYRLHWIVPIIGTFFIGAGIFYVHLVTQVYLVDSYTLYAASAV SAELALRCVFGATIPLAGTPLYDTLGLGWGNTLLGFIALAFAPTSFFLLKYGESIRTN PKFMPKMT AFLA_134500 MSATSSCDRDISSFYYSESDDDASSTATIGHEEYPKESSLEGAS FFPNLFQRFDRARLQGYFWKAKYLFRRIQASSNFENIPLPLLKREIEPGQEWLRGAML CAWGTASILALNVIITIIAVGVGYSGQSEDKYFTYAELYQGDCSVTGNWTTGMHVVIN ILSSALLAASNYVMQCLSAPSRADVDEAHSRRQWLDIGIISTRNLAVMDNKRKALWGL LLISSLPIHMLYNAAIFSSISNIQYATVVIPQDLEENESLVRDEYEARSFYDYVGSSA NDIRAEIFNGTFMKKDLLGCSKQYDVEFNTKSSTLLLMAGRDSLRGFSSLLPLTWVFG DYNHMVEGPSTYPPRFSPTLDMTYIHAGNWNYPKWSFKYKGSNTWSDIDRFTNSYFWP DSVHCQIYFSPAICVAVIACNIVKVYCMYMTARTDHKEIFLTVGDALSSFLDRPDPTT KGHCLLSREDIIYGFRFCNSRTLALDTINPSHDTGFHTSLPQLLPERKRWFRAASWRR WVFTYIVFSACVAVAIALYSRALSSGSADVTGIGSNLGMGKPNSSTILFDGANFIALA LLASTPQLVFSALYLLCNGLFTCMLAVAEYNDFATQRKPLRVTWPKGEQRSTYYLSLP YRYSIPLITVSVVIHWLLSQCIFLVKINTFDVHGKRSYYAGRQVTACGWSPLPMFITI IVGGTAMMVLAGFSLRRLRSYMPLASSCSAALSAACHPPPGDENASLKAVMWGEVGGV SFDRMDTTDHMSRYAHCAFTSKEVTTLNMARLYC AFLA_134510 MPTSSFVLDSPIPPQLDLAGAPSQLFLPPNPSASSALFRSISIP SRKRARGVESGHRSWLDSPSSPTSFAVPDDRLAGVDDVSAEHDYRPSRYRDPPLRLPL DSSVESLSDASGARRKRSRRDPSSVVAPSPSGPEDEKITQNNSCDPQTAPVRWSRAVL DVVGKVWDFCWSGAFRGFYAGGGRGYAMTAADPSVSLGPDDHSWQPTTEKHDLSSASA GAHGWSESTPLSGDHHDDDLHHNWVVVGRDEFGYEASPSARRRVHRRTPSYGHLRRRQ PVKRTFVSQPASITTKAHFSAPAKPRETPVSVETQRYMAQKRRMEREEDASLARLNRQ LQAMIKEGQQALGTRVEVDDFMED AFLA_134520 MEAVKRFFSSPRFAVAGASTDSNKFGYKILAWYHQHSLPVTPLN PRAPQIELPSRAYDTVASPSALPTPSQTSLSVVTPPKVTLKLLQEAHSVGIPAVWLQP GTFDDAVLDFAHNHFSAVIAGDGGAGSEGWCVLVDGEEGLEAAGVQWTSQKL AFLA_134530 MPPFVPRKRLSSADPPSAKRHNATAAPSVDIAALDDESDSPLSD VPSEAALQDQDLEDEASDESESDDDEVDWEDAMDSKATTATATTPSMTPAHVQDLELT LDKNEVHLSDIIDGKKAPSKIERQIRVLTHCLHVQFLLHHNAIRNAWANDSQVHDILR RKLPEALYKEVKKWKVSSGLELPEKPPEETTKKKKWKQRRKSERDWGEGSSRMEPGQP DMSRGDPIITLLKVLAAYWKKQFKITAPGLRKRGYRPMSHLEADISAFNKEEHDPERF GEKVCGIEEFRQAAERMEGSRDLGAQLFTALLRALSIEARLVASLQPLGFGWTKAETY TPKVKVEAEAQTEIGDTEDADSDDSDVVQKPVGSRTNPKGYDKDLPVPIYWTEVASPV THQIIPVDPLVLPNAVATTPELQAAFEPRGAKAEKAKQVICYVIAYSSDKTAKDVTTR YLRRRTWPGKTKGYRMPVEKIPVPGRRGKFHEYNWFRVILRIYERSTKSRTAVDDLED ANDLVPNQPEKKSAKEGDTLQSLKASTEFVLERFLRREEALKPGSQHVRTFVSGKGIK AKEEKIYRRADVLKCLSAESWHKEGRQIKKGEAPLKRVPIRAVTLLRKREVDELERET GEKPKQGLYAKYQTEYIIPPPIRNGVIPKNDYGNIDCFVPSMVPRGATHIPWPGTVRI CKKLGIDYAEAVTGFEFGSKMAVPVIEGVVIASENEDLVKDAWRADAAEKREKVRRKA EARILQTWRKFLFGLRIAERVREEYGESSRDHERDAYNPFTSRKSGQQAPAPEPHVRE PSEEGDPVDYGGGFLLPGEDDGDDGDLIVERHQPSQPERENEVAAAAESDDAAVMDME ISDTSSVQELSSSPEIADSEDELPDSEPEYVPPATRRRTRNATRKG AFLA_134540 MQSPRPPSRSKRPDWTPQPPVAVFVRNLQLLQLDSRDDWPGITV RSLSPSSQNQRQRVKAIEWALYQLVALWDPETARDKLRPFFPPLEPLQSVNLRAALFR VLSELKKNGDLGKETILRKSMLDDCKGEKFDELLAVFSTAVLRKALAASADEGLGTPA MKLSLASGLTQQEYQRMLPLILAHRVSLREVGERRSRVRDTHARFSQLLDYKKSQLEL QSQEERPQIANEANFDELAQMVKANCLSNADWADTLLYGGARSSSDAFLELPFSTAWS KAKASTVEDLRTNSAPDLLIDLETRITRQRARLLRWHQYHSSMLRHERVESMNTIRSP PLAFRDHQALTVASIARAVREPVERISPKADDRALLFSMTEALARIEGTHRSSSRATP LHAPVVDADRRMAGHESSSSSNTSSPQLVQQDATTKSTPSGSVTSEADDSIGRSSSPS LQLSSDLEFKPAQRKERNTYNLIERTRKSMSLVPPPQSTPRPRESLRPRRPRPSFPVN QFELPERQTPEISRASTPRDELFEEEADYNSVFKSRPRVAHSPISSPAVHVSPVEDFD LGMDMDSRLDMNEAYGLDSPLPRRRR AFLA_134550 MGSETPSNALWTTQSVLATLPHPPEENSASPIPFFHLLERLKTT KREGWRRFGITAGESISDHMYRMSVMTMLAPPSLAPRLNLPHCMKMALIHDMAESLVG DITPVDNVDKQEKARREADVMNYITKNLLGGVPGGMLTGDEVMKVFQEYEDNETLEAK YVHDIDKMELLLQMVEYERTHDLDLSEFCHVANRVQLPEIKEWAATVLQEREAFWKKK AANGVQA AFLA_134560 MPTEDSPSTMALAVKGPIHFGPFVVTSQVFHLTPLSFALVNLKP ILPGHVLVSPRRVVPRVSDLTPSETTDLFLTVRRVGRMVERVYGASSLNIAVQDGVEA GQSVPHVHAHIIPRKKADLDARGGTDAVYDMLDGEEGDLGKQYRQRRTRFPAVDNEER RPRSMEDMEAEARMLAKEMEAEAVD AFLA_134570 MAAAGASQASSPRLPSPPPFTEVQIGPKSPSVGESFGQDAEQLL GASHGADDGSTRRIRPGTKSVDMAVGPPLIPLSQLDSSFQLQEHLKALYNHYTRPEGS ETVVPINREVAIQLAEPPEGVDRSLWLYELCRFLTMKVNNLIIAFFAENPPCSAQTCP EMRASEWQYLCAVHDPPKSCCAIDYSCHTLDWATNILTSPKYFPSRLTLGSEAGGGPQ ASMRHLTNIFRRLYRIFAHAWFQHREVFWQVEGHDGLYIFFKTVCDLYSLIPEDNYTV PAEAEGADPVQPAEEETDGRRMTILRKEGEGSLPATLQEPPSATTRRHKNTPSTSSRV TTIRDVTTISESAEDDEQQPKQQESEKPAEPAQKEPEPQPPVEAVSEEPKASNEQVSI GSTESQITVAEVQVDEETEAAPQAVDADTKITEQEESKPSTEGSDAQKDEPETASTEE ATKPESEAETKQTTEQEAEPVSEPAKET AFLA_134580 MADREDDEAPPALVDLSQIPDAEQQDATNISSADAPPESRVPIT LVTGYLGAGKTTLLNYILNEKHGKKIAVIMNEFGDSTDIEKPLTVNQDGQEFTEWMEV GNGCICCSVKDSGVMAIESLMERRGTFDYILLETTGLADPGNIAPVFWVDDNLGSSIY LDGIVTLVDAKNILHLLDEPTPEETVSSHEKEEDHDHTHSGPVLSMAHMQISHADVII LNKADLVTPEELNKVRERVQAINSVAKIHVTDHSKTPQIEGVVLDLHAYDHLASLDFG EKGHSHIDPAISTIAITTPPIPSEKIPLVDAWLRSVLWDSSLPPAESQSEPQSHPADF DIHRLKGILITKDDSSRVIQAVRDVFEIRDAEPTSSDEGQKQCKIVLIGRGLGPDAQP WQRSFEAFLERDE AFLA_134590 MWHRFGLRRWLGRDDRDSSQSTAGGASFPSHHNKHNSSNDPNNA NAGNTKPHDNLTRKLSKKVGVGLPRSTTFKRQNSERRDNLAPLEPEPRRAASADRPRT LSAQRTRSRSPPPTVNPRLSAPEVPWHDPDETTVEELPEANEESDLRSEWDPYPAMTE APEEPPEDVIEMELEKRWILNLSMHFRDRSEREKFFVTYAETPNRWRRVTISCDYRGA PPDSLEQDLKELRYQRDKCARIYESIRESLTEIQFYDTVTNLKLETRDGRLHVHVTED VNEIIPYPPISCIGHLPDWRLVREDQLHFEAHLSGFVYNVRLNGKSYIKKEIPGPDTV DEFLYEINALHALQDIPNVVQVEGVVVDEHEEVVKGLLISFAEKGALVDILYEHRGTI SWEQRERWAKQIVRGLCEIHEAGYVQGDFTLSNIVVDANDDAQIIDINRRGCPVGWEP PEIAAKIESNQRISMYIGVKTDLYQLGMTLWALAMEEDEPERQPRPLILGPDVDVPDY YRRLVAVCLSSRPRDRLSAKELLNFFPPEYMLTEQPPPLIYPRHMSHGIGLNNGALPL YNHRVIAAPGTFLNHHAGPVDSTGWDLNVPLSLDDGYDSQCLDPNPLAGFEEEEQRGR TRERRDSKPLESNIKPEPHSLGHHIDSTASAAPTPFPIDLGSDQARVGSHPACSFGPS STKKLSPDSANCGDSPLSAATQSTDPTGPARCLHVRYPPCCIPFYLSILPLICRNQN AFLA_134600 MKQSRQKKRLSQKPTKWGNDCSVLYSSLASKILNHHTSLSYIFD SMHTQQNASYAEIREVESWGCLHIVEVESHMNNLRGVGLTQAATHYICSSAASCARKF F AFLA_134610 MLRGQSLPWRAALHQTPRPLIIRPLLASPRYNASARSILISSRL SRSLPPSRTFSSSSIRRREKPPPGDGKEDPDHKEQKEKNEDKDVERTSESRRRVADQS GKHGSSTESGAPTSGSTKRREKQIVDKEQRGLEDDGKKPNNVAEGKGNSNEPPSPIPV SGGSDSKPSGANNGGNEDGGKKGKKGSSDKALQKPSVPEVYPQVMAIPIAKRPLFPGF YKAITIKDPNVAMAIQDMMKRGQPYVGAFLFKDENADGDVIENLDDVYDVGVFAQITA AYPLRGEASGVTAVLYPHRRIKVSSLLPPSDSTKAPAPDDKSSEKQGDVVASFEEGTQ ELAPKDHYEPTSFLRKYPVSLVNVENLVEEPYDKKSAIIRAVTSEIVNVCKEIASLNP LFRDQISAFYTDQFPGNLSDEPSKLADFAAAVSAGELHEMQEVLEIMNIEERLPKALV VLKKELMNAQLQSKISKDVEAKIQKRQREYWLMEQMKGIKRELGIESDGKDKLVEKFK EKAERLAMPEAVKKVFDEELNKLAHLEPAASEFNVTRNYLDWLTQIPWGQKSVENFGI QHATTVLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCLVGPPGVGKTSIGKSIAR ALNRQYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILIDEIDKIG RGHQGDPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANVTDTIPRPLLDRME LIELSGYVADEKMAIAQRYLAPAARELTGLKDVDVNLKEEAIEELIKSYCRESGVRNL KKQIEKVYRKAAFKIVRDLGEDVLAEDKALTDEGKAAQEESKKESEAADSANATTEEK ATTETPRVALKVPEGVQLSIGKDSLTDYVGPPVFTSDRLYETFPPGVTMGLAWTSMGG AALYVESILENALTSESRPGIEITGNLQNVMKESSHIAYSFAKSVLAKQFPENKFFEK ARLHMHCPEGAVPKDGPSAGITMASSLLSLALNHSLDPTIAMTGELTVTGKVLRIGGL REKTVAARRAGATKIIFPADNTSDWLELPENIKEGIEGHAVSWYSEVFDLLFTGLDKD AANHIWQKELAEKSKNKSAKDNEDDD AFLA_134620 MSATSAAMPKAAAIPARLLRSTSRQYSKSPATSVRAYHASPTSS TDRRVRCDATNKKQSVFSSSRIFHTTAPLAAIPDPYKVLGVEKNASAGDIKKAYYGMA KKYHPDTNKEANAKEKFAEAQSAYELLSDPKKRENYDRFGSAAFDQNGGFDPSAAGGN PFAGAGGFHGFGGGFGGGFPGGFGGADINFEDLFGAFAGGARRAGRGRRGPFQEILVG EDIEVQTNISFMEAAKGTTKDIVITPLTPCGTCSGDGLKKGAKRTQCRQCNGSGTRVH FMQGGFQVAATCDACGGAGMSVPRGSECGTCNGNGVVRGKKTVQVDIPGGVEDGMRLR VSNEGDAPPTGTSAAPGARTQRGDLYVSIRVSPDHRFSRSGSDILYTAQIPLTTALLG GEVTVPTLDGEVKIKVGTGTGTGDKVTLSGMGMKRLGSRTSRFSPTGDLKVEFKVAMP KYLTGNQRTILEVLADEMGDKTAKRVMNVGKDSPPSGGDASTGGSNNEGFLKSAWHKL VNKCENSPEESNKKESGNSSKNDGEKKSN AFLA_134630 MVQSPMISCPLKQTNEIDWIQPLKDYIRQSYGEDPERYGQECAT LNRLRQDMRGAGKDSATGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTHKPT SQYSLAFEKASIIFNISAVLSCHAANQNRAEDSGLKTAYHSFQAAAGMFTYINENFLH APSTDLNRETVKTLINVTLAQGQEVFLEKQVADHKKVGFLAKLASQAAYLYSQAVEGM QEYAKGVFEKVWVVVVQAKAAHMASVASYYQALADSESGSHGVAIARLQLAEKNSAAA LSWAKSFPSSVPPNSNLSAESGSQLLDIVKYHLATVQAKLVTFTKDNDFIYHQPVPNE AGLSAVAKLPAAKAIPVSELYQGQDIQRIIGPDIFQKLVPMSVTETASLYDEEKAKLI RAETEKVETANGEMAASLDYLKLPGSLNILKGGMDQEMTVDDEFRRWCQDLAGHQSFT KAFDGLQERKSEVLAQLDQCSKQLDLEESVCEKMRSKYGPEWSQQPSARLNTTLRGDI RTYRDTVNEASASDSQLLSTFRQYESDFDEMRSAGETDEADVLFQRAMIKAGSKFGKG KNGHSSPYAPTSEGSLIDDVYDEGGLSVAEQISMVESILKKLNLLKRERSQVLKDLKE KSIAGQEAQLFEAELEKFRPHQNRLLSANHKQASLMKELTKIYGDLLQDKRVRSEQSK YETITRQRNAVMARYKKVYDAFNGLLSGVRQAQTFYTEMGDTVESLRKNVETFINNRR SEGAQLLGQIEREKASSATDQEDREREKLRQLMERLSTEPKPSSSSSPSGVPAKVKSP PPPVHTPSYPGPGISSPKMSPRYPPVAGQSHAPPLSHSPAPYSQYANTAAGISYVPGQ PFQQGAAAPLTESYNPMAYPFPASASPPPNQQYFSSTPAPYSGYSNPTPPTAPSQFMP QGYVPPPPPPRPQQTTYPTSTGPFPSGPGGKLET AFLA_134640 MAPPRQRATAVHDDSRSEGSSTTREHKTGTGKGRKAATSSAVVN SVSHAKISASVANVTSAPMGDGDQSDSQPKIHWSEMPLEFLHSYRHAYKISSPSAYPT EYSQLLLSQGIGLLSPTSIAAQRAQLRQTQNTNGSQTNTKKPHHPHIASRANGTKGPS GHNPKNKSAIEGKNALNHIIGQDRVSKNHLAFTVRKHFNSAGLAEQEAIARFLYKVRE EGRGRQFRLRFQP AFLA_134650 MNTPVQSDWAYSYLMYTRAASTYIYLRRPRWHHDTSMEKKKFFY PILTAIQPQRVLKTNVETGKK AFLA_134660 MVIAEGGNISDVSSATKKGTDSVAKPHSPDITAIAVIRSNRYIR DFMSWQAPTDGKTSVISFHSLFRRGPVEVVFLDLLGYLGKVRR AFLA_134670 MRTPDKSPFPGRFNLASLRVWGLGQELIFALTLVLSNHSRETVD QLVWTDSLDVLSNSLSPGMPQTCWGRDQKMQTPSLSIPRKASSPHSIIIITPPNVIIV PRSCLGLFLVIVF AFLA_134680 MPPPASSVDFTNLLNPQNNETGSAPSTPVDSSKAPSTPSSTQSN STMASSVSLLPPLMKGARPATEEARQDLPRPYKCPLCDRAFHRLEHQTRHIRTHTGEK PHACQFPGCTKRFSRSDELTRHSRIHNNPNSRRSNKAHLAAAAAAAAAGQENAMVNVT NAGSLMPPPTKPMTRSAPVSQVGSPDVSPPHSFSNYAGHMRSNLGPYARNTERASSGM DINLLATAASQVERDEQHFGFHAGPRNHHLFASRHHTGRGLPSLSAYAISHSMSRSHS HEDEDGYTHRVKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPLGSS ELHLPSIRHLSLHHTPALAPMEPQPEGPNYYSPSQSHGPTISDIMSRPDGTQRKLPVP QVPKVAVQDMLNPSAGFSSVSSSTNNSVAGNDLAERF AFLA_134690 MPSSTAVPSLSSDAASASSSSAGVSLETRWAEGLTGQEILEDDG TGALVVPPSRPARQYHHHTYICLFYILDCHHTFDDVEQWKTHVLSHFRTHEPPRTARC PLCPGERFSDTPEQKGWDRMLDHVDVAHYQRGQTLAGSRPDFQLMQYLYRLRIISVDQ FKVMQLPPPPSSPAYHRSQEPVRANIGSSDEPYCAPYSRRREQRMRGQRRGVSVG AFLA_134700 MERLSINDQSAGHQQGNAPPGFPPQNNLLGPMSQGPPQLPPQMF TTAAQLLDLTDKKLVLVLRDGRKLIGVLRSWDQFANLVLQDTIERLYAGNLYAEISRG IFLVRGENVLLLGEIDLDKEDDIPPHVQKAPFEEVFKLKKQEDSARKTGDKKRQGKLQ GLGFEAEHSGEILF AFLA_134710 MATLAEKLEKIKSPKLQNQHHSISAEQGIVNKDLATSVVYLLDI TTAYAPAPILRSKFSQILTSLAPALSLPESEAPLLRPAIGCLESLLIAQDAAAWNLPH TQISPRRATAGLLSLSVDHRPKVRKRAQDALIKVLKSPPPSPSLDHPAADMCAESALR TLGDSIAAAAKQKRGRNDPHTHNHDPLVIHSLQLVKTVATASGGWPSKKIEPLCELLM NASRSSNEFITMGAFEVFEVIFSSMADDFSSSKLPRLLEAISELKPAQNDSQLLPPWI AVLSRGYDVSSQISPEDTFEKLPSLFDMISSYLASPSKNIRISASECLVSFMANCIPN SVIIEPSVYDEKTLEKLAKKATNLLSVKYQAAWAEVFNVCSAMFDSFKWRSSPFLDDI VKTVGELRSNESFHGKKQADQVLGSAVEAMGPAAVLEILPLNIIEQKAGQPGREFFTM VSAGLAGSSPHMVAASVTALSRLFFDFHTELQPAVRSDLVQTVELFLTSNNREIVRSV LGFVKVAVVVLPDDVLRARINSLVPNLMVWSKEHKGRLRSKVKGILDRLIRRFGAAPI EELVGEADRKLVVNIRKQRERSKKKKQAEKGDEDEEEEEAADNKNAKAQSYGNNAFDR AVYDSDFSDSDDDASELDVDEHGDTHAINKGGRKGKKASKQSEQYIRENEDSPLDLLA PDALASISTTKPSVRFLNTGPGSRRKHSAKVDAEGRLLLGDDENNDVEMSGGLDGNAE AGDSAINAYVAAVSGPDAVRRGQRGKFKMAQAQKGKSNRGDDMDVDDEPTPSRGDNKQ QTGRRGLGMPKSHGPSGGRIQKRKPMRGGRFGKRR AFLA_134720 MRQPEMSHSPAYYQDQDHRVTAYLHDSTSAPAAAHLPPEPPSLP NHGHDFRHPELNSVENTIPNAYPSDSWDNTSSRHPHRHSRQPHKPPHRQPPVESIYPD SEAPVVAPMTGGAARKEERGRRSWTDHSSYMSSDNHHLGVTRLQKRAIHTEEPLADDS QDALLMLFRLSVPVPIFSLCASLYTIFGLLLVLLVSPFRICPCIPYFRSTSFREQLCH LLVPQLHIHERLVRLRGPATQSVYNDADGSSISDPSEHYSIFGLIAVLLLSSLLSIAF LLLVWTAAFFWIFAMVLGNPDGTERKDDGRAAVLGVCRWWQIWLRKARKLPRE AFLA_134730 MAHTQPSPTGGVTPHHGHLAAHPQVNGHMPLQSQGQKGPPMSTA QKIAALNEQVWLQIGGLTELMGDLDGAMNAYEQALRHNQWSIPAMNAISCILRTKEQF PKAIEYLQNILKLDPTSGETWGSLGHCHLMMDNLQEAYTSYQQALYHLRDPKEPKLWY GIGILYDRYGSLDHAEEAFSQVMRMAPDFEKANEIYFRLGIIYKQQQKFNQSLECFKY IVTDPPRPLTEEDIWFQIGHVHEQQKDFDSAQQAYRRVLDRDPNHAKVLQQLGWLYHQ QSNSYASQEKAIEYLEKSVSADNTDAQSWYLLGRCYMSQAKYPKAYEAYQQAVYRDGR NPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQISDALD AYGRAADLDPTNVHIKARLQLLQSQLSGSNQTNAPAPQPQDVHPQAYQTGVGGPPAPQ WGAPAPTGGPPPQPPAPPRQIADWNRGINELQSQAQAQAQAANGFDHRDAVRAPAAIQ QPSPRQEPGRGFPDAVRPPPTAARSPKTALAGPGVYAPTHALPQIANPPPAPSHERVP SGASGFPSATRGPLPPAPAGPPPAPGAPNGAPTPGGPLPPYHRPFTPPAEIRPIRDER PSSPGSTYPHQQFHHGPSVPPVQGAGSTGIAGGAPAPPSAITAAEAAAREREDRPASA MKRGREWEAESAPVKKIANEESRARLDEQLPRRVTPPNRMPSPGEMQRRSSSEVRRED QRRINESYHPSEAAHHPPTLPSIQHMPPHASGSGLPPMAEGSTPAANGPQPGPSAPVQ VKEEPARGEQPPAHEPAARKMDVDENYDDDGDDDKRASTAVKGSPSTSGSGNANNATN GSQTSQSKPESTA AFLA_134740 MSHRKYEAPRHGSLAYLPRKRAARHRGKVKSFPKDDPKKPVHLT ASMGYKAGMTTVVRDLDRPGAKMHKKEVVEAVTVIETPPLVAIGVVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKQHAEESGASITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQVNGGSVADKVDFARNLFEKTIEIDSIFEKDEVIDV IAVTKGHGFQGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSCNHKVYRIGKGSDEANASTDFDISKKQITPMGGFVRYGEVKNDFVMVKGSVPGVKK RVMTLRKTLYPQTSRKATEKIELKWVDTSSEFGHGAFQTPEEKRAFLGTLKKDLVTSA AFLA_134750 MPLSRRGHCVIDRIWCWASISSPEVHKLPLCPSIIQLPLKNYPP SSRQIHHLHKPLLVSPRRTRDNTPVSQPTPLQPTISRTMSDDAYMSFLNKANADLDTA RAQQAQDSPTVRTETVETGVSVPAPLTSVDAYYISETDEPFEPVALRWDGASRGIFPD ASHLSNLISPNADLSSSITTLSPSSFDPRNQYPSALRAVRAAAAESSGGDESAVDVKV FRVEVGPSRIEYYILAVDAEKSLVVGLRTKAVET AFLA_134760 MKLMATFRHSSGPSITPLKLSKQGGQYGDQEIPIVMHLSNPLCA ANKLQYL AFLA_134770 MSDNPMSQSARDTPHIRLNSGQHDPFSDPDENGPPVPSHGGIGR ALTPGMSNSTSTGTFLTMQTGMGSPTPQDSTDFLLPPRPQRHREQYDGFQSPDLSGQS SRRTSWSSEGGSESRGYFYPRYEDMRSPSHGEGDGDDVNTQTVTEKFNIMPSEGLLLF PEDVEKDDYLHNPDPNDKERDCDIWNRRGIVNGGGLVLLTLGLLMLFIGYPVLTAVRG MEKGSASVCKAGDTLCLDVGERSTLKNVRTGLIDPDTPASAMTKKSADGKEWKLVFSD EFNTPGRTFYDGDDAFLQAVDIWYGVTQDLEWYDPDAVTTKDGVLELRFDAFPNHEMK YRSGMVQSWNKLCFTGGRLEASISLPGNGEVSGFWPGFWAMGNLGRPGYAATTEGMWP YSYYDGCDAGITPNQSSTDGLSWLPGMRLPACSCDSAEHPTPGKSRSAPEIDVIEASV AALNGDAATMVGSVSQSLQMAPFDIWYMPDYEYAAVYDPKITEINSYRGGPYQQAMSG LSNLNNDWYNGTQYQVYAFDYTPGARGNITWYVGQDKTWTLDGRALGPNGNIGQRVIP LEPMSIIMNLGMAYSFAPVDDNIKKFLPGYMRFDYLRIYQDPDNISLTCDPPGYETTE YIAKHPKAYQNVNKTTWTDAGYEWPKNSFMHEC AFLA_134780 MFNRNVPAPGPRSRDPIVIDDESEDEDMNVDYPGRQNQPRGVDP RYDTDRMRGPLTSYHTTIEQEKKVRSRLREERHAALCVLMDRELLTMQALAAQETLPQ ARRRFLSKLIAPEDPEVAASIRSDLFIVQNPSSPAPNNQPLLVHRSVVDVHETDDAGW RRPADAGGSSSAFSSPASSSKNKGRLSTPDRTREKGKASTASSSVSGSAGRGQQLRER ERRRRWSGAEREDYGVSSP AFLA_134790 MNPSKPLPILSETTQNPSIKEGQYRSSPTSAAAAAARRYFVPSD DQGNWPPELNDYNERFAKTLQHIKRRHDSVVTTVAQGILEWKRKRQRLQIDSTVQSFL DRFYMSRIGIRMLIGQHIALTEQTHVRHPNYVGIICTKTNVREVALEAIDNARFVCED YYGLFEAPKVQLVCKDDLNFMYVPGHLSHMLFETLKNSLRAVVETHGADKEAFPVTKV IIAEGKEDITIKVSDEGGGIPRSSIPLVWTYMYTTVEQTPNLDPDFDKSDFKAPMAGF GYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ AFLA_134800 MPAMSRRRTSHSEPMASSSANEAFAYPFTSMQQGNQPQRRGPIE GPNGRRLVRRVTWRSSTYKLMASLWVLGVFYIVWLIRDIFFLPFTSSQPSMIVKGTSQ DLLERYVGHQECGISSLALYEPPKTEGQGALSHSYCQTRDSLLSAMSDGGRHGFDEAY ISKGCFYRWYSNAEVCQILQKFGALVFVGDESLADIYAGFNILLQGNLATGALRESEM TKEQIEKCRCASQFTSASCLPLRITSSEQVEKQNDNKISPGSNACSSSIPHTFVTATS SPASKSAQERFRQLINRAGSQGKPVPVIQSLSLSTSYSLEIAANSMDEWLALAQSSKR DMPFLWIGPTAPGHQKHFESNIHASSWQYTLDTFEAARTRGMETLGMYNATLQADSWD GMHYGEKEALIQAMMVINWLAML AFLA_134810 MSANDVYQTPLNSRYASDEMKYLFSPRNRFSTWRKLWLWLAESE KELGLSISDDAIEQMKAHLTIQDEEFKVAAEEEKRRRHDVMAHVHAYGQVAPAAAGII HWGATSCYCTDNADLIFLRDGLDILIPKLAVVIDKLSAFAQQYKDLPCLGFTHGQPAQ LVTVGKRACLWIQDLLMDLRNLERARDDLRFRGVKGTTGTQASFLQIFDGDHSKVEQL DELVTQKAGFDSAFIISSQTYSRKIDVDVGNALGSFGSTCERIGIDIRHLAMLKEVEE PFEKDQIGSSAMAYKRNPMRSERLCSLGRHLQNLPKDALDTYSAQWFERSLDDSAIRR ISIPELYLSADACLILLNNVTSGFVVYPEVIKRRVNDELPFMATENIIMACVKKGLSR QDAHEEIRVLSHQAADNVKKHGKDNDLLERIRRTEFFNPILGELDTLLEPSTFVGRAP QQVEKFTSTEVKKALEPYAAAVAKAETSTLSV AFLA_134820 MVAPRNTAYAEESAEVEVLYANLEKLKVLTKKIQGSLVRLETGG NVVKHAIGPIYSNTQSLQITNNNIDKVNDAIDRLRQPLDAKSREEGIIRSGPQNVELS QYLAAIKRVEKALIDLNSTNLRSNQKAISDFNALLSTGTARLQDLLRSKLSDDVSPIE PLHYLTKELPFPSIPEETVTELGPICAAINSAAIHGPQHGDGGNPALKIYAAVRAPYI TSSLQNLAIASLNTVKRRADDGPYRQGTNGIGIYSNALENFIYAEHDIISRIFTGDQR GLALQATCQSALAEYSKTLRELNQYIKANLMTDCFLAFEIIEIVTAMSYRVDSKTGEL KSMFIEALRPIRDTAKSSLSELLEETKRKAASIQVLPPDGGSVPLVNEVMSSLVTLTA YSGPLASILTSLGDGNWRSTSNASGAAPLDVSPDSSTLLSHFILDMIEALMIALESRG RAFHRTKAVQGVFLSNVFCNVDRAIRSNVELARYLGSPDSIARIDTFRKRATSTYLDS WKETSQYLLDVQYTSRGAGASTRPTSGGIVDSSAIVKSLSSKDKDAIKDKFKAFNTSF DDLVSRHKALYMEREVRGVLSREVQTVLEPLYARFWDRYHEIDKGRGKYVKYDKGSLS AQLAALG AFLA_134830 MGAAKALRLRWTVPPAVLIALGSGALYTTSGQTLYYKNSVQQTD QTARFSTSTTYRVDDRSAKPSSASSQKSTWDLSSEHSSDHGDPNSIWTNILHKFDGVK QTVGSTDWIEIDSLKNYIIPDWTRLLPATVQKLQRELSMAPGSLADDIWKEAHDPDIN PEILQDARVRVGSDLCREELEFRRKRREHGVKALASYLEIPEEDIHPDDVPVIAMCGS GGGLRALVAGTGSYLAVQEAGLWDCVTYTAGVSGSCWLQTLYHSSITGRNFQKLVDHL KHRLSVHIAFPPKALNALTTAPTNKYLLSGLVEKLKGDPGADFGLVDIYGMLLAARLL VPKGELGVSDRDLKLSNQRYNLTDGAHPLPIYTAVRHEIPVLESLEEDHNKKQPTRET LMKEAQDESWFQWFEFTPYEFFCEELGAGIPTWALGRHFNGGFNSIPEGHYPIPELRV PGLMGVWGSAFCATLSHYYKEIRPLVRGITGFAGIDSLIQGKNKDLVRVHPIDPATIP NYVLGMKDVLPPSCPESIFRSSHLRLMDAGMSNNLPIYPLLRPGRDVDIIVAFDASAD IKQENWLSVVDGYARQRGVKGWPLGAGWPKEDMKLKETEEKLREAQNISEAELNSKIR EAQDNDKNTASNGQTPSTDPGQNPSNGDTDLDYCNVWVGTTQERVSDEEPPPSKRLFQ PRNKDHKESDFHLMRPDAGIAVVYFPLIPNPDAPELPPSSSLKKSPAPAQQKETKSSA NVSDPAKPLTPHPGSINPDVDDFLSTWNFVYSPEQIDSVVGLAKANFAQGEEQVKRVV RAVYERKKSDRLRREEEEARRRMEGFVPL AFLA_134840 MGSMHNWLHGLDGKDSQGEAQEKRKRVAVVHCKAGKGRSGTVAC SYLMTHAGWKMEDALQRFTERRMRSGFGPGVSIPSQLRWVGYVDRWANQMGKKYIERP VEILELHVWGLRDGVKVAVEGFVDEGKMIQNFHLFKRSERIVVDDGRVKTNSSQKTDK KKTNGQKYGIKKAFSSVVDSSSSSSSSSSDEDSTTQKGTSAVLFRPNKPLILPTSDIN IDFERRSKAYKDWAMVTSIAHVWFNAYFEGGDKEDSGVFEAEWDTLDGIKGTSRKGVR ALDRLKVVWRFPPSEPKTKESETAPTPGQIITEPRLGEPTFESHAADWRGQDPGEPKA VRDQENIPMRAPDVPVYQEESKGDANPILTGLSTATSSAAAAATTSVQMLSKELGLRR QTDESKDVSLAESDDNESVLGHRKQEGDEEKRQTLNRAESEDFQGVQSYFGNGDKDDS TGSPKTTKAS AFLA_134850 MEVSGFSVRGVNRITYSPTTMQLSSVFTVALSALNFASALPLHR LNTSPALTWQVADFNTGCSPGGCVYNFNITGVASQNTPGFHTHCSGTNVQDDFAFCDD KHVKAKVVSQLYPVWTIHVQHAWFQGEAEFYAVGHANVTSTQKNFTIPVTEVYGVA AFLA_134860 MEANGFTLDSGTLHTALQAIAVHPDYLLRQELVRTLRDRWLPLS PDGWHYVVAGLVREHQFELALDHIAHMERKDMPVEGWLHSMLIYYLCEFEEFDEVARL MRSRVDQGYDMTTDLWLYVLDVASAAVHHETTRFVWDQMVELRYVYPSYGVCSNVLTV ASRTGDTDLAASVARFLIETDVPLSLEDYEKITEAHVMSGNLYAGFEVLCEMHKAKIA LESSSTAAILTYMIQSRTSPQKAWCMLKQLKALKYEIPLRCALVVLEMCEHEAINDPF VVDDGLTLYKQLYALCSEKADVSVYNSLISMCRRAKNTDAGMFVVKEMATLGVVPNAT TFEHLIIMCLDAGNFESAYMYFQDLLARDATPSEDARAEIRDLCTGSSDQYAVQLRYH PQIRDALVRRQADDFEPTQIRAGLIKKVPSDSPPEYANRFRPGPRKTITKEERRAESK EKRKQKRRRLAIARAREEEGWEDYEPGGLIPEDQVKADANSPSS AFLA_134870 MLPLGLLTAAQGHPMLVELKNGETLNGHLANCDNWMNLILKEVV QTSPEGDRFFRLPEVYIRGNNIKYLRIPEEIIEMVKEQQQNQPSNRNRGGHSHRGDRG DRGGRGGRGRGRGRGRGGN AFLA_134880 MASEGDWTCDANDAVQITLVQPGEQKPKTLSSFHPQFTYPIFGD DETIFGYKGLIIRLRFAAHDLRPHIHISYDEKFKTVGDTSAVDLIKTLSPFIPEEAFS TLPDYENAVQEDKDAKDFVPPGKLVHNYVTRGRTYEIWAASLADPQVRRLLDRAQVFV SLFIEAGTPLETEDPEWTLERWTVYFVYEKVKPPTPTASQYSIVGYATTYRWWFYQRD SPEKGTVTNDPFPGPEIRPAQLPARLRIAQFLILPPHQGSGHGTHLYTTIHTACFNDS TIVELTVEDPNEAFDALRDTADFHILRPEFLKHNVNINPDPYAELSKKQRPRRVPTSA LIPTKLLHDIRSTYKIASTQFAHVLEMFLLGEIPTKNRHAGGANMSRLLVKKYNATDP NERRYYWWRMLVKQRLFKRSRDILIQLEMSDRIEKLEETVTNVEEGYEALIKVFTARE EALMAKQEESGESPETAVLEDSVAGSSDSSTRDQRTKRKFTVEDEDEEEEGESEVSKR PKV AFLA_134890 MSVTDPQRITLIGLGTIGMSMAALHLSRENVTVDVFDTRPDFDQ YLFNTLPHFLDQGPSSANFKSQPSTSAVASLIASGRLNVHTSLETACASATIVQEQGP ENLEFKQTLWAQVESFAPPSAHLWTSTSGIAASAQQQRIYDKSRLLVVHPFNPPHIMP LLEIVPSPDTSPERLEFAREYFSVPGSKHRPVVLQKEIPGFVGNRLAFALLREACYLV QEDVVSAKDLDTIMKASLGPRWAGNGVFESYQQGGGEGGIQAFLNKLGGTMQAVWDNL GKVNVLGNEETSWKEKVVSQVNEAYGTLTPGQVREKEERLKDFVAIQTKKYGHDVPEA AFLA_134900 MEGLFTLLALSIVMAITSFVVGSLPLAFTLSSSQLRLISSLGMG VLVGTSLIVIIPEGVETLYSANLPNDRKALSSRSTGAITWQHQNIPTVATAMIHTSEE INSIKVPVSSSSDTSTLLPVSDSTPYVTRREQKGKEEASDEDKKANDDEGSSPHAWIG IALISGFILMYLIDKLPEFAAPAKQQRTPYHISLDNLGSGLRRNSSPSREGGLLDAGN SPRSSHSFATTTGLVIHAAADGIALGASSSDTGLSFIIFLAIMVHKAPASFGLTSVLL KQGLSSRAARAHLLVFSLAAPLGALATFLFVQVMGSGSSSDLTATQWRTGVLLLFSAG TFLYVAMHTMQENNPSAVSRETQGNGYGDSRDIPSKSNKSMRDLIASVVGMILPLFLQ LGHAH AFLA_134910 MSPRSVPEQLATAHTRTCSATDSVASLSSTHSRSLSSNKTITSI PPNLLPSAPASPPTPAPSPTPHQRPPTWQSADEDDDAFLLNARIHFSSLSNFKRQKFL EGILSLCDSQHLSFVSSYVSPRLRKDPFLVFPTELCLRVLSFIDDPKTLARASQVSRR WRELLNDDITWKNLCEKHAYASRKSSEDDRDFVDPFHTQHLHTISGTNSLAGSRSSLT SSHQSRDGHPASLSSRKRRVRPLSYRTHFKQKYMVESAWNKGGRCTQRHITPDQGVVT SLHLTPKYIVVALDNAKIHIYDTNGDNQKTLQGHVMGVWAMVPWDDILVSGGCDREVR VWNMATGAGIYLLRGHTSTVRCLKMSDRNTAISGSRDTTLRIWDLASGTCRNVLVGHQ ASVRCLAIHGDLVVSGSYDTTARIWSISEGRCLRTLSGHFSQIYAIAFDGRRIATGSL DTSVRIWDPHSGQCHAILQGHTSLVGQLQMRGDTLVTGGSDGSVRVWSLTKMTPIHRL AAHDNSVTSLQFDSSRIVSGGSDGRVKVWSLQTGQLLRELSSPAEAVWRCDEALPGCR NCSVYGRPCPGYRPDTIFRNENQKVERLMRKRSVTPTTASQHSSRSTSVSHSSPELPL ILSQVADSTWEERAVCHFFDQFTSVSDECLNHLGFLPSLYATCRDSGQDDSVSSCLKL ATEATALITLSNHMKAPPLLLKARGYYGLALHGLRRLLGTRSQAVRDETFATMVILSI FEDIAGERNGLHSSHTKGFGLLMGMRGESQLSHAQGRDLFICAYAHTVSSNLPDYVRK TDERRSLLRA AFLA_134920 MASWSQHLPDHWLPLVVYTATGGPLMTYQNASIAAIWTYYRAAR ISLQRHLLDLRQTLASLVGDNQACDVHRDAALEEIQEMTTDTCRSIPFSLGDIDALGQ TIPTSAEGRPPIRALYGYLMLWPLWYVLTFGMGTAAQMEQIRSALGRVGSVLGIKLAL MLAQQGSMSQHATALTPNPYRFVPSTS AFLA_134930 MAMQERKLPMGKIEPNTGKYFVNCALGGIIACGPTHTSVTPLDL VKCRRQVDPKIYTSNISAWRSIFAKEGLRGVFFGWSPTFIGYSFQGAGKYGFYEYFKY LYGDQMFPNMNRTVVYLGASASAEFLADMALCPFEAIKVRMQTTLPPYAQTMREGWSK IVAQEGFGGLYKGLYPLWARQIPYTMTKFATFEETVNAIYKTLGKPKESCSGLQQTGI SFLGGYIAGIFCAIVSHPADVMVSKLNADRQAGESAMKAVSRIYGNIGFSGLWNGLPV RIVMLGTLTGFQWLIYDSFKVFLGLPTTGGH AFLA_134940 MAAAIPNHRRPSNQTSSDCGRILSFELGATHCETSYNSEIVCGF SKLKDPCRLVPRRRTLLVPWKGIPEYFRILETYSAHLTLRSFVPEHPVDWKSETKSYS AQPPSIRSEASLHPSNPPYHLLPQISPFTLDPRQHQLNPNHNEHHHITLNTMEPSTNQ STSIESSNPPSVELAYKRKCVALKKRLNEIENENDLMRVRNRRGWQYIHKMRLESCIL LERLAKVTGMAEEAQAGVNPELRARAAAMLSNAAVLDPGEKEGGGGAYYADDTEGSSD EQPPTPQERPLRVKRSRKSNVGDGADDDAAPSANNAPESSSAAGSASLPRLAPAPSQE DMTSSFRIQAGNGSAQDKENNTGSGSDRGGSQNPESGSREPGQGEVSVEPTTPMDMDT KESKEDS AFLA_134950 MASTAETTPLLPQHQQQPATSNTSPRANRRTVTFNPLTTVSTYH DTTSTNAPVKTLYSGPSSFPSSQETPQRPTGLSALNSKLRRRNSHGAPYSAAPSMPAA PKVGPQRTTKKAQKLKLLPDPITEEAAEGEFPSDVYSQIARIKEPTARSHAARLGKSD RERLPRVTAYCTANSYRLDGAVRFLKSRSKTRGANPKLYDECVYSPFDYQYEEKQRST SENNVGMNNVGMRETFQRPSTERRFSDSVVEIEDNTKSRREDLIDLRDSQAHQSENAI AETQSETPDFDTTIHTPEVFLFDYGTVVIWGMSPAQESRFLSDVSKFATSILSPEDTQ VENFNFYYAREYQARIYNDFISLREPRNHMIKLAISHALAQSVKTSLFEDLVSETISN TAPLPAQIAQTGSVNLTRRQINMQVGELFILRINIHLQGSVLDSPELMWAEPQLEPVY QAVRSYLEMDQRVSLLNERLDVIADLLAVLKDQLTHRHGEYLEWIVIVLIAAEILVAA INIVVDLYAGVD AFLA_134960 MFSHIVTAAKGLFTRQDTDKAQSKNFTTTSSDNAIASTSKMVTT RRRKISDIVPEEEPEINGQQEVNGKRKSGPAGSGKMETQRNKRRKRASLEAAEGESGT PEEPSEDTQETDSKQEEEKSAPAPKKHFRFDSEEPEVPLDTQIEETAETQQAKEDSGD DSSDDDEAPEAVDNSAQLSKVKAQAKKMEQAKQLEEELKREKRRQLDELRKSQAKVSK KKDKPVDDLLSESTVTLQGSNTQDARRSALPALLPDDILNAAPVTRPPTPPAEGINIA HKKPTKLRFLEKSEKRPKDVKMGDVTIRVLGDIPQKKAKSALPPKASKSGRISKQTWL DRSRSTGHVNGLRRTAGGSSGFVRK AFLA_134970 MMVDPFEVRMRFTAQLQHLNASITSSQKAAHYALKYRDMDEDLH SCILEQLERNNMNNRANIMYFIEQFCEMATKEDHAPYVRMIQRDILRVVDAVAPPDGT GAANVKHVRRVLSGLQNKEILSAETVAEIIAGLKDRETHPAHLDLEADEGVEAKAGTP RGSRGSVRVDKRQIEQRIEEDRERNKRLRESMWTVSGDDGDEHGKFWDETSDIGEDDF LAANEEFIERRQMVGAK AFLA_134980 MQSEGEAGASSFFMQSRSQQHSPIPRHDSELSSPDPHELSDSLS GGLHEPISFKRKQKQRSGFSLSNLFSNNTTEFVAGDAGFTSSNTRNGQLHESVSSSRD RPMSNNGNATAQKEGGLLDWYVEGPGRRVGYDDLTAIDWIFEYTKERQRKRHLYSHGQ GAVGYVLKFLDASNVWIVLIATGILVGIIAAFIDVASDWLGDLKTGYCKNGAGGGKFY LNKSFCCWGHDDISNCLDWTPWGKALGVASSGGVFTVEYLFYVLYSVLFAVCATVLVR TYALYARHSGIPEIKTILGGFVIRHFMGPWTLAIKSLGLCLSVASGLWLGKEGPLVHV ACCCASVIMKPFESLNHNEARKREVLSAAAAAGISVAFGAPIGGVLFSLEQLSYYFPD KTMWQSFVCAMVAAVTLQALNPFRTGNIVLYEVTYTRGWHRFEMIPFVILGILGGLYG AFLIRLNMKIAQWRRSRSWSRPIAEVVLVALLSALINYPNLFMRSQNSELVHSLFAEC GNGGEDLFGLCKTGAASVTTIVLLLMAAILGFFLASMTFGLDLPAGIILPSVAIGALY GRALGTTFKMWQEAYPKVFLFGNCEPDIPCVTPGLYAIVGAASALGGATRMTVSIVVI MFELTGALTYVIPIMIAVMLSKWCGDIFGKRGIYESWIELNEYPFLDQRDDTTPPDVP AHKVMTAVDDLTVITAVGHTIDSLRHLLLTTSYRGYPVVTDTSNPTLLGYISRNELSF ALKYSKSPTDRELSGETQVFFAHQPFADPVETLDLRPWMDQTPITLNSGTTFSLVLQM FQRLGLRYILLSDKGVLQGLLTKKDVWSVLNGPEFRKGGFSRENVVRPVNTAEEVGLL ESDDVTSMASSLERRQSL AFLA_134990 METRPAYERALEESQLFEQLPNEVAELIRTSSGTQYLNALAVGA LRSKCTEGFFCLYEPIFVDLAARWLASDFHNNQVDIISAFSRILPFAPFLASFASQYA SSRAGPLSALAATDEAMLLQLDDTTLRTLLLSVFRLLSYDLETFSSTISPSRLQSLFQ HSDHSIRYLAIRCFALYMHAADAATEKMVQTYIGTDVVEGEWEGIVIDYRCLGLWEER RWGTLEKQARIARSDRLIEDSLSQVEKLREYFTPRTAEVCGVLIPRLNEMSTPASSIV KAPTAVRNLRRIATALTTSNPLLLVGLPNSGKTSLVNDVAATMGQSESMVTLHLNEQT DAKSLLGMYSTSPATGSFAWQPGVLTKAAREGRWILIEDLDRAPSEVIGLILPIIEKG ELTIASRKERIKCAEGFKIIATMKSSYNIAGEEVAPSTTILGSRLWQRVHIEPLSIDE IQEVITQKFPILESRVPTIMNVYQRLCSSFHGSLAIKGSQGRTPGLRDLIKLCSRMHR RLQRLGAKTGYEAMPEGAEDEIFLDVVDIFLSYIPERSLAESLALVAAEALQISPQRA QFCLSERVPAYSDQGNNLVLGREVCRKIKVPSGSVSRSSTRFASTRAALKLMEQAAAA IQMAEPMLLVGETGIGKTTVIQQLATLMRQKLTVVNLSQQSESSDLLGGFKPVNIRTM AVPMLDEFNAVFELTFSAKKNQKFLSSVAKSVAAGNWARLVHLWHEAVRLADGVFKSS NGPSQEGEEQPTKKRKLDSPKYQHLRQRWERFAGQLNDFEAQVAQGDAKFAFAFVQGK IVRALRNGEWVLLDEINLASPDTLENIASLLHHGTEGSPSVLLSEAGDVERVFGHPDF RIFGAMNPATDAGKKDLPPGLRSRFTELYVHSPDSDRDDLLALIQKYLGDLTLGDMRI APDLAQLYLETKKLSNENKLTDGAGQRPHFSIRTLVRALIYVIDHAHVYGLRRAVFEG FSMSFLTVLSQESERLLLPLLERHLFSNAKNARALLGQTPKPPTDGYDYVQFKHYWMR RGHLVPEEQPHYIITPFIEKNLKNLVRASSTRRFPILLQGPTSAGKTSMIEYLAKVSG NKFVRINNHEHTDLQEYLGSYVSSDDGTLRYQEGVLVEALRNGYWIVLDELNLAPSDV LEALNRLLDDNRELFIPETQEVVHPHPNFMLFATQNPAGLYGGRKVLSRAFRNRFLEL HFDDIPESELEFILKERSQIAPSFCARIVSVYRKLSLLRQANRLFEQKNSFATLRDLF RWALRRADDREQLAINGFMLLAERVRNPQERAAVKGVIEEVMRVRIDEDVVYSASELE KRAPHLPAGVVWTKAMRRLFILVSVALENNEPVLLVGETGCGKTQLCQAVAEAYKRQL LIVNAHVNLETGDLIGAQRPVRNRGAIEHQLLSDLQAVLNETEPSRPLEEMRQVFGTL TADQLQACDSELVQRIQTNIARLNALFEWSDGSLITAMKTGQYFLLDEISLADDSVLE RLNSVLEPHRSILLAEKGPINSMVVADAGFQFLSTMNPGGDYGKRELSAALRNRMTEI WAPQLSEDEDILPILQRKLELNSEHITKAMLEFAKWFKCTFQNSSTTSLSLRDLLAWV EFVNKCRTADPLFAVVQGAAMVFIDTLGANPAAMLATALHDLQGNRRLCLDKLHELFD VDASSIYLQKSTIEIENGALRVGPFALEINGSTEPDPQFILDAPTTIANSVRIARGLQ LSKPILLEGSPGVGKTTLVTALAKALGKPLTRINLSEQTDLTDLFGSDVPVEGGDVGQ FTWRDAPFLQAMQRGDWVLLDEMNLASQSVLEGLNACLDHRQTVYIAELDQSFKRHPD FVLFAAQNPHHQGGGRKGLPASFVNRFTVVYADSFTDTDLKRICAKLFPGSPSWQTNR LVDFVSLLNKAITHERRLGAVGGPWEVNLRDIQRWLQLADRGTLQVSANSFLDVIISQ RFRSQDDRDLVTRLYKQVFSEVPAPNKSYYHNLTPEYMQVGLGIMQRDLYLQRTQQSQ IRILPRDLSILESLMLCIEQSWPSILVGPSGCGKTTLIRKLAAVNGASLIELALSADT DTMDLIGGFEQIDYRREVSSLVHDVSLFLQQQILSMNSAGTALYETPIALEIYENLQS PDASLENICTFLTMLSQTYPQTAFDDMLLRAQDLLETTKQSDRMKVGFEWTEGVLTQA VQHGYWVILDNANLCNPSVLDRLNSLTEPNGALILNEQRTEDGSARIITPHPNFRLFL TMDSRHGELSRAMRNRCVEICFLPQEDETTVATSAPAYTCESFLYRLRPLWNLDPSAS ANDVSRLLCEICLDHLSVMDLAYLQQSLKYFLPYHGKSVGDIVSSAMHRYVSVIHGNS HWKPIECTKKTIELSRASFAIQGPSQPLHPLVNEPLVSLLGSEVPYTSLVTLAHLQES KLDLQLLKQGLLQADESGKLLKPSQMSRLERSLASTRIPSLMKDATQPIGSFLSDCGQ AMYDIIQNLDQDTLQNPHLANALRTVLNFCMDIFRLTAARDIDEGEFQVYLQIGRELC AALSSSFEPLKQLAVALSQALSRFQESWALKTGLSMQRLWESWRPATPATGGQLKSIL DLESVASEFTNIATKTHLDLSQLSQMRSSLVNAQQSIVLHGADEGQLVQNLRQTVSEL ASVVQRSDITAAPYFSNEFETLCQYHDIFTLKHNNKAGDNVIKSILPLLAGRDARPLN VSAFQTQVPEILHRLALYSGSERSSTFGSAISGTFSLSLLEKLTNVGVTILGQMDSLE VEKQTLSKALALSSWEIAMDQHKVLRQVSSTLTMELVLAHKEFFEPQSMEKLVTILRT VEEQGYCANPGLLNIRLEKSLPSDHYFKTFAEQSLPSLVASLATNPVQNNDKSIQDTG AAVVQLAAILLRLYVPDKPFDPSLGLVVQRQRHSQRSLELTDKANAITSFERVFSGQT SNIRHDLIQGELRDLGSAPPPSSVTRPQVSELSILHGEFTSVIKSVLERLPKDILGAT SQDLSESQSLVNLLRDNIRQLSRRLSTHYRSYDDIVVSVVRFLQLLDLGLYLMSGRSQ QSSYVQAVEAISGSTPFLGGVHHPLSDLYHQNSNIDPRHAIDMWFHELSSLRVAENAD PGIIHTQVGRSALSRLFQQFHGLWKAKLREDQEEEAKKASLYQYRGSWEDSEEIDENE LRELFPTYEEETVDDGRKPTRLDPKNISIRLASLHAKLFQPENPGRVLTTYVKQSAQL LASLWSEGGSSVPQVHPRDQLSGVLLLLEDAVNKENDSRNTYNFYVDANPDEGRKLVS LTVATEARFLQIQSAWPEHAVLADVILCCKEILQFKHAEPVAKFLTKVEKLHSLVHEW QLVASKEYSAAGVYDEITNLIINWRRLELSTWARLLDLEKEKCVQDVSQWWFVAYEAI IGAPVQLAESGQTDLSEHTQEVIATLENFFRSTTLGQYSERLQFVKNFRSLLSLYVQD FPCLKQLVFALDNFMQHYTQFEPPVHKLLKERRSTLEKDIKEQIQLASWKDTNIVALR ESAKRSHHKLFKLVRKYREILAQPVQHILEQDMPDDSEEPGSIDQALILPSASFPEAL ALCQKENKIWQSRPPRFRDPDGTVSNMTHMYVSISTEFDVSEDLDGFMKYFLESIKEF RSQTPKTLTEENKDDVQHLKVQKRRFYADTLRRLLEMGVKRNASTSLIETQASVAQVL ASSPALEVGSVTTTVVRSSDLYFHRFLDILPRVRQAAHDYSEDLSNVEVSRSSGSVEH LLHLVRKQRAAISPAMSSVESLQSTLVKISNLWKSNPTLVLKSRPQFSNEVRVTARAV AWLTPILGLAMTVVELHSKFSGVNSSNIVDGLRSWREVFTMLQKSLDSLPELPHGVTS QLHVDTLKEASFSFDKLNTDLTGWAEGRPDLSFVLMQITPWAHINVEQAEALQPKNTL AIEEFDGSLLTAIDKILISLQKLKEVPSLIVSPGSLSRSDEFFSRALKAVHLPDITSS LESVLDKLQGLKTRSNSGLAPAVALVASLLPIMNKYRNICKDLVDRYLTVHRETCKMS YLLAKSFTQIASEGFCTPAEASTEESKSGKLESGTGLGEGQGAEDISNDIGDDEDLSE LAQQEQTEEPNEDMDESGDAVNMDQEELIGETGEHKKEDDEEDASGDEEDDNIDEEVG SVDDLEETAVDEKMWDGAHDEEQKETENQEGKGQSESDEQTAAQERKEKEGEKGEGKN EEDQEEEEEEEEEAPDDEGEAVGREEMDVTDPHAKEQDALDLPEEMQLDGDEMDKDEG DESDDGLDDGLDDDLPPAEDEQPFDGKEEENVENPDLDQSAEEQEGDQGDEEVAPEDE EMKEAEADETNEPGVEEPEEAQQDDFLTQRDENEEAGEEVAPSEAVNGGLGADQDQNM DKGASGNAEQESGSADQSDDKQQKTGAANEGEDNERHRDAGGGDDGNRNDPQLQAFKK MGDILEQWHRRQKEIMEASQQEEGESDQPLPQDTDMADADFEHLADQDDVADTQALGQ ANEEQTQALDQNKGVESDAKHGDNEPLPDASDEPQDTLENKMQDEMQLDAASESKDQT GGALIPGSSHTQERTVDAAGQQEAIEELDEVDAQLAAIHLSSSLPPLTPRDEAQRLWS HYESVTNDLSLSLTEQLRLILAPTMATKLRGDFRTGKRLNIKRIIPYIASQYKRDKIW MRRSIPSKRNYQIMLAVDDSKSMLESGSGQLAFETLALVAKSLSMLEAGDLCVLGFGN EDHVRVAHEFGKPFSAEAGTQVFQHFSYQQTGTNVRKLIADSIALFREARWKQSPGSG NADLWQLELIISDGICEDHETIQRLVRQAQEERIMIVFIIVDAVKGSSILDLTQASFE PDTESGTGEMKLKMKRYLEGFPFPYYLVVRDVRELPAVLATALKQWFAEVVDVSS AFLA_135000 MALVSYSDSEGSDSEPETTTKPTTQTTTTKPTTTAAPKPKLVDS SNPRKIRVALDLKPEEKNDNDDGPARKKAKIGGGGAFAGFNSFLPAPKRAAAPAAAEK KGSVGAGRKVFSLKTGATPGFDRGLDEESRNEWAMEQAGSSTPGGRGDDDDGTIPKAG SLRDDGGEGGLQMKKPEEVKLKGNPMMFKPLSVARGTQKKRKVVSSKPVETAAEVSQG VKESVSEQSKPAASASAPVQPPAPKPKVSLFSLGSSEFAASVVPGPQAQSATYEPLVY TADTDAPEAGPEVEREPQVPAVASEPATTQTLDNIADDLNLSRSQRRHLFGRNADASK SRILHFNTDKEYIANQEMAHQTDMAALQHNPVRAIAPGKHTLQQLVNAASSQREALEE SFASGRRNKKEAGSRYGW AFLA_135010 MDPLEPNPTQDPDKQPKPGKFRFKTSKSKSSSRRDDTASTHHHS SHRHTSHRHRSKRHHRRRSASPTPIHSDQQQPGLNADAAFRESLFDALGDDEGASYWE TVYGQPIHNYAVPNVPKGPNGELEQMDEEEYASYVRTKMWERTREGMLAEQERLRAEK ARQKRRDERREEDMREKMRFERAMEESLRRGKERRRVKAWGRVWEEYVRSWGEVDRAV EQVRDTGDCGRGGGEGTKLRNLIFWPVESGKRGDVSRETVEEFMRHAPGEDLLAVLKA ERVRWHPDKIQHRYGALGIDEMVMRSVTEVFQIIDRLWSEMKGKQS AFLA_135020 MLLPRLSSLLCLAGLATMPVANAYDGDENIKSIPLRTHSLAPPY LDSDFQSRWFDFGGDTIIRADKYIRLTADRPSQQGWIFSRVPLTATNWEIEVEFKIHG NGNLHGDGFAMWLTKQRATQGPVFGSTDNFEGLGIFFDTYKNNRPGTSFPYVMAMMGD GQTSYDQAHDGKANELAGCSARGLRGASIPTKARLTYFQDKSLTLDLQYKSEDSWTNC FTLTAPETNIAIPSVAYLGFSGETGELSDNHDIVSVKSQNLYSVGPSSRSSRGPSADS GRVKKTKKRKGSWSGFLFKTVLFFVAVAGCYVGFTMYRNKQRYSRF AFLA_135030 MALFGSGSTNAASNPQEVKTAIIKQLQQEAAMANARNLIGKVNE HCFDACIPAPGSSITSKEEACLSQCMEKYISFWNTASRTYVSRVSRESKRLGGAENLA MMATPTDTSL AFLA_135040 MQSAVTQSPPAHHHHGHHHTNSHNVASIQNIIHSSHQSGQSVGG GSQQSQTRTTKGKYSLEDFSLQRTLGTGSFGRVHLVQSKHNHRFYAVKVLKKAQVVKM KQIEHTNDERRMLNRVRHPFLITLWGTWQDSRNLYMVMDFVEGGELFSLLRKSQRFPN PVAKFYAAEVTLALEYLHSHQIIYRDLKPENLLLDRHGHLKITDFGFAKEVPDITWTL CGTPDYLAPEVVSSKGYNKSVDWWSLGILIFEMLCGFTPFWDSGSPVKIYENILRGRV KYPPYLHPDAVDLLSQLITADLTKRLGNLHGGPEDVKNHPWFAEVTWDRLARKDIDAP YVPPIRGGQGDASQYDRYPEEQEAYGQSGEDMYVQPARYIFFRITDDPNLL AFLA_135050 MSLLHNEDFTIWQLRTSYLSTIKDGIGDRLINVNNSVLNTPGFR AAGWSSASTNPSAQSVAAHIRRTYSPPIPTTAAVTSEYYQLGVSRDANEAQRFGLGED GEEDEGGMVTGKSSTEVIGRRPHGRAGKRTHRKERQQNDSYKQRDAEEDDSSDLSDES DDDVDSQRASQQIKFPKLPIRTRAGSSPIRSTDRQEGPQLMVTSPSHPTMGMHYRTGS LGTAVSVNERPRRDTTTTASSDMSSDNEMGSLASRKQIQFSGQDQVIELASNRRRGAG NRNLGGLDEHPEDSGAESEDSALSSDFDATAGSASLLVGVGITGSLDSSSPMMMHKLP NGTGPQTASPRKAKTPAPELQDLPPPRPISTVQPVSLLSKALNARKRAPTNPVEKFAV LSGKGLTDALNIKLYLPFSSDPEEPIDLPIARESKLAEQPAPVTVVEAIGLALWRYSE EGRQPAIERNKLTVNRWALRMVEDGEVEYDFPALGRTSQIMDFTSNNNRATGARGRGR GKQYDEFALVEASDSEFEENERQFPMESQAVLPEDTNDAASALNVPSAQPTSQNKAPR PNPILGQPFSSALNDNTLTPADRPAVPTSHATPRLGVSKTLKIRFINIEGSTQVTTLN TSTDSYIAEILDSVCKRWGLDKGNYLLKVMGSNTIAPLDRTVEALGNLTELDLVRRRF GPQSLTGSPGSSSPNAPLQIDSGTVPSSKKAKKGGPRMLHPLAQQQDLIGGYYRRYHV FRKQSMSFTASNHKILTFDNDYMHIMPGDTAKTGSDTKTRSISFNDVVGCKVSRRHPK NFRVVVLRGNDANEQKRYDFEARNALEAVEIVDEIKKNMAHYRI AFLA_135060 MPRNNRREQRNRQLHSLEGNGNLKPWAKRRTTNPRKALKRLLHF ESVVNSSCAKKPKGSPSKKDQPESKEIQSIFDSIPTVRPPSPPPESGDKKKFNPFAAR ARSPAAAGTAEIPVGAENCLAGLSFVFTGVLDTLGREEGQNLVKKYGGKVTGAPSSKT SYVVLGGDAGPKKLKTIRDHNLKTINEEGLFELIRRLPANGGDGKAAEKYEEKRKAED KKIRAMAAEIEQEEKRKAKATSTAAAKASAGSQAPSSSQSPRPEDELWTTKYAPTSMN MICGNKTAVEKLQSWLRDWHKNAKGNFSKPGKDGTGIYRAVMIHGPPGIGKTTAAHLV AKLEGYDIVETNASDTRSKKLVETGLLGVLDTTSLQGYFAADGQKVHREKKNMVLIMD EVDGMSAGDRGGVGALAAIAKKTHIPLILICNERRLPKMKPFDHVTYELPFRRPTAEQ IRARLSTICFREGLKIPPPVLDSLIEGTHADIRQIINMLSTVKLDQQNLDFEKGREMS KAWEKHVILKPWDIVSKILSAQMFSPSSKATLNDKIELYFNDHEFSYLMLQENYLRTR PALSGNYQGKEQKLKLLELADNAASSISDGDLVDRMIHGTQQQWSLMPTHAVFSFVRP ASFAYGNMTERAGFTSWLGQNSKQGKLWRCTREIQGHMRLRASGDRDEIRQQYLPLIW DKLVRRLMKDGKEGVEDVIDFMDSYFLTRDDWDALVELGLGPMDQSMVKLDTQTKATF TRLYNQRSHPLPFMKASSVAAPKKMPKEKPDIEDAIDESDDEVLEDDTKEDDESEELD LKKDKYVRVPKKPAAKSAAKGGSGKGKKAKKATDDDFIDDDEKPKKGRGRKAKA AFLA_135070 MASTMKMFSGPQLALFRPTCLSTTFTASPLSRCFSTTSPALDWL TPKFMETSKSPKGRPHVATGGSSRGTTVVWGDYGLRMKDHDRRLPASSLKIAEETIKR RLRGMNYTLYKRVSANIGVYTKGNEQRMGKGKGKFDYWTAKVGVSRIVFELKGDIHEK VAREAFRLAGHKLPGLWEFCKKGDPPVVGLTKLGNGVTLESLKRPRRSPALGAANMST PPSSTSSSPSASQ AFLA_135080 MALLVDKLRPRSLEALSYHHELSARLKSLAQSGDFPHLLMYGPS GAGKKTRTIATLKELYGAGVEKIKIDARVYQTTSNRKLEFNIVSSVYHLEITPSDVGN YDRVVVQELLKEIAQTQQVDLSAKQRFKVVVINEADHLSRDAQAALRRTMEKYSPNLR LILLANSTSNIIAPIRSRTLLVRVAAPTEDQICSVLSAAGKREGWPEAPGLNKKIAKE SGRNLRRALLMFEAIYAQSEKVTENTPIPPPDWEVLISITADEILAERSPARLLQVRA RLYDLLTHCIPPTTILKTLTFKLIAKVDDALKPDVIKWSAFYEHRVTQGSKVIFHLEA FVAKFMRIYESYLMGMDF AFLA_135090 MICQQTGWGGQPILTHRGGDADTASRVTPVTDARRLRITFNGSV SDVLPWKFTPQQREVRVLPGETALAFYTATNKGPSDIIGVATYSVTPGQVAPYFSKIQ CFCFEEQKLNAGESVDMPVFFYIDPDFTKDPQMKGIDTITLSYTFFKARYDDNGVLKP IPTN AFLA_135100 MDLVACIIWARWRRWRSARGRWSRAESLAPKLADSGVFAASAAV VMWAWFYLPERLPKSYGKWIGEVAKVDSRLIEALRRARRGVFVYGKDTGQAPLLESMC KDYGWPIEWGDPSKTIPIPCEMVHMSCGPNCEKHAVSRFARTFGFACATYIPLQIVFR LRRLKSVLSLRRAVSDALRSSAFLASFVSIFYYSVCLARTRIGPKIFPRDVVTPMMWD SGLCVGAGCLMCGWSILVESPSKRQELALFVAPRAAATVLPRFYDKQYQYRERITFAV SAALLLTCLQERPGMVRGVFGRIATSVLK AFLA_135110 MDTPYEPAPAPPPGPALLDDNESNMLDNFFTTMNSNHFTNDFWL QGQQNKSLGPPNFEWSDELPPTFEGSTTSLSQPSLPHRGLDKSGMDILPNHSNSDIFA AASMLYQNGMNGAGLVAPFAHHPFSSFSNMDYNNTHMNGRVKPHQPQSVPSKQSNTLT GARAPLAYHTSEMLFDVRDPISAEQQATAKVRPLHWGSDVSFMDQGYVAPPDQPNVEE QTQELLRHLESLEPQSSAANTRAPSPERITGHHSAHWTGPDATGPLSDLRREYRDSME DLSQPKKRQRTLIKEEEDENSEDDTKPRSRRSKSTSYGKNRRMSTETIRKSKVQQGAK PARENLTEEQKRSNHILSEQKRRNLIRQGFDDLCTLVPGLKGGGFSKSAMLTQAADWL EEILRGNEILKAQLADMKAVNGLVMPR AFLA_135120 MPPKRKSSGDGHDQDDTRRKRFAYLKPQVRRVAERTIKSKWSTL PEPMQEKVRDMFRALERPVIVRQQSERKRIEAQAAVQAVVKNLGKRLPRMPFPPVTKD SVFEYEAALKEHCSLEASLATVTDSTDLLKAEIEKEEALLAKETKQLQEMEKNAKRAE AERKRQLKNEHPVLRQLSVPGQQSQDHTQFTLAGANDLQTTFDELENDPEVVDLLKHL NGHLKSMQSNTAPLAGLSEAITRSQTALSLICRPED AFLA_135130 MTEKNAAQTGTRPEQSGNGTLGNLKNSMAEGDAPKKDSTAKDNS RNSPASKGENAAAGTHASPKKRRKVNHGKQTTSPCIRTRIMAADPHTPLPFRGYELYD ANPVATLLACVYCRRSRNIGHLCHDEPREPSKRARSEHEHSVGDDESVQNNDFSNVLQ GMTGNVDVQDAAGQQILPNGIPSSSVQHGNLPSSSGQAPGATSQPGKSWILGYNDWLG GQSQFQDMHTFHPSYMFNAPEVTNEYNLLGDFLSNSLLDDGSMFQNDDLQGIYSDPTL IGSMATLGGGPNAALLQQSQPPPLTQTQPSQGESIQGPISGAVNDKARETYYMTAADP SGSDPPEERMNKLLKAKYDAGLLRPFNYVKGYARLNQYMEKNLQQASRQKILRQLDKF RPKFRERMQSLTDIELILVEMWFERSLMEYDRVFASMAIPACCWRRTGEIFRGNKEMA ELIGVPIETLRDGKLAIHEIIVEDQLVSYWEKFGAIAFDNTQKAMLTSCTLKSPDSNA PGDGIPCCFSFTIRRDPHNIPSLIVGNFLPSQRKSK AFLA_135140 MAQSFSPRSASLMAFSAHRRQSSLSESHKLNPKPDQWPTQQGSI LVHLRRVGRKYGCSVEERPGLLRLLAIHCVVPLYSQFVVDSEYSVAKDRTASRKSWSS SLLREIHSSSTVENTVFCSFLSSSSFTFPVFPNCAEKRQPAAMKRLAMYFASRKPSFR LSITCWRHPAANKVCPDRRASAAHGKRPPMSQINHYSPDKYRFIFRGKLKHRFFEYEG APVFCNVEIAFG AFLA_135150 MTAAGDLRIYFLNVARYEAHSAEHAPTANLWPGGELLPQSFSTE ARLLMTGLVRSVKEASFTKSHGLTGKSLEARVIHVGNNPGFTLLGQGRNCSMPSNRGN AFLA_135160 MRRYVYIYIYTVGYSFVPKSLTLYWLQLAVVVAAVLQKQSPLAL GNVMGSTISNILGAFSLGLLLHPGPIAFDQSAKVYTALLLSITTAFYILTYFNMLNKI TGGVLVAIFLMYIICTCYAIHKGAMEPPEASDSDSDSDDDASDDEHSYSTSRPQDSRV QDESETSPLLTHEEALVAKKSAPRSLFYHISQLVMGLLTLTISGYLLSRSASVIADCF HLSGTVVGLTVVSFATTLPEKMVAIISGSRGHSGIVVASTAGSNIFLLTLCAGVIALA GLSADKSDHVLLFELLFTWISSVMLFLAVFVRADRAVGALFLVITVVSKLLRLGKAVA QRSIPLSAEDREYLQYKGVFNLPRSDTCDELLRAYFHHVHPIVPVVDATSVLSSYPSG ESNQCNLLLLWSMFFVAANYISTDTWKQEGYSSRKAMKYDMYSRATCMHHISGETDNT VLLQSALLLGFYHSEVDLHMKPWYWTGTAISLCQIMGLHRCPSSAWSDSSIPERQQRL WRRLWWSCFFRDRWLSLTMGRPLRIDLRDCDTVMPSSVDMLSDMTGLPEAVASAYIPT DLPRLADCWVTMIHLSKLLGDVLSLSYRPLGPHPSLQQVEATETEILLFQFPDNSDAD RSRLATFYMYHLQLHYQFVLV AFLA_135170 MDAAALQTNSIVDNLAREKLLEFGGPMTPPLLVPAMQVHLLNCK SSDGFIRRLGLNKLELCMMILEQMQHTYPSASIFRGIFLGAIRQVFPDYMVQPSKPGT AAPEYPILQDAPLDDPAASMVISDDVIGALMDEASTYNFWETFSWM AFLA_135180 MQLTWQPPQNYRSRPVVVLGAGVLGRRIGMQLNQNARCIWASAG YEVRIRDPSEQQRADGLAYIEENVDSYAQKTGQKPGKYSAHQDMEDAVSNAWLVIEAV PEKLELKIATFAELEALAPEDCILASNSSSYKSSEMIEKVSDATKARILNMHYYMPPG CMIVELMTDGYTDEGVFPFMVERSKEAATLPYVARKQSTGFIFNRLWAAVKREVLTIL AEGVSVPEEIDSMWTEMFIKPRNLPCKTMDQVGLDTVAFIEGHYVQERGLSPEKTVDF LKRNYLDDGKLGNKSPKGGLYSPVEDKKDIVDDKTTAPELLVLDIGLSTANPTTTSGE VLKLSSDGKIQKVLVPNQSLPDGIAVDTTTSRMFWTCMGVPGKDDGAVYSANLDGSSI QTVVPQGRVNTPKQLTIDAEAQKVYFCDREGCRVWRCGYDGSDLEAVVDRSDSKDAKD NAVSDCSWPGQVLLDTEGAFKERKGSHLLCQYRHP AFLA_135190 MQEFTLQDVAAHKSKDDLWVAIHGKVYDITKYVRDHPGGADVLV DVAGTDATAAYEDVGHSEDADEILGTYLLGTLKDAQEFKKPKTVRLVQQTPAKAETNS NNKSTSAIKTVVLASGSLGSALLLYISSRSNSALREVLSKIPKLSLNRLPEIHVPSGG VLRGGFSSGFVAATILCAAIGGVVGSKLSKFTRIESGFMRYPSRIKSRGLKKQNPHLA KGFLEPKDYKNLPLIRKDQLAPNVYRFVFELPGPRDVIGLPIGQHVAIKANVNGAAVS RSYTPTSNNLDLGRLELVIKCYPDGILTGQYLANLKVGDKVQFRGPKGAMKYHSGLCK KIGMIAGGTGITPMYQLIRAICEDDTDTTEVSLIYANRTEEDILLRSELEAFARKYPK NFKLWYMLDHPPKNWAYGKGYVTPEVMAAKLPGPAPDTKIMLCGPPGMVNASKKALTA AGFQAPGAVGKMTDQIFCF AFLA_135200 MSWYEIGNKNGYNEGYYAGREAALKELKNQEGIDKTKRACLDEL LHRDPQNTYYSSNVIRDFLADFYKADFDRDGHITLQELCQQWRPNDEETFKKLEARFK EAEVTGDQKLSLAEFFIIGFLGDDRKNGYKVAKKVDS AFLA_135210 MQLPVSLGLLTVLISSVTARYYDWGEYHPKAYCTAHIYYCGKTL LTVGNYRDQIKDVLRSEGYPLDDWHINNVLLYCRKGTSDELGFERMPKYQCYDGGDGR SDYVDDVGPVSPYSSSNGKASVQVEQTVIDVVN AFLA_135220 MPIRQFYLLGEPVSSAREIEIESSLDIAGLQHLIAAHFAIVEPS GIGFQANDNTLNDIPEITSSNGPVAITIDGKEVREPPGPKGLPIVGNFFEVYPDHLGN HQRLFEQYGPIIKTTSLGRTTYQTNDPVLSSIVFAESDFFTKKINEAHPLYALKQPSA GVFLGDTETPEWKVAHKFLPPALGPKAVRHYAPTMQETVEDAFKVFDEFDKQGEAWNV YQYMLKLGSQAVGKLTLGLDFQHFTSPDANLHEMVNLIAELLSLNKKVTSKGDWYAKL PFGDPQKLKQIKVRIIEMVEESIRSAERGGVVDLPLQDAALQASNMVDYAVRATDNKG EKLPKTSLVWALTVATGAGFTTTSSLLSWLIYGLVTYPDMQERLLQELIDHDIDENTQ LTADITDRLTFLDKYIKETQRRHNPSFQPGRTAKVDLILPGGYKIPQDSVIIPALHHI HNNPELWDNPQKFNPDRWDTEEVKNRHKAAYIPFAMGPRMCIGFNFALQEIKVFLPKL IYRYKFTREGDGHIEYDPMFQLIRPNNLYVRAERRVKWPPKTEN AFLA_135230 MELQTELGDSIGWLFPRTIAYGRLFDLQNPSPEIFFDALALSLG AICLTGFDGHEVNEVCCYDCEGVPMKFQSHFIGSVVDLMIVDTRDGVSTRIALGRAFL RIWANASPKSQSFILV AFLA_135240 MFTASARSRLSTLSRPRLSPTNSLLARSAVAPTMAPRRKASSVP EGYVEDLSKGKMLRFEDSLPRLPVPTLEETARRYLKSVHAVVSEAEYENTKKAVEAFV RPGGEGQTLQERLLARAADPKNKNWLTEWWNHAAYLGYRDPVVPYVSYFYSYKDDRAR RNPAKRAASVATAALEFKRQVDDGSLEPEYMRAAPIAMSSFEYMFNCCRIPADGADYP QKFPAKENQHIVVVRKNQFFKVPLVVDGKPLNVSELEKQFERIYQIAQKSPAVGTLTA ANRDLWTDARKKLLAAHPANEQALRDIESSGFLICLDDATPVTLEERARQYWHGDGAN RWYDKPLQFIINDNGTAGFMGEHSMMDGSPTSRLNDHLNNLIFNNKIDLSEQPVRSGL SDPRPINFHLNDEVLEAIDAATKEHRQQISAHELKVQAYQGYGKGLIKKFKCSPDAYV QMIIQLAYFKMYGKNRPTYESASTRKFQEGRTETIRSVSDDSVAFCKAQSDPSVPREE VVRLFRTALASHSKYTAEASDGRGVDRHLFGLKKVLKEGEKLPALYEDPAYSYSSSWY LSTSQLSSEFFNGYGWSQVIDDGFGIAYMINENSLNFNIVCKRIGAERMSYYLNEAAS DLRDLLMPDLAAQTEKAKL AFLA_135250 MSISAMLGSDADRPARDVGSSSIFSRIPVSSAPFSSAPPPSAPS AMSPPTAPARPSPLEYSSFRRSHTPEKSFSKAQPGRPYRSSSGGMSQPSVAEQTKFGG LSRVPPSSQYPDKPSSAHHSPQVSSAEPSYNETRRFSFSAPAPRPNSQPQHLEAPQRP AGYSPLARSAAAPGGGDGFGGAHQRQASYMGQESQHNRFGGIYVDRHLEEQAHRERER AIAHEQESKAAHPQSRYGPYGERDPAAARQQSAATWELGRSQPPSPEAKRFPAPEPGS GFGFGAIQSYTKSLGSQLGGSRQPPLSIQPRQGQPTPPPHEQPPYLSKLQTEQRLFSS TPSAGPSSLARSASSDDQRRKGSDELLQHRTLLGVGLDAKRGGRASPLPQAVQGAQAQ ILGPAGEAGIKSELGRVFSGIGSGVGGVTATTGSGPSTPMVASPFKRDSVTAKSANSE TTTDETKIGRPTSANGKRPKRSRDEDGRAETEGGTDGRLAASARGSRRGRHVHHHHHH HHHHRHKPEEETSALGAHRAVSSLNYFHRASTQADAAATAMGHHHHHHHHHHHHTPRP AAANPPAVTPMREPRTVVTIEPVLSSVAHLPRHHLGSTLYAPRLGVPTEKATLESAKF GYTTTPLPLPRFEGKENCTFTIRVPRFRIDSSHREEICARRALWGTGIYTDDSDPVAA AIHSGFMRGAWGEDVDTDMLDLEIKDAYQHAPKTAQDVGLPDGDRPRVPPVPPSDKDL HIILLILPRLERYDSSVLFGLKSRPWDGTHDGMSFKVLRTEWVDEGVGRGEERGGEAR RKRLRNMMQTGRICTGPGVLKLEHLRNGIQITRQKTKVMESQEPQPAAPVQTVS AFLA_135260 MNPPPSHDPSRRRSLGSSGSPVFPGECRAFRQKAPLLPRADHKN SPPRLP AFLA_135270 MRVLMEVVVVRRHEVAAEQRDEDRGSRLGSPKDANDVLVGEGVS GNPSSSWRGWYSSGCRGLKHTRWGRFSCLGDEEKN AFLA_135280 MATMKESDLLKSTPSIGNKEYDSYSWELLEDHPLRSHPTECIPG LSSYVAKYLAILKRRWTERVFPIVCLILMFLIVIQFLAALPYGLTYIVFRSGIEEQKG FVQWPTEFSREPSTCILYNPQARDAIQYAIDAGCSGVKVDLQAQESELLVDSLVSDRE APGTLGSLYLNSILRKLDARNSAVVSPASTDETSPIGLFDEDPARPFTLFLELHTSVQ AAWPHLVSQLMPLKQKGYLSYRNGTRVVPRPVTIVLTGLEGLDFGDVVGSDHDNILDS MMFDTSLEQLVKEDYGPTLRVTQSSRGVGGSNSAQTEADTDNLKQSHKSSYQLVTATA NFTRSIGFPRRGGRFSPQQIERVRAQVRAAHRRGLRARYEGTSDYPPPVRRMIWRILV REGADIIEIDGRGCEIPWWRRFFVTGSMECRGRKGDTGNV AFLA_135290 MLYHSVTIFDPRVISLSGWGQISTKDILLCYSTMRSLRLDAMCK HLGIEVED AFLA_135300 MVEIGNEDVDESEDKNEGWGLMILLCDQQERGRRGVFMHSLMTS TIPFPLDPELHCSHVNFSLE AFLA_135310 MQISWFAVMAVLFTAVAAKSSATTTTSAATTSAKESSTSASTKP TKNAAAGNALNNPFAFLREL AFLA_135320 MWTSTAPMILSIHSTGPVRRSMCSLYGSPSSTGKANRWLCNSRV YICAILGLSTMIVAFASSIFAPAIPVVMLLYGVSKEVATLGVSLYVFGFAAGPLVFGP FSELKGRYVPLVLSMFGFTIFSFATAVSKDVQSLFILRFFTGFFGSGPLTLAGPAFAD MFSPQQRGVPIVMFCLMVFIGPLAGPFTGGFIIMNHSLGWRWTAYIPGILGAAALVVM TLFLEETFQPVILTRKAEHLRRETGDWSLHSEQEEIHLDLRSIIRDNLSLPLKMLVKE PIVLCMCIFGAFVYGLLYLFLTAYPYVFQITRGMNPGVGGLPYIGVIIGTLFGAAATA ATQPWVLRKLKQNNGEMMPEWRLPVAIPGAVLFTGGLFWLGWSGYKGNVHWIVPTASG LFTGFGLLTMFLPSLAYLVEASGDKSASAIAAHTFLRSAAGGAFPLFATQMFDGLGVE WACTLLGCVGALLIPIPLLLYIFGARIRARSGLSA AFLA_135330 MPRIPASEETPMLPLPGAPASSWARFRERLSALFSGADPRVCIA FWLFGLINNVLYVIILSAALDLVGPGVPKGVVLLADVIPSFGTKLIAPYFIHVVPYSV RVVIFVLLSAIGMLLVALSPDYTDGGTISTKIAGIILASVSSGGGELSFLGLTHFYGP FSLAAWGSGTGAAGLVGAGAYALATTSFGLSVKTTLLASACLPAVMVQPLMETPDHVL GRPSTKFRKIQVLAVFLFWTTYLLRGNKHGPPVVRNVSSRLSAKLSVWQTTVGVFLWL YLCRNFAKIVGLECPEPLANLYSRSFFRATWITTALDAGFWTAMKVKPKWLRDIASLA FTVYYLFAAEQADEKVRRVRATLTVEHLRVSWNKGTTPYLWALASLVRPRLTKYPPRA IRIPRPPQSSYTEPTNAWLYFDGPLSALRDQTCIILDIPGGGYVAMSPRASEDRLLAW AGKTKVPILSLDYKKAPEYPYPYALNECYDVYHTIITTRGRCVGLSGNVRPRVVLSGD SAGGNLAVGTTLMALQSGSSDAPRWQGDHMLPRPDGLVLAYPALNMRVESWMTEEQMS LIQDKSARRTNENVLRQKNMDYQRLTPFTSPGPSTEDLLRDSSSDLDLEADDVAQGAS KKLEEKLKADNLATQTAAVAQSHHPKQIRTRLAVSSMISYVHDRILTPEMMRAMIILY IGPHNRPDFSTDFLLSPVLAPEALLARFPKTYIVTGERDPLVDDTVIFAGRLRQAKLH QFRERQELGLEKSHRTFNEKDHVEVSLLPGISHGFLQMAGFFPDSWKHINKCATWIQD LFEAAERRKSSSSLLQSLQDSAQYYQVPKSGASSGSPRNHKRSLTGESSGDEDRPLEM SLGRMTPLNPDNSTDNSSSQMRGKPSNGTSHVHLQGSTPNGSDIQSTSGSQGKNASRG RRSQPKGLSRRRRDAPTKLTMPPAHDYISDAMQTPPPKRRDRSIGSLPSEEDLLDRRM NGLAGGLMGIGEGARTP AFLA_135340 MAFVRVASRRLPLARKLVPAIESPLQRRFASAATGPNVKTQAKT SLPNPDPAADSATMAFVEERAPFMVPTYVRPAPMMVKGQGCYLWDMENRRYLDLTAGI AVNSLGHCDPEVAQIIAEQAETLIHASNLYHNAWTGALSKLLISKTRESGAMRDASQV FISNSGTEANEAAIKFARKVGKSLDPSGAKHEFVSFHNSFHGRTXXLAALRKRCDEVG AVLIFDEIQCGLSRTGTFWAHAHPSLAPASGEAAHPDILTSAKALGNGVPIGATIVSG KTVAEHIKAGDHGTTFGGNPLVCRVAHHIVERLATPELQNSVEIKSAELISGLKALQK KYPNVISEIRGRGLILGAQLSQDFTSKASDLITAARERGLLIITAGDGCLRFVPPLTI TEDQIKTALKILEQALDAVITKA AFLA_135350 MAFSLASRRVLNPVKTLRISTRAFSSHPVLRSSDDKPAFAFGGG PAPPRLPKEEQEIFEELQKKSTGAFSTPRTTPQINQSPHSEPANENPEFKASGNGEEL HPDLRGGLQPEFEGERNPKTGEVGGPKNEPLRWGAEGDWSYGGRVTDF AFLA_135360 MASTAEICEKLLTSPPQSQNAIAYNYLVPNIKGLENLVKIMDTA GAPAENTKSSTSTEISLFAAATEAFSKANTNCTIAESLERIRPIVELAKTKNIRVRGY VSVALGCPYEGPDVPPSKVADITATLLEMGADEVSVADTTGMGTAPRTMELLQALKAA GIANNDLALHFHDTYGQALVNTVVGLEHGIRIFDSSVGGLGGCPYSKGATGNISTEDL VHTLHSLGMHTGINLEEMAKIGGWISGELDRFNESRAGKATLARIQE AFLA_135370 MEETTANGSPVNSTLRQPKKRFVGRRTADAQAQKEQSSSQNDVE STSIQKAAPRRTPRTLNQVPPEILEDPEIQAAIDLLPKNYSFEIPKTIHRIRSSGAKR IALQFPEGLLIFATTISDIITQFCPGTETLIMGDVTYGACCIDDYTARALGCDLLVHY AHSCLIPVDVTQIKTLYIFVDISIDTSHLIATLERNFQPGKTIATVGTIQFNATLHGL KPVLERAGYKVIIPQITPLSKGEILGCTSPQLSSEEIDLLLYLGDGRFHLESAMIHNP SIPAYRYDPYSRILSRETYVHDEMHTLRRDAINTAKSAKKWGLILGSLGRQGNPNTMA MIENHLNERGIPFVNLLLSEIFPGKLASMSDVECWVQIACPRLSIDWGYAFPRPLLTP YEALIALGVRESWDTANKGVYPMDFYAKEGLGRTKPSQAIPGTA AFLA_135380 MPPLSAYTPFESLLFFQSLAALDSRPTSFVSISDVLRNNPFIRQ NGTFDAGRLAPEALEELYTTLMRDGETSADIISSLEPNGHHVESNGTNPKKRKITSPR PDGLVDKGMSHAAIIPDLVSHLYARYKELVTREIRNEEARYKEIKDEIERLQKEERET PKESVPTPVIQKPTPQAPEPKLEPEPEPMDVDAKEERPAQIQPKDANKPSIELVPGID TKQYRVELQSKEEDIAKQPPVSTPHPAPVEEQRVPVQPVVPPQGRPVSTQVQSQLPPP RPSQQQPACRQLMPQTTPKPPQPQALNGKPAATPKQPPLAPRDTPVNQTPTNILAVQK PMPPSATPVPGIGSVPAPPATSSQAPQNTNAPAVSPAHQKPISTAKPAGKEGPPKTPA TTAPRPPPQPSFQQWSLNPPPQTPRPASSQPIPEPSGQTTTGRPFPSHPPQPLPEPET KAAYQTPQPTTVPSTPQPISTVAQSPAPSGYGTGYETPAGAAQALLYSETRSARPRLT IATPGNLTPWKKTPRLSIPVSPRSPERPRREDVSPISEKAPSLMGSREATPEEPVPPP RKRGRNEGKGSGRGRESELAGAEVDGKGGLKPKVEKQTGKGRDRSATSSRSRGRSVLS RDEESESVYPGKIKHEMPSTPAGISEAAEPEPRPSSGRKGTAASEDRPGRGRPKRKRG ASEALEAEALPPEINHISRIDPNQSTSYVLCARNFPRTGAPIMNDVTTHKHASIFTKP LTERDAPGYRDLIYRPQDLKSIKSSIHQGSKAVAAATEAANTPAADGESPAPNGGTPS KNAVLMLQKTEDVIPPKGIVNSAQLEKELIRMFTNAVMFNPLPQRGFGPAFPMTSDSG SRESTQVPELDEGGIINDTIEMFEDVEQAVTRWRAAERTADELASKSILSLRRGSASD LNTDSADEVKG AFLA_135390 MSDADQGWKPNGRPQSTMAQAFSSTLDSLFALDSDVHHLEQTVD ERKFQMIIQNRELEELQAKIRATEERLKARKSVIMDGSGPRSNGAQSDGGYQSTESAS SATSPTDTAGHYSSGDEQRQHGQTRNS AFLA_135400 MVLKYVLIALAALPGCLADDIAQLKSRQDAPASTACSKLKDKYP DITYSKLDLRYINANTEYYTSSAWLGPACVFEPSNSTQMGDAVKILKEANSPFAIRGG GHMPIANAANINSSGVLLSSFGLTQLSLSDDQSTVNVGPGNRWSNIYDYLEPNGLTVV GGRLGHVGVPGYILGGGVSYFSNEFGWASANVASVTVVLADGAVVQVTPENEYSDLFW ALRGGSNSFALVTNFELKTIKAPKVMIGMVSYGSDVAEKFIDAIHSFTVDGSKDPKAA TIPMAEYIPALGAASYSAILFYNGENESPAALESFLGSDLKATTSTFSSRSMSDWSKE LDPALSLLKGSKQRFYVLNIHASNKQAISIVHDTFMEVAREDLPVGVLVAALAFPAVT EKFITASTVNGGDPQSLDVNGAPYIWVEESITSAAIVSDNDVDAFYEKVNGQIVKNLQ AAGIEMAKFIYLNDANPSQDVFSTFPPENLERLRSIRSKYDPDRVFTDLMPGGFKVA AFLA_135410 MHDVWRTILSRFKQNTNAIKTRFDKYRALCKELGLQRDEWSESI FLDDLEKLLKLTAKFEMALMPSNGVDTHVNGVITKINGFNNGFDTHINGFDTRINGFH THTNGFDRGLELWQIEERYRALAQLQSTLGSFFERACPGYDQSKIPWFFDPSYYQCQG VNYDRFASPDVRDREQQLLETLQLGSNQNPKLLLMSSGMASFTVIQQYVVQQLNYGDT VVVSPYIYFESFQPMRSQKSLTVVNAKGFDPESIIEAAERNNARAVFLDPMCNTVGLD TIDIRRFAHLVANRGGWADRLVIVDGTLVSGGMQLYDWFDGPHCPKVLYYESAHKYIQ LGLDLIMCGYVVMPEDLVPAIQLIRQITGTVLYSRNASLLPPIDKTIFNFRMSRLTTN AEKLHRLLDAESRNMAEVTFPHHWRDYRWRHGGNVVTVRFHGEGLNKRSNLERCCDDI LRAAEEEGVQMVKGASLGFSTTRIFVADAFFENTDPFLRISVGVQSEDIETVARAVLS GIKRYCMSAVPVNLDVGQRLYDAKFYIAMASMLEVRARYAKDRVVFMEGEWLVPILKA LGAREEDFDALQQVSHHLGKDPTVDYRTIRNGLFYFNFENKAIQRFQKQRFTLTVQEN YKRHDSGLPRDFPEVRGDLQYNTVLQALMVAKAFIMNKVDVEPRAHLDYSSPNFLCNV FNIRTFTEKNILGEPTLEGVHADGADHTMTTFLGCTNMRSDSGITFIHDQKEITGIPA TEAQPSLIKHRFQHRHFLDSLLFADNEAKHSLTSVFQEDVSKRATRDMLLFLTRKPKL AGHSSGSVDAMEPHKTLPMNVPLWL AFLA_135420 MRYLITGATGGLGGHILEYFIAQIPFSDFAASSSSPENRSRFES RGVNFRHLDYENPTTLNRALHDVENLLFISTNANVIDVEKVKRQHRNVVEAARKANVK HVWYTSLPFGGLTNDSEVSVQRAHLATEKMLKESGLTFTCIREGIYVEGFPLFLNWYP ETTLLTLPRDGEIAFTSRVELAVCTARLMIQGGFENRIVLLTAGETITAKELVSVINE TTGRRVELRYVSPDEFVDAGPRNDRGGKSRAFFETLVSLWESAASGELRTMDGLMAEI LGRDPIPPRDAVRQLLVENRDHTWHQMYAKK AFLA_135430 MDFGGILLHLEARSMATVLIAGLLVYWVGSAIFLAVLHPLAKFP GPKLAAASDWWLVYHEWFLGKSLTDILFDLHQNYGTINVPYGGLQDLWLDMDRIAYVV QSLTWESALEANSLVKLHFSSMQAYSDIYNVKSKWDKDPEVYKAIVDTSSAFGLRNYH EAKERRDLIWPFYSRQSVQRMQKAINRQISFLVDQLDKQNQEGKLSNMSMAFRCLAQD IMADICLGKSFGTLEERDFGSPLIHALDEGLESYVLMKSFPTLRNILYSISAMVTLPG EAEFATYGTIVADHVTRGIQQPDTIPPNTMLGFLVPTSSNTAKEAPQPKLSQGHMTEE LQTFVIGAGETVASAMVQGLSGILQSPDLYKNVYEEIVQVWPETDGPVPPIEVLEKLP LLTAVIKEALRLTHGVVTPLARVISAGGACIDGHHVPGGTSVGTSHVFIHMSSDYYDA PDEFRPERWLGSSSDKHLVAFSKGPRGCMGINLAWCQLYLVLATLVRTVQMEYPADLN DIKIKWKDCFQPLYYGRPLQVRCTRAEGHS AFLA_135440 MLDTISESRPNGLATLGVVVATFATYLALKALYNLYLHPLRKFP GPKLAAIGPYYEFYYDVMKDGMFLWEMERMHQVYGPIVRVNANEIHIRDPHYYSTVYA GNHRSTDKYHDAVAAFSVPQASLATIHHKVHRLRRSILNPYFSKAAVTRLESAINERI ERMCSRLEETMHYGQVVDLDAGFAALTADIVTTYFYGQNFDYLGNEGFKFQVRDAILG LIQFYHFTRFFPWIANTIKKLPIPIMRLIHPGAAYLVSSQEEIKDSIRASLDKGNKAD AKSVIVQALEDPTIPPQERTLDRLGDEGTTIIFAGTETTARALSVGMFHILNNKTILK KLREELDTLPGVSSGVYSHVQLECLPYLTGAVQESLRLSHGPAIRLPRVANDKALKYG DYIIPPGTPVSLCTVLVHQDPCIFPDPHRFDPERWVKASKEGVNLDKFIAAFTKGTRQ CLGINLAYAEIYLTFAKLIRTFNMEIYDTTVDDLTVHHIRLTGAPKQGTGEVKVKVTE KIL AFLA_135450 MPGKQFPLKEYIAALAKFLDTIEYQDDNFSHEQRVESLRYVYQH TAKHFDQPIEKAAVTVSPKRLQAVMRTSTLVTVYCWVKCPLDVMVGVSIYFAYIIMLD DSSDTPTTEMKTFCEDLIKGRPQKHLFWQRMNAHLTNFLRYYDGFCAITIFRSTLDFF QGCWIEQNNFGGFPGSSYFPHFLRRLNGLGGISSATLFPRSEFDEGTVFEEIVTAIAQ IEPQLTLCNDLISFYKEYDSPRDQINLVSNLAHCNGVSWEIAFEELTRDTILYCEQLV TVFKGKDPKVEATVRAFVHGYVTWHLCDPRFRMQEVYEQAGQSEADLKFRHFYEQATS IGVIDFKLWASPSRLSSDKRKHEQAFGDDPQNGKTRVLESIGQANASEAVALAPLA AFLA_135460 MEGLRRHSVMLDCKLWKDDPIYFFKTLPPYISKYAQRADDASIQ AQIDVFGKDDVGAMPGALGPRGNFAAVTFAESFPDRVAMLAYLNEVLSFYECFEKQMT EMLDATLYANPVPKDPKYDNPVWQANYKNTMTKWPKILENLDPKLGPKCVKSLVALVE GTDMEPKMAHYKTMKEYALDRTNYIAWPVACDNAEFGSQLNLTQDQLDSVRDIFLPLW THSCYVYDYYHYDKEAEIHSTYGKGRSMINSIPLLNRLKGLSVEEAKAWLKQRCFELE KEYLQRKEDYFSENPVEAVPVDLRRWFLSQEDLATGFAIWCATTYHNHPPFGEGYAAP YEKRRKEGALWFEKVTESDQLMTGGFEVRYAN AFLA_135470 MPYATEETSSVAWFPEGNLCHSKTCGPRTNGIVLEAMKGKLSQP SEWDRSEPFCVMDLGYVYNEYQRWTSLLPDVKPFYAVKCNPDTHIIKVLNAMNSGFDC ASRNEMELVMSQGVAPERIIFANPCKKISDLEYAQQSGVRKMTFDNEAELQKIRQRFP DAQLILRCLASDPSATYSLGSKFGASSATSVKLLQCAKSWGLSVVGVSFHIGSNAKDP TAFDKAIQNSREVFDAGLRTGHDMHLLDIGGGFSAHNFDAMASSIRQCIGKYFCGIDV EIVAEPGRYFAAGALTLACGIIGRRDAAANDEDKENRHMLYLNDGVYGTFICNIFEPG PQPKVLRASGDFYPLDSEDEYERYTIWGPTCDGTDCVAESVALPKSLAIDDWLYFPEM GAYSTCLSTGFNGFHSDRETIYMSSDPAADIYL AFLA_135480 MSEFIPTWKQPSHPETLQVIKGATPYTASARSLVALPAGALFSK ITTAIPAPKKTYTSVQTGPGLNIELMSDLVYCNHSCSPSLEFDMSTFEVRVSRDRPLS VGDELTFFYPSTEWDMVQPFNCFCGSQNCLGLIAGSQDMEASVLSRYWLNPHVKDLLA GKQMTVAPESTEEISLKA AFLA_135490 MAKDQSMSRTASLCQEITVPKTIPVCIQDVFYRRVLEQHDAPAV CAWDGELTYGELDDKSSSLARILAQKGIHRGSFVPLCFDRSLWTAVAMLAVLKAGGVF CFLEPKYPLARLEHMCRHINAKMVLSGESRSELARKLGEHLAVNEDLLATSPSDQELV DVAPNQAAYVAFTSGSTGKPKGILVSHQALVAGILYNDKPMYLNRTSRVLSFASFAFD VSFLEHFWALLVGGCMCIPSESDRENNLLEAIENLQVNWAFLTPSVARVLNPTKLPSL RHLIMGGEPITQTDIDMWSPHVHLIGVYGPAECAGCTTIQSDYGKVESAANIGFPYAV TCWIVDENNHNVLVPTGSIGELVVQGPSLSEGYVNDPEQSAKSYITNPLWLSGSKEAE QQLYKTGDLVRRLSDGSLHFISRKDTQVKINGQRIELGEVEHHTRAVLGGNREVIVEA VKAGRPSSSLVAFIVTDNIPQSSTELFLAPDAGFKDRINTTKSLLRERLPDYMVPETY ISINHLPSTVTGKADRKRLREQFTLLTRAQIKAYFGLEDKVKVMPLTEIELKMQRLWA KVLNLDLHEIARDDDWVSLGGDSLGAMQLASLARSEQFFLTVPEIFRHKTISMLCQNI KTDVSETIEEMKPFALLCDHELESDRILQTIADQCQVSQNSIEDAYPITSLQLDASII PIQWGLNYTLRLEFKLPPTVDPAQLTLAWEMTVASNPVLRTRIVELTKDHYIQAVIRS KIPLENLDSSNMARYEPAVDVWGIGKPLVRVGLQANRFVMLIHHAIYDGQSLPLVFRD ISNAYQGQKLALIHFAPFVRWSKSLDAPKRQFWIDKFAGFDGRVFPPVLDPSLDPVES RELTGHLNIVHDAFTATNKIRVTLAIVISWHHGTNDVVFGGVFARRSAPIPDIIDSAV PTTAMLPDRIKLDPDETLRYNLERDQDNILSLMLHEGIDDRDIEQLSPECEAVACKYG TLLAVQPDLATAYPEMFRERDMQYYGPICALNALMQCYLSPESATISLRLSESTMDGV YHWGRFLDEFEAVFHFIQKNPDVKLCYLRRQLDIPNPRSPA AFLA_135500 MGPSITPYTRKLARKSHCKSRLGCQNCKRRRVKHLIGCSSRAQQ ELCRLGFTHHYVLRLLLAFAGFHIVQTLGDLSNSPYLGMSTDFYTEAEHHLNLAVREV STLVTQIQPNNSASLYVSTIFIFLSSLVKGPQTGEYMAFRDDGNPGHLSLFLGVPSIL ELCQTDIHPSVFAIHGGEEEQQHPSPETPLNTNTQHNPETPTHYEDHLAAFRELLSTL IPTSDPRASSYQQSLNQLHFSLHAVLGPLGLGCRFSRWSLLGCIDFRMRLSMICNEES HLLLSSLPSLPCC AFLA_135510 MNITSCSPAAIQSPTVFGAEILSLSASWVTNYTLNVPAGFNYNH GDVDVRNAQFCNITVTYTHPGYNDQITVETWLPPRTKWNGRLQATGGGGWKAGRFVLS QFFMSGAIGEGYAATTTDAGLGDAVGPSSWALQSPGNVDYVAFNNLGSRSLNDQAIIG KSLVNSFYGRAPDYAYWSGCSQGGRQGLMLAQRYPTAYDGIVASAPAQSWTKFVSALY YPLLMRQWHGVNPLACELDFLTTEAVAACDAKDGIVDGLISNLTACEYSPYTSVNKTF TCSALNKTMALSPGAALIADAAWSGPQTADGERLWYGVNPGADISQFGSVPGVNSSQS DMWFNLFVAKNASFDTIHMSPKVYEEFFHLGTQEYASTINAADPDLTAFRKTGGKLLT YHGMADESIPTKGTEFYYKSVQNQFPDVQDFFRYFESPGLGHCSGGKGGQPTTIFDAL RRWVENGTAPETLPVEYARPEGEPQHRIVCPYPAQAKYMGGDISSVESFRCV AFLA_135520 MGQFGQVVWRGFPCALTIGSLICLVIVGLGCTRAHKAENLYFLR VELQNLTVTSHSVIDTTLEHFNITHLDKFIQALDEVNNTTGLNDFYSIGLWSYCTGNI TDNGTYKTTYCSKPRGGFWFDPIDTWGLNGTNTNDFLPGKLKKSLNMYRNVSLWMAII YLIAVIATVLEIMTGLMAVGGNRLVSCFAWLLAGVSLLFTTAMSITSTTIFATLAATV KTVLKPHGITGHMGRHIYAAAWLAVVLSFLASALWLLDCCCCSRRRTTPRPIAADHQQ SYGYSSVPLNGVTAPRHTPYPPAMVFASPPNLQGSYQHPMHQTPTHSTPEDACEPYRH G AFLA_135530 MVLIDKHTYTSREEERLKEDRDRIRYWKKWGPYVAERQWATDFS HEHARSRTYRWGEDGIAGVSDTHTLQNVAFAFWNEKDDFLKERLFGLSNPQGNHGESI KEAHFHLDNTPTHSYMKYLYKYPQKKFPYEDLRDENARRSRLDREYQILDTGAFDDNR YWDIFIETAKEDDDEEELLFRVIAYNRGPEPAPLHIIPHVWFRNTWSWGYEDQSHKPS IEKVAPLTAKSYHKKLGERYVRFSPSPAAGSNQEDVLPKMLFTENETNNELLWKSEND QPYVKDAFHRYIVNNERDAINPENKGTKFAAWYAFNEGEGIPPGECAVVRFRLSRKNQ TFVDEEELDDTIEQRIGEADDFYYHISPLPMSDDLRNIQRQAFAGMMWTKQYYHFVWD QWANGDPAMIPPPPGRKHVRNQQWKHLYMDDILSMPDSWEYPFFAAWDTAFHCIPLAM IDPEFAKKQLDLLTREWYMHPNGQLAAYEWNFGDVNPPVHAWATFRVFKIERKMYGRQ DLDFLERVFQKLLLNFTWWVNRKDSEGKNVFEGGFLGLDNIGLFNRSEPLPTGGVLEQ ADSTGWMAFYCLCMLNMALELAKHRRIYEDIASKFFEHFILISDAMTFKSGGQETSLW NEEDGFYYDAISYGEPWTQQLPVRSLVGLIPLYGVLTLEPELINQFPSFKRRLEWFIE NKQDVAERNIASMKRRGKGERLLLALVSKDRLEKILKRMLDETEFLSEHGIRSMSKYH GEHPYSMTVNGQEFRVNYVPGDSDSALFGGNSNWRGPVWLCVNFLLVESLLRFYMFYG DKFQVECPTGSGEYMHLGQVAEEIQHRLQHLFARNDEGRRAVHDNSDLLDFDEHWKDY MWFHEFFDGDTGRGLGASHQCGWTGLIAKIIHDTGINCRIPQTPRSPFAAASHYFDDI FSRSRPRGSRRPSVRRSSTSRSIGNRSDFESAFGDTTGAESVVDDEEKDRGVDDHVSH FVESQLERVRSRLSMGAYEDEFETQADRKPNGH AFLA_135540 MMPTRFARAGLRATQQFSVPRTAAVNGLRTYATPAQEVKPPVSL YGVDGTYATALFTASAKSANLEQTSKALSALGEVLKADRKLTGLISAPTLTASDKSQI VQELQKLTGDKGDIVKNFLETLAENNRLGLLEGVCEKFATLMGAHRGEIDLNITSAQE LDKKTLNRLEKAVSQSHFSQGKKLKVVTKVNPDLVGGLVVEIGDRTIDLSVSSKIAKL NKALTDAL AFLA_135550 MASFITTINARTRAPFKPRSAAKGTSSYQLRQFAEATLGSGSLR KAVMLPEGEDLNEWLAVNVVDFYNQINLLYGSITEFCSPQSCPEMKATDEFEYLWQDS EHFKRPTKMSAPEYIEHLMSWVQSNIDNEQMFPSRLGVPFPKAFTSLIRQIFKRLYRV YAHIYCHHYPVIVHLGLEPHLNTSFKHYVLFIDEHRLASGKDFWGPLGDLVESMLRSD AFLA_135560 MPSDIQVFVKWKDQTIFAGEDVECTITFKNVAEGNVESNNGRQL SPQRKPSRPGSSTPHSDSFFSLKSPRNLFSNPNRRSSTTFSRRSPSHRVSSSLSSPLV GSHSFPPPATPRNGPPPGHKHKRSISILSIDSEGGGDRTPKTSSPFTRSRPARGHGRS ASLQVVPKRFEGYDDIFPKGGRHTTRGVPPPESPMNVSPQSLRVDVDAAARASRSRSG TMSPIKSAESLRGPARRPQLPPMDFKFPPPASDTSNHRSGASPTIPAGEHSNAVTVAK PNGKESSALAAPGHLPQLTKIMSTSSLSGSHRSSGEFYSVGNNSSETLQSEYTNYSMT VPRTPVSRHGRHMSSVDSAAWLPNGQTLLMGYAQISASFTVDGSLINQSAFEEVKRKG VVGDQGNAAGMSNGRSAASSDKNRKGGGFWGAFKWNAIEESINGLLSNNELDGLRDMR GVTSSRSIPLLSTSQSLLFVDLRLAPGEEQSYSFSFTLPRGLPASHKGKAIKISYNLV IGTQRPSVRNEPQRVNRITIPFRVFSGVDGQGDILGHDLMNPYVLLRDEARVQKVGPS PPPSTKSKSISGTTWNSAPEFLGYVDDILEQRSQGALLQPPETPLEKRPSHDLSLGPL SCKDAIDLAILRSNQALNSSRSPNRFEIARNGHRIAVVVLNRPAHRLGETIIATVNFA GTALPCYAVRATLETSEKVTGSLAVRSGASIRRATRKVHASFFENTLYSTRVVFSPAI PISATPTILTTGVNHEWELRFEFVTPNMHADSGVGPSGATLLETVHEDDRGRIMNALE NIGCESFEITIPITVYGETVRERLPEENEGYSI AFLA_135570 MAVFCASSARLALPTLLRNIYRSEFASELHSSRPVSLRQVSYSH NRFNNGRSFASLSRLLASQSGSHMNPQPSSQPIITESSSEQLDAAEDGSIVGAPTKDA AVNRDRRDPDKPTRKTKKAKFASSQTEPDATSAKSSRDKKHVRSDRASPDYKPKKKKE PWQIQKDALKKKFKEGWNPSKKLSPDALEGIRHLHAVAPDKFTTPVLAEQFQVSPEAI RRILKSKWRPSETEMEDRRKRWEKRHDRIWSHLSELGLRPKTKRTEALDDSNILYGKG EEGNKPSE AFLA_135580 MAHNSLNVKGKAVGAASSLRITPTNSPGLRPPRTPNKSPQHQAT LSLQTVIGTTTTTPHGFSSHDQSKSFAICAGSAAILAELDEAGNVNQRFFRARPSASS VHPVTSFYHQSTPPSTPDTRARPLSGVKPTAHSAIPNGSPANELAESNSSRAWSSRER VKAVTSVSISPNGRFLAVGETGYGPRVLIYSTAKDAPSDVPLSILTEHTFGVRGLAFS SDSQYLATLGDTNDGFLFVWSVSLKSGAAKLHLTNKCTSSIRDMCFMGQTLITVGVRH VKVWRLPEARPASPTKTRHNMESAPSSPSFAPRALSGRNCLLGSLAESTFTSVASISD REAVIGTDTGALCFLSDAEGSQKLTLVENVGFSITSLMLDSDRSCVWAGGRGRRMQRF PFEVLRSSAAPLSPGLSEASSEEHKCKGPAITCMGSLTTHMVTVDTTRAIHIYPFDAM EDEQSHVETTMPAHRDAVLGIGRLKVPNDLEADFFTWSCRGTINFWDTHGKCRDSRVI PLEEVPGVDEESSNELRVVRTSDGTNVFVSGDKLGVLRVLERQSWKCLDQVRAHGAEV TDIALSAAFDSCLIASCGRDRMVQLFQITEHRLKLVQTMDDHVGAVGKVLFINDGENL LSCSADRTVLIRERVTREADDGISIAYMISKVITLKSSPVSMALSADDADVLVISTAD RCIHQYEIPSGRHLNSIRAVDSDSNDAVVMGALTVAGEILGHSPKLLIGVASTDKSIR VYDLDRGVLLTGEFGHTEGVSDVQLLERNPDPSSRTVVRTLISSGIDGVVMMWNISVQ PLQAQEITRTTSKEDDEGAKEMTLTRPPIRKILSKNELAEFRHEHGTGASTPTREQSP PLIRKLSKLSLAPSSTKNGDTTPATPTPASARPSSRLERSRRTPSPPSPKSTPAKKTS HLSHNNHRSPLDIRSRTRYGGKTEFGSLNMSTEQVCRTLRAYRKKLNGTKENLPSQKD LERELGLTLRALHSRTKGCDGTETETDSSGKENENLNTGVTSVRNLDIQVPPSPPNLS QKQSTPSSRSHSTEGDVEG AFLA_135590 MWPTRSLSSLFFLSLALGSPVSQTEKRYAILDNDWGAVSFLPFL IALKNDVQVLGLISDTANSWQRQCAYHALANLEVGNLSCIPVYAGATYPLINTPERFQ AWESVHGKLPWEGAFALENATAEALGNDPTSGDPNRIVKPAFIEGFPTTKINHSTSAA NFMVEMVHKYPHQVSIYSAGALTNVALAVRMDSDFASLAKELVIMGGYVDVNMYQVTG DYLQADINSDINLMVDPEAAKIALNAEFPEIVIAGNVANQVQSTQEYLDEVYTVKNEY TKLFHDHYGTEFPFWDETAAALMVDRSLATNTTTAYIDVDISYGSPNYGNIHVYQAML KPPGVRNITYVNRIDGAKLKDMMKQAMWKPPTCS AFLA_135600 MCPDINSLPPSRSSSASPRQARNLPTSSDSQQHSSSGFSPASAT MSNNNNNNQNNHHQTSEVPRRLSRSSRAGMPGPERRRSAAGANFNLNNNEPTGDSMAP SDHRSSLGPHGLRTSSPSSLGGSPIIATGDPHHQRAPSLGELHQELEQEQEAQVNRLL HMIRTQQTQLQQLQQQQQGSQGTAIDDSDRSAFPTIPPVSAGGNRTSTQFPSSLSSRR PSRPSSQAASPSLRPLSDAPRGPEGPEWIAGTSESPARRSSRDEGAFYQAEAAMLARE NQILRQRIRDLGE AFLA_135610 MRMGYPATITGSVLGRGSRGMPASGKKTKSGTGLVGFVVTRCKG VGISDHELRGFPMGGRY AFLA_135620 MATIDYMVCAYGPYSASATGGNGWARDFLAGVLTIPATPFYQRP TLRKRSPFAQQLSDARVEMQTHGRRLSKIPSGSRANSFARSQQNLRIRQNLGSRQGSY IGSRPASHANSRVNSRVNSRRNSVNQ AFLA_135630 MNTVSRALQRPSRSLTSQLCRSIRQHHHRAFPSPSASIATPHYT LGSGSPSLLRTSSYPTPSQPHRTFSHSSTTMAAAPKFARGMNKGQLQPELNSLLEQGW ALDEDGMGVKKTYYFKTYFKAVSFVNVVASQSAAKKHHPTITVRIGSVDIHWTTHQPR GLTDKDLTMAQHCDEAAELMGAVEKDQGKKCGPSSPTPSSPGL AFLA_135640 MSDFDFNTEGKSVVERWGSLIEGKVVVLTGASEGGLGGATALAL ASARPSHLVLLARTENKVRTVISTIKEISPETQPAFVHIELEDLDSVRRAAADVLSLT SKIDVLINNAGVMAIPWSKSKSGLEKTLAINHIGHFLLTKLLMPSILAAGPGSRIVNV TSAAYRMAPFFFDDWNFSDGQTYHPLAAYGQSKTANILFTVGLAQRYKEHGILSFAAH PGYIPGTSLLLHGPDLDPSAMDEVARKNTGFPFGPDPPKSLEQGISTTLVAALSPELT DASGAYMEDCQVCEAREYARDPKLADRLWSLSEELASEAF AFLA_135650 MTSPIVDPHRSKASKSRQKTSEDKPAAGEIGWKPPPLFQAYPQA IKHDNLPTPSLSAESILRIQATTSAKQSSTQNESPQAENPAARKKEAKEKKKHMRSLS ETIGKSDWSPKIYVLVTTGYILQYAGSGKYDRLPEKMLQLGPKSVAFASDAIPGKHWV LQISQINDENNATSTDTHRHLFSRFGFHRSHARRLTRSLLLVFNTPEEMSSWLLAVRA QIEARGGKKYVSERAVDDDTEHQLESKPSTRQLVKKDPNRFSQAYLNPQPVIGVDDKE FSDQSRRSSYISYNRRSIVTQATPESRSESMSTTQTEVTSPVSGQTRFYSMGMKPEAN GASSPPNGSAISTVLSPLDGPPRSPTFSNSTKRESVYPSNAPTIAETSDLSEPQQTVP DPILRSASPPAPNFSVPSFSKRFTARMGQGQIQQVPRFPSTRRDETDPDEANPISAFP SPPQSPIKSISSVAMSESHEQVVPFRDSPQRRSLRISNSEDSLGDSRNLDPKLQHASR ISKITGATSTASQSSRPPSIIEHEPARSQIPQSPPEAGYQASRREQQRHRVSVFPTVT PNRPSTGPMLRRKSMPGLSVGPPALPPPDCPLPKIPSPIEPSLPEIAEQFPDPRVCIS PPPSKGSRLRRKSLASAAPRPAHMPLPPATQSLGSRHPRTVNGI AFLA_135660 MTPTATASASQSTATVVSSSPGWPVYEIGPYLCSENLDLSGLID VFQDYFKDTTSQLNVYIRYLTFNLHVAASAAAPEQPASRVSGDGLPSFSERLDVLMDN RLFHYIYTPSQLANERSNLNESWYEVDDGYKPITEYFTTYELSDGTQSTPDGWPGSKY VQLAKERRLFLEYGSVDPQLEDYNLTAGKSEVIFPPKYLASLVPAPPTDEGGLDYRCI YDIDASQVSQANSSWALSSSLSQPQGLNETYALRELSDRVANLTACGLTPILNNTLFN MTADKAVAPYKNISLSSTWAWAEGEPQGADVADNIDSPQHNRCAIMDVSLSGHWRVAN CTDVRRVVCRVANLPYNWTISSAAHSYSTAYSEACPDNTTMGVPRTSLENTYLYRYLL TQPSSIIDPASPDPAKREVWLDFNSRDITSCWVTGGPGAKCPYASDPKQLEKKTVLVS AIAAIVICIITALTLFVKCNTNRRNSRRGKRIIHGWEYEGVPS AFLA_135670 MAAPGQPSPQQIAAMQQQFAAEAARRGMTPEEFAKQQREQLNAE AAKHGMSTEQYVQQLRMRALAAHQKQVEAQRQGQGSPQPGQPGQPGQQGQQDEQGQQT PQQPQPQQTTHQVPVNPSNPPDPKAIAVAQFLRSQNLKPRTCIMDGQRKDMFKVKRAI RALESPAYAKAAAKKNSLLPPVTDRASAENVFKLLPLSLLALRVSKVDPHAGHNHAKP KNRVKGLWTVKIEQHQETDPMMHYVWLYEGPQWKQKAMAAAVVAGIFAVVLFPLWPMV MRQGVWYLSVGMMGLLGLFFAMSIFRLILFCVTVFAVPPGLWLFPNLFEDVGFIDSFK PLWGWQESKKKKKSKKSSGDASKSAKSPVSQPADSAPSATTTATAAPDTSSAVKRDLA PRVEEVTDE AFLA_135680 MIALIRMLHLLNGEDAQTHFTKRQNATQQPLTGGDRAGFIAMGV VALCSFIASFCLLSFLTYRFIFWKRYYKRPLAANQYVVLIYNLLLIDIQQATAFVLCL YWVSRGHVDYPSAACVLQGWWIQIGDPGSGLFIMAIAMHTGAVVLRGRQLPHRTFVCC VIGLWAFIIVLGLIPVGLFGSKTFVISEAGWCWLGPEHETERLWVHYLWIFLAEFGTV VFYGMLFFHLRRRMKQAAMLRQGHQESLKRLNRVVIYMVIYPIVYLVLSLPLAAGRMS TARHIVQSRGYFAVAGSLMALSGLVDVVVYTLTRRHLLLDTEISTSDKMYAYSNSNAY QTHITTTTRENKKPRMGSRLRRGLQTINDTINDGDSTEDLRKDGDMEMADLGHGVYQE TTIEISHEPADPDEFHGNKRNSG AFLA_135690 MLIHSWGQWALPLVNYGARKLEKPPALEHELVAIWQILHEKRRP REHALTPSYVLSKLEDFRKCSHNDRASAPHGRFNGVRSLLPLKF AFLA_135700 MLRYVLENGYINLLGVPRRGFTITSNSRTLSKTLKPETFLPPSS QAVFKRPSFRTSIITMKSFTTISLLALFSSALAAPVEQSTDASTAATISVSYDQKYDV SGSSLTTVSCSDGVYGLISQGYSTFGSLPGFPNIGGAPTVAGWNSPNCGKCYQLHYAA GNVDKSIYVTAIDAAPGGFNIGLQAMNTLTNGLAEQLGRVNVDYTEVPRSNCGFP AFLA_135710 MNLQRVPYICSQCTVQISRSPLRRSPHRLLPQPVWQRRHNSQSV QTNQPFRVAVVGSGPAGFYAAYRLLAKVDDAVVDMYEKLPVPFGLVRYGVAPDHPEVK NCEEKFTEVAASPRFNFIGNIELGEDLPLQALKPHYDAILFSYGAPKDKELGIPGEKA CRNVYSAREFVGWYNGLPEHRDLAPDLTSGENAVIIGQGNVALDVARILLSDVDTLRK TDITDYAVEALAKSKIKRVHVVGRRGPMQAAFTIKELRELLQLPGVSFDPVRKELFPP DDVMNSLPRAQKRLIQLLAKGSSNDPATSAKSWSLDFLLSPECLNWSPIHPYRLSHVK FSRNELDPADPYITSAKVSPKYLSNGQRAQVNIPANTFFRSVGYKSLPLPGLEDLGIQ FDTKRGVIPNDGFGRVTSPTNTGDNEQLPDGSVISHLPGLYCAGWVKRGPTGVIATTM TDAFTTADVVAADLSSHRGKGSLLNGPGHSTGLGWEGVRPEAEKRGLRATTWEDWQRI DAAERERGQQIGKIRSKFGRVEEMLEVVQ AFLA_135720 MGESPPFLYGTPSAYRFDGPTDRPFNPKAVTQASWTRPPSKPKP KGPLVNFNRHPDSYCNIPSGKSKWTPMHPNTKNRVIYGRKVQLGLRILQLIGALGSLF CAIVIKNAAAALIWIVRVGPAVAILHTLYGIYHLCRSITRPAGTQASYMLFCGTFDLG LVPFYVFAAYLGFNQYTDNAYHWSTLLSPDFEVSTKIAQATFLLSVINGGLHAISLGI SIFLGIIFRKITRLPPDLNPLEDNLTARPHKRNKSEITKKHTSSSTLDSTMSGETLIG APRTMSFMHTRAKSSEGGSSTSPLDARENRLSQMTMAYDHDELPVPLMPFGRQADTAE HMMYQLPDQDEDILTRPTTRISYASPVRERSPDVPSRSQCASPASENWIAFPSRSASP VEEGQKENLLHRDPSSVYSRPTNTPASGNSGPLDWFNNQRIGWELEDTIREDVRGEYE SVPIREYYGNDDENHYLPQRDRFYDNAEEDISDHPIHIFEDHSEVRESSESLRVNPLA LNPPTPQPAFVETPDDATQNGRMVLVDIPNLSPNPTASPPPVDSPEKSGRFYGELESK TGLSIPRNVSNHDEDTRGLGRKKSKLMKRRSQKMNTYGALKQHDDAINVNENGLVVPP QSPMTVESDRKGRVVSNSGADIGPNVSGGSALSYGNYIAGLGVGRRRDVSGKVAEEGR GGMNKATDEKQTIRAAGWARFAGL AFLA_135730 MNTPQKHGTDKSTQVMWYIKLKSTVRYKWVIKVLDDKEIYNCFL VECLRRAKEGEGKRTKEPTDHSPGIYSIQVGETYPDKPLSKEEADQKLVDDIQARLDA FYRKNPHMKTKTVKWYVEVTTENAPWTEWKGKIRLNSPEYFRFLENCLRITKRGEAKV TTDDNSSKDDTYSLLLGKFCDHQFPDHQQASLRLEREIYDAIAKHNTSGWRYVPPALG FR AFLA_135740 MLLADVPQSSMLQQYLVDGMILGALIHIGDLFTKIPEYQERMST RHILKITSQTALEMKETVVQNGETLIHKSRLFTRSKRDDSKIIFSYLVGLLQKIVEDV EIREPKSKIIHQGADY AFLA_135750 MASQINLEGNNWWAEIDVSGVNSSLEHNFDPIADGNSHEKKTRF AAITKKIIELGIMEVSIVPKDRTEERICYPFWIGQYPTGREPTKEEAKKKMVEHLDKE WKAPSETLDILEEFWRRSREWLAQRAESRQEGLYREDTPAPFETPPTPRIRQLEDNEL PEDTTDCWL AFLA_135760 MSSQVTNSSPEKEKVKLFARMKLPNLVGEDKALKDAKKDPKELK SVVDGCMNGLLWNHAKTTVSEKNKNHKVNYFCVKMGEYEEGSEPSVEEAKERLISIVS NCLIR AFLA_135770 MLVAAILEQMRATIEQNFHGSPSHSHQPSPDHKENDSASISHDQ QGNPTNQSDFKPTAQDLKAEATSLTSFDDWRDSVILRIGEVVNKSEGDDDDDDDEQHA STSSQASQQQAPVVPEDQGSLKKLRDVYPPVETPLIQLPEAKRLLILHSLLLLLLSLE HYNARSRVLMLYVASSLHLDVKILNGDEVKVARILLDTAIELSKNAETQNHGKKSDSS RKWKVGIASVAGAALIGITGGLAAPLVAAGLGTVLGGIGLGATAAAGYLGALAGSGVI VGGLFGAYGGRMTGRMVDKYAREVEDFAFLPVRGSRHRPQDEKEAAKQDHRLRVTIGI TGWVTEEDNFVVPWRVIGADSEVFGLRWETEPLMNLGNAMDLLVTSAAWAAGEQVLKK TFFASLLSAVVLPLGLLKVARVADNPFSVAKVRADKAGEVLADALINKVQGERSVTLI GYSLGSRVIFSCLQSLAKRGAYGLVDSAILMGSATPSNAQHWRRMRNVVSGRLVNVYS ENDAVLALLYRTSSLQLGVAGLQPVEDVAGVENLDASDIISGHLRYQFLVGHILSRIG LESIDVREVEREEAALAAKDQRQEQERIRNERRAGVQGSDSAHQKLESGELKDEENRL QKQVEERIHERLHLRHNE AFLA_135780 MLCLRSTASQLSRRISPRTSRLSNLAEVRRIRFYSPKPEQVDVD ALLAKPSWSVRSLLPDQTAKQSSPTVTPAQLHHLLRLSALPLPSTKEEEAKMLETLES QIHFVKEIQRADVTGVEPLQSIRDESLEALKENTIGLEQLKDALAKERVAGRNKRIQR VESERNDRPDGDAWDGNALGYASKTKGKFFVVETGN AFLA_135790 MAQDPRVLLQKADKALQGASSGFSFFGGRSEKYENAADLYTQAG NAFRVQKQNKEAGLAFEKAASIQTQNLNEPDDAANSLQEAFKVYRKSDPEDAARVLSS AIQHYVLKGNFRRAATQQQYLAEVYEVELGDQKKALEAYEKAAEWFDSDNAEALANKH YLKAADLAALEGDYYKAIEHYERIGRSSISNNLMKWSVKDYFLKAGICHLATNDLVAT NRALENYRDIDNTFVSTREHQLLIDLVQTIEQGDQEAFADKLYQFDQLSKLDKWKTTL LLRIKNNIEEQAEDFS AFLA_135800 MQHHHRSTTKTSHKPFKTKHASKGALKEKAKGKVERGERGTRKT PHQQLMSKLDRRNQARQKQQVKHQEKAQATSIFSGQSGAPRHVAIVPLSADIDVAAII RSLNESVDVSCEVSHDTISRVRIDRFRQSVQYIPAKFDLMNALDVCRMADFVVVALSS EVEVQEQGELILRSIEGQGISNVLAVVQGLDKINPPKKRPQVASSLKSFINHFFPSVE KVLSVDSRQECSNAIRSLCTATPKGIRWRDERSWMLIENVAWPETNSEVVDDVVVTGV VRGRGLKADRLVHIPGWGDFQIDSITAAPLPQARGKRDDAMNVDESESSQVLDTPTED IDDLAVVAPEEIEMEDDDMEIPEEERRGVLLDEHHYFSDDDSHIPAKPKKLPKGTSEY QSAWFLDDVSVSGSDLSDGEDEEMAMDTAGAPEDGIFPDNHDAMTEAGATEYPQSEMF VDPSPEDEERELEEYRASRRKEAKEDLEFPDEIELHPNVLARERLARFRGLKNFKTSP WETREDRPHEPEDWRRLLQIIDYKGTKNRTVREALIGGVNPGIRVDVHLRAVSTSLRN KPQRFHQGESGYSRLLQGYLRCQDQPSRFDRY AFLA_135810 MADNPSTKAVAPANKKRKESKALIEARQKYGRGKAIPMQTVRDK KLRANLRAVENKFKQAALKAKDAEILLEHEAGFLEPETELERTYKVRQDDIKEGVGIE TAKKGFELRLNDFGPYRADYTRNGRDLLLAGRKGHVATMDWRSGRLGCELNLGETVRD ARWLHNNQFFAVAQKKYVYIYDQAGTEIHCLSKHLEPLFLEFLPYHFLLASAQMSGHL KYTDTSTGQMVAELPTRMGAPTSLAQNPWNAIIHVGHQNGTVSLWSPNSQTALVKALV HRGPVRSMAMDRSGRYMVSTGQDMKMNVWDIRMYREVHSYSCYQPGASVAISDRGLTA VGWGTQVSVWRGLFDAAAADQGKVKSPYMAWGGDGQRIENVRWCPFEDVLGVTHDQGF ASIIVPGAGEPNFDALEANPYENKRQRQEAEVQGLLNKLQPDMISLDPTFIGKLDAIS DKKNREERDLDRRPEDVMEKLKNRGRGRNSALRKYLRKKGRRNVIDDKIVKAEMLRKE HQARARDKLRTEREDLGPALARFAKKEI AFLA_135820 MSHSHSHDQGISHSHDDFGGHGHSHEILDGPGSYVNREMPLIEG RDWRDRAFTIGIGGPVGSGKTALMLALCQALRDEYNIAAVTNDIFTREDAEFLNRHKA LASKRIRAIETGGCPHAAVREDISANLLALQSLHKQFQTDLLLIESGGDNLAANYSRE LADFIIYVIDVAGGDKVPRKGGPGITGSDLLVVNKCDLAHIVGADLDVMDRDARKMRE GGPTVFAEVKNGKNVQNIIDLIISAWKGSGAYELSLERWNAGATRGSGSVDEQ AFLA_135830 MMAQPFPAHQGIPQHPGIPPGHPLAPGQHPNAHPGAGMVQQVHP GVSAPGGPQVTQGGPMMGGMPPGAGTTAPGGPVQAHALSHLNPAQAHLFQQPHFAQQF ANNPQLMHQQQQQQLLRQRMLFQQQQQQQQQQHGGLPVSMPNGTQPLNAAQLAAMQNP GMRPVISQMQLQQMPHGQPQNIQQQQHFLAMQAQQAQQAQQQQAQQAHQAQQQVQQQT PQPGQQTPQQRPAPQPQNVHDAQSVTPQPQPMPPPHQGSATPQPTPQQHLPTSQPPQQ PAVPQPQPTPNPPPQQLPQSQQPGQQGQQGQQQQPQQPQQQTQQQPQQPPQPQQPQSQ PQPQPQQGQQGQQQGQQQQQQGQQQQGPPMTAQEAQLKAQQQQNAMMMQQRMNMKGAT ILCLNTFAEQLSNFTSRGEAHDLLYWQSFVDNFYSPSGVLRQGVWNPQTGSKQFEIAT PALARYYLTQFTSGIRHIQMVVENARERDSPNGGHIVESQKTSFIYWFVNDTQIFTNG KLRAHFDMNNKIEMLDIEVTSYTEYLPRSQLQALEAADQKQSPKVSKNMGKRAQQKQA QQPAFTLPESMVTANGVPFAVMSFLEVAETISQMQLLFQYSQQNPQLSAPEALRNLVN SLPTQTPTPGFMPAPMNPAMQPGQNPRGPNMNVPNQFASPAMAHLGLPGAQGSPHLGG SAHPSPAQSHLAGPPGMVPQGQMQPNVGQGTSASASPNVSHKRRRASTVKMENDDGAP EVNGTAPPGPKTVKASPRVGGKRQKGTAN AFLA_135840 MQRGRLLSSVARPCRFIARRRYPAVVCSTPRRFHLSRGWQSSAP PDPEDARSSSPASVTSDIPTLNAEHTVPGHDASSIASPSAERAGKKESSPYGSAVRRA LRNRKSLKEWAAPAATIPCWFYERNTVANGGESHAASDFPQQVKISKPEPETVRETTD GMAHGGSGDGEPSESSGVPDTGERYALTEALWEELCASAKAGLRLPPAKYAKEPSARK SHLVLQYPGADGILFLDAVVKRLAQELGADVVTLNAQDIAQLCSEQDLEDVGTTSPIR SLGYDVYRPTAPEPWQDDSMGEGDDEAEVEISPRSLRSGLKGPRFITIESSREAGDIP LPGILGLKSLVSAFNGPVDGSGASSNPTDRAEDRRLQLINELISSASGPKRKSTTEVS LDKPTESRASENKPPVRDVIVQIQDYGEIQATREGARFIYLLQKAIQDRRRDGSRVLF VGTASQDAASESDASRLMQNAFDDQFSQMLVITPAMGLEAAEKIFTDDRKRRTLDINI RHIQDMLRTRLDNTSTLEDDIFRNRAWPLDASVVKESGLDERYWPYSQVHWATTLALG SLGSDELFGFQHIQKGIEMMQRTDRIKNDWMQEKAPKTKHTETGNDRERLLSSLRKTC NSHEKKLLNGVVDAKSIRTTFSDVHVPPETIDALKTLTSLSLIRPEAFTYGVLATDKI PGLLLYGPPGTGKTLLAKAVARESGATVLEVSGSEVYDMYVGEGEKNVKAIFTLAKKL SPCVVFIDEADAIFCSRTGASSRTSHRELINQFLREWDGMNDLSAFIMVATNRPFDLD DAVLRRLPRRLLVDLPLEQDRLAILKIHLKEENLDSSVDLAELARRTQLYSGSDLKNL SVAAALACVREENDLAAQHQGDEPYQYPERRTLTWKHFERGMEEISASISEDMSSLSA IRKFDEQYGDRKSRRKKSPGWGFTPASTEEASSDAARVRT AFLA_135850 MATEARDSAIREAKLYVREIVRNDWTFHPSTDAGAPASATPTPP EQEVTAWRLRTYDSSASELEPLSSPTIASPPSGYDSAQIESPILSPEGDERTERRRKR RRQMEEEMRWNEGLRTWVERRDAWTCAKSREEIIARRQLKQAQAQAEGTIINEDQGAS SGSAFSRPASSSSRDEENLAAKTEASLSVAEKEESGPSSVSAQPQQGEDHKESTETGA TEPEIALQQTATDDATGTVANATTPAPAPATAAATATASSKAHQGSEDPYVPVVPSLI STSNPIRASITPAMYPSIYSKVVVQGLTPTVPINLADVTKAMVQGWKADGQWPPKPAT TNLVLQDTATVPKTAEDGQPSSPESKRRSGVVGAVRKVLHFSGFHPHPFHRRSSHSAQ GDVSGAVNTETAGK AFLA_135860 MESWRERGFVPDSDEDDGLGSPEKVNQDLESSDDELGASPTPDT LDTTRQGPQDEDTEEEEHHGPQSGIVSDLRPVTSSQDLDDVSVQANEEAKSTAKEVPD KEARNIAEGPLSEDGDVTRRRKPHPSPTLSATPRPSPRPSPPATPLREQPKDIWDVPS SSPDELQLDHLISRRSVGLTSKVPDTGEQQENNENADPSPLSSPLSSLHSLALGENDQ DDQENTENPPPESNVEALLPPLEIPEDILREMSQPARRSLRQRNPIQLHPYLLEDAKY QTLMKARGIKPVRIAQYQQAMRAAAEGQSQSFDSTLPPSSSPTAEFEYAPSSPAERNS TSAARATQDLHGGQPQSPNQNLSENEIRGPKRRKVLRPDDHRNSSRHQSRPKVVINNR TSPINLNNSLAWDIPPSPPRSGSNSSPQAPWNPTEFRFPRGFTPPTLNTPSTVRRRRG QDATTTGNGPVDRELADARSIASHHSHSASENDGEDEEDEGEEPEEDVREFQRRIKGV LPASWLRLDQQRQKDTQLSSTQRHRDKNSRSENAKGVAKKITKRTGPSTSSNPVEHLA SLWHLADSDGSDADEDDDNDGQSNARQILANLVGFDDSFLAEDMGEDIPEDNRIDYMF PSATRETSAPRSQKGGQKRQRPESHFTRSDSHAKRPRLQKQARLTDPIYRREKKRQSS RTPPKLGILDAPDVASRPRNEQPQFLRVAARKARSRQDRGRRSPSNKVIKMSSALDTE DANTSLREWRAGRIRQSKLPRPHAKPPRRPPLADLSSNMKIGAGNHVSGEINKDHPII AGPATQQDDTNVVQSQMPAPEAPPPTTAPPISEKPMQRIQYGNNWFVRRNVVVSSLKR NDPRPVGLEMASLNVSRAPSFQRSLSLLNQNTWQKRLPDTVDRNIILNRFLVDSGQAS VHAKARGSTFTRESNDASVSLPKRPVQIKRKLKKRIPMRLDVSTTEGTNQLILSTDLD PPRAQGKDAHPSKGVSDGLSGFQRSYSTDFSITPLCLGTFFHESSFIGSGEFNRSLEI GKRDLDQNAGIFTANVRGRTFRWGPWNDAVSSELGIAFDDMLEEMEKSDTMSTEAATD NAPNLTYTIYRTLVKYITEALTFIDPIDRTGFITRAHALISKVNDNLTSMVSPTGRGT EYRTRIASYNVVFANLTYQVACHTLVNDSVTEEVLELMRSASRQAFAVISSEVGKINI RKFLENNKVCEQRDKGVRDEYPAVEAYIIVRQVLYSTETLKGFFEELIADSLLAISDQ SNTKNIDRLESGWHWLFTTLPLGEIDALGIARIGSRFSETCNNWKIVKQLLCPVLESY EPNTSASISYNTYCRALFHRCFHLINGWGWRDCKLILDTLYDFFARNTLYNLKQEENY TSPSFLDELDHNPSLDVTPSDPCFHIFLKIIASGLRSLSKRYDKKKVRNFAWRLLPNH GRVYPKEQPIHQADLDALRNHHDLLCTLYFAVPDGCRPRLEAIKNLVHPANSHRETCN ISIRSWARLVRFKLSTDEDVSGLEAFADWHSYFVSEFIKQHMLARREIEAQNTKDNQF SHQLIERTISQNQRQIESLLKTALNSLQNAIQSAPTLEHAHRLISKSPISVVLGLFDP RLARVNTTVSEALQMLKAYVQKCSSASATGSANPPAPVDEDSQEYGDWADIEAMYGDE FSLATHPQGIEYMEKVLHPAVSQLVSNSFGEDHCPEDAILLNVVDCWTSIAQVLVKNG FRHWDNYLSLYDGDSWAVLRKTAQTRKFTPKFLASCIEKDARFMCECKMQVLGMWMSS LVERVSMLKFQHSLTEALLNCDSNNTLLQNLPFSADRKDGRYSITLEQLSQRRLSLIS SLLSNMRAHVQDLEITASRALSTEKQEYRELIQTMMSSMKANYQELGSSAASAQGAYV DFVHRVVGFLQQHTRDICPVDPFFTDPTSFPLPSTDPTYIVARLKGYEPKLSSEKVAK TLIMFVQGISERAAIDGQQVYLVDQLQTSIAHTYEAGDPVKPTLRATLLQSVFPAYLQ TAFSNPGAWLLSQPIIQTISHTFKELLFHIDATDTDCVASVVGIFGSVFQSSYHALHS IVDNANMLKEPPVVITVASFIEMITSSLRVVDYIDRSTDVGDNIISQIHAFRQFILFS TSFLHDQLLIIDPENIPHPSNIFTTENTPTNAPKFFQEIRISATRELRTYINESWSRH QGKYYFTRRGGHHPQEVNLEPSMAANLENFPLAILDDAARNFLDTLRALDSFDTFH AFLA_135870 MSLRRSLRSRPVRDDPPDLDSNASPRTPTRVTRAVASSSVSVFR SPSDSPEESRRSIRLTVKMPSSKLREVTSSSTRNGATRRSVNVFTENPIVTGPRTSRP KKKLVEVDTSDEDLDDQEEDEVDDEDAPGEDDEDADADGDLDMDDAPPQPPVSKRHAK SAASTSKAVKSVEAKEMELDAEDDEDDEELSDPESDAEGEPDDQEESGIGNANGGEED LDEDEEDEEELDSDDDTPTADPARMTKRQRGNLGNDFLQLPMGKSCTSLSSLP AFLA_135880 MAETKPKTPSIPQWQQPSATNSASASPPSSDDTSRSDLLQQATK FLEDESLRDAPLDRKVSFLESKGLRQDEIDGLLGVSRNSEATSSTAATEGDNKTTESS TPDDTPSGSTSSSPSTSTSQSSSSPATTINNRQSSSARDVPPIITYPEFLVNQPKPPP LVSFRSLLYTVYGAAGLGAGIYGASEYLVKPMLANLTSARHELAETAKDNLKKLNEKL EQNVSTIPPQLTARRAQSTGFSSDDETESVTSDPGELFHRDVAVQTTEDFNLEKSSSL AANTADTESFDPSAAVNTHVKRLEVIRSSLQEFSEIDKQSSTCDDTVRSSLNELHHYL DGLLYSKAGYGPGTGYGVYSTPGFDSSGNAAGLGKGEEDAISNFKSEIRGVKGALLSA RNFPASRGPRISSGISR AFLA_135890 MVRLREIPRTATFAWSPGAASPLIATGTRAGAVDVDFSNETCLE LWDLGLDRQSTGEELQPIAKFDTDSGFNDLAWTPSEDNTRGIIAGALESGSLDLWDAD KLINGSSDDAVISRTSKHSGAIKALQFNPRHSNLLATGGAKGELYISDLNNVANPYRL GTAARADDIECLDWNKKVAHILVTGSSAGFVTVWDVKTKKESLTLNNMGRKAVSAVAW DPEKPTKLVTATPLESDPMIYVWDLRNSHAPERVLKGHESGVLSLSWCSHDPDLLLSS GKDNRTLCWNPQTGHAYGEFPVVTNWTFQTRWNPHNPNFFATASFDGKIAVQTVQNTS TDTAQAIADQNQALDGEDFFAKAQTQPQVSSFSLPKAPKWLERPCGATFGFGGRVVSV NLVEKGQRASKIKITPFEVDEAVGQSTETFENALKEGDLRSICETRAANAATEEEKAD WKVIQALISENPRKGLAEYLGFQDQSADEAADKLANLGLGKEETNGESPKESRGPGAK KHKRLQSMFDASPEADNFLSDLAASKGAKTNNPFHIFNGSETEADKGITRALLLGDFE KALDVSLKEDRLSDAFMIAICGGQKCIAKAQEHYFSKQTESPNYVRLLASIVGKNLWD VVYNADLSNWKEVMAALCTFAEEKEFADLCDALGDRLEEQIRASDDKSLRKDASFCFL AGSKLEKVVAIWIEELRENEQKALETAANDTSFSIHVRALQGLIEKVTIFRQVTKFQD TERTKESDWKLSNLYDKYIEYADVVATHGRLQVAQKYLDLVPEKHPEAEVARNRIKLA TRQPTAQKTQQTTTGRGTPLNKPLPTTNAYQPQRTFSPVATAAAPSQYAPPAPTTNAY APPAAATNPYAPPAPASNPYAPPGAAAPPQPTNPYAPASGGSYAPTGYQPTPAPSYGA KPLGGSVPPPPRASNQSPATVTTYTTATNLPAWNDLPEGFAKAPTPRRGTPAGAAAPI SSPFPNQSPSIAQGPPPPGAPPTQRTPSVPPPPKGTAPPPRVTSPPSAIPPGPIPPPN PYASLPQSPPQLNPGTMGVPAPIPRGPSPYNAPPSMPPPTNRYAPSPAAQAANPQLQA RGPVPPPPQAAASPYAPQPPAASQYAPSTPPLQQGPPPASTSRPGTASSQKVTPAPAT PKYPPGDRSHIPEDAMPIYEILSADMQRIKGRAPTSFKQQVEDADRRLNLLFDHLNNG DLLKPNTVSDMADLARAIQARDYEAARAIHVDILTNRTDECGQWMVGVKRLISMSKAT P AFLA_135900 MSEAARLKCTVYVGGLDQAVTVQTLAEAFVPFGEVVDITLPKPD VPNSNELHRGFGYVEFEVPEDAKEAIDNMDGSELYGRTIKVAAAKPQKDSNEGLGSKT AIWEQEGYLAKYAVDEEDKLAAEQAQAATNDRPHDPMQGLEELDVAGPKPE AFLA_135910 MFPTRVLRMQASRPFAFPTPKEAHSAHTISQRLRTLKRVPPELI PLGIVLGVAVGAAIYSSGRKLMTDKTLRLSRNSPESREH AFLA_135920 MGAIPEADPDEPMETKPFKFVTGYDARFPQMNQTKHCWQNYVDY HKCVTAKGEDFRPCRQFYHAFRSLCPKAWTDRWDTQREAGNFPVRLDH AFLA_135930 MLGTFGHVIKSDGILGLYRGLSAALLRQMTYSTTRFGIYEELKS RFTSPDAPASTLTLVGMACTSGFLGGIAGNPADVMNVRMQSDAALPVEQRRNYRHAFH GLVQMTRHEGPASLFRGVWPNSTRAVLMTASQLASYDTFKRLCIDRFGMSDNLGTHFT ASFLAGFVATTVCSPVDVIKTRVMTASPAESRGHSIVGLLRDITRKEGFAWAFRGWVP SFIRLGPHTIATFIFLEEHKKLYRLLKGVSEEKHTA AFLA_135940 MDYEMDIEPTGPQVTVREAEPYRVDFKLSSVDLAFANSLRRAML AEVPTIAIDLVEVEKNTSVLPDEFLAHRLGLIPLNSKNCDQDVEYTRDCECEDHCARC SVTLTLHARCTGDDIMRVYARDLVVSGERANEWVGNPVITDPEGNGPLICKLRRGQEL KMTCIAKKGIAKEHAKWMPTAAVGFEYDPHNNLKHVDYWYEEDPIKEWPVSHNAAWEH AAPPDQPFDYDAQPNNFYIDVESVGVLEPDMIIQQGITVLQRKLASVISALSGTGDGD HNGVMGGEDEDMMGVRSPDAYEPPEGIDGGFTAYANGGASAWGASAATPYGATPYGGG GYGF AFLA_135950 MVDQTRSMESRTGRKNQRYGSKGERLVAGVVPLSTDKSQVLMIQ SAGRGGWVLPKGGWETDEETAQQAACREAWEEGGIICTVLRDLGMIPDMRPSTLLTSH APKASYQFFEVIVDREEAQWPEMHKRKRQWVSYAQAAAALASRPELLEALNRSSMKR AFLA_135960 MGSIVDAEQARKLSLFRPLGYQRNSCGYCKSDNGIRPAHYEELV NRGWRREKRHRKCNFDLLTAVHEAEYSNVKRPIDPKTKRYLEPAHRFEVNIEGDSVSQ AKYELFLKYQTKVHKEDVSTWQQKDFKRFLCSGLKRSPADPKSTEKKLGSWHQCYRLD GKLIAVAVLDLMPSGVSSVYIFYDPDYEQWEFGKLSALREIALSIEGSYQYYYMGYYI HSCQKMRYKGSFKPQYILDPESYTWDPLDGELAKKLDERPYVSLSRDRRLAAEGTPES AGTEADAEINDDEVSLFDLRMPGVLSLEEVEPLDLDHWLLLVHGSFVHMIDLVGWETM PMDNPQSVKGIIAELAAVLGPKIVKESAVVLFD AFLA_135970 MSGLTTSPPGSIPASPSSANQVSRSSRPALTLDLSNLPTMSQPT KPTNTLIITELSNILIFQPASLATLRAQLESIAPLNSFSPLPSLRRLICSFYTEEDAL SVRKLLDGQLLEHNVRPRVYFGEPTPILDEESRRPKLLEAPHADKLFFISPPPSPPHG WVMRNEEPPNKEVHASDLATALAKLKTEQSGVYEGISQTCEPGTPMSMTSDKRTGSWP ASMSGQRSRSSTLIYHPEDHGGSPNLPAVMVEDTSIMVDDLEMDMSPIEMPIRKAPPK TSRPPVELMC AFLA_135980 MEPKYGYGSCRNFVPSSNRWKDLGGCINQAVDSEHEQLIILPTA ITGKEL AFLA_135990 MHKISNFTGQARHGWERMTPTFGMSRPHSDMASHSLRRPHGAPP MTPPTGIDPTVNLSFNVPFSSTLGGPDVDDVLHASPGALQRWTFPEGTLEGTPVHNLP VHTSNVEALRRLCRQITESSNGRIEAVVFGSEPKSVASLQRRPQGLVTNVCITGEGET VRKMRARILNETPILLRCATVDVDMHLIMDGSTKGIRPSVLEHLDTLSAYTGTDIFLL SPKLRDNDSAVVSSYGYASDNGLDQRFRVSIYGDMESAEHAKTRVLIMIDQIIARAKQ KLRELVMGVKIYVKDVIVNSNKIDNILLDRLDKVRKVMEMNGSYVLFPQLGSQRGLVR IQGTEVLHVERTVREIMALAGQFYSASWWIIMPDPSQGTFRAPSPADVRTMLSDICTN SAAEVSFDNLTFTINGSDDAVKAAMMVVNQIPFVQRSQYQMRVKIELANEHKEFVSGK KNGKINKIMGQSNVQIIFDGFNEYNFYIDVCGNQYESTKNGLDLVEQEMPASISFHVP DQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGMGKEDDDIKVDNVICRTPARNA QSLDLVKQEIMDMVEKVDAEYVSERVVINRLYHRELLARMTEIDELEKKWNCKIEFPS TELASDVVTISGPEYQVPQAVDALLGMVPESHELLFQSSHELREYFKSVEFRSDVCAK LKEQYEVDTTVDLSADFPSSETSSTGSVSPTLPPEDRVVLGYTRNNAGGLKDAIDFLI SRLVAHGLDANTVKGAIPRPKSDSFEESLPFFDSKLLQHAPVPLVTDSPTRPNFSDET SERGSIFERLRKPGSISSFSSFIGRKNHSASPGSFFKHASSNASKASLVSMESRDSGY RNPWNDSGVNLPEDDLPVLGSSHSHSSSNGWPARFDTKFPFGTAPGDMTPKHDPRASF DSGRPSTSNSTSGYPAPIGPPR AFLA_136000 MTAAQLPTGSIPTGLPTNEEVQEYEKILKISDDIFTGTHPRLKV PQQFVRKPASRNPPTSTQAQVKTSERPGSSTWNAPQTVSAPSKPASALSTNTGDDSVA HKYQEAQPTDAFEEPEYSPPAPGVPPMERGENYDPQRGYPSGPRRRGPDARQPDRPRY APGSNSPRDDIRVVRNHITSPAAPRPSRVSPLANAKVPSVYQHKEPRPVYGTEHQASP DAPPQQLMPRKRRRLHEERDRSRAVAYRMQTGAPDGTYIKEEPVSPPPFTDTSPAYRS RPAQERPIYIDIASPRYTPVIERREPPMRDTAYDVDAYDIRGETGMPRTVSRLSTRRP IRDDQDLRRVASLHQARQPGYPREYVDQASPHAMRASSYAVVERPPPRYYDEIATPPA RRYIAVGDSPTSPRYQETYYDEEPSSRMMAPPTRRIVVDEQGNQYYETVPAPRMQAMP PPTSRMPAPRSEVYDDHPPVRYTSVRAPSVVEDPYGGRRYVQDMPPPQSTYRRVTDYA RPAPSEHRPYVAPFDEREPLPRSASVQVTDYSMRRPHYIEEAEVPRERIVRVPSVRPP TARYEEPREVIQRVESVRPAGRDVSIYMDDDPRRPREYIERPVYLSTRPVAREEHYYD NGEPERVVLDGGVHRVPHRY AFLA_136010 MSHFFSRSLLSRSSRACYLSPRPQNLSPSLFTRIGTASFSSTSI HQFKKAMSPSNDATSAGSDPRPVFFFDIDNCLYSRKCNIHDEMQKLIHQFFVKHLSLN GEDAHMLHKKYYTEYGLAIEGLTRHHKIDPLQFNSEVDDALPLDRILKPDPQLRKLLE DIDRSKVRLWLLTNAYVTHGKRVVKLLGVDDQFEGITFCDYGQLPLVCKPTQEMYAKA EREANVPSTAERYFVDDSGLNCKHAAARGWQTAHLVEPGLPLPDAPVSQYMIRNLEEL RTCFPHLFKTSN AFLA_136020 MADLTPGDVVTLTDGRQATVRFAGATHFAAGDWIGIELDEPTGK NDGAVQGERYFDCEFGYGMFVRPTAIAAIIGPPTKETKPAAKGTANAPQTRGRAQTGS GLGIKKPSALQAANTKRHSGSSASPSPAAKPASQRLGLKQPQSPTKSQSPTKQLSGAS TPRSSISGPSRPSATSKGRPSIGAKSTSMGPPPPPSASRPSRPSISRASNRTVRPGLQ GTTTAPAGVAKRPALRPTASKTSEEQERGSPQSEDIDTADNYAEGDEMESEEPTAKPS RLASGSSRAGAARPGFSQTSSPRQAQSTALSRELEELKTKLRVMEKKRTEDREKLKAL ETLQQERDKFESIIQKLQAKYQPQQLEISDLRKKLRESEAQLEEIERIQAEHDSILEM ATLDREMAEETADAFRHEVETLKLRVEELQLEAEVLREENEELGQTMSPEEKSSHGWL QMERTNERLREALIRLRDMTQQQESELKDQIKELQQDLEEYESIKSQYESTKEKLLVA ENNVEDLKQQLETALGAEEMIEELADKNMHYQEEINELNAAIEDLEALKEINDELEYN HIETEKQMQEEIDYKDSLFNEQCRKVAQQDEVIEDLEYTLARFRELVSTLQGDLEDMR ASQQITEAEATDLTTRSRAMMDLNLKLQASVSKAQTKTIDIELERLDAQEAAQHLSIL KLYLPEYFEGEKNGILALLRFKRVSFKSSLMISTVRERFPEQTSDPAAVEDGFTAHDV LEKLMWIGAICDRFINYITNCSAESFDRIKATLFEMEPVERTVNFWIESVRKNELNMK KCGIELQRSIALLSHLAEVHLPTSLETFADELCMRSSLTQSYIDHAASLISRLRTLLQ SKITVLEGEEEEPNFLFSKMETLVTQARGLKVAMGKIYKALEDLRSRSLALSQDVAGP FKETEEAAKNLSELARHLGENIALIVSDESRTEPLTLEEATKSMSQVSTLYAQPSESG SECSDTMSFIANRLRSLGGNLEELDSISTDLSITSEFERLPSPWIARASELKSNKAVS PDADEEIRRLKNEIHEASTALGVKDKTIEEQALKVELVESRMQEASKKASMVKELETK IETMRTKETELEGMVEKQRKELQALETEREEIKARLDRVKRASGTTGITTTEGVVVDN ALSLATMRENEALRAEVESLQAAVRFLREENRRGNMLDPYSVQRSAEMYSWLDVPLTQ ANGNAQRDKIQQTASESRDVFSHLLKLTKESNICDLKSTMSQENGNRASWRPSKTKLR YQVLQQRENFEHWTEWRDDIVNQEREQDRLVNTKKERLARDRARRHAPKNSVFGGFPQ GLGHGMMGRAWEILGMQQDHRKLADRPVEPSITPSF AFLA_136030 MVGPSPAGTVPSHAQSSLPSLPAHLQSDTHLTAHLASRFHVGLP TARLSSQALISLNTYTSSSKGPDGGKEGSAMGEAEDLARRAFTRLGARGENQAIVFLG ESGAGKTTLRAHVLSSFLSFSSTPLSSKLSYASFIFDTLTTTKSLTTPTASKAGLFLE LQYDGSSSVNPTLIGGKIIDHRLERSRIASVPTGERSFHVLYYLLAGTSAAEKSHLGF DNSIHVSTNAGKLSSASIGHKRWRYLGHPTQLKVGINDAEGFQHFKTALRKLEFPRSE IAEICQILAVILHIGQLDFASGQATLTSAEESGGYSHEGGETVTVVKNKDVLSSVAAF LGLGVDELENSFSYRTKTIHRERVTVMLDPKGARQNADELARTIYSLLVAYILENVNQ RICAAEDSVANTVSIVDFPGFSQACSTGSTLDQLLSNAATESLYNFCLQSFFDRKADM LEREEVVVPATSYFDNTDAVRGLLKHGNGLLSILDDQTRRGRTEAQFAESLKKRFENK NPAIVVGSSGSTHGTGYVSQQARSAFTVKHFAGEVDYSISGLLEENGEVISGDLMNLM KSTRSDFVRELFGQEALQTVTHPKEKTAIMQAQVSSKPLRMPSMARRKASPASRLTFD APTAEEPEDNESYGGSTAKSSGRRKSAMSMTGMQGAAGQFLSSLEIVNKCLSSPSLNP YFIFCLKPNDRRIANQFDSKCVRAQVQTFGIAEISQRLRNADFSVFLPFEEFLGLAEV GNVVVGSDKEKSEVVLDEKRWPGNEARVGSTGVFLSERCWADLAKVGERVVPVYHADG SDEGGDGLLHPRTAGYGDSKVRLLNPADQSLGNFIYGDESKQGYFGSRDIDGRSDTGG SGLNSGDMFHNLETREQMLEKGNEKKMEEVDEVPVSGSRKRWMAIVWLLTFYIPDFAI RLFGRMKRKDVRTAWREKFAINLIIWFSCAVAIFFIVAFPGLVCPTQHVYSAAELESH NGKNGHDSYIAIRGVVFDLDKFMPRHYPDIVPQSSLKKYAGMDATGLFPVQVSALCQG KDGSIDPTVLLDYTPTNISGSATTISTGDLNAKYHDFRYYTNDSRPDWFAEQMKELRA TYLKGYIGYTPQYISTLAKKSQNIGSIDGKVYDLTTYISGGRRVAAPTGKEVPANVDR EFMDPLVVSLFQDLPGQDLSKHWEQLQIDAGMRDRMQMCLDNLFFVGKVDTRNSAQCQ FARYFILAISILICAVVIFKFAAALQFGKKNVPENLDKFIICQVPAYTEDEESLRRAM DSMARMQYDDKRKLLVVICDGMIIGQGNDRPTPRIVLDILGVPESVDPEPLSFESLGE GMKQHNMGKIYSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMVLMRFLNRV HYNLPMSPMELEMYHQIRNIIGVNPTFYEFILQVDADTVVAPDSGTRFVASCLADTRI IGICGETGLTNAKHSAVTMIQVYEYFISHNLIKAFESLFGSVTCLPGCFTMYRIRSAE TAKPLFVSKEVVEAYSEIRVDTLHMKNLLHLGEDRYLTTLLLKHHPSFKTKFLFAAKA WTIAPESFSVFLSQRRRWINSTVHNLIELIPLQQLCGFCCFSMRFIVFVDLLSTCIQP VSLAYIIYLIVWLARDSSTIPWTSFVLIAAIYGLQALIFIFRRKWEMIGWMIVYLLAM PIFSVALPFYSFWHMDDFSWGNTRVITGEKGRKVVISDEGKFDPASIPKKRWEEYQAE LWEAQTSRDDRSEVSGFSYGTKSYHPAQSEYGFPGARPMSQFDLPRYGSRMSLAPSEM MSRHMDMEMEDLSHLPSDDAILAEIREILRTADLMTVTKKSIKQELERRFGVNLDAKR PYINSGKRCSPLRYYHRVLLTVCDPYSHRSCSIGRALI AFLA_136040 MQGEEQRTVVLFYALAALRPFLTAVTTFNPSYSLYSALSACYNL TLKGDITGLSLALSTSGINVRKGFLDIPSEPGYRAFDVFYYLLTSASTPAEREFLDLR DASSYALLRKSGTYTPPSYLPTADDAAAAEDFRSALKAIGIKGASQRGLLSVLAGLLK LGNAAGFLVDQEDLEEACEDVGGLLGIDPEVLLHKCSTDDREVLISGIYEALVDWVIG KANEAIASQLQASLDDSSRGSGQAAQWTDDDTVSITVVDLPRPALGKAVAMRGIFDDT LGINAEMKDDGVVVPPAGPAVLNDMTAAIAQVEVDLGITTGPTWREREYELDKKHEVL EKVGLEVEMDSFLRQILFTAESEGITLGKKGRFDLATTLGSSRVWHHISIHPTDDLPE NLSPGVPTAAWSAGAVSRQLREWRLAEWANRRLKQIDFTADFDIEEFIGRYFRLGCGE GKDGVENWLVERGWINGDAVVGHQRIWVRENAWWEAETMLDLKPEEPPAASPFMYGGG MLDPGVPHYAVPPIAESTSLLGSRDNLLNRQSTLVPSVAGGAKSIAPSAPHTLHTGGD YGLGTKGDDKKYDSHPYYDDEGRYLGELDPEYADPKHIEKKEITLGRRIWTGFVWALT FWIPSFVLRFVGRMKRPDVRMAWREKLVLVFLILLFNAIVCFYIIAFGNLLCPNKDKV WNEKEVSYHQGNNDFYVSIHGKVYDISKFWKIQHSDTSIETTTSNMEPFMGENLDAYF PPPLTRLCGDFVTDESITLRNNDTNAVLYSNAKHSCGPLQQTDPNTALHKITWYEDVF LPKIDEYYKGSLVWKRSEVSKQADSSSRYWVIKDESIYDLTDYFYTLKQMNNIDSYNF LPSSITELFKNYPGTDVTDKWPNSENATKAQTCLDYVFYKGKVDFRDSARCQVNNYIL LAFTCLICAVILVKFLAALQLGSKRRPAPQDKFVICLVPAYTEGEDSLRKGLDSLTAL QYDNKRKLIYVICDGMIVGGGNDRPTPKIVLDILGVDPKIDPPALPFKSIGQGSDQLN YGKVYSGLYEYEGNVVPYIVVVKVGKESEQSKSKPGNRGKRDSQIQIMNFLNRVHHRA PMSPLELEIFHQINNVIGVDPELYEYCLMVDADTSVREDSLNRLVAACANDARIAGIC GETSLQNEERSWWTMIQVYEYYISHHLSKAFESLFGSVTCLPGCFCMYRLRTADKGRP LIISDKVIKEYADNDVDTLHKKNLLSLGEDRYLTTLMTKHFPTMSYKFIPDAYASTAA PETFSVLLSQRRRWINSTVHNLVELAALKDLCGFCCFSMRFVVLVDLLGTIILPATCV YLGYLIYSVASGGPIPIISIAILAGVYGLQAIIFIVKRQWQHIGWMIIYICAYPIYSF VLPMYSFWKQDDFSWGNTRVVLGEKGNKRVVAVEDEPFDPRSIPLQRWDDYALANNLP GRRGDYNMSQEKFYGGQYGDMGMEMDDMHSQYSSVKPASTILTGFPGAGRNGSPYMPP QSPAPFGGNTPGNRHSHLSSFSRYTDMPLQPGHQSRNLSVGNLSQFQDPSNRHSVGLM QSTDNLLGVPRPNSRSPVGGYTSRPQSAFDFRGSGGPDEMAITDAIRSCLAEVDLDTV TKKQVRALVEQRLQATLTGDKRAFLDRQIDQELANM AFLA_136050 MSQYKYSLLTPRPETIRMLRLLPSEDNTAQIQCQLVNYTLPTPG TEDYPYEALSYVWGSENTPQYIIIDGQTLSVTENLYTALLYLRERQLERLLWIDAICI NQGDEDEKAQQIQFMPMIYGQASQVIVWLGETADQSDKALETIRLAADDEPSEDKPTD IQQEMNHTAIVRLLERPWFRRIWVLQEVHAAQDILLICGDVKIHGYTFSSGLKGLSLS LKARPDLQSLVGSIVYLMRGAIFRPGTTSSRGTLSLGELVDMYHARAATKKHDKVYAL LGMSSDSPLLPDYKLPWSTVFQQVITYIFSEKASIRIWPHRDLALIQSRGHILGQVIE VKSDESQHDRHIVRIRNITLQLVVTRRGDNRRTLYGRNMQGTIWILHVSAKPIQKGDI VCLLEGASKPSIIRPYKGYLSIIVTSATPLQEEEDVRWDEIAETLRSRHDPIRDMSLI WNWETSHTNPGKLQELEIPMESNDTTPGISGLDSEETKRFDDLTLIVRDTLVKMDMGF AATRLLNYLQESKLPLSEKFDLAAAISRAWGYSAMKELGIDIIGETMPVAELDQEGTD LWDQIE AFLA_136060 MSDANPTVQAACASNSLESLKNAIPTASTEELNSALCDSCASGN VSFAEALLECPRTDVNAVKDGMTALFIAVSHCYLDVVKLLVDHGADARLKSLAESTSS SHSNTEPIFTPLHGFLRPRRERQKSDPLPSEIFPELLSLLLRAGCDLNARGPAGETVL HQSVHLNLPYTKLLIESGADVNVVNDSGSTPLHLLDLQKSEDIFQLFLDRGAKLDVKR VFDGRSPLQCFAAGGQLGDLSLFRPHVSSWGETDAKGDTLLHLAVRSHRSGSQTILEL LKLGLRVDQRNLKGKLPLHMVDGPMEWFEEAVDILLAAGADIEGKDNQGFTLLAYTMQ RAVDHERKITCLIKRGANVNTQDYKGNGVIHYKRGNGHRGIEALEFLLSIGIDPNMAN YEGNTVLHRLVADFASFSDESEIYFIQKLLDAGLSPTQANFKGQTPLHLLCHQVSEYM FLPTVAGDKRAIDLVLDAGLIRALEIADHDGIRPIHLAASCSETLVARLIDLGADTTA VTGDGRNLLHIASTARQVNIVGLLLEHYTSINQLSLVNKRCKNGRPPLHDACRSGRLE TVSLLLEFGADVNAEVEAKFSRGKTPLLVCCEYAKEEQRWPVHLELPALDGRVSAAGI LSKDEKRPNLPQLMDKKSRNPIRRLEVTSENDTTNVTGVLRALVAHGATLTYEPSRMP PMAEAVFSGSEELVSELGRLMKQHGLEPMRFPDFEHMYLTLTSRHLPDILGVCFSEFV SDHTVARLLLLRQYNALAEGLEKHADAAHVQIALPGILVNLAKWGYTDLFKRLGDLMA DPSWINGGVNRFKKRLMPYLLIAAERKVPNLDVIKVIVEHFKADINLQFQPGIEIRPN LPFQSTIWLRRAYKPGESALHYFAKGGQWWHTKAVRYLLQHGADPNLQSTNGSTPLLN AVHKSLSESHQRKEIIKILLEAGADPNIPSHNGCSPLSLATYDINIFRLLLENGASLE NCISRVMFTTLDNLNLDMLSLLLERSIDCNTTTLQKEGNIWYTQRFHDDRNLTNFTLH PLHYLSMPKFNESNTRDRAIKLIQYLLQQGADPFRACSDETTILHHIFEHGGTIQPFL EIPDLDLERRDAQGRTLLLAASRCENLGTDSFAVMYPLLEPVPKYIRIVAYPEGDITR AMTLYNRGADITAVDHAGNNTLHYLVAGSCDNFAGQKQYRQTVETFVRKAPKLLHQVN KEGKTPVHIARSAEQKWALEAIRNAGVEIEEA AFLA_136070 MEINGGTLSYLVRCLLIAVSRKVADNPRKSRSHQPSSSWDEYGD HQGHRGPLGTYLWICECAVPNFVVLRFFQVHQIQSGQVIVDLCSVAKELVENSLDAGA TSIEVRFKNNGLDLIEVQDNGSGISPENYENVALKHYTSKLSSYEDLSRLQTFGFRGE ALSSLCALSEFHVVTAQANQAPKANRLDFEHSGKLKKTQIVAGQKGTTVSVEGLFKRL PVRRRELEKNIKREYGKVLNLLHAYACVSKGVRFNVKNTVAKTRNVVVFSTNGNQTTK ENIANVYGAKTLLALIPLDLTLEFEPSAAGKRHSCILSWYPGPIWIDF AFLA_136080 MGALLSLPLLAVPSASTLLTLATSCCGAATCSAVCSACGKFQNS MATRIAYAFILLINSIVSWIMLTPWALKKLQHLTLDYMEITCDGKACHGWVAVHRINF GLGLFHLVLALFLLGVRSSKDGRAVLQNGFWGPKIILWIAFVVVSFFIPQSFFFVYGR YIAFICAMLFLLLGLILLVDLAHSWAEICLQKIEDSDSRLWRGLLIGSTIGMYIASIV MTVLMYYFFARSGCAMNQAAITVNLIVFLIISFVSIQPIVQESNPRAGLAQAAMVTVY CTYLTMSAVSMEPDDRQCNPLVRARGTRTASIVLGAILTMATIAYTTTRAATQGLALG SKGGHNYSPLGTDDNEHGLVTQQPTSRREMRAEVLRAAVASGSLPASALDDDSDDESD DYNTKDDERGSTQYNYSLFHVIFFLATTWVATLLTQGLETEVENTDDFAAVGRTYWAS WVKIISAWVCYAIYLWTLIAPVVMPDRFGVY AFLA_136090 MAESTHKTKRIISVVAGTLVALACGTNYAYSAWAPQFAERMKIS ATQSNFIGVAGNLGMYASGVPLGLLTDARGPRLTTFIGAVALGVGYYPIYIAYEHGKG SLSVGVLSFFSFLTGLGSCSAFSASIKTAATNFPDHRGTATAFPLAAFGLSAFFWSNV SSFIFKDDTGRFLLLLALGTFFFNFVSIPLLRLMPPAKAYTALSRDRSPGVESTRLHR TKSSDLRYVPEESDEAGMQSSTAFESHSPMHVRSQSGASINSHSANHNPDLDETSSLV SKSTPRQSREDDHEEEDDALLDVGVGSPHPDIRGLAMLPKVEFWQLFLTMALLSGIGL MTINNIGNSAKALWKYYDDSASSRFIQKRQVMHVSVLSFGNFIGRLSSGIGSDLLVKK LDMSRFWCLFISAVVFTVTQLAGAAISNPHQLIVVSGFTGVAYGFLFGVFPSLVAHTF GIGGLSQNWGVMTLAPVLSGNVFNLLYGSIYDRHSVVGPDGDRDCPDGLGCYRTAYYT TFFSGVAGVIVCLWSILRERRVHGAIRKKIEHDRLA AFLA_136100 MTNMTYATSSPAATTGGQGNSPVSRVRVLRMCSYRICVGAYQDA TPFLYFFLSLFLFFFLRRKLGQSFPICYQQPMVSWHRTTACQVLIAPARQISPDLINR RSFPSIPLSAPYLIHPIRFHPPFSIPSSFSTMATTLADQKRPQLQPVCQNCGTSTTPL WRRDELGSVLCNACGLFLKLHGRPRPISLKTDVIKSRNRVKTAGQGPKRKSSSAVDAN GLSTSRSEAGTPPLGSHGYRRASRKMSPGHSDRSNSPVSRTETPGLPSMQQQQLPPQH NSNIAPQHMFDSVTLGDHGLTNGLSSGQLRQQSPTSTSAAVDRHNESPQTIEGLLAAN TSLKTRVRELEFVNDLFRGRVTELEQSDAAARRSEMIARDSESRLKRALEDAQRREED LKRRVSELERHIGEASNGNLEGDESSGEPMAKRMRLSDVVDQPSTSPTKSPKSV AFLA_136110 MPKNRPATSGYARLAQEEEDRANDLYDYSDDDDQNDSLGTISHS APRYAPISSRAQMDASILSSPPGHRRRPSGYHRRGRRNSGVDIKAINARLERWAEEIA SKFKIHKVKGKTLEEEKLEIYHSVFQPPNGVRPISAEELESDEIEGAARRAREEFEDV VESVHSAIRMGMHPRMISQGSSGSYFARNGEGKVVGVFKPKDEEPYASRNPKWTKWIH RNLFPCFFGRACLIPNLSYVSEAAAYVLDSRLRTNLVPYTDIVWLSSKSFYYDFWDRR KAWMGKRPLPPKAGSFQVFLKGYKDANLFLRDHPWPDQTNTGFRAEDAPKRKKRPWNE ACRPSGMQSDDEDDDYENGDIQTPSPREESRERRFYWTEGLKQSFREELEKLVILDYI MRNTDRGLDNWMIKIDWKTEEVSIVADPPKPNGTQQDDDDDHLPPARPVSVNSERTGS ARLPYRRHEAMVAVSRTGTPLNSSEPQASIQIGAIDNSLSWPWKHPDAWRSFPFGWLF LPVSLIGQPFSQKTRDHFLPLLTSTSWWSGTQMALRRVFSQDDDFKESMFARQIAVMK GQAWNVVETLKQPDHGPLELTRRARVCVWDDLVDVPVAIPLRGPSTEAQKRKVKSYEN YDYDPDHEEMDIGASMSLGTGPENDLLGLGSSPNELPNPNRFELSRGRSHQPSTRRIP NGSPATIGDYRVSQDGIDSMIHGRSMDQSWPSLPPRPGNKHQKHSSISSAHGQAQLIW SSDDLEGDLGYAAAEGMEGNQRKVIVERLEAVKSKNPVFTWC AFLA_136120 MLNSAISPANADEKEFAPRTDDEEQGVAIKLDNVTVLPSPKAIP VALKNVSFKVTRGNLLAVTGSVGSGKTTLLKTILGELGCQSGTVCVQSKRISLYSQDP WLLNTKIKKSITGLANHQVYEKCRQDIALLDDILSALDMQTREMIIARLLSDDGIFRQ LGTTVVLTTHNHSVISLSADGQVELQTTGRDAIPYAAIPHGREDTDAREGGLNEKTWD KAADSSDDPVNEVKDEDRARQIGDLSVYYYYARIVGPFLCTMFLLAHAFLAFAENFPR VWLSKWTEAGGGQLSLYLSVYITLALAASMLVLGCIWIIFLELMPKSAIRLHWRLLNA VIRAPLSFFSTTDSETTPSEFRDGEIPESPVSWPEEGAIHIQGLSLSYPNGTPVLHDI SICIEPGERIGICGRTGSGKSSLILSLLRLINPSHGSITIDGINIESISPSAIREALI TVPQDPFTFLGTMRYNADIMGASNDEEIISALKQVGIWEAIESRGGLDAILEDHPLF AFLA_136130 MQGFFVQAALRRVGVLGEPIRTYYYFDVFLRTPLQTLEITLPHT SEQWPTIPPSRGQRTPSGLPVTCSCCWQVHLGCSILLGAAQKLVLSRTNISASWWEQP HRVYFRIYAAVADQFQVASSINLVLQLSLLVLWSVSPRTSTSIPAATLGLANAIIIIG LSYVEDRKSTRPSSLLTVYLLLSILFDATQARTLWLTHRIPTAAVQSASTGTKLAMLL LEMREKTFYLQAPYRDYPPEATSGIVNLSFVWWINRLFITGYRKLMGNRDLYDLEPGL ASGLAGERLKREWENHGLFISNTCCNYRMCNMLTSLKAREKQALSPLCICPLFLDGVS RRGVASTLSDWIQLRSAFSDHGGGPACRATDDYRDPKPWLWPHWGHLPGLSGYSGRLL LCYTYSASLIAIAGFECPLQTALLAGVHVIPRIDAEDGETRGGAVAGKLVVEAFRLYL LRFYV AFLA_136140 MSPIAPHSQRPPPSLSVDEEKFEQNSDNTIADDYVDPRVFDQRR RRAFSRQYMIIVIYLLLTLGGLLLTVVDVTSTAYSTSNLMRWESSASFALESSHPSSS HGHHDTSPKTYDPSFPAMKGVMKSPCGHTAGEARARGCHFDIITFCWLPDRCYDAELS DSLEKLVDWKWYLDRNKTQPVPKEEALQGELDGLYVSWEYSVQHCVYMWEKMHRGLLG AGKAAIDTYIGPYSHTEHCGKMILSPGGG AFLA_136150 MPEYQRLLKWALSSQSQGIYYAVAQPKETGAQDKVNLLQPPKQR CLGGTSGTIAANHENPGFIYVPNWEELKLPPGGVIHGEMMYGISMFHQLHCLGAIRHT FWQLMEGKLDPEALEALDGDTTDPNFIPNGHGMPYSVAGTQPSKFEQILMAS AFLA_136160 MASNAAMLLDPRAHRKQLQNDGSQDSGHSNSSAAPAGTASAAHR LLNPQRRQPSNKGSPKSRSRPQSVSSRSGNSARASPQRSDTREPDVDVVFTSAQEEIS DGKRSSDHVDEVRHGNLIEDMYGVERRLNQPYKKIKTEKDLAQSGKRAIFASTGTTGL GEWVKNGEEKSNSSTPITPNVVDLTIDSSAGATDDDDLQVTGSNNLSIQRVCYGKLEN AMVQAVLVPKPAAQTIFGDSAHDWPSIKLGVHRQTNQGNNRIEVSDPHGKIFGAVDSK TAAVIAPLLDSPALKVNVTARLDVRRRLPNEWPWAPCSALYRASINLYGLRKDAELVG KHLGQHNVWLGTPFSVEQGVPVFNPHAERRRAQAAASFLPNVAARGRSTVNYEVRTAE EVNDAVMKMFDQLQSAENIPELEPPSLLSTPLLRHQKQALWFMTEKEKPRKFGPREED NNSLWRLEHRSNGARRYREIISGIVRDDEPPQSLGGLLADMMGLGKTLSILSLVVSSL GDAHEWANMAPNSELIRNLPGIRNTKTTLLVAPLSAVNNWTFQVKEHLKENAISYHVF HGQSRITDVDELSKYDLVITTYSIILSELSGRGSKRNGSPGSPLTKMNMFRIVLDEAH TIREQSAAQTQAIFKLNSQRKWSVTGTPIQNRLEDLFSVTKFLGLSPYDDRGQFGMHI LSRFKTGDATVLASLRVLVDSFTLRRVKDKIDIPTRHDKIITLNFSEKERQLHEFFRR ESNVMMKVIAGEDKTALKGRMYHHILKAMVILRQVSAHGKELLDSNDRARIKGLSVHD AIDLEDGGNDTPELVDKKAYEMFTLMQESSADLCAVCGKRLEDPNTDSGATDRQAPMA IILPCFDVLCPECFSGCKQAFDSQTGPSVHDIKCQVCDGWIPVSYSTITPGGLQDYMM GQAQAKQSKKQAKTLGEYEGPHTKTKALIAYLLETMDESKGLTDERPIKSVVFSAWTS HLDLIEIALKDNGITGFTRLDGTMTLSARQKALQEFHDNNDITILLATIGAGGVGLNL TSASRVYIMEPQYNPAAVAQAVDRVHRIGQTREVTTVQFLMKDSIEEKIFELAKKKQQ LADMSMNQRKLDKREVQEQRMREYRSLFK AFLA_136170 MAGSLRIRVENAYDGAPRTFYPVVVIGAGASGIAAGCRLKQKCG CDQFLVFDRQSGIGGTWWRNRYPGVACDVPAFFYSFSFAPNYLSKTIFPSGRDYIDYL YNVVERAGIADKIQLNTEVISLEWIEKDAEWEQYISQVYGQDSRGKKTEAVEIVRAKV VISAVGVLAESSEWPSSVASRDTYNGQLLHSARWPDKISLDGQDVVLVGSGCSAAQIA PALLQTKVKSLTQIMRTAPWLVPRVEEPGGRDAYAKWAPRIYGMIPGLRYTLLWYTAF QRPNGRLRKQEEASCLAHMRALAPITYHDQLTPTYQLGCKRRIYDNGWLRGMHDPRFM LESRPWRSVADTSITVGDGDNAKTYHADTLILATGFEATQFLQPISVVGRHGLSLHGL WATRGGPHAYLGTAVDGFPNFFLILGPNTFSGHTSVIMAIENSVDHAMRLIAPILDGQ VESIEPKTIAVQTWLTGIRRDMASTVFASCQSWYNGGGRYNSVMYPRSQLDFYLRCRF PRLSDMNRMLKPQGRERQLWRRMGRVVVVGVLTCALIFYRELWKYTVDSGIIDRVADT TMSMMSFVPRTVQESREWVLQRTPKN AFLA_136180 MMDFQNAQHLVAAAQKVRAEYLKGAHTTAISVQQNTTCRDDPVK GPLWVSKFSLPCPTDDNSRELLLRLIDEANEHLVRYDRSASAPLDFEWVGYRSNVQKD TPEPNIGEQEKFERLMAETKSPLTILYLYGGSFVGPDDDRSTLATLEQLPYLTAVVQE GLRLCDPVTHRISRQFPDQPLQCRGIFIPANSTVGMTAMLTHLNEKIFPEPRVFRPER WLGSDGKRLERYLVPFNRGTRSCLGMNLARAELVLILAAVFRQFDFDVSGVNRERDID VSRDYILGAQARDTPGILVAVKGC AFLA_136190 MLVHVYLQINVGQFRQLSNDLKKFIRQIRCIPNHTPFRFANTFG DALYDHRVGGEFGPFAETSDFTSYSIPEHTSSETRNAIPSVLSRPYRSFFSHAALHST NILISQGRLSGIVDWECAGYFPEYWEFTKAIFEIINNETLEKIMLNAFDEDYGDELDV ERTLWRDSPFGI AFLA_136200 MDVYGAYKSHLDLLEDEERTVLELKGALEIPPQAVQDELIDAFF SWVAPVLPVVNQRVFLSMYKDPLNPPSLLLLQAIFLAGSRAVREKNHGKELSPGAHSS MVYLQRAKALYDAEFEKDHTTVVQALLLMSWYWQGTEGESVGLSKAGIS AFLA_136210 MSLSERRLWRRIWWTLYTRDRAMAAAYGRPISIDADLTNVDTIT QDDFIESEGHQPDSVQVQFFIQYVKLCELMDLVVGRRRRAGSLTESEFAQWEIRLSRW MMQCPEQMHWAQARHSFWPAILHSIYL AFLA_136220 MAAPQTVTVEYNDNLAIVTLNNQEKLNALSQEDYYKLATTLREI AQHDEVLVTLLIGKGRFFSAGADVTRPPPDAKKTPPRQYWLRALVLNNIDLADAFYTH PKILVTALNGPVIGLSAAVIAHSDFIFATPNAYLLTPFSSLGLVTEGGASLAFVRRLG ISKAKEALLSSRRIPVEELQQVGFVNQVLDAGGDEERFREMVLGEIRGRFGDHLVGSS VLEIKRLLREPGDREFGSQAVQEVFGGLRRFVDGIPQAEFRKIATGEKRHKL AFLA_136230 MAHQEENPNNPFFEPFTLYCAMVVLLDHPALRLAGYLVLKLFDR RFAAQLRKDDKLDPWTPEIERQYHDFILDGSASEFITRLNTDGKMAEEEGHTWNDPQN EAYLHDHMQDLYETEVEAFHTVTDI AFLA_136240 MGEQPVREMTEMFRMFEKTSQVSLEELPYEEWLNRLQVENDDDP LRPLLPMFEEKVYDGRCQWEMYENMPISDTENLRQYLQDVPELATCPFLDQDIFKKFL SSLGLA AFLA_136250 MLLTHISGTLVLFLLIIATTLATLTRYTIPIPAETLILETRQQL NDMAAAYSMGTLDDRNGGYYLLDHDGKILAVAADGLCEELDNSVASARRVYEQRSRFD LYSGEVQEVTLQSHDAQLRRSGENSCSHPRCYTHALCETYSDCFVCSSSHHWCY AFLA_136260 MNPSIPSSSMGNTTSIAGRDCLVSALGGNAGLVAFQNQPLYQTT AVHEYNLNIPVTPAAITYPETAEQIAAVVKCASQYDYKVQARSGGHSFGNYGLGGTDG AVVVDMKYFNQFSMDDQTYEAVIGPGTTLGDVDVELYNNGKRAMAHGVCPTISTGGHF TMGGLGPTARQWGLALDHVEEVEVVLANSSIVRASNTQNQEVFFAVKGAAASFGIVTE FKVRTQPAPGIAVQYSYTFNLGSSAEKAQFIKDWQSFVSAKNLTRQFYTNMVIFDGDI ILEGLFFGSKEQYEALGLEERFVPKNPGNILVLTDWLGMVGHALEDTILRLVGNTPTW FYAKSLGFTPDTLIPSSGIDEFFEYIENNKAGTSTWFVTLSLEGGAINDVPADATAYG HRDVLFWVQIFMVSPTGPVSSTTYDFADGLYNVLTKAVPESEGHAYLGCPDPKMANAQ QKYWRQNLPRLEELKETLDPKDTFHNPQGILPA AFLA_136270 MKLLSVSATYLAIPMALLVSAQRETVTQVGCYTDSANFQNKGSY TYQSPGYCAKLCGKAKTPYMALHDGTECWCGTSLPDKSSLQSDDKCNTSCSGWPDDKC GSDKAWSVYQLPAYTKEASETESASISISLASSTPGSNSTASATKSGSVSQSASSAGA TPTTSTSAATRRFKLPFFL AFLA_136280 MADILTQLQTCLDQLATQFYATIGYLVTYHDNSPAIPPQNDPTA APALAKITKNSTAPPAPAGAPAGSQASPQQQSAQIPGQQQQGGGDAGQTPGAGGGTGG AGADPNLPPAPDSPRTFASRQRELARDLVIKEQQIEYLISVLPGIDSSEAEQERRIKE LEKELRSAEEDREQRVRELRKLRKKLENVLGAVEVGIYGDRGAVASRR AFLA_136290 MKLHRPFYSFLRLNLTSVARRQCHRQLHSFTRRLFKLPSPPPHP SAHHHDLPSFLVYAERTSLSPTTTAYIGTHYEYIVQRTLRSSAFTLHRVGGRDDAGID LVGTWHLPRREHPLRVIVQCKSLKTKLGPNLVRELEGAFNQSPVGWRTGDEVGILVSP REATKGVRDALARSSYPLIWMMIERDGALRQVLWNGKAEQLGLVNLGVEVRYSADEDA DSSKGVVLTWDGDEIPNMGQVESHVSAVEDSWLRSWGDGFNEGQRDKSELLDAVQELF PEEKPLLFGTMGCSTLTDADRVKVIQFLDSKKSAQVEAASV AFLA_136300 MLLDENPGTLIHHTIGNFNIQPDKQAVTRINDSLSTLQQSRELR MREAESSLRKLSRHLHSLNAQHEEAVAAHDSSKHAADMVELDTKKFRIAKAATELEIE SERLESELEMLKERLADLEAQGLEGDEATRRERELDDATILRLKIYRSLGVDIEADDA GNFNKAVIRNSRKGDVHVVNIDPKFSRFFYSNYFWSTMQG AFLA_136310 MGSNLPYAADAESPLKPAELQVLRAQYEKEGDYVGIQTKFNFAW GLIKSNARPDQQEGVRLLSEIFRAAPERRRECLYYLALGNYKLGNYGEARRYNDLLLE KEPANLQAASLGTLIDERVSKEGLMGFAIVGGLALAAGLVGGMVFRGAKRR AFLA_136320 MWGIACFVMPVAGYGYAEIMGWNAHTVTLNSQKLCVILSPPSFH AIQPFIWTPILTGQIEIPPDTEEEVPDPPTSVPEDRSAPPRNPWADHNPWDHDNMNTD TPDWGSGNGFRHHTYRSPDGRFTFSSTTYTRRGSSGQQMPVDPLMPMVRGLDTIFHGL ADTYRQTEYRQPNERDSSPGLWRTDRQNQGFGPNGSRIYSTSFDIDMNTRSNGGVGSE FHTTGGLHPRDADGPQPMGTPLRTLGDILELFRADFGNNGPPGGGPGVRVMTGPNPIA ILSTLLNLDRHGDAVYSQEELDRVISQLIDQNARGTAPPPAAPNEIQSLPKKKVDQEM LGSEGKAECSICMDPVELGTEVTVLPCKHWFHYNCIEMWLSQHNTCPHCRRGINIPAG PEGSSDNPVVINSSPETSPRRPSGAAPEHSGQSPPRWYGPGPETGGQEQDQGQSSRND NQGGGFAGWVRSHFGGGNQ AFLA_136330 MKTLYKNGHLITPQSPNPTCMVTENDRIIYLGEESTARTLHPDS KIHDLEGRKVLPGFIDGHMHLLLFGASLSKINLGNCTSLSDIRTTIKAAATANPTAPR LFCRGWMHSMTNGEALASMLDDLDPRPIFIDSKDLHSAWCNTAALTELNVHNTPDSAG GVIHRDETGKPTGLLSEAAAVNIVWPHVAKVATLEEKLGFVRAGIREYTKAGYTGVVE MATDENLWNTLLALREREEVNIRVAAHWIISPKEDEEEVLRQVDRAIGLHGKYNVTTS PDLRIAGIKVICDGVVDACTAALTEPYASNGDNCAPLWGADILKKVVRKADQAGLQCA LHAIGDATVKLAIDALESEGTPGSRHRIEHLELTAPHDAKRLGALGITASVQPVHADP AILRAWPRLLGKERCGRAFAYKEFLDHGANLAIGTDSPTAPHLPLRNLYTATTRRSAR EPESVETVNEGFRLGLLEAVVAATGGSAYSCFADGFTGRLEVGMKADFVVVDMVWEEE RLLEAEVWETWFDGRRVWGRE AFLA_136340 MTTPFGAPMREHFLFDTKFKNLNHVQTPTNYNPGSFGTYPRAVQ TALRQHQHSAEARPDLFYRITRGQGIDESRRIVANLLNIPVNECVFVKNATTGVATVL RNLVFQKGDTVVYFDTIYGAVEKNVHSIMESSPVTTRKVEYALPVSHEDLVKRFQDVV SRARGEGLNVKVAVFDTIVSMPGVRFPFEALVEVCREEGILSLVDGAHGIGHIPLDLG ALRPDFFTSNLHKWLFVPRGCAVLHVPLRNQHLIRTTFPTSWGYIPPPSSGEITPTTT QGKSAFEYLFEYISTTDDTPWLCVPAAMKFRTEVCGGEDRIYAYLETLAREAGDIVAR ALGTEVMQEAGLKEGEASQLRRCGMATVRLPIAVSSSSDAGSGRGGDAVMRVQGEDGT SYLRIQASLVATVSNWFRDTLFEKYETFVPVFQHGGWLWTRLCAQVYLEKGDFEWLGG VLRECCERVEGEVGVSSAKI AFLA_136350 MLELSGHPWLTDFGQMRVVEGRINQDWWMSDLYRAPEVLLQLPW GYPVDIWSIGVMTLELLEGKNLVDPVYRVHGQYVLPLALAQYIGYLGPPPLEIIQKSP LFQTYFNSKGNWISEPPIPKTSLEEFVTTIPPRKRRTSF AFLA_136360 MNGATQTFRRPSVATNPSHSRDTSQPASATTPTAGTYTPPHMLS NYQSSALRNGATNDTRYSKDQLLELYKAQRDTGILGKNLAEYLAADWNPQVETSAANG AWGRREDSKDNPSGPGVCWDHGGQMEPLSLVDMTDDEKELFSLSVNSPLKPPPTNVPK DNTGATPGGRKGSISQGHINNYNTSSPSSNRPGPRRRETGDSAGNPMSPTTGGSRFFR DEPNTSTPPPSLLRRKTDFRDTSSTARWEEKEKESGRDVTSEAASPFNSLKRSSTNPL SAAPGTTSSPWGSASQTASFSPMGAFGAFSLGTNPTEKKPGFGSLRGESRLKGLFSKD SSEDISASVKEKSSLSNLERLAGEGEQRSQSPWGETLKTRTGRSETNPFQEEPRSGSA ALGGSQELPSQSADPTGFAAFGMTSSIPGFRELIQSHENSRNPTPSLLQGHEPTSPTN TNPYQSPHGDRGDVDDVETDGSDIQTSHHPGLTGLRDTTAFGSIRRVGSGMDLPSIDR SATSSVAGNRSFSSLGGLGGLPSIGGAAGWPASGAIGTPTRERSAFGGGGFGDPIFGT MGDLQSPSLSTLGGGGLFSPGISGTGSIGRSSKLGSLFPAAMQEQMQGDQARAELGSL DDGSRQPGMIPERPTVPHDLLTHGEDLQQADKSGQANQPTTTSTSQTPVSAVGSIPTS MAPDAPPPSQTPGQPGSNAGSVPPAQQRTMVMPDRMRWIYRDPQGNIQGPWTGLEMHD WFKAGFFSPDLQIKKLEDPEFEPLAQLVRRIGNSREPFLVPQIGIPHGPDPNPGHWTG TTAGAAQPPFPGSFPSFGTTLTAEQQNALERRKQEEQYLMARQKEHLAQQQAMMKQMQ FQGLPPTIHPHQLQHHSSAHSLHSQPSFGSIASPVGFQPSPIQGPIQQQQQQQQQSQP QPQPLAGFFDAAGPIRQNLPNVGPQMLGTDLGGSQDQLPALLERLNVNRPDPFAFGSA APFATRQPDNLFHQQQVATMLQDRAQLQQEQEQFDSTQGDSLFDQQAREERLRQFHAL RAQEDEFGMRTAEGLPTHPTTGPSPPPEAESVQDVDLGSPTVEADQPTVADEEPVLTL SQQVQKAASAQRQQQEQQEQEQQQSQAANDAAWTAKGDPAMPQPFPPPPSASPLPAPA AQRNRQNVAESLAANSRSQTQTPVEAPATSIAPWAKEVHEMPKGPSLKEIQEAEARSA AQREELAAAARRAQLLAEQERLSQAQVQTPGLPSTANWASAGSPSTPASTGSVWGNSK APAAATGGAKKTLAQIQKEEEARKQRAAAAAAAVAAQSAVAAAPSPPAPSSTGKRYAD LASKAPAPAAPTTPVTTGAWTTVGAGGKAKAPPAAPSGPRSTTATTPLAASPVKPKPA TISTPRAVTVNTVTPANPNRAVEEFTKWAKLALGKGLNSNINVDDFVQQLLFLPAEAE IISDSVYANSQTLDGRRFAEEFIRRRTLADKGIVDPVAASAFAEKSSSGWSEVAKKGS SNAHREEDSSNAAFKVVAPRKKGKR AFLA_136370 MSKAIFLSQIEGKPGQVYYPLSVQNLPQPTPEGRELLVKMTAAS LNHRDVFLRQHLYPGITFNVPMGADGVGVVVGAGPAVSNPERWQGKRVILNPGTGWKD SPDGPEDPKGYRIMGGTKLYNKGTMQEYLTIDESEVEEAPEHLSDAEAAALPLTGLTA WRALVVKAGERNSGKGAAVLLTGIGGGVALMALRFAVAKGADVYVTSSSQEKIQKAIE LGAKGGVNYKEEAWEKKLLTMLPPGKKAFDAIIDGAGGDSVEKAVKLLKAGGVLSVYG MTVSPKMPFLMQAVLKNIDVRGSTMGSRKEFEEMVEFVKANKIHPVISRVLQTELGDI AGLDGLFQDMKEGKQFGKLVVEFGKASESKL AFLA_136380 MNAPVGSSGMSLRDVAVSSVMRSKRSIGTLDESNTDILTSNVCQ KYSATLKALHASNELLEGKARKLSNNTVLLELDVMRVQRHIKAFHGELLMTWQADILT RLIEVVYEWSGWRMPGGITSSSHAGMDEAKVTLMYRTAARKIKKETLRRRFNLSVQYY LALQRYDEVVCFRSTNPFRSERTFARWLMSQKENHARTGLFNFWARLFPLCYGRTVDE TTEMF AFLA_136390 MKFRLVVNQKTLWYTSSDDKANSESFLATPGKILDSAQTPHGTL HRIRRTPSPNVVYGNPDIEFGTLDFPSACLICNVPWEEGAVRRDKSGFNLKARWKAVV ALESSMHG AFLA_136400 MTLPSTSPSAALTDPMLFHPQSGSTGSRRRGPPERSRPAAILSD LTARCWMLVVDSKPVVAYSLLSLTAASCRHSFCLGEQSKTLRYPIVSPVKFAVIRF AFLA_136410 MVPSPMADHASQISDCVPYMGNQEYASSYEDSRSPMLGGESRQL PEVISYSPQRGSEGTRVFVQIQSPYDLHTSSYATLYLVFGSKKCECVPHFLGFQGSLF QYALSVDTPPFISTGSPSFAVPLQVAMNAQNECPSTTLQVGVYTYENASHPSPSDESR KRRIMSYSEEPMSGKRTTGPPIQGKEQPPAYSYSPYLQSLPAMNGFVAPYHPTSSPRV APAQYSTVNATPQSNIRAPSPLAPSWSPSYVTVHGDSRAPGFAYGHGLRQQKPTSPAR LSNPTLIRTSTLQQSSSLGHHTQSFNPYAMYPSKAVLKLNGSLDSMTESWTKEEREAK RRLVQFTRMQSGSTIHADFNPVAPEDRAPNSICISCIYWDGKDECFITSVDTIYLLES LVGVRFTVEEKNRIRRNLEGFRPLTVSKAKADSEDFFKVIMGFPAPKPRNIEKDVKVF PWRILGHALKKIIGKYVSGGFFTLQIFDANADVHGSQSASYSSTAGALPTPISSTYTS NGAASDSGTEPPNAASPQSVSDTGPSTTYGAHVAAAAYSPPAHHHPAHSMTGTHELRA VLPAVSQPYHPMAAPYSYPAVCQQQGDLGLSAPVSRTWEINPLINAPGTNGGPTYNYL TPMSYSVQDPSH AFLA_136420 MEAIKKTFAKAKQEKRAALVAYITAGYPTVEETVDILLGLENGG ADIIEMGVPFTDPIADGPTIQKANTKALENGVTVTTVLEKVREARRRGLKVPILLMGY YNPMMRYGEERMLKDCREAGVNGFIMVDLPPEEAVRFREHCTSNGLSFVPLIAPATSE SRMKLLCKIADSFIYVVSRMGVTGATGKLSANLPELLSRVHNWSGNVPAALGFGVSTR EHFLTVQDLAEGCVIGSQIITVLGQAPAGQAAKHAEEYLSSVTGRKLERDAQGAIVRQ VNVIDFVEKKEQAAISQPTAVVTDVDAPSGPGLADQLEALNGGNPSAQPQRFGEFGGQ YVPESLMDCLAELERGFAEALNDPKFWEEYRSYYPYMGRPSSLHLANRLTEHVGGANI WLKREDLNHTGSHKINNALGQILLARRLGKTRIIAETGAGQHGVATATVCAKFGMECV VYMGAEDVRRQALNVFRMKLLGASVVAVDAGSRTLRDAVNEALRAWVVDLDTTHYIIG SAIGPHPYPTIVRTFQSVIGDETKQQMMEQIGKLPDAVVACVGGGSNAVGMFYPFSKD TSVKLLGVEAGGDGVDTDRHSATLSGGSKGVLHGVRTYVLQDEHGQISETHSISAGLD YPGVGPELSNWKDSDRAQFVAATDAQALAGFRALAQYEGIIPALESSHAIHGAMELAK TMKKGENIVLNLSGRGDKDVQSVADELPRLGPQIGWDLHF AFLA_136430 MASEFVDPRMTSVKPRIRYNTIAGINGPLVILDNVKFPRYNEIV SLTLPDGTERSGQVLEARGSRAVVQVFEGTPGIDVKKTKVEFSGHSLKLGVSEDMLGR VFDGSGRAIDKGPKVLAEDYLDINGQPINPYSRVYPEEMISTGISAIDTMNSIARGQK IPIFSASGLPHNEIAAQICRQAGLVGKPTKDVHDGHEENFSIVFAAMGVNMETSRFFT RDFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVIMTDLSAY CDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVEGRNGSITQIPILTMPNDDI THPIPDLTGYITEGQIFIDRQLYNKGVYPPINVLPSLSRLMKSAIGEGRTRKDHSDVS NQLYAKYAIGRDAAAMKAVVGEEALSSEDKLSLEFLEKFEKTFISQSPYESRSIYDSL DIAWNLLRIYPKDLLNRIPKRVLDEFYARSSRKIANKDTRDNSVPEQTQSSTGDLIET AFLA_136440 MQHYYSRKPAAMSLETALEEERRELVHEYEGRNGARSRRNHRTT PTTPARSLLDIAPSPGALPPRHGSIAGIGVGVTPPSAQRSGHEPLVTTTSSTAPPPVK KTSTPRRTTSAVAPPPIPQKKSSADSEDAPRRSSDPLSVTKDSKLDNTKPRQSIRWDD SVTMPPSVLSQRRASQAQEKPPTKALQGRNAMAAVMSGLDIRVGLPSFTRGRSSSRNN SARGTSLDSRLSPKNRWLSPSSRSPASQSTKPAAEKPKPTETESTSKQQPDRPAARRQ LSDDDVRSPPPGDERLEKDMYDSENNLIETSEEEVDDSTSSDDEASSERGRKKTPDRA TLIGDNETSPSTTDSKELIEASEDSPDDKSEKSEYTHSAKQGPIPKKPEVHPRTSFDT ASAVNTPFGSEDEAELSDIKRAQKLGIQMSAIDSSVHNRSIRTIIRGDYTGLSEQTEN GRRRQRKYLVTTDLSEESVYALEWTIGTILRDGDTMFAVCAFHEETGAPTSVQIGEGA KAMQDAAAVVGSQTEETAQQSQNDSSTNLSRALLSRLGSGTDSKPGSVDSRGMSKAES ERVHAVEVISQTCVRLLRKTLLQVRVAVEVIHCKSPKHMITEAIDGLEPTLVIVGARG RSALKGVLLGSFSNYLVMHSSVPVMVARKKLKKQSKTKKTNIRLSNNLSTPKKLAMAK VD AFLA_136450 MPHEDDVPDIPDEAPLETDLYETLGVKGDATADQIKSAYRKLAL KHHPDKAPEDQKEEANKKFQQIAFAYAILSDERRRRRFDLTGSTAEAVDEDDDFNWAD FYREQFSSAIDVQALDKFKQEYQGSEEEEGDLLAAFEKYRGDMDKIYESVMLCNVLDD DERFRAIIDKAIADGKVEQYKKYSEEPERKRQQRLKRAQKEAKEAEEAAKELEKKEEV KETKAKKGKKKKTSAMDDNDLVALIQQRQASRAESFFDKLEEKYAPGKKRAAKFEEPP EEAFAATAARRSAKKKKATK AFLA_136460 MQSPHAKYLRECLSLAEKSPPRPTNFRVGAILVSRKEGDYKTED DRIVSTGYTMELAGNTHAEQCCLSNYAAVHSVPEDRVWEVLPSEPDRKLVMYVTMEPC GKRLSGNLPCVQRIIRTRQGDRKGIQKVYFGVKEPGTFVGGSEGCQMLTAAGIDWQVV NGLEREILEVAVAGHENREEEVKAALDTVETNIDDISDDERRRQQEAQRNPKKRMMEA NLLG AFLA_136470 MLVNTINNGRAVTLAQTLGLNRDPTNWRRPKSEKSLRIRLWWAV VIHDRWSSFAHGISPTITKSRYDVPIPMLEDIITDSNQSDTQYLDRAVSNSVILPSDY C AFLA_136480 MWTPGVSALSFLALATSALCQPIGLSPYTNPILPGWHSDPSCAY VEEDDTIFCVTSTFIAFPGLPIYATKDLQNWKQVSNVFNRPSQIPSLSNTTNQQGGIY APTLRYHDGTFYLIVSFLGPEIKGLVFTSSDPYSDAAWSEPLEFSVRGIDPDIFWDDD GTVYVTSADDARIQHYSLDLQTGETGPVTYLWNGTGGASPEGPHLYRKDDFYYLMIAE GGTELNHAETMVRSKNRTGPWELCPHNPILTNRNTTQYFQTVGHADLFQDGTGNWWAV ALSTRSGPEWKNYPMGRETVLAPATWEEGEWLVVQPVRGQMQGPLPRENKDGIKGDGS FVNEPDHVTFAPGDSIPSHFLYWRYPETSNFAVSPQDHPNTLRLTPSLYNITGNASST PEEGITLLTRRQTDTLFTYSVDIAFDPQVPDEEAGVTLFLTQLQHVDLGLVLLESQNG TSSPALQLRTEGHGNYEGSLPGSIVSVPEGWRGEPIRFQIQAVSDTQYEFSVASVKTP AQRAVVGYADSRIVSGDTGRFTGTLVGVYATSNGGSGTTDAYISNWRYEGQGQKII AFLA_136490 MHPTKTICIVGVTGNQGGSVAQRFLQDPTYHVRGLTRDPSSTKA QELAAQGIEIVQANLDDTSSLKSAFAGANIIFSVTNYWEPFFRADCRQNAAELGISCR KYAYDVEYQQGKNIADAAAATAETLDENGFIVSTLSQAGRCSGGKFEELYHFDAKADV FPSYVQSNYPELARKMSCVQTGYFMSSYKLVPDAYFGRADDGSFEMAFPTAPDAAVPH FNVNADMGNFVYAVAKMPPGKSYMAEGTTCSWTEYMRLWSKVNSVPASYRQITLEELI DRTPDAEFGREVGDMFAYSTEPGYDGGDRELLHAADIRKVSYTMLLSKHANWYSLLNV ARLE AFLA_136500 MATVDDGELVFYPAFCFRASPTHFAWVKMGAVDVHLLKRRAGFE DQSTFFYMNHPIRFVSLVGIIVARSEYPTLTILTVDDSSGAIIDVIVLKAPITDDNGD QPVRSDRGGDLQSAYATKHVAATNKTTVDTNPLVPGVVVQVKGTLSTFRGTMQVQLER VAVVQDTNAEMRFLDQRSRYLVEVLSVPWILTEEDVERLRYEADDEEERLEEEQERIK RRQRRRIEREEKDQRRIQKLWEREERLRAKEALYSRDAGAKFMRDFEERKV AFLA_136510 MAYDGYQNNSVPFYQPGNANQMNPNEPRASPYTPPYPSQYGHER LNMPQPQVPPPPPANPYAEAQPPPSQPPTWQQPYDGRINEAVNSAFHKADTSTYLSPE ILTQITANVIQQLKETGLENLQSDQYQPPHPPPRPPKQWATGAHTTYSHDFASSPAMA TQDTSASSPHVPYENPAYQPRPPSTGYSSSPHLQPRASPAPPSERRGSPASQMSEHSQ KMESRPKPPSREATVTELTTLERIWGTLFEDGKPTKKLSQFLHIFDDETSHVSRLFRE VRAEHHLVQDENQLRERPYIPGLTPRGFETWATLMIQVNPETEYKRLQKAVLNMPISN PDNRKERFPKEIPQSLFPFEKILKPELREEIKEFIKEHCSVDLDKEFEKFKAHHEGSA TSAAASGPSYSERERKPYQASVSTVVDSDEEELDTPSRPIERQRKPYTAHPGGGKEYG DIQEPNHRHTSSFSGGSIPRDTLTSSEPRVSEYQGLDPTYVRPSTAQPPSTTVRRQGS PPRGSRVGSEYRHSEGDLLGYNGSSGYGVDDIYNYRPGASTSTGDILEDNRFYRDASR DEDQRLYESLRERERERDREKNKYNDYLPHRSSWDGEEGYYRDALGSQGGPGYDYKTY TYK AFLA_136520 MGDRVRNAYAGGYSDAIKRQLERVVIPDRVKCMTCKKIRMQSAY SKRQLDVLRNAIVVQGGRALTGPGLAKCRECVGGQTVELRCVICDETKGLEEFAKNQR HDRDHARCLGCVQNHTETEPVFEEQKLLAESEMSTAQDTTTSNQADDGYAYSTTYGED EEDDDDYSIGGGVWVEPECPQGNPPTKSKEREYTGYDQQGIPHRLSTPTTTPKSVHSG WASWGIEASSVQANTPRAGKPSPSAQKKTSNFAKIPKMRVERSNLRTTRTPAPAREHL AYEKGDHVDIEDFL AFLA_136530 MSAHAWLWHFLESAMITEFPDMHLLLLSVEYENVVKARAVEGCA RAVTDTGTLLQDGLGIDYKVNGRQ AFLA_136540 MTVSYDHSSLRNAAPTSMATLEDRFEVMKEVGDGSFGSVAVARV RTAGSNIARRGTMVAIKTMKKTFDSLAPCLELREVIFLRTLPAHPHLVPALDIFLDPL SRKLHICMEYMDGNLYQLMKSRDHKPFDGKHVKSILYQILSGLDHIHAHHFFHRDIKP ENILVSTSAPSDSAFSRYSNLVTPPSTPPTYTVKIADFGLARETHSKLPYTTYVSTRW YRAPEVLLRAGEYSAPVDMWAVGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNW YSKSGSKLGGGEWRDGSRLAQKLGFTFPKMAPHSMESILQAPQWPTSLSHFVTWCLMW DPKNRPTSTQALNHEYFADAVDPLRPKSSTARLLGRKTSDRSFKSPTRENSDSPSLTS KSSWFRRSLIGRSDSDNEQSKQPVVSYSTAPETTKTKPAPSKRATWANGAPMPILPSI RPVSPLSNAVTAQANSCIAGDNGKASKKIGRQLSLNSHGNHYADVHRQEAERALNGGN PLVGANQKESFFSHLRKRAHSPVSTSGTGAPISSRTRRALQLSSHPVHRYETPEEEDE LLDEALHSASKAARRLAQTETSSDGSSNFSHQTMGNDNSRALPSPYPTPSPSAKCDGV SFGHSDTTPIRRLGLPDGKTDATSNRQWPTPPYEDGDWNHSGTTNFMTGSTYR AFLA_136550 MAAQRQASSASQTPHISPAELSYLYTSLALPGSPIRPDGRSATQ FRPLTAETDILPGTNGSARVGFADGTQAIVGVKAEVEKTVVSADALKLPQQTQEEYVG NNDGEANQGSSSGHGSWVQMSIEIPGFRDDDALPVFLSEMMREPLVESVSSEGSGNGE MTGGLKGRLVINKRWHWRLYIDLPKLKSKGEEDPFFDDDWDAAEYLYPRSTSTASATP SQQVRPPVTLLVVSVGENIIFDPDREEIAVADTVLAVSITRDSDSDSLKLVSIRTIDP PSRLTQPGVPNSENVTTLGSTAAAEEAAILNPSTGEEEVPGVWRPRRGGVKRSTVARI VKLVLEKGGVGEEVLEGLEGVQVG AFLA_136560 MAPVTIPQFLLPRGIPSTRAFQSLSRANATHHRIRTTTRRCASD NSKPRVLEQPDKFRPPSHPARRVVQTRNGRVVGREPVNYGPKLTEKEREEQNRKQYPN MFPPEGTVMYKFLTNRWIHIWIAMSVLTTLATFTFTTNFKRSSPFAHLLPSWSDLLWH PFDTVSQALSVFRMHVQHTSVQTREKRHQRIEDAEKRRQYRVAHGLEEPAEEQGKTET EVVDDQSPIAADAETKQRGGEYVDWEGKKRPVKKWLGIW AFLA_136570 MGKDAGFAPGDSAKGAKLFQTRCAQCHTVEKDGANKVGPKLHGL FGRQTGSVDGYAYTDANKQAGVTWDENTLYSYLENPKKYIPGTKMAFGGLKKAKERND LITYLKESTA AFLA_136580 MLDELDNVFDEHPSLDASLEDFENPSNAHRSPVFGLPSQRSGFR SEESDVEEDDMTPNGERWSPPGFRRYDYVQGSGWYRHQPYLRKVDQDRLGLKPTVGLS PSQSREPSPQYEDALEDPMTGKRSNSTDTGDLTIAANIPLPIDADSPHKARSPSPRRA PETSPAPEGLEFGSENNLSNYIRFAVRAEVQQREPFVALFNYLRSKFDKITSSKSNTT ISILVALLSIAFMRALFLPSVPQAIPDLVKLSGFARSFEPLIYYSENGVQQIGTLQET GVAVWDLSESVRGTNMTSAPIIVRQLDELSESLKSLSLELTRFFANVDSDVDSILLVM DWAKRELETLSSQPPSTLPSIMLDNLHDLLARLGTLERVTVPDGDSVGGELNTPTTFG HVVTALFGQTSAQRTRSTLTRTFTEFLSVLEESINSELTHSTALFALFESIDRQFLNI QRTVVRESDAQERAEGEMLSSLWTRVLGPDAAVVRKYEKNKRLLANVRSRTVANKHLL MDHRGRLLTLKVNLETLRRKLVSPLVRRNDSVSFAGAIDSSGGRSNGRMLGPVEAVIE GQIRGLEGSYDYLRSVREKQKAKLMEMVYGSGRKLPTHSMLADGSDNSESDTIEGI AFLA_136590 MRLLATGRALRATSSRWAIGRNVRIPFTHVSHISDPLRRTWSST TALRTQESTNSDQTPDPRISIKKPSLTIEDKSYPTDQWTNTPDTILSHVGRRLYLDEN HPLAITRKLIESQFPGPVYGNYHEKSPVVMTAQNFDVLGFPLDHPGRSRTDTYYVNEK TVLRTHTSAHQQAYFQQINRNEKSRPEEVGYTVVADVYRRDAIDRSHYPVFHQMEGAM LWKRPDIEPLKAAKETAARITDDLNRIPTHDVAVEDPNPTIHAERNPLQAEHHSAEEV EAIAAHLKRSLERMVIKIFTEASKAAAAANGNDSTEQEPLKVRWVEAYFPFTSPSWEL EVFWQGDWLEILGCGVIKQELLINSDVPNRIGWAFGLGLERIAMLLFNIPDIRLFWSR DERFLSQFKAGHISRFEPFSKHPACYKDVAFWLPSAAAAGGAVPVHENDIMEIVRGVG GDLVEDVRLIDEFTHPKTGKKSMCYRINYRSLERTLTNEETNDLHNQVREKLVGLLGV QLR AFLA_136600 MFQRTILRQAQAARSLLSVRSTSSAPLALRRTSQFQPQSLRPLA PLPGLRNYSTENKAEEDKQEKNENAESESQNTEDAVRKELEKKEKEVVDLKDKYVRSV ADFLNLQERTKRDMDNARNFAIQRFAVDLLESIDNFDRALLAVPEAKLNSNEPEHKDI RDLVSGLKMTQNVLMNALKKHGLERFDPSEPAEDGKTQKFDPNMHEATFMAKAEGKEN GDIMYTQSKGFRLNGRVLRAAKVGVVKND AFLA_136610 MKRAIEQAGSVCAGWISSCLFFCPGSRGDDESFHHQQSLKRKGV EREMKVCHTQPHLVPPMKLAVDSTLPRSSPPAWTSSTPSLELDSRLSLQRSKRASLAF KRRSTAPLRISGPSEFRTVSSFATSPGGFHPDSFILLPPGQFRQFRPLELSFEASGNG LPDLPEFDTFQVEEECPVLTRPPRTLRTSADISRLSRAKSHRPSSSFQLVRKPVGSGS RRSSLATMEQLIDKKVTSSNPLVPHFSTRSPADNDLTASQHHSGYTRLDSANGCGLVV SKPEPPKEPQASRVTIPSRTPTNTSTNLQDRPLPPIPVEDSPSSGTTQRPPTTPTDTR PPTTPSENRNPNSATTTPTRSGRVTQWLFQSSNKTSPFSSSVSPWKSTFTDKNPFRIR SRTLSGSTITSSLTSLTGGFKTTPSLSSNTTAAAPAPPPHIDSRLEKELDVPMSFSRP YIPIHADEPDIYPTIFEGQQQHQHPQNGYDEFDHNYYTTYRRSAVGLAF AFLA_136620 MATNVRAPICFGHFNLALMYNDKEKLSKTAPPTICRSYTSDAFT IYTFFQLLINFLGGERALIIMTHGRPPVQHAWPLNHFLPKLDISDPHTFLAVKRGILQ YTWLKPILAIVSIIMKATDSYQEGYLGLTSGYLWTGIVYNVSVTISLYSLAMFWVCLH NDLAPFRPVPKFLCVKLIIFASYWQGFFLSILQWLGALSNGVAGYTPDNLAAAIQDTL ICFEMPIFAITHWYAFSWHDYADPTISSARLPVIYALRDAFGIRDLIEDTKMTLRGDN YAYRLFDSGDHIMAHAESESRVRRMMHGMRYERGGKGKYWIPTPGEINSRTPLLGGVE SSRRGSIVDRFRSPSEVEESTLDEGDEQLFTKARALEFGDWNYPVITANQAPQDQRLS SYQNPQQPNVVKKSRKHRKVRAPGSGETQPKSDSTPSKSRRQRTENRAPLQRGPSSST SQNSHRSQLVDLVVEDREAEKKERAEHQRMTGSALLEPEHRYFQTPSGHPLSEQSQIT PSEGPGSPSERVRQPTERDEGEGTDNDDPKTPGWSYEGLEENVWGQ AFLA_136630 MANTSLLTFGLTPDPSFNVFFFLELVVSCILVLFFLLYFNRLFA TLLSYGIRAYTWHYYRAYVDINALQISLLGGRIFFKGVRYHGVNETIFVHGGFITWRY WRRSVRRTFLYDLKPNGYEPRNDVRSVADGDNDGAGDSGMKEQGGLKGADLLPCRITA KVYGLEWFIYNRTPAYDAILAGFNTQGQPATFTKPQYPGSSSLDAELKDNSTRNSSVC ESADPRSPQAGNTPGRSRTGGETIGSHIVEGSGQEVGDGLSRLLRLLPVKLVCDKGAI VIGNENTRSVLTTTFDGATGLIEVCNAGPLDLYRQSFSFEFMHPVVQMRPNPDFKQNQ LSAASGLSSTGEDQPGIKRKRDTIFNYQFQKRRVWHSIRDLIPYFQTSVESFHVSEKH EEAGPRTQGDVRHDVRWVGLSRYLDDTNQDDHEEWNSVEYARFSTLLDSPSMTIAYYW DIPGCVKPQESPQEFPPREATPDINGAPPPEWGIDVKIEGGTINYGPWADRERVGLQN IFFPNSYRNSQPAEPLTTGDLRQNSVFKLRVETTDELTLRIPTREPSKDWQWKGRADA IRGASKMKKQQRRRQSRAADSEKGHVGPEIRPFGWLSLRVTGDSTINYCMDMVASKVG YFNQLVLDLRDSTMSSSVNHAVLWQCPQQLIKCDLSVPLTWNDPRTWEFDVESRDMEL FLLRDHIFLLTDLVADWASGPPAEYYTFVPFNYKLSLSFVNLRLFVNVNDMNIISNPS DLDDNRLLVIKGERLTSDVLIPLNRYKPEQNTVSFNVRLQDAGIDYLSPLWDTLHTFL QDKSAATLETMSIDGSYSYYLSTSSELTDTLFLNIEGLSPKLYLFGFLIRSFMTIKEN YFGEEMHFKTLEEFQDLAYAKEPSETHNGINPNRKSNDLDVIVHVTVDNPCALLPENI YDRLKCLRLTAPSLEIDLRFTNYYMDLEFSIAPLKVGLESHLAGKHPRISDSQLFIDG VSVHGHRLFGLPPAEPTYVCNWDFKLGRVIGECSTEFISCLGPALKSFDFSFDNEENA LPPLFPIALHDVTFLRARIGMIHVSVLLDKTALVLSSGPVTTKFNDWTNAKFSKRMSL LVPELVIAAVDHELVGRLEGPTRPEVTPLALFETTINLRMAQRKNDIVESRRLQQEHI KVHDQRTQRTPWLLFDWEEVDPDSTHLNDDDLTLPTIAIPAMPEPVIKNMNMGHLSAK RSVFSDGSSYASSEGFLVSSDASSVQRGRRKGDRSTTYTSSLVSEGQRQTSVQLPPGL RQDSHSTEEQRNPSTILQNSSNPWDMPDFSLHKVNLDTSQLPSRHTSSDDIRPDDLFV TKFDPQFSPFAEDQTTHTNFAIVLPNGVRGSCAPDFLFMLSSLIEGLQARHPTNIIDS LQKDVVSDIVGYEKALKSPKRSTSVAIRTPVILVKLENLSEAPSKYESGFRDEYRVEI AHLKTEIRTKVEREKGDLLAGIKKSSTVHAAAESLSISVEGTRADAYQERAELTCLFG DMNFWLVTKPMIKSNFQARAFDTVTYTKSVEHLAYLVRRTTTMFDSVTSSLQHNSSLE NKRLRLLIYFLTQPAANIPDPAFLTRISYVLRVAPTHLRQHDSWKIISRIRNVYDNLP SHQKEDLASRCLNNDLSLPPDARTTVLSGFDEWRAWDLAHVGKSYVMQRVWSSFVPKM ESTPSPAYFSSTVKLLRFSIDPGPKESDFVVEDLSTALSINPQDGTLLGAEAKPTNLT IVQAYCSSISLRLRWEILELVEGLLRTMSTVTLESTTPTQALYTESKESKELQVVFGA DTGSINLDGINAKLAFTGRGLRSSVVQKLDGIRGPDCLSALISAQACSSELSDLCKVI MSWKVGDPHLYCSRVSQEKEGELENEWKIAGSSKRLRYDMREDPLSLAHIADRLIEDE VRYIHRLTSNMNPSAHQSSEGISSARKPVCNKFHIAMFLGDYRLSFCILPSLTYVITG EVARTSMVPTMDSKVEVDFDLKRNLHTFLSNNGNGWHSLSVLEIPPINGRVVANTSPV RMDMEVDVTIELIQLEASAVRSLLGALTKPEFSHLMSDLKQNVETLQLHLNDALALDK GPPRQKEPSNGHSILYKARMTMAGTKIHASAPAISGKKYSADMDISLGMIRMRLNNGL EQRHPMEYPEFHVNTSNISFDLRKQEATISRSYCNFTIDAKLQGTSMLRENGEARRVF HFSSKRFDVELFPETAALVVDMATHLQERIKTLDLSHEVKRFKKLRRRANAVPRVPSI QVNDDSRSEDLFSAMFSLDLNLIQVGWNMSTVPLPISGRKPDDLVFSIRRLELSNKRT NTAKLRIEDMQLQMVPYLGNRRTRSLNSALLPELVFNVAYSSAGREVRLAFQAAGKSL DIRATSDFILPGSMIRDSIAASSRIIREANSTLVSKSSDDNSKPRALFGNKRFRSVLV DVDFAGAIVSLQGRHTGDQQALLTATLKGSKLSEAKYGQYIQGDAVATATFRAPGVAL KVQFEDNGQDNPRLNAELKIDASTNVLYPSLVPLLKQMTDTVKEVMGGQEKPRRPSGA MMLQPQRLMQEAPLDMNAKDSILGRCKLNVGLLICKQEFSLSCQPIARVAATASFDTV YVTINTVQSDDYGRFLALLVAFNSLEASVKHVYSNESTASFEVNSMVMSLMNSKHLGN SKGISAVLRISPMQVALNAKQVQDFLLFREIWLPAADNIETKPAFPPQSTESQAYIVQ RYQQVASASAFPWNTTIAIEKLEIQLDLGSSLGKAQFAINDLWLSSKKTSDREQTLCV GFKATGIESKGRMSGLIELQTFRVQTSIQWPDDTYHNKTPLIQASISFSLFQAKVSFD YQPFLIAHITMFDFLMYNVCSASGNGHQRLFSILEGEQVQVFCTSLTASQTVALYQAW QRLVQDKQASYEAALREVERYMRRRSSVFASKADLPAKDVARDADDKEEKAPISLQTG VAVTIKSVNIGVFPSSFFDNHVLRLDAHDAQARFDVSLQEGKIHSALGLTLGQLRVAL SSIVRPGPTEVEELLVGEIANRVLASSGGTILKVPRLVAQMETWQIPGTQQIDYIFRS TFEGKVDVGWNYSRISFIRDMWESHSRALTSRLGKPLPPSAVRITGGLNEGGGDKKDQ QQEKITAVVNVPQSKYTYVALEPPVIETPQLRDMGEATPPLEWIGLQRDRLPNVTHQI IIVTLLEIAKEVEDAYGKILGSS AFLA_136640 MVNFTIEEIRSLMDKPKNIRNMSVIAHVDHGKSTLSDSLVQRAG VIAAAKAGEARFMDTRADEQERGITIKSTAITLYSKFDDPEDLKEIEQGHDGNEFLIN LIDSPGHVDFSAEVTAALRVTDGALVVVDSVSGSCVQTETVLRQAIAERIKPVLIINK IDRSMMEQQLPKEDLYQNFCRIIETVNVTIATYEDKVLGNVMVHAEKGTVAFGSGLQG WAFTVRQFAIRYAKKFGVDRKKMLERLWGDNFFNPKTKKWTTKSTDADGKPLERAFNQ FCLDPIYKIIDAVTNNKRDQITTLVEKLEIKLTSEEKEYEGKLLLKTIMRKFLPAADA MLEMICIHLPSPVTAQKYRAETLYEGPHDDEAFNAIKDCKAGSKEDPAPLMLYVSKMV PTSDKGRFYAFGRVYSGIVRSGLQVRIQGPNYTPGKKEDLFIKKIQRTVLMMGGKTEA IDDVPCGNIVGLVGVDQFLLKSGTLTTSETAHNLKVMKFSISPVVQRSVEVKNAADLP KLVEGLKRLSKSDPCVLTMINESGEHVVAGAGELHLEICLKDLEEDHAGVPLKISDPV VSYRESVSGKSSMTALSKSPNKHNRLYVTAEPIEEECALAIEAGKINPRDDFKTRARL MADDYGWDVTDARKIWTFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPV AEEPMRAVRFNILDVTLHADAIHRGGGQIIPTARRVLYAAQLLADPSLLEPIFNVEIQ VNENAMGGIYGVLTRRRGHVYAEEQRPGTPIYTIKAYLPVNESFGFTGDLRAATGGQA FPQSVFDHWAVLPGGSPLDVTTKPGQVVTEMRKRKGLKEVVPGYENYYDKL AFLA_136650 MKFSESEAAEVKTWVVRKLEDISDADADVLADYVLALIRADAPD EEIRKASVEGLEDFLREHTVPFVDELFATFAPKQTAPPASSHPLSQPQIFNDANAPGS GSQQQAPFNPPSGPSKGPYGPTMGNTPQSQTDSSSYGRKRNYHEGFQADQEREEAPHN RNFKTPRRGRGGGRGDWMGRDNRATPGQYAPAPAGGFPVMPPTFPSFDQNDPMAAMMA LQSMGFPQMPGMPPMPMPAPGGGAEYDPKSSNIVTDFQRRNTDTPHRGSDRGRGRGRG GDRGGFGGRGRRSEYSAAGPNEDTSITTIVVEQIPDDKLDEASVREFFSQYGDIVELS LQPHKKLALITYDSHASAKRAWSSPKVIFDNRFVKVYWHKTKADKNGDHRQGAIEVEP FNQEEFERQQEAAQRAYEEKMQKRRETEEAKQALEKQREELLKKQQEEKERLMQKLGG NDVSNGAAPSDGESGPSPQENVSDQTKQLRAQLAALEAEAKTLGIDPNGADSGAPSYR GRGRGYLGRGGYAPRGRGYDPNHRGGYRGRGGMTRGRGGVLRLDNRPRRVAVSGVELN SEKDEALRQFLIGVGEYESIQPNPEQSDSVIVAFKERYIAERFMFGPWQIPSVGEVQL TWVPNPPISVAPTTPGSGMDTKTGSDEDTVMETTSTPLPPDPSAGRRDGNHEVDYDVA EDDDSWGVQ AFLA_136660 MSPQSTPFEEGAFLPGGHNTDPPLPPNDPTIGTKLNHSMLRIRD PQRSLHFYITLMGMRTVFTMNTGPFTMYYLGFPSSAEDRADLSAWAAKVSDPANLTQT LGLLELFHIHGTEKPVDEGGVEMANGNAPPNLGFGHLGFTVPDVGATVERLRAEGVKV VKELGVTTRESIPLSEWEEKRGVGVGEIHPNYKVFFDQIAYVADPDGYIIEILPQNWQ AFLA_136670 MKSLIWALPFIPLAYANGNSSSCRCQPHQSCWPSEQEWNSLNSS INGNLVAVQPIAAVCHEGDWDSSACKEVMASWTNSTWRAAQPGAVQWENWESWPEHNQ TCYIESPRNTPCGQGQISLYSTLAKSAFDIQETVKFAKQHNLRLAIKNSGHDFLGRAS APESLQILTNGMKDIKMVDKFTPAGAPQGKDEGQAVTIAAGVSLQELYAAVAANNRTV VAGSAHTVGAAGGYIQGGGHSALGPWKGMASDNALEFTIVTANVNDNNASLLKKGDLV VANEYQNKDLFWALRGGGGGTFGVVVSVTVRTFDDAPLILVNFNITTSAGNPQYWDAV TTFHASLPKINDAKGGGYYWIAPDTELTENTSVSAITQTFIFPNQTDTAQIDRLYAPL ISKLNGTTGVYTQYASYPIPSVGFLFSKIFLTGNSDLAGGTGLLGSRLFSRDLLSSNN GSKKLSSALRSIRVDPGSAILGHLVAGGAVADNAGKVDSALNPAWRKAITHIVIPRGW EPNATLAEQEAVKKNLTDVEIPILRSVEGTDKMGAYLNEANAYESEFQSSFWGENYQR LLEVKKKWDPESLFVVRRGVGSEEWDEWGLCRAAK AFLA_136680 MVIWVYLGRICDLSGEERDLVVGYPLLDDSWEWETTPVGEAGVG ARLEATWSGVWYHTAAKKAAYVARVGAHRIAQDAAVKTGNLGGSVHDDRRDTAVAMYT NAVAGVLEP AFLA_136690 MEHTGLNDLRAVKSSSILFNLRVANSIPVDAFRGAVTWDIPPAC PGKQYLGVVSTAAREEKQHDSGRIGSKRGNASTPDTNNDPNLEE AFLA_136700 MENQSNRVHRPSKEKKKHDGPNPKAFAFSNPGKGNKAGARSHDI KEKRLHVPLVDRVPEEAPPLVVAIVGPPGVGKTTLVKSLIRRYTKQTLSTPKGPLTVV TSKRRRLTFLECPSDSLASMIDVAKIADIVLLMIDGNYGFEMETMEFLNVLSSSGMPG NVFGILTHLDLFKKQSTLRMAKKRLKHRFWSELYNGAKLFYLSGVVNGRYPDREIHNL SRFLSVMKNPRPLVWRNSHPYALADRFLDITPPTQIEENPKCDRTVALYGYLRGTNFS AQGARVHVPGVGDLTVSGIESLPDPCPTPFMDQQMAKASGKASKRRLGEKQKLLFAPM SDVGGVLVDKDAVYIDVKTSNFNKGEDESEDEDRGLGEQLVVGLQGERKLLGEADGGV RLFRGGEAIEKADDEEEGAGRKHRRHARFMDGEGDHAEEDEGFESAEDDDEDELEDES DDENIDVSAPADFEASFREKQEGKSRHDEGDIAFADSDSDLGSISSVEDQVLESDGEE DLDEDDDDDDEDGAVRWKEKMLDNAKALHAKRPKYRVADLSRMMYDESITPLDVIRRW SGKDEEEDADGEIEEDADDFFKKTNNEKEEQSEYRAIPEFDYEELERKWRDEEMIESL RSRFATARLSGDGDDDDSDVDDAFDEDDEGDGDFEDLETGEVFNGISDEKEQEEGSGD EGSVDLEAERERNAKKKEELRLRFEEEDREGFANSKDNSRQDGGGDEEFGEDEWYDAQ KAKLQKQLDINRAEFDTLDPASRARAEGFKAGTYARIVLENVPCEFATKFNPRYPVIV GGLAPTEDRFGYVQIRIKRHRWHKKILKSNDPLIFSLGWRRFQTLPMYSTSDNRTRNR MLKYTPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKAPGFRIAATGVVLSVDEHTDIVK KLKLTGTPYKIFKNTAFIKDMFNSSLEIAKFEGTAIRTVSGIRGQVKRALSKPEGCFR ATFEDKILMSDIVFLRAWYPIKPHRFYNPVTNLLDLEEDSAGDSGWKGMRLTGEVRRE KGIPTPLQKDSAYRPIERQERHFNPLRVPRQLAKDLPFKSQITKMKPHKDQTYMQKRA VVLGGEEKKARDLMQKLTTMRNDKQARRAAKQEERRQVYRAKVADSLEKKEAREKRER DDYWRREGKKRKNTDEDGGGGGKKRK AFLA_136710 MVVDRRPPPAYTAPPESTVHASSEIYRAISNTASDASQRTLETS FTIRPCSGQAWIVPAGHVCRLTTPKGPQVGDLNIWNANNPRERLWAARTRQIHASHVS VGDRLWSNLPYLRPLVTITGDSLGGGQLHEVLDAEGKRTKGFGTTQWGGRVHDLLGTR CDPYVNLLMGGESFDFHCHSNLTRSVLPYGLTELDVHDVLNVFQVTGLDEEGKYFMET SPARPGEYFEFFAEVDVLCALSACPGGDLSNWGWEEKGENMGATTRPLGVEVYKLNDP KVLENWKEPESPKYRGMHGLTMPQRENDGSGYVGL AFLA_136720 MEENRDFEKGAIAQKSPESVAQESHDDIYNVRPWNSPLDRLQSA VSTLGETDEDPGLRKPGDYKQPQVFGGRMLLWLAYQSIGVIYGDIGTSPLYVYSSTFS EAPSRQDLIGVLSIIIWSLFMMVTVKYVLVILRADNDGEGGTFSTYSLLSRYMNITNR DPREASLVQMKRHLTDELERTSRHVRHRLESSSVAKRLLKVMGVLAVTMVLADGLLTP AQSVLGAVQGIEVVSPNISKGTIIGVTDAILVVLFLIQPLGITKLTFAFAPIVIIWLG FNAAFGIYNLAKYDAGVFIAFNPGYAFSFLARHGEEGWRMLSGTLLAFTGVEALFADI GAFSRRAIQISWLGYAFPCLLLAYIGQAAYISVHPEAYSNPFFNAAPPGTVYPALVIA ILAAIVASQAIITATFQLLTQVMKLSYFPQIKVIHTSDIFHGQLYIPIANWLLMVGTI LIASIYNNTTSLGNAYGVCVMFVTFFDTCMVSLAAMFVWRISPFIVLFPWLIVACLDG AYLSSSLMKVPTGAWFTIALATVLAILFLIWRFGKEQQWFAEAEDRFPTSHFVSKDPD GQIRLTDRYGSTPLSITKGLGIFFDKAGETTPIVFSQFILKLTTMPAVIIFFHLRPIE TPSVPAEDRYTVSRLAIPNCYRLVVRYGYNDEIITPDLANTITQQVRRYLITRSCDQA DPSTCTPDTMTNKSHTSSVKRSTTSATGESSMVDGGRYDTSLTKLEDAYNHGVIYITG KEQMRIKKSKNYFRRIVLWIFLWIRENTRAKIASLGLATEKVIEVGFLKDI AFLA_136730 MSTLLSRVSRKAAIHPDASPQYQQLAELTVTSVEYPEDHINDLT IQKSDQPKQAKDVHGRPPLSKDALLASANFTLSLLPARLASRIEALRNLPFIIVSNPH VSKIYNNYLHSLSTLLPYQQRQITTLEEEKHFAEVLADLVHTHTNTIPILARGFLECR RYIDPTEVTRFLDTHLRARIGTRLIAEQHLALHFASQPISDDGKLPKSTSPSNYIGVI DTALQPARIVKLCEDFVGEICELKYGVRPRLTIGGQPDATFAHVPVHVEYILTELLKN AFRAVVEAGNEREPVEVTIAAAPDVPRNHVRGPYSVSAGTYPSHPNSDVGFEMDSVVG TADANESIKFSSPSTQSITIRIRDRGGGIPPEILPNIWSYSFTTFSDLDLQGSENGNM DALNAMSSSSGHLSSIAGLGYGLPLSRAYAEYFGGSIAVQSLWGWGTDVYLTLQGVGK VG AFLA_136740 MTHPYDPPIQSLTTYLYNHTISNPTAYASARIALLDALGCAIET ASKSTEAQKLLGPRVPGTIVPHGFRVPGTEYQLDPVKGAFDLGVLVRYLDHNDALWGR EWGHPSAYEIQGIYAQSNAFNTHGTDHVILVKLASAAVVSWLLGLTESQTMATISHVW MDGHPSRIYRGAEDTIPRKGWAAGDACMRAVYLALLVRAGQVGVPSVLSAVPWGFYQR DFGGKGFEFIREFGDWMVRNVLYKVMPVEGHGIAAVEAVLVQRRRLLEMGFGVRDVER IEIRTTRAADLIINKRGPLRNAADRDHCMQFVVALALLKGGVPEVADYQDESCWVKSQ ELESLRERVVVVPDDRLTADYLDLEKKSIGSGLKVCLCDGTVLPEVLVEYPIGHVRNP KTSAAVRDKFVRNMRLVFSDAHIARILAAVEDDEMGISEFVNLFWLQGSSGPRL AFLA_136750 MTDVFLWPYLLYGLYTSFIMQVKLFYTLALWAPILVSAQLSGSV GPLVDFKTKAKNKTCDITDYGAVADGKTDVGPAILDAWGNCSTGGLIYVPPGTYSLAT DLELKHGESTAFQLDGVLARGHEGSYQLILVRNCHDCEFFSGNSQGAVQGYGYEYLQD GNYGERLFRFQDVSDFSVHGFAAIDSPAYYLVFDTVSNGEIYNLLVRGIADLGMTDAF DIWGQNVWIHDIEVTNGDECVTVKSPASDFLIENIYCNLSGGTAIGSLGTGTNISNIH YRNLYMNQADACYLKTHNGDGIVKNIVWENVIVHGGPYPLAVNEAWGKDVGSTGVQVQ NLTFRNWYGENTANSRPAIRIECDEDVPCYDITLDNVNLWTEDGDYVEWSCANAYGSG ACLQEAKDTGDLATYTTAVTVTATPSYSATHMPGDFTTNPPSTAPFTIPPMPTSFYPG ATPISTLLSLSGAGGL AFLA_136760 MKRYRPRVEDFTIGWICPLPLEYASAKSMLDELYDESEEHTTGR IYNHEIVITCLPAGQMGTNAAAAVTARMVSSFPSLKVSLLVGIAGGVPSHKADIRLGD VVIGQPEKSYGGVVQYDFGKTIIGGFQQRIGSLNAPSPTLLTAVSKFKSNLSASINNI QDYLDTVARVKRPHSVPDVLFEASYDHARGETCNACRKDMLVRRPQREDSNVRVHFGT IGSGNQLVKDGMTRDKISTKLGGVLCFEMEAAGVVNLLPCLVVRGISDYADSHKNKDF QYFAASTAAACARQILLYVPPPSRGVCAQSDTILDHHRQQRQTSAALQKMYLESLRFE QIDSRHRTIRMAHAKTCQWLLSCQEYKDWINPGLSGRHHGIFWIKGKPGTGKSTIMKF AFSKTPRQVKDTTIISFFFNARGNSLEKTVVGMYRSLLCQLLDKLPDLCTMFDLLPTN QIHSQTPTWDIETLKYLFSVAIEQLHGRSLMCFVDALDECDEDQVRDMLSFFEQLGEF AVDRQQRLLVCFSSRHYPHIAVNNATELVLEDQDGHQQDISNFVHSELRAGRSKQVEQ IKEEVIARSSGVFLWVVLVVQTLNKEYDRGQVHALKRRMEQIPDGLHELLKDILIRGN HDMENTLLCLQWLLYTQRPLSSEELYFAILAGIESSAFLAEWTSANVNEEDVNRFILD SSKGLAELTKSKSPTVQFIHESVRDFLRDEGFAKLNVGSVSPGPSHETLKNCCLNYVK IGLSSVPLPGTLPPVKSYKAKRLVQKTSKLYPFLEYSVQYVLYHANAAAENGVTQMCF LQDFPLSSWIMKNNLFEKHEIRRYTPAAQRMYILAEKDYGMLLETEIKLHHYEDARTE RYDSPLVAAIMHSSREAVRTLLTMEANTEDILNQRNSSSRPILSMALQRSDVEMIRIL LDHGAIVDQEGATGRTSLSYAATSGNEAIVKLLLDSGANVDSKCPNGRTPLSYAAKSG HGGVVTLLLDRGADINSKCSYGRTPLSYSADSWRNEALAVLLDRGADLESRDVNNCTA LSWAIRFSQYDVVEQLLNQGAEVESRGHHGSTPLCQAVRLQHPSAARSLIGKGANLES RDDDGRAALSYAVEYGRKATVILLLDSGADVNSRDNFGRTPLSYAAERLSGLGAVTIV ELLLWQGADLRSRDDDGNTPLDHATRRGIHDVVMVLEKWQWRRHTAKY AFLA_136770 MAGEHISFGLLGQSMVGSFVKLSSTLFPICLTSIIIWSIRQPKS LNRTCADMLPERVYQLCHSSKTLSSELARDPNQAPTKVFHKLYSDYHVKDDVSKSEDG EDGHDSLQKALECGNWGPTKPSNLFLKIYHDALCTLEKNPMAGVVSPPLMGSHGIAPL TIVAPLPDLCRHMANCIARAETEVFLGTNFWIHSDASTLVTNAFRELSKRAGERGTKV VVKMIYDRGDPRQAYENRLDVPEKKYTSEKVQLPPAEEVPNIDLQVVNYHRPIFGTFH AKFMVVDRRIALLQSSNVQDNDNLEMMVRLEGPIVDAFYDTALISWGKHFDTPFPMLS SPAAGAPIPSLSLMDVSHEEECQGLTLPEHTTLDQHYDLDLRDEARRVNGTLKPRPGE SKTRPVTRHLNTTTQPNTTGDASDVDQDTPMTPYTISPPHEACPMALVNREPWGGKHH SKVI AFLA_136780 MRLAGLKLVPVIGLKSDGARDYTSCVWKKISSSLISSALQASEV LPCCGVNTIMVYTASFAFFEALWEAGVTHVFANLGSDHPSILEAMVKGQKEKPDQFPK IITCPNEVSY AFLA_136790 MVALSMADGYARLTGKPQCVIVHVDVGTQGLAAAVHNASCGRAP VLIFAGLSPFTIEGEMRGSRTEYIHWIQDVPDQKQIVSQYCRYSGEIRSGKNVKQMVN RALQFATSDPKGPVYLAGAREVMEEEIEPYKVNQNVWGAVAPSALPAEGVELIASELA AAKEPLVIVGYSGREARGVEELVKLADTFKGVRVLDTGGCDMCFPSDHPAWLGMRFGI HEAIKTADVILVADCDVPWIPTQCKPSDSAKIIHVDVDPLKQQMPVFYLPSMATFRAE SATAFKQINEYVAANNSLKQTLNSEEQTSLGKRREEEYKKIRQGIADLAVVPSGGGNT DLNVSYLISQVRETCPADTIWAIESVTLTTFVADHIAATLPKSWINCGGGGLGWSGGG ALGIKLATDHENGGKNKGKFVCQIVGDGTYLFSVPGSVYWISRRYNIPILTIVLNNKG WNAPRRSMLLVHPEGDGSRATNEDLNISFAPTPDYPGIAKAAAGGELWAGTATTVSDL ARMLPEAIKAVQNGTTAVLEAQLDGTVGKHVSKN AFLA_136800 MLIDHFFKSNACFSYETLRAAGYSNYGGADLGEVIAITSKIRAG NEDDWLVEWKKAADRAFTSAEQSASVNNTVSAHEGYLRASNYYRTAEFFCRDDYDNDE TAQLVYERSETAFEEAMKLSVYRYEPIKIPYQGTTLPGYFVSPTGTGSPRRTIIFNGG YDSTSSEAWFAIGAAALARGYNFLAFDGPGQGAAVRRQHLYFRPDWENVLTPVVDFAL TRKEVDPNAIAIFGWSMGGYLVARGATQEHRAQAIILDDGVYDFGSAFRANQPSFVQR LVQNEYDGLSNFIFGCAQSLDTGVRWALRNGKWTFGVASEAELMRTVNLYTLEGLAQK IVSACLVLDAENDHFLKGQPELLCNHLKCEYEFVSLGADEGGDTHCHQGAFFRLHQVI FDFLARRLA AFLA_136810 MPTEDGTTRSSDLEQGSDPSKTINERAFVETTQWDEDDPAHPFK RSLAARWLTVVIVSLCSLCVACTSSIYTTTYDQILDEFHCSQEVATLGLSLFVFGMGF GPLILGPLSEVRSFVRTENHFSKLLHILPYLADTMCCREEHTNTTHFSVLQWLRWKCL PQRCWRCSWRYVSSASTGCSYDDLYCKSFCWTRTWTSFGWLYKPVYKLVCMKMQSSGV VSKGLCSNTRIRRWTFYTLLLWAATMLVSIYLFVPETHHPAILTQKAREIRKETGNSW PLGPSENNNIPLSELLLRSIVRPIMLLTLEPMCLNLCVYSALLLGILYLFFGAFQIVF ESVYGFELWQRGLTFLGLLVGMVLAILSDPFWRRNYQRLEKDHQNPEASGFDPEWRLP PAIAGAPLVTIGLFIFSWTTYPSIHWIVPMIGSALFGAGTILVYSGIFTFLVEAYPRY AASALAANSFTRSTFAGAFPLFGTQSEFEFPLKHMTVYNTLGLNWASCLLAFLTLAMV PFPYVFYKYGARIRKKSRFSS AFLA_136820 MLNQYCDIQTVDTAKHSYIFAQNVSIPLRTGGLLRCNVYKPRET EEGNKYPVLVTYGPYGKDVPYEQFNPKSFAEVDPAHQTEHSAWETPTPQYWTDHGYIV VRVDEPGIGQSPGKVHVKSATSIDGFCDAIEWAASQPWSSGKVGLLGISYYAATQWQV AARQPKGLAAIVPWEGFSDAYNESLRHGGILSNKFFSMWYERQVAPNQYGLPGRAARN WGPDTVDGDLSPEELDANRHKAVMHEQRYRDDKEISSMNFNLEDVTVPLLSVANLGGI LLHLRGNVMGYLWSGSEFKYLRFIVGRHDLPFYYPEEVEIQRSFLDAWLKGHDREGWT KKGALPPVDLILRKGNVGYNNPTAEKQFLRRKEKEWPLARTQYTPIYLIADNGLQRDQ PPQPAPKKLSYSALGKANASDMLTFQSAPFEKETEITGHIMAHLNVSVSRDNWGNSPS DLDLFLSLRHISPSGDEISYTGSTGDPVPVTKGWLRVSLRRTDPQHPHHRPWLPYRNY YSTDVLPVIPGEVYPVDIELWPTNVVVETGGRLVLEVSSGDTAGTGIWGHDDPIDRFG SLDHEVMP AFLA_136830 MSPPAALDNANGSPAAPLTVEGIPALRANSAPIPKGVAPATSSD MFKSPACYTKPKAKRWDHILSTEAKSRKVSTLKGAAKYLKNPGLISLGGGLPSPEYFP FEELDIKVPTPPGFTPEATRESGTVVHAGKSDIREGKSLYDLEVALNYGQATGAPQLL RYVTEHTELIHNPPYSDWQCCLNCGSTFGWDVALRLFCERGDYIMMEEYTFSSAQETA LPQGLKVAPVKMDEEGLLPESLDEVLSNWDESARGARKPFVLYTIPTGQNPTGATQQA ERRKAVYKVAQKHDVYIVEDEPYYFLQMQPYAGADGEPVPPPANHEEFIKSLIPSYLS LDTDGRVLRLESFSKVLAPGSRVGWAVGSEQIIERFTRTCETSSQNPSGISQLVLYKL LEEQWGHAGYLDWLINLRMSYTARRDSMMHACEKYLPRELAHWNPPAAGMFHWIEIDW RKHPGLSSGKTREEIEEEVFQAAVNNGVLISRGSWFKAQGASEEKLFFRATFAAASSD AIAEAISRFGTTLRQEFGLN AFLA_136840 MDPPRGSKFLDPTSAMAAITKHKAEAIKLAREQGVAVQEMCRRA KTDTPPYEFEELIGKGAYGRVYKGHQLPSRKVVAIKVMDIDSLDYKSVRDFRDESIKD FIHETKVMKQVKDSGAKNINEIIEAISIHSQLWLVCEYCPGGSVRTLMRATGDQLDEK FLIPVARELAAGLRAIHDAGIIHRDIKAANILIHEEGRLQICDFGVAGVLQSQLDKRS TWIGTPHWMPPEMFSTRGEAHKYGSEIDVWAYGCTLFEIATGNPPNSNLRERMQIGRQ LNRVAPKLENAKYSEGLKDIVSYVLESNPSNRPTMADVQLHAYIADTEEAYPTSSLSE LVRIYYQWSQRGGQRISLFHPGGAAAAEMPGTTMDSDSDWNFSTTDGFERRFSVIDLD QIAASLAEMEDEISTTNMQPPRESFDDPAERAMTKEDQANFDERVRRGAEAMEGLFNE EKPSYTYETKNDFVPIEEKQPVSDLPLRTETDRSSVTSTFIDIDIGSFDSSHYAAGAS SAQPFQLADADTIKANRSSGRQSRNPSDSRSRSSSSDVSNREIQDLTYQPQSGPRPPT MDWKFPVFMQAPEQEPQTESQTESQTESQTESQTESQTEPQTEPQMETSQEPASVEPE STARRATMDWTFPVMTAATGEDQDGNVDDMDNSRYDTLRAPVLDLEPAAPMRPTSIGE PGDSRPSTSASTHSRASVSISSDTDYDPFRFDRPSTPPGGIPPQRQILENDYPELLTS TDDQDDDQSSVLDGPGPDEEESHPVWQDNSGVSDPTLQT AFLA_136850 MTQRPISIFKRLQALSPKGSIMPDMPDPQLYYSYNHTTQTWSPV ETDSTVEPTTTTTTTTTINTNTTPLKTFTLTTWNIDFQQPCKAERTQAGLNYLSKVLL KPSDDKNNDVTPINIIFLQEMVPNDLTIIQQTKWIQDHFFITDLNHTQWRGSYGTTTL IDRRCHVQRVFRVPYSTSRMQRDGLFVDLDCQEGGETGGTLRLCNTHLESLLSKPPIR PMQLHLASQFMHGSGPGETDLPTPHAAILAGDLNAFAPEDLTAPNECALRDAFLVLGG KDGTEESFTWGQQIPNWLREKFGCSRMDKVLFCGGLEVERLERIGAGEMVWIEYPDVS DEETEDEKGEEVWITDHSGLCADFRITRLGGE AFLA_136860 MSVPYRTKTNIEQLHPVYKTYLYFYSAVCYEEMGRAAHKYSSTK VPLLQGALDRTIQWKEEDPFISDSDSCSDTDIVVVNKIADDIANHLLVPPPLTFRKSS DEILPLTAVTHDPGHTAARSERPDRARLPPPLPIKIVPRTASGKYKRTVDSPLNNRDS RLCTDDLLIDLSQKKSEPATPHYSDSIRRYNSSIRSLRSQIDSSMNSIHVLIDEVEEK QHTRKMAKTIKRSASFWSFSPIKGGDGCWEKAEVPKRSPGKETKQERIERLRNEGWKT VGLRSAARGWKGGEYYKAYCSSILDELYLES AFLA_136870 MLISVCRLLTVEPLDSSSRSGSTRWAWTPWMMYQAERTHGDLYQ PRYNGFVDLRDVQIHKVLQNWASNIQSGHWEVGEGGVVGGIERFAEADTDEHWKKY AFLA_136880 MEGLQALLMLCLYSESVLGDQLGVELLFATATRFVFHLGGHIFP KAVDVDDFSRRSLDLRLHIRNLFWLCYIFNQEYSLRTGLPPSLDDAHCDLTLCETATT DAQNIGLSRCSPLFIPVARMAIIQSQIYRRLYSVVAQNKTDAELLSTIRDLDQLLEDW KLSIPMDVRPSLTHRPTGGEDIPSSIFQLQYHYCMVTIHQSTPRSKTPIAIQLITSLS SFCLPYVTAAMIHLFCDILIHPRDKACHANLELIDMARDRMLAQLWPQAPTSFKMQVQ FVKGLSIEVQRLARSAIRKAAV AFLA_136890 MAEFKIIIAGGGIAGLTLANMLERFDLDYVLLEAHSEIAPPVGA SIGLFPNGLRILDQLGCYERIANLSVQHLEVAYMRDRKGDVLSALHQMFKHLERRHRY GYGLLFFDRQKLLEILHDTIKHKDRVLLNRKVSNIDLIDGGVNVTTADGSVYTGTLVV GADGIHSKVRSLMRDLGNKLQPGYFPAKGEDNVPCYYRCSFGIAQHVPGWVAGEQNIV MGNGQSQLVVSGPEGREDEADFVKQNYNLPITRKVTFGHVFDKRLSSALTPLHEIVYQ KWFFKRIITFGDSAHKPNPIGGQGANGAMESCAEFLNAILRKKESHGGSLANLSDRDI ENILCETQSKRYDRAQMIVRNSHEMQALNAYENPLVSTIANNLVLPFVGNELVFSRMG QAYAGAATVEKLQVPHRSRVIPFNDELPAKPIDQNISRLIRWGFIGSMGAVLFVTTKA FRLPFSSLGGWGESGSVIISWLGDSPGQKLLNKLVSVLSFPILDKDPSARLHLINFLP QLISPLLIYTIEAYRLGNQGSLLALPTIFTAGMQVQGIGRIAPLHAILSSLYTHEGVA GRAVPRDVASSLIPAVTLGFVLPTIMVFASNPNLAAWQHWVALWQFAPPLVNVLTVVL SAGFKRWRLSHEAPRVDGGSFERYEKHDVPVLKQVYTYAFAVQSTVHVATMAYAWSHP NISIGRAFFGLPNPFRAEWNITTISEQIATFFRYDSVTALAGYIGGNLYSIWDLRRLG YIQTRSAVKAALAVIVGQFMIGPGATWAGLWSWREDVIAGLAR AFLA_136900 MGDAMVDWTGVVLRSNMHRVVCGPGEQAGLERFSFAMLVRPISR YSFTTYARVISQSKPAAWHYTPSSFSPPQMATAQYQPDYPIPSVYNPASSISQPRTAT LAPQFYPPQRLGRLQPRAEEEDEFAAKH AFLA_136910 MSSIDQQKKLIKRICQKYQNSPWPSLAQLQKATSLDTPTPAPAR GGVWIARTSFSAPTNPAIRDVLYTVIHRLKKEYHRISPVEETPVIDVGVEFIGPRSQV PDDAPEPDIPEEEKLRALLQECNSELTILYAHGGGLYFSSPAQYRASSIGLAKLTGAR VASIKYRLTPSHTFPASILDILVAYASLLYPLAGAPYPAVPADQIILAGNSAGANITL GLMKLLLEVQKLPPSDATFDSMDSLLPSLSQPVLRYPRVCPAIPPIAFGLRVHREKSP TAWLRLSTMNLSVLRLWKTGRAHRRCGSRVAVRSAE AFLA_136920 MTEPSPREIVDSHTLSAHILVRIKEGNICTSSFTDAALIVVLRQ EIFVANLTQRPVGSFTDHCNIDTSLGPTSEAMWTYRIIAHAAKITDFVYGDVIFRTKD RWKDLMRYVQDWEDSRPNAFTPIYSEAEDPPSSCFPKIWYCNDCHVAARLYSELCRIL LLASDPDASALRIGRFRRMQDNDDKIRECVRVICGVALTNPEFHTTRSTAGLAIGLCG ELFHDPRETKVLLELLSAAEFHLGWPCLKLKEDLRRFWGLPVVES AFLA_136930 MLDADLCWPLWEESSVEGAFELDAPEGNIGQAVITKVERPFLLG YRPAKPNGRGVLILGGGGYVQLMVGREGIAAAKWLTSLGFHAFVLVHRFPTADTGAEA PLNDARRAFKLMSESGLIPQGQGICGLSSGGHLGAALMAQYPETWTSPDLDVPQAQFA IIGYGPISTNAAGRTIVANKPPLPPLEKQALYDELQPDVQLNAPAPPTFIVYSNNDPV VPVVNAYRLAEGITKSGGSVELHVFADAPHGFAFDTENLPVSNWPLMCEAWLRQHSWI E AFLA_136940 MQASKPKSVGSIDKKRARDRRAQQKLRADRLNHTHSLEANIVLL EQQCKAYEKEIQELQTENEALQSAQQRIREVVCTSELDNNETLANCDGRPPKSAKVRS AIPHVCLHHTAQYPTVSGHPSGFFGYPQNESAPPIGSKVQSSNGTPLSPAKFTGLNPV CDLDLSLWSRLPLNFAQEPHISENLYSCFNRPDLVQASPDEPSPVELLYGSSQNFLAD RIHKATRFWPICDPEHLASGLLTYNMIKWLTRPSQESFGRLLGFQRPINDQLQRPHPR CIDFVMWPVLRANLVKTFHKYDLKLVFAAFTCSLRIRWPWGKSFLEPDVTNSLRVHKE FYDTFTKIEGWGLSDEFQTQYPELLVGMDIGTLNYSIFDPMPAS AFLA_136950 MRHASAIRGRFDIDMRQHHAVYGPVVRFGRDEVSFITAEAWKDI YGHGHQQLPKVLSSASNTLDIISSNDTDHSRYRKALSHAFSAKGLQAQEPLLNSYVDK LIERLKGIAESNLPADMVKWYNLTTFDIIGDLAFGEPFGGLDNSEYHHWVSTIFASIK AIPFLKLKDAYPLAFKAILGLIPKGIMEARKRQLEHSRITVQKRLQTSSSYNRGDFMD SMLRNRGEKDSLNDSELEANSNILIIAGSETTATLLSGATYWILRNPEALAKLTDEVR SVMKSEPEITAQKASAELPYMLACFDEAFRLYPPVPTGLQRRTLVPTRISGCDIPAGV RASD AFLA_136960 MTGISSVADPLAILPPEIVLRILEFTPISALASLTAVSKAWHEF IDVTHQEAIYTSESKTVQPPGGARDFSFLSDNTSFTKLFEGTASWKDLCKRQTLLARN WAESQPVCRESVVQIGNDPVWRFRPDFKRDAVEVWQADLEGAARGEFRRIAVLNHDCQ TRGFQLSYWTLCVVSSQGRGFVYDMTQRPPKLTTRVEIEHGAIGHLDQSKDAVIYSMG PGGYHAYDKTTGAFLGALQPSHCTDKYHICPPAVSSISASAALAGAMRHFPPNRTFPL GAPRKDSLTPLKIAKGPLPPPSDPGHVRHGEDEWGAGMLHGNIFVGFSRAGRVFVCSN WRKALQGPDSLAAHSSLIECESDGSGFDLGGWLSVRNHRLMFEIEDRIYVVALDDDNR IQDIDCTARASYSLLTSSAPQLAVPVSFMALYDDAIMTTYTEAHTRLFPTKAIRVVSL APDLSNDKSSSADTAQGTTAMDSSSPEAWRSPAQTGLLQLVSMLGNELDDFDDELEIM ETEDDDEGWEDADETESEDHEQEHTAARAHESA AFLA_136970 MVKEPTNKPSTTPLGHTPMSAAVYGGSAEVIQTLIDSGFDPTTK DRNGYTPLSLAALYGQTDIIAILLKTGIDPNQKDMYGRTPLSWAAEYCQLYAVRILLN YGADPECQDDDYYIVEARARQEGLYLVPLFLMNHERLYKKPIPRKPVEWAERNDCAAV VELLQHTYKGI AFLA_136980 MANLEERQSSCSKSLVIVMNGRSADQLAIPVENIGVYTSESGHV ASRVWDAIFHVLQFTVKSTILTSGSAAAKADRKDKMTTEMKCMIVK AFLA_136990 MSFTNMLNKLSGQPESYEKKQLYKFGRTLGAGTYGIVREADCSR GKVAVKIILKKNVRGNEQMVYDELEMLQALDHPNIVHFVDWFESKDKFYIVTQLATGG ELFDRICDYGKFTEKDASQTIRQVLDAVNYLHERNIVHRDLKPENLLYLTRDPSSPLV LADFGIAKMLDSPSEVLTSMAGSFGYAAPEVMLKQGHGKAVDMWSLGVITYTLLCGYS PFRSESLSDLIEECRSGRIIFHDRYWRDVSKDAKDFILTMLQPDPSKRVTSEEALKHP WLKGESASDRDLLPEIRAYIARSRLKRGIEIIKLANRIEALKMHEEDEDDIPSPADMA ASAEESDKSGSAPAESSASGEAEAGTTKKRSLSKVARGAIFREVVLAKVREQKDTEER ERLEREAREKTTHA AFLA_137000 MFAGKRLSKELLKMKEHLPPGISIAKSENLEEWQMDIRVLDENP LYQNQVYRLKFTFSSKYPIEPPEVQFIELPSTSETPRPIPIHPHIYSNGIICLDLLSS AGWSPVQTVESVCMSIQSMLTANTRDERPPGDSDFVSYNRRRPRDINFFYDDDNV AFLA_137010 MAASFTRLAGSAPKRLCLRPSTFTKYNAIPRSRSIATTLPRRQA EPTSYQATRLIPTDPTFTSLANKEGPQEADVAAGLESESEGVGRKIRHYTVNFGPQHP AAHGVLRLILEINGEEIVRADPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTN EQCYSLAVEKLLNIEIPDRAKYIRTMFGEMTRILNHLMSVLSHAMDVGALTPFLWGFE EREKLMEFYERVSGARLHAAYVRPGGVSQDIPLGLLDDIYQWATQFGDRIDETEELLT DNRIWKARTQGVGVVSAADALNMSFTGVMLRGSGVPWDIRKSQPYDAYDKVEFDVPVG VNGDCYDRYLCRMEEFRQSLRIIHQCLNQMPAGPVKVEDYKLSPPPRAAMKENMEALI HHFLLFSKGYAVPPGETYSAIEAPKGEMGVFLVSDGSERPYRCKIRAPGFAHLGGFDQ ISRGHLLADAVAIIGTMDLVFGEVDR AFLA_137020 MAPLVPSQAELDRRRLVDINLETVSNIPSTDFPGHWPGESHEWN LEKFRNEFNVEFHRNERFESSFSLIGVDASIANAFRRIVMAEVPSVAIEFVFVHNNTS VIQDEVLAQRLGLIPLKGSVDGINWMRWFKKATEDDPEGSDPSDYNTIVLRLDIECTK NPNADATENDPRKLYKNAHVYARDITFHPVGRQEQFFTGEDAIQPVNPDILIAKLRPG QKIDLEMHCIKGIGADHAKFSPVATASYRLLPDIQILRPILGEDAKKFAKCFPKGVIG LEPVTREEAAKQGSGYEGHEGETKAVVRDAFKDTVSRECLRHEEFQGKVKLGRVRDHF IFNIESTGQFDSDVLFLESVKVLKLKCARWKRGLADLMR AFLA_137030 MASADSSLRCGAGGLGCEILKNLALSGFKDIHVIDMGKSPPITF SGIPQLTLTDTIDISNLNRQFLFRQADIGKPKAEVAAAFVERRVKGVKITPYVGKIQD KDEDYYMQFKIVVCGLDSIEARRWINATLIGMVDPENPESLKPLIDGGTEGTEAEFPG LPQKANEDQASRGRRVLSYQLCLRALNVSLTCMLPVLRSHYALLRPSLDSLSIIAWQE KRKDDPFDSDDLDHIGWVYNAALERAKQFHIHGVTFQMTQGVVKNIIPAIASTNAVIA AATTSEALKIATSCNPYLDNYMMYAGEEGVYTYTFEAEKKPDCPVCGNLARNMTVDPD MTLQEYIDTLGDRPEAQLKKPSMRTEEKTLYQRFPPQLEEQTRANLQRKLRDLVEDGQ EIAVSDPAYTIDFRYRLLFK AFLA_137040 MDIETLYSNATQQQLAWREFLSSIAASKVLSATAEALTLAGDFD GLSTILWIGDGAQYTSWLGTNICHMVSKLDLDNQDAWKAVASLTGRALSLGYTDHLAR ELYTSLLVNETLRERYGSLFDTLRPMEQLAVLEATFREVEKKYFLALIDQSNEAILGQ RVNGVAGLCSSIIGEREHIKAQLLDWLSKGQGGSIQTTGLRRALLASFTYQKANTQVL LLAAGYLKRLDPNAIMEIGRSSAFLNTVSNRLAASSSKARFLGMIIGMSISQLIEQPG KAMKFDLEEMEGDEALWYFNMVNTQDSVGPLESIMPTDSASKAQQPAKSSPTSARATR KPPPRTAKIVAIEEIVSENEEPEENEELIPYEKPDEDPYDSDEDPTLVQRNKPTAPVY IRDLIIYLRDTENIERFELAIRTAPSLIRRKTDFGTELAENTEELALVIVGLQEQSKF PKFHEYRLQSIIALIVSQPLKMGRWFTAMFFDGDLSQVQRSAVLTGLGLSAREIAGNG ENDAKTLGLPTLPDASFPSKKLPTNLEALYSGNESPIASLTKKLAQTSLQPLAANAAD SLSGPNALKVRTFSSRMEVEKQRQQREAQRQKSTAKDLYKVLAEGFFYPLKSRFEMMM LQFSSSTAPSYNPFITPNLLTLFIQTLTLTLSTMGPHTPYLPTVTEDALTFLLSLHTR PASDDPTILSALLALFLTIVDLNVASGSTGEERLVTELASQVIELREWAGEVFDRTPA VKRDEPREQVRTLAAGVMVKLGEVMERYQGRLMGVNSGFKY AFLA_137050 MAPYPGSDADYFKDKARRDLLTLLEGLKSVQVRGKKNLVVSQDL AGPVGLFVKFSLLQEYGVDRVFLLENANVDSSQRNVVFLVHAEKTRQVRTVADQIKRL QRNGNVEHEFSIFWVPRRTLVSNAILEDAGIIGDVNIAELPVYFIPLEQDVLSLELED SFSDLYLHKDPGCVYLAAKALMGIQQRHGYFPRIIGKGDNARRLADLLLRMRKELDAE ESSGLTDLSARGLLPSADTESLIIIDREVDFGSALLTQLTYEGLIDETVGIKHNQADV DTAIVGPTPVPQAQESSKAPQQTSKQGQKRKIQLDASDQLFSQLRDANFAIVGDILNK VARRLESDYESRHTAKTTTELREFVNKLPTYQLEHQSLRVHTNLAEEIMRNTRSDIFR KILEVQQNNAAGADPTYQHDSVEELIARDVPLKTVLRLLCLESCMAGGLRPRDLENFK RQIIHAYGHQHLLTFSALEKMELLQPRSSATAMLLPTTGTQPGSKTNYGYLRKNLRLV VEEVSEKDPNDIAYVYSGFAPLSVRLVQCVLQKPYVLSLIRGGSVAASASPSPASTAS PGWLGFEDLVKSARGATFSIVQKGDDKAIRARQTLSGNNATKTVYVFFLGGITFTEIA ALRFIAEQEAPRRKIVICTTSIINGDKMMDAAIEKQDFTKTE AFLA_137060 MVFGRKKDDDDSSNRRALFGSRSKNKSPAPPANPYAKPIPTDPY TKAKINAGVAPLPAGEQPPAHNTPAGGNVPGDNKLQGGYAPNKFVNQGGYGGDRFGGG GPAPAASRYGPGGYGGLGSADPNDPGDAGRDALFGGARERAQQQQPQGGAPPPPYSEG NPSYGGGSNAYTTSTYQERHLTAEEEEEEEIQTIKQDIRFIKQGDVASTRNALRIAAQ AEETGRETLARLGAQGERIHDTEKSLDIATIEGRIAEEKAKELKTLNKSMFAVHVSNP FTSARRRRDRDEKILNTHREERETREGTRKEAFVTNQRMERTFREIDREAGKHNGRAR TNATERAKYQFEADSEDEAMEDEIEQNLDLLSGAAGRLHGLSKATGRELDEQNRHLER IMQKSDFVDDQIAMNRAKLDRIR AFLA_137070 MIRTHESSHDDNAQVIASRTLRKVDMRLIPLLFITYMFNFMDKT ILSSASVFGLIDDTHLVGQQYSWVSSIFYVGYFFWEVPTNYLIPRLPVAKYMAANTFF WGAVVALTASCVNYGGLLAVRFLLGIAEATITPAFMFITTTWYTRDEIPFRTGIWFSG NSIGGLVASLLAYGIGHIEHPLRPWMWMFIILGVATFLWGFVLLAFLPDSISKATFLT PQEREFMAHRAVIAGTGRTEKTHWKWEQAVECIQDPKTWHLFAIAILTQIPNGGTQNF GNLVIKSFGFTSLESTLINIPSSVVSASTITITGWIAGRYRQMNCILIVGIVSLSIIG SALIYARAHHVPLGAQLFGYFLLATGPGALPLAMSLVQANYRGVTKKMTMTAMMFVAY CAGNIAGPQLFRASEAPTYQTSFRAILICYIISGGLAVSLRVYLQFVNKRRDREEGVQ GNAGLSGAVGGKVVEERRRGNNEVSDLVRSVDLRPEDYEDVTDWKTVGFRYRL AFLA_137080 MAHGPEGTIYRDEEGFDDTLKIDINFAQMKLIRKLKTSRTSSIF HFHNIEDTRYADDASWAPHLEAFHHDSGLPSAIFIEYLPQPLVMNSVTYSKDRMQKVA IGIQQIHLALIEHNDPYPKNILIVPDDPERFLWIDFDAAIV AFLA_137090 MTAVANTKRSVTGVCPAGDALDFTVNASSLVEVLVSDGKFAHCQ IYLELLERVTYLERIVKRELGDISLDLATLRSLAEGEDRTPLPTPAESPVADVRRSIE PLENNITREGIYKMLSKTKD AFLA_137100 MKIKEWISKHVRIAIFHGRPFSIARSDVDTELPTGKSDVRSSYH DACILATLQLNDRLCSISHSMYLCTPFFMKARLTRLFNLQQDLINWWKTLPSNDIHSD HTSHPENLRRAMHLKLDYCLGIMFAGRYFIFAESSLEGNSPTSTTKLQNARPLRINSQ TRHMSSILVS AFLA_137110 MAQLQYLFAFILVQLQLAGAQDSTATSNTSSSTTSTAMGTASPS AVQTVDVGEHGFSFDPDTLKVAPGGKVEFHFYPGNHSVAQASFSKPCHPMNDSSFFSG FIAPTTGESDTVFTVTVNDTKPIWYYCGQVGHCQAGMVGVINPPSVSRGQRVYWMMRL TLTRASGSDTLEAFKSAASNANGDSVPSKVQGGTLSTSSPKSSSTSTSETSTHSSTAT TSASPTTTNSPSPTATNIAGNLQASTDSSIVFVLALMAFGFFM AFLA_137120 MQGANIFAVYASHDGNNVTVSPRLGVEHVEPTYNSQAQISVLAG SGISNGVMTANIRCDSCLAWPGGSENPNSSASPWVWAVKYGQLLNSDSLSQPITIHDA SGVAVLDLQKATSGASDNPFLASNNSNSAGQALTIFDTGNIASRRVAHAVLMILVFVI FFPSFALMLHTGAHSRIVDIHAFFQLFTLALAISGFGIGISLAKALHLTGTYHPIIGM VAVPALILFQPAMGFLQHRYFHKTGKKSVFAYLHRWFGRSIIVLGIVNGGLGFHLARN VTSTAPVGAIIAYSVVAGIVGLVYALVVIVLPLRKQRTSSP AFLA_137130 MQTSIATSPTEGKSYYERHGPMTAPTRTELTTFVSQVDIRLVPM LCILYLISHLDRANIGNAKILGLTEELGLSGVQYNIALSLFFIPYVLLEVPSNILLKH FIRPSVYLGTLIVSWGIIMTLTGVVRNFGGLLTMRLLLGIFEAGFFPGSVYLCSRWYM PRDLATRVAAFFCASALSGAFSGLLAAGLSKMDGVGGYSGWRWIFLIEGLITVVLGVL TFFLLVDSPEISTKWLDQDEIRYLVIQEFIKEGGKFKEEDKKTSWKDVGDMMRNWRIY LLSYIMLCQSACNYGTKFTLPTITEAMGFTGTNAQLMTVPPYIAGAISAVLFSKLSDR YYWRMPFVAAPLLLIVTGYAIIMGLKGRLEANIGPGYFAIIIACMGIYPTYPATASWA MNNLAPSKRRAIGSAFNICMGNTGGIIGSYMYLDKEAPTYPTGFGLSLAFGGSALLVA VLLELSFAYGNKRNARLTETEIREAYTDEQLLAMGDRSPFFKYTL AFLA_137140 MDESTILTRLSVLDTNAISDALDFLQLKGATYGLRPLWDCPKIV GRASTVKVGPKTGTAATAHPFASVIDAVTTDDRILIIAGGLEGVSCWGDIIANASKVK GIRGTIIDGVCRDIDGSRDIAYPVYGRNVTMISGRKRMVQVGAGTAVQVGGVTVRQDD YVIADNCGTVFIPAEYVETTVELAEKITHRENLMIKDVRAGIPVSEVMHDAKFQAITE DIASAAAGVLSSTQSPVL AFLA_137150 MPRACEFCRRRKIRCDASRPVCSTCRKSKRSCVYHNAPPKQRPS AALIDSLQTEKAALEDALSRLKSAGDAERRALLDSMIVRDGRISLSDRSGGSTASSPR ARSALRTEHGMEQQLLGGHAVRMRENGGDATNSVDTGHGSDSVENETDRVLPRTYKSH VTSDGIFSSTSVIHVSRPVTSPEQPAASTESLRYQLIANAAMERQREHRLRRLTVIRG IPADLALHLLDLHWSRQHHTFLLTYRPAFMRELEHGGPYCSDLLLYAVFACASKFSER PEVRSNPVDPETAGRCFFNRCQELLLVEGLMTHSSIPTVIALVMLGSTLIARGRTSQG WLYTGYAMRMVYDLGLYFDLQEPNKHNVEEIEIRRRVFWGAFVCEKLQSLYLGRPPTI RLQDVHVSQDFMDTFEELEPWEPYNERSTDIITNNIGSSPVPLAYSVTTFQQLCLLSE IMTQIIDKIYYVGATASKTIHQIEALDDALTAWYRGLPAHLAHEPWAKDPLEPPVRVA PNRIIILTTYHSLIVLLHRPFITAPSSTSNHNSVDTIGTPAFSWKRCTAAARRITSLA LSYQSIYPLHKSSYLLSYAVYVACTIHVLNTASLSVGSDGNAHAESSYLLSASLRCLD ALAVPNSGAADTARIIRKLMAAKGVPESRTPSEVQATTPHRNPDNCWQFPDYSPTDDD IGQIPPFPDIFIPGQDLLFGFMNENMPLAVFDINNPIF AFLA_137160 MPPATVTEYPIHHSQAKSNTERPGAPVSGSPDLTSSWRQDVHFL GDKDGKVELRSPPNFNDISDARTHLKQHLAAAFRVFARQGFDEGVAGHISLRDPGNPS LFWINPLSTHFSQIRVSDLVLVGETGEVLPDGAQNPINGPAFAIHSAIHRARPDLNAA CHAHSVYGKAFNCFGRPIEMLYQDALRFYNDLAVYPRYGGTVLTAEEGDRIAAALGPS CRSVILQNHGMITCGRTVDEAAFLFIALDRCCHSQLLANAAVCPGFEKRYITDEQAEF GHRRSGNASKMWLAFQPYYDQVVKEEPDLLL AFLA_137170 MIFLTHPGLSLDQKPDKHGQLAESTPCPPGPKVGLAVESLWKVH PFSTWYLRPTTSYAIIHFWPRHQVPVMLDVFACKAGILFSQMMVTENLRVRIYSAKKR KVIITG AFLA_137180 MQLKNAIHFTVFGCAILALAHPGHHEEHDPAPVRAYKRDVGHGL ANCAGQLEASGLQARSEARRKATVQLHRRQLITRDTDAVLNKSHQVTRPVSPSMPPNE IFKDTTQKACILGPTAEGETGPYWIPGERVRSEIREGQPGVPVILEQQYIDVETCQPI PRLYAELWGCNATGVYSGLVADGNGNSHDLSNRNRTFLRGIQETDIDGVVTFDTLFPG HYDGRTTHYHNIAHFGARRLPNNTIAGGTVGHVAQIFLDQDLIDQVESTYPYNTNNIP ITLNSVDRVVSQETENSNSDPMLNYAFLGDNIEDGLFAWITVAVNLSAVHYPYYTNVY TAEGAVEVEGTSDGDPRAIDGGLPQSSAA AFLA_137190 MSPADDFSKRVISHSPDNQTFLSPGPGSIIPFKMSTITTTTTTT TTAAAAAASSSCHAKLYEIPTTDAACAMPMNSTYHTLMTNCCGAASVISYSDCDYYCL AQNQTIGNLAECLIKGSAAGQVWCNTNENATATGTASATASATGNEKLDPIGSNGVMT SSLATMDVLMLVLVAFGGIAQPFV AFLA_137200 MSSRRPSSLANTSCDSESSHCPPYQEQHPFYQEEPDEQEISLLQ ENSSSHYSTPFADPVAESDSHRRYTLHDPGPTVFGAPEYEPVQSSGMKTRAGLNRYGT RKINLVKGAVLSVDYPVPSAIQNAIQPEYRDAEEGFSEEFTHLRYTAATCDPDEFTLR NGYNLRPAMYNRHTELLIAVTYYNEDKVLTSRTLHGVMQNIRDIVRLKKSEFWNKGGP AWQKIVVCLIFDGIEPCDKNTLDVLATIGVYQDGIMKKDVDGRETVAHVFEYTTQLSV TATQQLVRPHSDESTSNLPPVQFIFCLKQKNSKKINSHRWLLNAFSRILNPEVIVLLD AGTKPGPKSLLSLWEAFYNDKTLGGACGEIHAMLGPRWQKCLNPLVAAQNFEYKISNI LDKPLESAFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLSKRLGKKGIEGMNIFK KNMFLAEDRILCFELVAKAGFKWHLSYVKAAKGETDVPEGAAEFVGQRRRWLNGSFAA GLYAIMHFGRIYRSGHSIIRLFFLHIQILYNICQLIMTWFSLVVGVFTGGMLDFNFDD GLAAFIQSFFSSSGGGIVLIALVSTYGIYIIASILYLDPWHILTSSWAYFLGMTTSIN VLMVYAFCNWHDVSWGTKGSDKVDALPSVTTQKDNNKRNFIEELDKPQADIDSQFEAT VKRALAPYVEPEEDGGKTLDDSYKNFRTGLK AFLA_137210 MNGLEITPFITSLPKVELHVHIEGTLTPALRWKLAHRNNIPLRY PTYEALLNSYKITYNHRRELNGDNGAPTFLETYYEGCQVLCTEDDFYELAIAYFQKAK DVNVRYVEPFFDTQAHTKRGIPVEAILNGFLRAQHDGAKQLGVKSNWIFCFLRDHPVK EGLEALRSALPWARTKDGKGKGLFHAVGLASNEYDRPPGLFEEGFLLAKEVGLHVTMH CDVDQKDVVEHMHEGIFDVCAGAGADRIDHGLNATDAPELMAALKEKNIGLTLCPHAY HRRQATEVLFPKIRKLWDEGVRFCINSDDPTYMHDVWIDGNMMKVYEYCGFTKSEMGK LVRNAVEMSWADEITKTEILDELERVLGVEEKLIS AFLA_137220 MNRLFPFTTKSTSTPIKEKPQTKALPSSWYRSEALYALERRAIF SKKWMVVTHRTRFTKTGDYVQLNVAGYGYFIIKDRTGTIRAFHNVCRHRAYPVIQSEG ESGTANIISCKYHGWSYGLDGKLAKAPRYQDVEGFEKEKMGLYPIHVHIDHLGFVWVN LEAGDRPSVSWEEDFEGVDQQPRLQGFDLSQYHFDHTWSMMGDYNWKTLADNYNECYH CPTGHPGVNAISDLSKYYVETKAGHIQHFNADKQDTPGLGIFSTFYFPNASITVSPSF WYMMRCLPVSATQTRMEYDVYRHNNASNEDFTYIDEFFKQVLREDKDLCNAAQKNLNA GVFVNGELHPRAEKGPLYFQKMVRELVFNHRKREEEAGKDIWPAVPQYEASDKLQEEI KFCEGLECSGDGSLEW AFLA_137230 MNQDTTLSQMDAEKKSEEIITRKPSLAEGTVTSHQGANPPDFNQ LAINNAIESIGMGRYQWQLMISCGFGFIADQMLLVSISLVMPQASKEFGPRYGTLLSA TQYAGLGVGAVVYGLIADLIGRRLAWQTSIFGVSVFTAICAASPNWAALNVFVVLSAF FGGGNLAIDLTVLAESLPRKWAFLLTSLACLWGLGNAITGLIAWPLVVNFCCPAGATP ETCTKANNMGWRYLYIILGGLCLIMSVLRTFALGMSESPKWLVSRGELNEAVASINTM SKVNKSTYVMMVDQLRPHEHEDSKSAIKKAASMVGALFQGSKQIRSMICLVILWLLIG IAYPVYTVFLPYYLEAHGATLGDGSTYQTYRDWSISSVVGIWGPILSAFLVQVPFLGR RRSMTLTACACAAFSGAFTTVKNESQNLAFSSMINFWLNALYGIIYGYTPEVMPDAYR GIGCGLTLACGRIASLSAPFIATFGDVTTSVPIWVCCAFFGVIGIVSLVLPFEPGDSS AFLA_137240 MADERIVLPSIAEIEASTDILSDPSRSVKVVRVRERFAVKVGTS IAPLEAENMQFVAANSKIPVIKVHDHFVDPETQKRYIIMDHVPGTDLQKLAPSLPENQ KKTVSKRIREAPDELRRYFGNLNRTPYYEGILSTLDHDPSIYGPFENEEQLNQGLLKY LGQRESPHYVRLLRKPIQRTLRGHKTVFTHADLQPKNVIVEQKGVCEDGSPEYQITLI DWSLAGWYPEYWDYCNSTVYCQGKPEWLELVPDIFDEYPVEYLMMRIFYTSIFY AFLA_137250 MMGLDTMASEKEAAGGRVSSSHYDLETSKPGIEQLELIADPDDG LSEEEKAKIDRRLLRKLDMRLIPWLSLLYLASFLDRTNIGNAKIDGLQEALHMTDGQY NASLTIFFVSYSIFEPLTNVLLKRTLPSIFIPTIIVLWGICMTTMGLVHNFSGLMAAR WFLGLAEAGLFPGISYYLSCWYKRSEFGIRMAIFFSAAALAGSFGGLLAAAIAKMDRV GGKDGWAWIFILEGLATVVIGVFSFWLVYDFPDKAKFLSDVDRIRVMRRLALDQQSST AKEHEWKSSYMWDSLKDHKTWLGAIIYMGADGALYAFSLFVPTIIKELRLGYSSTRAQ LLSVPPYACAAIITVSVGYIADRTGQRGIYNILASILGIVGFAMLLGTNSPGVKYAGV FLGAMGIYPCIANTIAWVSNNVEGIYKRGVTIGIMIGWGNLNGIMSSNIYRSADAPNY YPGHGTVLAYLTLFLFVGSVAEYFLLRRENRKRRRGDRDHRVEGLTPEEIQQLGDKRP DFIYTI AFLA_137260 MQKLHRLREGVSTSTNSQSWSNNAKLLWELLVYDRASSSQSSND FFGATLSDPNARHTASRFMTPDPDFPLVGSAGISGHKTVAKEVVAYPPESVSTPPASF TSGPDMHQVPSAEPFGLIGTPAEGMLNDNLFLAPESYGRAIEDWWNFTSS AFLA_137270 MTTDRPNVPAKPVIHAGKDNCTRKQIASDTSTGEKSHAVQARDQ SARTVIGWGNNACILTLNSRQTMTPVTVQMESGSRDEHAGDSRRDPDNQSSISRAAQV YLSFCNYQPLPLFDPENFVETIATRDPELILAIQAISLRFEREVEVGEARILEYAKNA RSMIMDRINNGNLELSTLQTLCLLAMFEFSGEESPEF AFLA_137280 MANKTSPKTDTILIIGAGVFGLSTALELSSRGYKNITVLDRYPP PVPDGSSVDISRIIRSEYADPVYSQMAQEALKGWKSEYKDHYHHTGFVMLSETASNPY IKKSLDIIHAQGRTLKEFVNGNGLKEMYPDLHADLSSLRAYHNPEGGWADAEGSIRHL SHRCSQAGVSFVTGPRGTVLSLRTQGCRVVGVNVASGDFIPANQVILSTGAWSNLLLD VTHTASASGQPVGFIQLSPEEARSIAKTPVMINLSSGIFCFPPTPGTNILKVARHSYG FATSIRSVATNRTVSSPKLGSNNADKSFLPQEADKALRDGLRQLIPRFADRPWSNRRL CWYTDTPEGDFVVDYHPQMDGLFVAIGGAGHGFKFLPVLGRYIADRYENCAPEALRQK WRLRLPDGSGDLKIGDGSRGGPPLRMLSAEEQSKL AFLA_137290 MSDSDFEAVRRLQAERNAAAAGKKGSRTFDPSSQRTDNSTKASL TESFDTTLYEREGADRFSGYDTSIAVNGDDEEMEDADGGHRLVGQYTATKSQMDEFAH GNGVEEEDILLGREKAARIADRETDYQKRRFNRGPLTPTRADPFAANTHANVEGEGQT YREVMALRELEKEEERVQKLIAEKQASGENGVAEHEATLKLEDKENTDAGSTVTVATG RKRKQRWDVTSESTEAAPEAPEPQETKKKSRWDQTPSLPVPGAAEEAPKRRSRWDQAP SITSATPVGNQGLATPMHPSQVGAALIPTSFGTDISGRNAPLSDEELDMMLPGEADGY KILDPPPGYAPIRNPARKLMSTPAPMASATGVGGFMMQEPESARALGKQLPTEIPGVG DLQFFKPEDMAYFGKLMEGGDESVMSVDELKERKIMRLLLKVKNGTPPMRKTALRQLT DNARQFGAGPLFNQILPLLMEKSLEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVI EPLLIDQDYYARVEGREIISNLAKAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVA SALGIPALLPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAILPHLKGLVDCIADNL SDEQAKVRTVTALAVAALAEAANPYGIESFDEILNPLWTGARKQRGKGLAAFLKAVGY IIPLMDEEYANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCASTDGVTAGYLKEHV LTDFFKSFWVRRMALDRRNYRQVVDTTVDLGQKVGVGEILERVVNNLKDESEPYRKMT VETVEKLIAALGAADISERLEERLIDGVLYAFQEQSIEDIVILNGFGTAVNALGTRCK PYLPQIVSTILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGIVLYEYLGE EYPEVLGSILGALRSIVTVVGINQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRI ADRGPESVNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNN LRVQERQSRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKAMSFLFEYI GEMAKDYVYAVTPLLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLVF PNIFETSPHVIDRVIEAIDAIRMAVGTGVVMNYVWAGLFHPARKVRTPYWRLYNDAYV QSADAMIPYYPTLEDDGLERSELSIIV AFLA_137300 MALPSHCDVLVIGGGNAGFCAAISAVQSGAQKVIIIDKCPENWA GGNSYFTAGAFRTTHGGLEDLLPIVNNVDTATAHKIDMSPYTAADFTNDMERVTGKRT DRELSRVLVNDSNETVKWLAQNGVRFQLSFNRQAYEVNGRIKFWGGLALKTQDGGKGL IQDHLRTAQKLGIDVFFSTAAEKLATDPVTRAVTSVTAVHHGQVKVIKTNAVILAAGG FEANPRMRAQYLGPHWDTALVRGTPYNLGDCLEMAIRDVSAKQVGNWSGCHCVAWDAN APANTGDREISNEFTKSGYPLGIMVNRQGSRFVDEGSDLRNYTYAMVGRQILHQPGHV AFQIWDSRTISWLRKEEYRPEVPTAE AFLA_137310 MGGLSFLFIRPSWRLNEHAFCSDTMMTTKRNDVTSKYNRNRGEF AYISCTLLHRGDGRVSSAPGETEMARSLLLVCLLWPPKTRSNLCFVKAKILERQPFGF STSPLFLSESDLSPFSLILSLYHRNPEPKMD AFLA_137320 MTMVIENQNRQYGGMGFDSVYHHNTPQFTDPWTAAHTTSHSTPP VYATSMASNPILNHPKQEEVSRPSPLSMPYSSIPVSAPSMVTSSTYSTTPATSYPAPE VMGLHHDLPRTSFEQTPAYTTASSMSSFAPASYAPISYAPLHHQDSRRISHADPRASQ SQPSSAPTFGDALDASRGMVALSQDLTPRNIYAPRNGRGSGDSYGFPSAHSSGSSISS GGSYPYYSASVASVESSVTDYSSTTSESYENGHLSRTLPRPSNLLTGSAPPGPQSMMS QFSSKMPSNTQKKHRCKVCDKRFTRPSSLQTHMYSHTGEKPFACDVEGCGRHFSVVSN LRRHKKVHKGEKEGASGDEE AFLA_137330 MLLLALDFGGVTHPWDSATVICLIVFSIVVLSLFVLNEWKLAKY PVIPLILFQHRSGIAAFVVCFCHGFIFMGEAYYLPLYFQAVLGATPIMSGVYLLAFVI ACTFSGAFTGLFIQKTGQYIPPMWLGLGLLTLGAGLLINLEATANWGKIIGFQIISGL GIGMNFERPLLALQRLSASRIPQQPPLQ AFLA_137340 MVMAKEPAQPSTMASSQPQATTDTSSRSFLQISIVMSCLCAGTF VAALDATIVTTSLPSIAGHFHSASGYTWIGSAYILANTASVPSWGKLSDIWGRKPLLL CGNAIFFAGSLICSVANTMALFLFGRVMQGLGAAALMTLVNICVCDLFSLRDRGLYFG LLSVDWALASGIGPILGGVLTEKAT AFLA_137350 MPPRRSHKKSRNGCDQCKERRVKIPIVSAPGRTAHAVTDRSPDP PHQGQSQLKNQNKQPMSSVVSAEPSFVLRDLELMHKFSTDTFRCLCGDQSDMDDWQVL IPQQASKHTFLLHGILALASLHIAATATETTHVLSYLDTALQYYNMSFVPFRQALGAL TPVNCDAVFAQSAIITVIGIALPRLNAQHRGECFSMIENMVSVFELLQGSTKVSRISR PWLKASMFSKYDFWMIETGDLDSEELVAIEKLSRLTTCIDDAEHGSANREAIDLLRSC FAKFARSSHPVAILAWLVYTKKEFIDGLRMRQPVPLLILMHWGVLLNELGSHFWWAMG CGRDLVTELLAEIKSEDPVWEQALEWPRKMIGV AFLA_137360 MTYIFGPTKGRCSVNLTSHSKTGWNRCLTATTRSSTVPPATRWS DCSLPKIPRLRIQLALIFSSHPCQLHIPEAAKMRFGYALAGILISIAGANAVTHTPIV SNAEIAYHRNYLYVGGQYVQDNSGGHVFTDQMYVEKLTPTRGVTKEHPIVFIHGQAQT GTNWLNKPDGGSGWASYFLSQGYECYLIDQTFRGRSPWFPSNGTMKTYSAEILQQRFT AAKHYMLWPQAALHTQWNGTGMMGDPVFDTYYASTVEFFGSSTGQQYTMQEAGAALLD LIGRPVVLLSHSQGGLMPWIITDVRPDLVHAIVSLEPTGPPFQEAVFSNTSSRPYGLT DIPLTFEPAVSDPKKDLVRQVIPSNSTTRSPCVIQAEHPEPRKLVNLQKVPTMILTTE SSYHAPYDWCTVKFLRQAGVSTEHLQLGEIGIHGNGHMVFMEKNSDQVAGVIQKWIER Q AFLA_137370 MDDATLVSLWVLIWCAVGLISIRLVMRKIRGKAFVLGDYLSLCA ILCALIRLALVHVIIIWGTNNMSREFRYSHHFTSEEIYRRETASKFTLVNRVFYNTYL WLQKFILLDTYRHLLRNLSWERITLGTYVFIFAATYVTVQIVTFTECDPFDHYWIVLP DPGRLIACGMTIGVLNIVTDLMLIVLPVPLLVKVKRPLLEKLQLAALFAVGFFIVVIT VIRLPQNAQHSTAQVNRTTWASVELFAAAIVANAPVLYGFYRGEREASRSRTTEGTSR QQSSLGRGGTVSRDPELEMQPIPGGHLRQASMLGSKRRSRPMHGYTELDEGSSGRLVK ETKDDET AFLA_137380 MLFINVLLVFLAILHAEASPSLPDLATTFSQISSYLDNAHVSNC SVAKTELPLHALLPGPNPNLRLKYVTVGRGTQNYTCQEDSNTTAPQAVGAVATLLDVS CLAAYNPALLHNFTPVVRAVDSGTLPFLALLSSQLSSPATKFIVGKHEFNAAGQPVFD LRLAGGSDWMATKRNASSAAPDDNTVNVPWLKLTSVNGTGVTEVYRLYTVGGQPPTNC QKRKGTFQVEYSAEYWFYG AFLA_137390 MDPQQEKKHITAEDAESFTTKILTANGVPASNATIISKCLVQAD LRGVDTHGINRIPSYMERIRQGVLDAKAHPVLNQITPVVAQVDGQNGFGFVAAHMGMA RAIEMAREYGIGFVSVKHSNHFGMSAWIVQQALDAGMMSLVFTNSSPALPVWGGREKL MGVSPLACGAPAGKEKPFILDMAPSIAARGKIYKAARRGEKIPADWALDGEGRQTEDP NRALEGVMLPMGGPKGSALAVMMDVFSGVLSGSAFAGHVTGPYDPSKPADVGHFLVAI KPDLFMGLDDFKERMDYLYQRVVGSEKMAGVDRIYYPGEIEQITREERVKTGIPFVQA EIDSLNKEADKVGVEHLVVS AFLA_137400 MTASDIENDANAKVRPPGVYRSKIPYFRMLIDQGAITQDVLHFD YPGSGTEEDPYVVSWIPNDSRNPQLFDFSRKLTITLIVAFSTMIVALTSSAYSGSVGS IVTSFDVSTEVATLGLSLFVLGFAVGPLLWAPISEVFGRQRPFFASFLAMAAFSAGCA GAQNIWSLVILRFFAGAFGSAPLTNAGGTISDMFTARQRGLALSLYAAAPFLGPALGP IIGGFLSMNAGWRWVEGLLAAAGGLVWIMMALAVPETYAPLLLRERAERLSHVTGKVY RSKVELDKGRVALSTLLRRSLSRPLVLLFTEPIVLLFALYIAIIYGTLYMFFAGFPIV YEQGRGWNPGVGGLAFLGIMIGMIIGIIFTLPANMHFIKIQDRLGGFAPPEARLLQCM VGAIAIPISQFWFAWTDYPSIHWIVSIIATAPFGFGVILIYLGVMNYLIDSYTIYAAS VLAANTILRSIFGAVFPLITGYIYDGVGIHWGPSIPAFLSLLCTPAPFLFYRYGADIR KRCKFSAESFHYMQKLQENATDRANTETKQPAVQANENSTSETK AFLA_137410 MATDYSKKTNAELVEILKSRSLPHTGKKAEMVARLQEDDNNKAQ TAAPAAKADNAEDVIDWEDDDVPAADAAAAKPSTEAGAAAIAAGGQGAVSNPTEVPNQ QQDTNPATTDDLTVESNGDAAPTAQGGTEAAPAEAAETTEAAPAEEKPAPDYSIGLSV TDMEEELKKRKARAEKFGITEDSKTAIEEAERKLERAKRFGTAPEEPATTGVSKLDQA LPSEKTRKRGRGENDQGKRGGKRRDFGGRNRNQTQTQTQNQSQNRRRGQGNRNQGQNQ RSNEKPASSGLSEKDKLALEARKKRFGTAA AFLA_137420 MASHKSESGRIPRLKDLASQSRNAPLPGNNASARVSNSSISLSS ALRITRPTNIRNGANKWSSLSDTDSGHPVASSKPSRIPSTHNARSLLPPRAATHPLPS VARSTPSVLNTERGPSLRVNTETPPNSLAGGHRQPVRGKPRNVLRRKAPTIGQHSGNN KSITEGIKPEKLNVMIPDTTHLDQVMGGSFPLPRSQTESMKETVSPYTPQDTNVGRLP EEQPHNEPKELASLRTTINTQNLPPPTPIFASASSPSTRYSGSPGMWSRTSTPTSLSS YSPGIVQPAKAVPRIRQPSPSQTRLPVSLRQAQQTSQDHSGHLRTNKPPGSVPEPSAT SSSKSRNHDSAAKGLTDDTSTVSGSLSSRKAIAKSCLPRKTQENTGTESASLSQENSQ AVRSPEGTKAKGAQETEGNATHIPARPSREGTHRLQVEPSPVVRSNLSPDIVTSHKRR PSVESSFTSERIPLGSVQSASTSVDSLPSRSSSQVPSRLSPELSRNSPRASTRETKAR QKPQTPTSPPKSRRFGLFTKTSKPELEAHSSEGSRTARRGPSAGTGHEGYGKYAQRGR RTSVTSSGSRTRSTSTVRSASRSVSSKGSMNSRPDMELDDFLLDRLEPVFINGGGVDG ATLARTQSEQSSSGYSTSSVTSLSQKAATPKHYGYPTETLTSSTDTIGKLEKLGQESK PEKNVRAAPASTHQSKACNTERLEKPISSSNVDSVKPKPCATGSGQSRPDVRSSPSSL TLKTNGATSQSNKSPKKGLGLKWNFFQKSRDPKYAERETPLPPPHRVQATVSPAAVRR PIAHYALVDADSDTLENIIRNVEDSPPTEEENIDTPVEIPAALNVRKPSESILLPSPP KLNGEFRASTKVYFNKDQVPPSSQNLAESPEEQRTTRLASVGRIPRVVSRRDRQHRPA LQSFSRPFSMADSPSIAAPVTTKQFDFSPPGLPTLEAQTGTYHGNPSSFAFDFTQPFG DPMSRSVLDFITGPYSSDQFLTFYPRKDSTTTITSSSGSESLAAVTAVIPEPESALNE DEVWGEYDDLIDHVLSPETARSLCPGDPEADEKFELAAMASRALQAELNGLSDRQAFP TAVENPAVALTPASPTSSTGSFHLRRSKIAPTLHSSLVLSSQPSFSDIIACYHHDGSV ENLHGEDQHNLSTPSLSIEQQSSFLTSPSLNPSPSFETCRQRNTILFDIAERDREGPT AQTNIRSGSLMTSRWLSFGRVLFSPAHNHIKNGEEERILVVDGLGNDDWSFYCALTYP NAEVYKLNDAPTPTASKHPDAWQPPSNHHTIHHASLEDRFPFPKRYFTVTVLRFPAAC SEHVQDNIISECKRVLRPGGYMEMSLLDLDMVNMGIRTRKAVRNLKERTYLTDSSISL KPSSDSIQRLLGRHGFDNLRRCMVRIPVAGVIVRSSASSSSTSSSNPSTLAVTATSST ALSHPSNSSIGAQAKAHSKTSSNDTDLSLGDLLSDPFPSPSNDESIRKIVARVGRWWY TRCYEIPVLANGDAGLSIWSDKKVLRECQKRGTGFRLLIAYAQKPSEKRRTASV AFLA_137430 MPEYAVSYNPELDTYSLYQRYEEPRPNKDWKTAALRGPALPALG NAAAGAVGAAISNVATYPLSLIIARLQTQNQRNPKKTAKTKAKGEGEETEGQDKDTED SNEEEEEEYTGILDAARKIYAKEGLGNLYTGLAQDTIKTVADSFLFFLAYGFFRQRRI NARYGPARKGGKQVVLPILDELAVGVLAGAFAKLFTTPLANIVARKQTSKISASTRDI AARIRAEKGIKGFWSGYSASLILTLNPSITFFLNEFLKYSLFPRDKRGKPSAATTFLL AAISKSAASSITYPISMAKTRAQVTGSSTAPSTDNGGKDDSDEGLSLMPPIISNVVSI ARTEGIQALYAGLPAEVLKGFFSHGFTMLAKDAVYSIIVQSYYLLLIALRRYPTPDEL IERARQQAEEWAEAAREGARDLAEKVKDTDANVLDHNAGNVAVEMSSSGPVPPTLDLP EGNETAELVGDYVEDEATEWRSLYHWFWEKERYGKE AFLA_137440 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G AFLA_137450 MPYTAPLKSSPFAQHIENIEPESQSCPTSPTLVDPPAGRPRLSR SYSSTAYVRRHRRSPSTSKSFTLPAQENVRKSKQTIDSHATIRQSPPPRSDALIPPGA MISPPESAPNSSDEETNYGHKDGLRLQELELAVRSIEQRRVSSPERETQEKKPSSSVT QLTNPTKKQPHPPLSKEARKISHSRSITEHAIDLKQEEAVTSSPDESDGDDDPRAKQP MVRKKSGELVRPALRPPSARRRPSSMPGTPTYAKAVHFDAQLEHIRHFLQLDKPQAVS AGGSPVDDYESEAGFPFSKDQPEMTSYEWDIRLPNFPRDVSTRAHQRVRLERLFLSPD KNTLVGVVAVANLAFHKHVAARFTFDQWKTISEVIAEYSDDVRRKQIHDGYDRFCFSI KLDEQANLEKKTMFLCVRYYVNGQEFWDNNRAMNYQVTFLKVPTSTSDSHSLPVKPIA VSRAPLPRSKTFAGSSANNQGKSASFDDLSSLNDYLSFGRNTRGAKDRPPYGIRTHDD DAVAPVRRDKQSSQIFGSRYDFETSLSAAMRTKSAHDRTTLTARAKSEVSPPGGGKYS PVKGRGTIVDRPLGDSHSQSRIQPQAEQSKPSSLLSSKPHRESSVYKELVDRYCFFGS SNSSHNTRPIPSLDKSSPHNTQTSSSQSSPTASPKTDAATGGSSRNSSPTPHSYPYIE PMQSSFLKETQRPAVIQG AFLA_137460 MVSRGRYRRLTLLSAVLIFSLGLIAFSSRHRHVPAVSSLKQQYP LLWKHVHTFNGHGGVWYMPPSWVQRNPQPRTIIEAAQLAIQVTDIGEVRHFMPHSQIP LIVHQTWSHRQIDTWPDDLRQSVEKWLQFVVEDEMAYFLWEDEGMVEFIDHFEPQAHD YYSSLPLMVEKTDYFRITVATCVGGIYGDLDTVPLKPPAQWITSQDVRPWTDLETRSV YNSMKPVRALFGIEADCLPTDHTCWRMGYPYSIQLTQWSFASARDHPLLHQYIENLAH QLQKIANHHGGLQTSAARTELQALDPLTLTGPEAVTRAAQEWLNTSAGLRWNALTGLH DGGKAKLVDDVLILPITSFR AFLA_137470 MLNWLKTLFLKKEESTAHSGRAKRASKRARKARTKRRRELEEEL RRRRMGDGKVSFFMGSVIPVVWGNPGQSVERQHDRNTVVR AFLA_137480 MSTESPTGGPGLGDLEKELICSICTELLYQPLTLLDCLHTFCGS CLKEWFSVQASRHRSSSSARFTCPSCRAVVRETRPNATVTTLLDMVLTANPDRARPEA EKEEIAQRYKPGQPVFPTAASPGNSTSESDDEDRRLMEEARELSLRESGPRTREHRSA RSTRARRGESADGDRRREDGRSRQQREEERAARRHARSALPDTSDRTRQIEHQSSLRS LLSLSDTETMQEEILRQILEEGLLDDIDMDNLGPAQEEELSERIADAYRRRHRLRSRS QQRNGAQEEAHASNRPRSRSQSVQRSQPASGSRDSPAHPPVSRPYLLEPLVPRSSVSG HQRRLSDQGSRQRVSPIPVSSASSSEVNLRPAARSSSDMIADRPRGSQAARVRAADSA PRTRRATASEQSIPNIWVASSNDRELRRQRPARQSVDSPTSISSLVRSPRTASFALRS EQNLANSPTATSLAPEINSPARAEGRSRPSSSRSNGPQATTYYIEPSISCDRCGRSSI QYELHKKCRLCKDGDYHICLRCYRLGRGCLDWPGFGVSAKANLDRIHASSNGLAMPSQ ESQHILLSLKYNRPSDTARRATRDGREVTNENPARRLQAGLFCDICQSSADTCFWKCN QCNEGDWGFCNKCVNKGKCCTHALLPICRVTPDSPSTPATPAAPGDGFPQPPYPQQPG YGGPPPGQYNRPPPAHPGQYDRPPVAPPGQPGYPPHGHPPPGPGYHSSPPSHSPYGAP PQQFPPHGGAGYPPGPQPGPYGGGPVGGYPLQHPQQPFHSAPPAQPSPGYNPGQIAPG DFHREADGLRKAMKGFGTDEKSLIQILSKVDALQMAAIRQTYANYIRRDLYKDVKSET SGYFRDGLLAVIDGPLMHDVTSAREAVKGIGTKEWLLNDVLVSRSNADLKAIKAAYER TFSRSLEKDVQSDLSFETQNLFGMILRADRHEESFPIDPRTIEEETKSLHSATAARMR NNVQEVCGIFARSSDNELRAINQAFSARYNASLEKHIDKEFSGHMKDALLQMLRGALD PAMRDAELLEDCVKGMGTKDVKLVSRVVRIHWNRAHKDQVKRAYRHRYGKDLIERVRG ETSGDYQRLMVALLE AFLA_137490 MGEIAKTLVSLSGPKAVHGIIPRALVKVSAKNSNGKASITATGG KTAERVVSESLDGDEIPESEYGVTTIVQDMHTRKRLMATKVMEGGPGSGFVTLAGGFG TIEEVMEMTTWNQLGIHRVGVVLLNINGYWDGLLAWVRNAVKEGFISAENGSILAEAS DVKEVWPKLLEYRCSQDRFQLNWGEE AFLA_137500 MVSKIVLVTGANNGIGYETVKALLQSTKATYHIFLGSRSLEKGN KALEALKAEVPDTKSSVELLQLDLTDDASIETAYETVRKSYNHIDTLVNNAGTSSILQ LYIKTAHNKSASFDGELLAGRVSLRECFTKAYDVNVAGTHVLTYTFIPLLLKSADPRL IFVAGLSHITQAAESYFPTPRLPAGWPKKVDFETIGYRCSKTALNILMLDWNHKLKAD GVKVWGAGPGFLATGLGGLTEKVREMGGGHPSIGGDFIKDVVEGVRDSDAGKVVVRNG LAPW AFLA_137510 MSTVAPFTLDVATCLCIAFALSFMPAAYILGRSLIPSTHMRNRV LFFWHAYDALTHIFIEGSFLYECFFSYTNLPAGFNRPPYFLDQKDRVYGAAYGTRPSS RLWQEYAKADFRWAAADANVISLELLTVFLGGPAALYVCYLLWKASSSRTSAAAKGSA KAKLWLVAPALATAELYGGFMTFAPEWLTGSSQLETSNPVYLWFYLFFFNTLWVWVPL WVLWEATKELRTAFTKAESATEARKSK AFLA_137520 MATSPDSSAADILTLTKLAWDLYHNCYLITSDAPEVFKQLVNEL ASLQGVLRALRDDVNSNASFFDDLEEGRRNTLQRCLNACSNTLQNVKEVVAKYRNLGV GDGKQFWQRVKWVAQRGEIDDLKSRIMIHTCNLSLCMSSIGNSTLARIERSMAVALEQ KSFPSTPETLSNGQRVLRTPPIPGDDDFQLDESLRIEPLRVSPKGIPMRANSLPTVES HARISASESILSGGSEWSATTVNTTSPSINDPLKRSMSHNYVIRRTGSCASEPRHLRM PSSESAQLGIHPALREEPFLDNRTESSGSELDNTAVTSAVATAMQQLQQVQIRESILR PLRYEPRDKLHRPDPQLRRSFDALVRDELRIKRLSTSDWLRVAVWWLLKARAALASSD RPSLVGPRGSVTPPTGSWAPGDQAYVDLLKASYILYDIVLADTSLHAILTHENRKLIS DLSEGIKDEFAHFISLDVPEYSIIQSQNLDIWEPLQPEEAAEKGSDSIIGLNNVRWDT VDLEDAGDEEEKVFYRTFVNAGIGSKRLRMRTKGAPYMLLLSAREGESEPKITICNQS GTLCLQRDFLPEDLAQMIRVWQATVSGYPGMKISEPIVIRFDTKSVSVSFQHASDLQY FINLPKAYFDAVWQREPVDSDQFSETVLFRSSVEKFEQLKAPTMRPMNPPVIHKSCEV RILERSYGEAWQSVRRMVISSWVAEQAPWCIELFMPMSRVQVCRGTDSGQILVKWSDT CQERSTKTDGNYHPLYSYVYDDNSPNIGLGLQFRSQKQVEDFEKAILSMCSQSSFSWD QPTSSGYVYDVVDPSGDQKQYKAILLIQSRLTWKYCSLYYVYRDTDYVYDHRTLRVRF PRLFYTDYISSHVDRLYPADRPVSFSHCEKKVGNMTAEFDEEPLLRSFMSSLANGYEL LYSRRAVSLVTKGKSLFGARKSNKGETEVQLWRKGASIQMSSRWDEHVTDKWLTVSVM PGALQPAKDSTRVDFSTLQYSRGSFLNMAGILAVAPKDPNMARRGGQLAINFATSKDR HDFVAALECDSVPPAYDV AFLA_137530 MPHLLHHRRRHSWPYCGPGPRRNVPLLRVAEQQTELEQQFLTPL ASGDYIEDDAASAGIVPPKATRPHYRIWPHSKTTVEHTIPSTGRSYGSGSGSLRRMIR PPLDKARSLFVLPTTTTAGQNVVISYWVPQNPVMQPAAPDRGRELSSLPKHLAVDRNH RRCHSEQPRSWRRPSASLWTLTEE AFLA_137540 MKDQNTTAPQVDIQQPQRTSIEHSLSHTTTTSSSNSSSSLPERP TSSTQSQTKSNHLSLTAGRLFVSRSRSRSKSPAPSDIPTTTRTRRSDSESTYILKRDK MARISIPRRSESPTQMSPPASPSSIKETHSTKRRSDSYHSRKSSDDYRRYSGTVNHYG RHSNDWLFGGFSLRDTVRGGVGRLRQRDDKS AFLA_137550 MDPNRHVFPKCSLEPLFRALSLTQPNMKLNDIDLVTDRRNLRLL LGFVSAKKNTFRIDVEVVNNTVLFSCWTPKAVNYVKGFHGYGHEFEKVSTRQPKAVRD SLTHNRIIRYMLGDVKIIMRYEVDGCTGSDKDIRTAMPISEVQRTPTGYTVLKCGQLV SPSRIIEIKTGAAGKNLVISKNTEQLWFSQTPFLCAGHYDEVGNFTSITKKNHLKLGT LQKWENSHQEQLKKLATLLRVIVELAKAAAWKKFALVSSENTLKVFGLTNQNDKGLPV DLHSMWE AFLA_137560 MSFHQSAEDIEIKDNHILFARLRNEDGDLKDAEINLDEFLGNDD GHFQWGGENFSHTADDVHFAIEGDDEVPVLRAVLLNGEGEPVESNVNLSERINNDDGA FVFA AFLA_137570 MSNRGRKVSNNIHYDSPMKTTDRDYAEARRSRYPNTYRETPPDE RSRKDWDHYTALTAESNAKDNEYFALRLQEEKQFAAYGRRDRQSVAKEKSFYTEHRAG LFPEQHERLVEPSRQRHEAMMSTANARDRYLVDHPYGYYDYPQRKRHEGLRDGAYEDT LAARLDFDKHYKYAGDPASSKRDKYGRRQPREY AFLA_137580 MLWIQAPDLVQGVIWAIDSINTQAPILNSVTTTTHLLLTPQKVV LNAFFLIVQLQESGSWFLKMKNGVVDIDAGGTKSFSIA AFLA_137590 MLHPWEVEELSCVAQFYKVLMEELSDRIEEDFVTMAKEKMDARP DVGGEKNDIGGLLDWFCLWWYDESFKRSQRPWHIDYLVSRGMLAVRKLTNAPFPIVRR MIAEGEEDEGEISKRHPAEDNSDDECLEHCNFGWLWAVGNEKTKWRWDIDLPSNYELR NRGYVFWDEARLRKCKEFQSPRDPVKDDFEFPSDYQEHSKQPGIQTKLKDTLIHGDVL REMSDEIVFRQIEGDGPSVTGWRD AFLA_137600 MPDPKIQLPVTDAYVHVQLLNGGSMTARSHLIHAGEEPTTEFRL YNWAFFIHHAKQGRRMLWDLGMSSPCTLGSLSTGHLVNPSSPWDGRYFDPERATERWE TLQGPWTRFGPFERAMDFFGDGSFWVIQAPGHMPGNLGACARLSTGEWVMLASDCCHS RALLDGTKEFGTFELNGNMACLHTDVTAAKDTLARIRLIEKELGVHVALAHDPTWMQD ESDPVLLSLLDEKFVKDMRAAFPQQQPF AFLA_137610 MPSPQHPRISRPRQRTVAKRRITQRGTKSTTVVQEARRPFVPDE IRPKPDLLDSEWSTREIDIGTSSAQLHMVKDDVTGSIYNISAEYIRQRL AFLA_137620 MSRTLLITGATGKQGGSVINNLLIQDADFEILAVTRDAQSNGTQ KLLKKSSKIKLVEGNLDHPEDIFRQAQKVTRQPIWDTCPRTLEEDSKEIQGKALVDAA LNNNVKFFVYTSVDRGGDASIG AFLA_137630 MQWVILRPVAFLNNFTPDFFGSVFTTSWKIVLRGKPLQLISVTD IGFFGAQAFLHPDEYKYRALSLTGDELSYDEMARIFKRVTGKDVPLTYGFLARLLMWA FKELGVMFRWFHDSGYKADVRALRKLHPGLKNFES AFLA_137640 MVQSAARASSGSSSREYGITFECAPPSAVRPGVPFTLPVIVAVR PVGAPRDSSVQQLVVNVSLRNESGTAACPGLTGTLTSSVRSRHGNTTTGFGRFNRLTI AQPGRYRLRVMLGAASANGVTTRDYIDSGVIEVHAGAPAAQRPTPSQVTKLQSLIPEN IDLSASDIAAWQQA AFLA_137650 MACLVTSSITLTQHHDLVNSFCILGQDYEYEHVKKGGENGLVND AVGKKAIKRADWLNGDFAL AFLA_137660 MYQNQVQIDKSQCPSTRLRVSIRSRGSDKEETPLTKKEANLGGT TSAQITIPLRHLSNWEIKLVYGLDRKLGWLSYQLMPGRKPFHFPLLPNHWLNIRTWIV YDPASRAPIDVKRRFGDPRFNTPSPTPQRPKRKYPRVTRKVANTPRIESWRVAVNQNR KASGLKDLVKRVELYDDSADDPPDGYIDPACWLIRKPPQGHQLSARQKATYYEGGAGW QERLDDWQNIRRWYRIRKAIHEGRANRTRVKQIAAGITRFYQTLWYRRQKQQT AFLA_137670 MFKATSPLFSGLLWKIPWRISQPQKARQRKRLRSVDNVVDTISA ALARNGLKARSVDRWYREMPREEEMLPKDKYTLFDKKEKTYRKGIHKLPKWTRVSQRV NPPGF AFLA_137680 MIRPREKEAYSQNNWAEAAGILRRLCRATYCLKWLDLEGCGDWV PALNWDGVGPDGEAYASGPEWNGSWRDVEFVRLGPGWLPHIDDSELLPLPPSSSSGRT ATASSSLALSPPVPRSLAFSMHAPSPDESTDSDALPWDVEVERVKYRREKELERYQEA VQAAKAVQQRVQRARKAGKGKWVHFSFGLEGLEEGVLRRLLGKEYLSLLP AFLA_137700 MYFPSCRLFFRRKLFTDPSFKATTGLILVPTRELAEQVQNVVTT FAAFCGKDVRSVNLTQKVSDAVQRTMLADYPDLVVSTPARVVTNLGSSALSLENLTHL VIDEADLVLSYGYEEDINALAKAIPRGVQTFLMSATLTDEVDTLKGLFCRSPVTLKLE DKDDQGAGVSQFVVRCAEDEKFLLTYVIFKLQLIKGKVIIFVDDVDRCYRVKLFLEQF GIKSCVLNSELPINSRIHVVQEFNKGVYDILIAADEQEVIGARKSKKSKETEEAGSSD EDEGEPEDKSKRRKVSGKEKDYGISRGIDFQNVACVLNFDLPSTSKSYTHRIGRTGRA GKTGMALSFVIPKDQHGKHRPTSTATSKHDESVLAKIVKRQGKLGHEVKPYHFEMKQV EAFRYRMTDALRAVTRLAVQEARAREIRQELIKSEKLKRHFEENPEELRQLRHDDELR SARVQPHLKHIPEYLMPAKGKKGLSSGDVGFVSFRKQNENRIRKAREKNRGKGNGRKF AGVKKKVDPLKTFNRGRK AFLA_137710 MSHTGHIPSALTSHGTGGGRGAYFGSPPHPQSVNDVTHALSNAK IGPSPDYTRGTNGYTPAANATSNIPPPVTSGPTANETLDDRYQVQTNPKRFFKVGRVF AVVWHEGMGNAAPLKGGTKSLATKSLASDRELMTVGKFGTKIYTDIRRMVVFKEQAQC AWCL AFLA_137720 MASERDPRREPNRRRQSGASAFPRGGRDLNDQVLATSSARALPR SQPILPSPEYADFSRYHGSHTLPFGATNEQPPLPT AFLA_137730 MPNLGESVRKFLRWNWHSSSQQNETGQSEEHPTQPIPTEKSEMP GAATHPVGVPSPTSPESPHEVNSERGSEPFNFYQIASSLGYHVEAKESLSHPHEDSPI VNSPLNPSQNESSKDADAGFSLPGRSKDPQEHQIVSDGPDAALIAKILRANNRLLSLQ NKVALKRSEVQELRTALRFKREEEADIRAEFIRHVTVAQGTLRNVQPLLQNNEALLSA TGIYSDMEAEYNRAESELERDEYMLIKAMEEFARLSQDHHSLPSPDEPPLIDHDSLGD AISTISSAPEDPPDVVDYVSRVADVRMTQEHLIDLDREWLFIQEKKEERESMNIPMDD ESIEFLRTYEEERREICDELFHLQLDMNQLRTVCFEKGHLTHEYIQGRDFVYELYPAA PTNQLEDPLKVSTEEDTSPFEPMEEKVSQTKFVNKWILHRLRQSTVEIGHLKSLPEIK SLCDQGYDDRKVSQLSLKQWFEDETTISPPPPPATSDMSVQEPGSVVRDTISGSHSV AFLA_137740 MPPVIQAGDDFPSIPATDNNDMLSGIQPSPEVEALTDRSVIAPL AEKPHSLVARDNPKYIPGDGAVDPDKINMQGLLALFALIGAAFVLAAIWFFFWAKNGG FIWRKGDWEDYKSTVLRRKGPDGETLSNATKSTKLGGGSVVGKRYDEDGYTVSGYTDT ATEITEKDGPRRKRGLKEKLLGKKHERYENEADEDVRAYRKEKPARIGGINADADGTY YGSDYDTSNPPSYYQQSEMSQVRDYAYEPSRQKSKRRDFSFTPGTEEVLSQPPTENHR IREPSTRRHNRRRERRHHAPPTSSSRQSSPRKRDRRSVPGHYTEPLDFSSAPSRSDYQ YSNVDTEDTGTRSYHHPIPGLSKGYRRDGGRSRRRDSLSDSDGDETRYS AFLA_137750 MTGKVPHAAFCEEYDEDAHVIIPDTRQVANLAAKRSKLDLRSAG EALVDIASDSGYSSRTAATVNSTQSGPSGRKDPVPLKIDTTPKRAELERVRSHRKDRP KERPTRPSWDEKMQVGTYPAVSHHHASMQRSPSRSRRRENSQMRHFPGTCWECDQGLY HGSTPVEPRAIEYPYYMSPSTTSSVHDYPPPSPQGPRYAPSAVQDVHVSHSNRPRSGR SYTYHTNNNRPVSFHGMIPGMGGGVMYPPSHMGRYEHGPPPSSSAYTNTPAYAPSPYG QPSPYFAAMSEYGPPDRQERSASRTRDQGRPRRGSTYGPPVVDYDPPSAYEDDESLDL GPPRAPRPRLPSHSSHDRDEDYYRMPPPPVKHKPAPHVIQKRPELHRKAVTAPSVTSD RHPSRSMDLSEMRDALPEYSYRRSSRETVIPARTRSIRDSRRSTSYHESSRPARVAVE NSRRRRPTVYEYDYVDDDDEDEEEDGDEIEEKQRSAEEYQASRSGKVVPTPLTEDALY KSKASRAESDSGSQKSRSNSSRGSDARTQNGSGSVAKPEEDNNIVMTMNGVTMSFTQE SVGGKKISLRTGDAGAVELNIEGKRPKKYLTSGSDYTGSVAQRQLEDPRRARPDRRSD RASRRSSRSTYSGR AFLA_137760 MTSPKQQPPTFTATPESLIQNTKHLIQHARETHNQIKRNTQPKT ATFNNVILPLAHIDNNLASKTHILVFYRAVSTDPELRSASTEARSLLDSYKLETTMDD GLFALVDAVFHQNEDLDTESHRLLKKIYLGFVRHGLRLSAGYQRYRFREIQSRLGWIK GEFQKNLAEANNTGIWFTAGELDGLPSEFLSTLMRSEAGDPKLRVTFNDSDLFQTLRY AKDSETRRRLYIANENKCEQNVSLFREAILLRNEAARLLGYPSHAALRIEDKMAKCPE TVTSFLGDLHARLIDNGKREVEKLKQLKKADLESQGETFHGQYFLWDHQYYHRLMLEK QYSVHHDKIAEYFPLQSTITGMLEICETLFGFSFTELQTPEMTKLASHGHTLVWHEDV QIFRVRNSDEAGDFIGYLYMDLFLREGKYANAANFNLIPGFTKENGTRQYPATALVCN FPKPSSKAPYLLKHDEVVTLFHEVGHAIHDLVSKTIYSHFHGTETEVDFGEAPSQMLE NWCWTPSVLRSLSRHYSYFSSEYFDHWKRRVDGELQPQEQMPDAMIESLLRAKHVNGA LFHLRQVHFAMFDMVVHDTGDHRVIEELDISAKYNSLLAEILPMDGPEGDDWGHGQTR FQHLLGEYDAGYYSYLFSKVYSTDMFYTVFKADPMNSLQGRRYRYTVLEKGGSLDGLT ILTDFLGREPQTDAFYKELCQT AFLA_137770 MHGLGSSPTSPASFRLADGLGYGLQQNHRSVIRLNLQHFLWREV FGFHIHPSVHLPPSDKSTEPSDHPAIADVATGTALWLIDVSRDFPHSRLDGLDVDLTQ APHPGWLPSNITLQHWDVFTNVPASLECQYDLVHVRLLVLVLSGVDPMPVIRRLFQLV RPGGYIQWDELDCVNMKIKKVNPSVEAPALEEIRIASHANGRHDWVLDLPRLLNEAGF QDAKLDYYDEGPELVRAFNDQHLLTMEEFASKLMQNGRAEAAASFVKLIQAGYQECVN GASLSIPRVVVVAKRPGSAGIPPARQG AFLA_137780 METDHAKASGAIDLERNDHQFLMNNTVQSFTWDNLTVTVKDRRT KKPRNLIEGCSGTAHHGQLVALMGPSGCGKTTLLNVLARRTASAGAKNTGDCYINGAK LDNNTFNRITSYVEQEDALIGSLTVEETLKFAADLSLPGSVSRSQRVDRIQTLLSAFG IQNQASTLVGTPIRKGISGGQKRRVSVASQLITCPKILFLDEPTSGLDSTASYEVISY VKKLAVANNLIIIASIHQPSTTTFQLFDNLLLLSGGKTCYYGPVSDVPSYFENIGCPI PSNTNPAEYLLDAVSSDFTVHEGQVEKIQTSWTQSAEYAALSKQPQSPDEKDIRTMSI DELSRPGIPRITMSLLHRLFIKSYRDVVAYGIRIVMYLGLAIMMGTVWLRLHTSQEYI QPFINAIFFGSAFMSFMAVAYVPSFLEDRATFTKERANGLYGALPFVISNFIIGLPYL FLISMLFSIVSYWLSNFRPTGTAFFTWVMWLFLDLVAAESLVVFVTAIFPNFVISLAL VAFANGLWMSVGGFLVSPTILNPFWKYVFHYIDYQAYVFQGMMVNEFSERNYSCGSGC QCMYQTDLADQCMIRGTGVLKEYGYATGRTGKWVGILIGIIAVYRLFGYIALVLRRT AFLA_137790 MNLPTLIALLTTLTLHLTTTTTATPLSTRNVPGILKEFALILLD KAVKLYEPKKEDQGLERKQTNVEVSLIKSMNAVHAAPPFKKQESSLVSNVACKMQPVW VEYLHDVVVKKREFEKAKIADRIQEHIRDLKERCHNLAVSIEEKLKPEDRETIVDREF DRAIAAFD AFLA_137800 MPKTTQQFTHCDYTVGWICALPETDLVASAAMLDEEHPTLPAAD PQDANSYLLGHIGECSEDEEGSDDETKDTQDLRHWDVVVSLHSKPAEAIMQYDFGKSL QGKEVVHTGGKLNKPPGILLSAVGRLQAQHALKGHGISELLIKMRSDYPESTAKFQTV LCALRWRLLNKIWQPYAAAVAASYAKELLFVIPGQGTMDQLPVNQCT AFLA_137810 MSDAETYLDEKIHSSGSVSGLDNVEGDLDRDEVYSPREQRKILH KIDRRLVTGLGLLMCVSLVDRTNLGNAMIAGMEEELRLYIGSRYSITLLTFFIPYVLF QFPLITVIREIGPKIFLAGITFSWGIVMMGFGFIHNWTVLVGLRIVLGFLEAGLFPGS VYLLSMWYTRYDLHKRYSSFYLISVIGGAFSGILSYGFVHMNGLGNLSAWRWIFVMEG ILTCLVGVVGFFLIVNFPNENNLSWKFLSKEETAFVVRRLNRDRRDSSEAAFNLKEFL KPALDPKIWGFALIFFSATVVSYAITFFLPLILRSELKFPQAASQVLTTPPYFFAGIF MYVQGWIGDKYHIRAPLIIYNCVQCIVGLAILGWVQIPGVQYFGIFLVTSASNATIPA ALTYQANNIRGQWKRAFCSASIVSFGGTGGVAGSLVFRSQDSPRYLPGLYACIA AFLA_137820 MTFSPSFNDRTDFDNATRGFIHALKPCIIRNSSGRVVWNNDEYG FLQDAECPATAEPKLWRQGQLNSIQGLFQVTDGIYQIRGFDLSNMTVVEGHKGVIVID PLTSVECAAAALALYREHRGDRPVTGLIYSHSHVDHFGGAQGVLQQGTNTSIPIIAPE GFMAEATSENIYVGDAMRRRAGYMYGMRLPKGPDGHIGCGLGMMPSSGTMSLIPPNVS ICHTGEKRTVDGIRIEFQMVPETEAPAEMNFYFPEHKALCIAECATHCLHNIITLRGA LVRDAKAWARYLDETAVLYGQKSNVLFAGHNWPTWGQDEIVKFISEQRDLYTYLHDQT VRMMNIGLTGIEIAERFTLHQHCNGARKYASEGDSRFAVTLLGHVIAAHPEHKESRLA LASVYTKLAYGCENATWRNFYLSGAQDMHSPPPPDRPEPPKREYRAALSMEQLLTLLS VQLDGPKAATESFTIDLDLQEQKQSWRLILSNGALTYRIKTDHDRSIDTSGLRLTLTK KELVEILNGGGGIPENSSEGDVSLLFKLMRLVGASMPPASLL AFLA_137830 MEVLAATNPLVMQSLVAVGLAGLSNIKKSTELMTKATGEYTQAL HLINCTLKDGTQCKSDATLAATMLLGMFEVLTCNTPSSLQSWAQHVRGAAALIEMRGA EQIKWIVGMRMFTHLRVQILLALAPFCSGIDRALVPPGYGREINGRRESR AFLA_137840 MDLVRTAITSSLERLKRSSSLTVSPPIMETDPLLPPYSSSPGYE RERRPYRHRQGRGINTTPPIPPIQFLSALFFAGAVIASTYWAYKSYTAPERTPLEPAP LLPYFASLSLILSFCSWIGYLVSILYDRDDISLLQRKLVIWISVIGKVVLGFAHAVIW FEYKQFFPGTKQPNWIIMFLAMQAWWDFLLLIGYSMLRMI AFLA_137850 MALDVPDGTPDRGKGVVILSIILMILVILATITRIMSKVIAHQN WWWDDLFAILSVICELVVLSLVLVWRNIGLGYHMSVVASINPQYLITGSKYLFIAIFF FDASVCLPKISAVLFYARVFRSNNRAFRINLWIIGALVAGWLISAEISTIFQCNPIAK AWNTTLPGTCIKQYDWYLSTAILSTVIDFYILLLPIPMIWSLKMSLRRRIYLLICFFM TYSVIVVSLGRLVAVVNLIPVMPTDLTWEFPLYLYWSVLEGSVSIVSISVPSGIALVK AIIRPEGSAWGSSNGSSGKRGSYGNTTIIQHVRERPMRSYSDRDSDDHLVSDVETART ANSGESDASIPLGGIKIRTDIRVMNREK AFLA_137860 MSWTKVSERRWERPVTGMEGYFVYTGSVSAAHCDGRHQYTIFSK LKIDLGISPADVESALKRAWKRLRSEQPQIATTVDGTTMVYEVPDEAALQEWLASTFV VSSAADAEDLYRNAEPIKQVTLYYIPKSSELVLRAQHYTIDGTGTLLLWDRYLTALAT PAEEVTFGDEHTRLAPSIEDVLKVSEPTAEETEKATALLMSYATKAPGVGPISKVGTV PAGRSQNAEVTFPTRTTEAIIKVCKEKGISVTSAVHAAYIQAIIKHANPNGTLSRYVS LGLFNLRPYLPKPYSTSEYAASVYYTPLPLDFDLPAPFWETAHLLDKYYRTTVKDDPE ILPLHTHMTRILCQASQMPEYQGLIPGDAQVSSLGIVERYVQHRYGNTVKVLDVKMGV DVVLGMSMFFIYTFYDQLRLVYSFNDGYEEPAHISMYLEEVQKILIEELLA AFLA_137870 MQLPIDEATPLENGHTETAASNNKSIAIVGIGCRFPGDISSPSE LWDFLAEERSAAGKVPKSRFNADSFHGSKDEPSTTVALGGCFLQEDIRNFDNQFFGIH NREAADMDPQQRKLLEVVFESFESAGVTLDDVSGANVGCYGAQAAVASFTPDFIAMQT KDVENMTRFTHLGMGATLLGNRISHVFNMKGPSCVVDTACSSSFYALHMACSALENGE CDAAVVAGVNLIQTPEVHVGTSLGGVLSPASKCQTFDSSADGYARADGVGALYIKRLD DAIRDKDAIRSIIRSTAVNSNGRTPGISQPSVDGQEAVIRKAYARAGLNPRETAYVEV HGTGTSVGDPIEVEGLSRVFRKDQRHRPMLIGGVKPNLGHGEASSGLLSIMKASLSLE RRQIPATISVKQINPKIKTEEWGVEIVTRMTDFPSECSPRRISINSFGFGGANAHGIL EEPGRQTNKVSCRQAMPRRGDLTGTMNGQSDSRISNGINGFNGHNVSSSMPYLLPLSA NKLSSLQGRVERLSKVDFSAVSIADLAYTLGQRRSHLGLRGYVIARQATLAQDFHVEN FTLADSDRNLTDNKFAFVFTGQGAQWKGMARELLHFPIFADTIRQLDHELSTLAYAPE WKICDVLMDDSEDCPLNLAAFAQPITTAVQIGLVNVLRSWSILPQGVIGHSSGEIAAG YAAGLLTAREAIIIAYYRGYSVTSSAPEGAMAAVGLHCDDAHEWITRFDFNANLKVAC INSPQSVTISGDSECIDTILASLRAEGVFARKLKTDGKAYHSHHMAVIGTMYEKLLKK ALSFEKQASLDLEQQDIHMYSTVVCEEVQSKAVRTAAYWRANLESPVRFSEGLTFLSE MMGQCTFVELGPHAALKLPIMQTLGKSTSYLATLNRGQDSSVSLLNVVGQLFVQGFKV DFSKFNHTCTHDTPRFIYDLPTYPWHYEKPPWNESRISREWRNTTHPRHELLGREVPG GNKTTFGWRNLLQVENVPWLRDHKLGDTVVFPATGYLSMAVEALMQKALPVGADGAGK SVALRHVDLLKALPLPEQGSVELYTELRPLAISNIRDSKFWWEFQISSISDDGPTVRA KGSIRLEPIAACGTQLPSRECRLAPQSRKLWYESIADSGLAFGPTFQHMHDIHTPDPK GVLYAEARTRTLAPAIEGAQARPRYLIHPVLLDNLFQVALIACTGGFIHSMVGKVPTR LGSVRISLPSSPTDEGAIRSTSKVTGQSTNKIDSALFDGQQKLVAHFKDVDVTAYIGT ERMEVRHPITRVTWKPDIAQVHDSATFSSALDHVLCQSDLGSFGSKANMLAALDLIVH KNPDAHILCLSTDLSLITLSFLEVLDASSIYRRFNSFSIGRLSSDGGLEVAEVRNFIM PLGLRSLQYRMASSGDHFGLVILGADIAVVDRLGSHTDGETIFLAPDVDSTRKHDTFS VLSSRSETAKNVHILRPAPTTNGSSTPDFDNVVFICRTPKHPADDHLAGHLSDDLGVP IKPVALTDLADSPIPPNSLVISTAELERSVLAGAVPQGEFDGFKQMIEHAAWIVWITG SGVHEEFNPTLSLFAGFARAVVIEQPSTKIFSLELDPTTDAAGISRHVTKIVQNGKNA INDCEYIDNGSHLLISRIVPDERMNREFRTRQNGLASPMSLGSVGNAALSVRKPGQLS TTQFVKRPYPSLSTLAADEVVVKVSCVGLNAKDVNALSGHVQTTDARCSLECTGHIVA MGSDVRDLNIGDKVAVMYPGYFGTYETVPAWSCVQLRDDEDLRTMASVMMVFSTAMHA LYHRANLQPGETILIHSAAGGVGIATIQLAKLIGAEIFATVGTEEKKKYLIEHFGLTP DHIFNSRDSSFASSIKSITNGRGVDVILNSLLGELLHESWDCLADFGRFVEIGKRDLL DQGRLNMEMFSRGTTFTAFDMSMLAESTSPAQHRVYKGLVTRVISLLRSGDIHPIEPL SVFNVSDIVQAFNHFNNAKRMGKIVISFEDQTQMIPVVHEKFSTQLDPHKSYLLVGCL GGLGRSVSKWMMSRGARKFIFLGRSGIQKPAAKRLIEELEERGAQCTVIKGDITNYTD VHEAVAATPTPLGGVIQAAMGLNEAIFKHMPREYWLNGTEAKVQGTWNLHNALSALDK EKELDFFVLTSSISGKLGTATESNYCAANNFLDAFARYRRGLGLKAVSLGLGMVSEVG YLHEHPEIEDLLLRKGIRPLTEDELVQIFDFGLTHPPTSLHPNDLMPQSHILTGLEDT GLQGHRKQGYEGYWQFLSDARFDVLTCALRRNAGKSAQGNSTQASVIQEAITSNDREQ LMDAVKVVLVKKLSNIILTPVDKIDVKQPLLDFGMDSMLAAELRQYIFGAMGVDVPFL DLMDKKTSICGLATVVADKLTITSSD AFLA_137880 MITILYQVILCFLVTSESLIKLTSASDISEGLLYLLNTFIKRLS VKQKHSKTYNAQQSSHQQPMQTVIRMPTILRSQSISMTSRQGLRATLGIRQAGKPSRS FSEAPRPVIIDFVNDLDSTTVSPTIPDTHFPTGAKIMQGIHRPWLAVHNDVISEIRNL LGQYPEYSLEPMGHSLGGSLTYLAYIVLKQSFPHSNITGYALAAFPIGNQEFADLGTM QGGNMFRGNSKGDGTPNEYVNQPWNFKHYGVEYYSDGSREGTIQCQGNEDQACSAGNG LNFPTVAHFHLFGVDFGLLGSKSKCN AFLA_137890 MNAEYYGTPSQNGYGNSGPPPPQGPLQGYQQSYPQQYPEPQNQY PPSQYPQSYNEQLQQTAPGEAQGDERGLAGALAGGAIGGFAGHKANHGFLGAIGGAIA GSIAQDAYKKHQHEGQQNIPPQGGFNPQQFPQQPYPQTGPYQQYPPQGPYPPSQFYPL NQLHHNKKSVMKRQEEVEELQDKINRVAQHMQQGPSHHKMKDLRKDMEDLQKDLRKAQ DDYQKELNKALDDDAKKRRH AFLA_137900 MIITRPREGDVVDVTKDWTVCWKEFTEASSFDIRLTHLTSPPAE NVFIQTVTDAPEEGCITIPGRHIDSIAGGPGYRVWATRVGTSEPPFAESQTFTVEN AFLA_137910 MKGLLFVLSAIFGITLAAPATCTPERALVRKEWRELEYAERKDY IDALWCLRDRPSILPSEEFPGVRDRWDDFVATHINYTNNIHFNGLLLPWHRQFVYLWE VALREECGYKGSVPYWNWALDTENLAESPLFDGSSTSLSGNGAYVPNEPMPCTPGGIC FPRGTGGGCVESGPFKDLQVHLGPFNSSLAQSYGSLPVNAWNYNPRCLYRSLNQALLV ALNNQARIDQMQTSTNIRDWLAIMSPSNPDLTSSHGGGHGAVGGAMADFFASPQDPSF MLHHAFIDKLWAEWQDQDPETRRYAVNGTTVIYDPPGAPVVTLDTMVEFGELCHPRKV EKIMHPLRNGYCYTYT AFLA_137920 MLEKVTPSTTEVPTATGPQDPTSEQQNGVRIAEAVTKSWSKNTL IQVYICMWLMYFVRALNGSLTSNLAPYITSDFSNHSLLPVIQIVVSIMSAACTMPIAK LLNLWDRTIALSLMLVVSIIGLIVMACCHNITIYCVAQAFITVGLTGLIFSLDVLTAD TSTAQDRALAFAFTSSPYIIMAFAGAPLSASFNEVNWRWAYGTIAILLPVVTVPLIII WELAKRKAQESETLAPVHEERSLAESIHYYIIEFDIIGILLLIAGFSLFLLAFALAGS QPQKWHSTHIICMIVIGGVTIIAFAAYERFFASKPLVPYNLLISRTVTFTCLLNLTYV IAADCWASYFTSFLQVVYHVSLTEAGYVVAISNLISPLWLVGVGFLVRWTGRFKWLLL CAIPVYLLAIGLMIYFRSPGHSIGYICLCEVLIGLGTGTIIALEQTAVTTASEHNDYA SMLALLGWVGSIGGAIGNSISGAIWTNTLPQKLREYLPDEMKSQWKEINDSLYVQLSY EVGSPTRVAIEKAYAVSQRNMLIAGIAFMALTIVWVVGIKDVRVKGRDDKGRVLF AFLA_137930 MPFSSEADFDSALASVRTSFARGKTKDKKWRKRQLQQAWWMIED NKERMQEALHKDLNKHPQETMPFEIAECHADILHKLEHLDEWTRDVKPERTNPLNFLG GATVRKEPKGVALIIGAWNFPYLLLLTPLFDAIAAGCAIIVKPSDVATACQDLLMEII PKYLDTDAIRCISAGAKEMGYILEHRFDHIFYTGSAAVAKFITAAAAKHLTPVTLELG GQGPAIVCPSADIELAAKRIAATKFMNAGQICLNVNHVFVHPSVRREFVDHLMHYFDI FLGGAPELLPKYYSHIINERNFDRLERLLQNTSGNVVYSGQRNRDDLSFSPTIVTDIE IGDSLLSEELFGPILPIIDADLDTAISVINSMDHPLAIYGFSKSQEDKDRILAETLSG GVTFNDCMLHVAAKGAPFGGVGNSGMGKYHGPYGFLEFTHLRTHIDPPTWMEKLMGAR YPPYTSDKLRKLYKPTKAPFDRQGNKLRWTRGWLYVLAFAVAGSVAARTGLFNALVSS GLLAR AFLA_137940 MPTQTTTTTTTTAAAASIQTCNTPTQYEIPVQDAACAVPYKDQY TKLLSKCCNNAPVSAYDNDCAIYCLAVGQSVQDLTDCLYDAKVDWGDVWCFGNTSASA TGSPTGSGIGVKETGSATGKETGKGKATGSGTSTGGAVEETGKSMAGVVTGREVSRVS IWVVGWLVVSSVFGGFV AFLA_137950 MAETPELEPHNAFDTILTLDFGSQYTHLITRRLRELNVYSEMLP CTQKLADLKFKPAGVILSGGPYSVYEEGAPHVDPAYFDLGVPILGICYGLQEIAYRLD STNVIAGTSREYGLAQLKAKKVGGHVDHLFDGLEDEFNVWMSHGDKLGKLPEGFHTIA TTPNSEYAGIAHETKPIYGLQLHPEVTHTQNGTKLLKNFAVNICGCKQNWTMARFVDQ EIARIRKLVGPTGQVLGAVSGGVDSTVAAKLMKEAIGDRFHAVLVDTGFMRLNECEQV KQTLAEHLGINLIVADASQVFMEGLKGISDPEQKRKFIGNKFIDVFEEEAKKIEDAAA HSETAGKIGFFLQGTLYPDVIESISFKGPSATIKTHHNVGGLPKRMTEGQGLKLIEPL RELFKDEVRDLGRQLGIAHEMVMRHPFPGPGIAIRILGEITPERVEMARKADHIFISM IREAGLYDKIGQAFAALDPSRAVGVMGDKRVYENIVLLRAVETTDFMTAIAYPFEHEF LTRVSTRIVNEVSGVCRVAYDYTSKPPGTIELE AFLA_137960 MGRYLFSGARRFSSAIFQTLQSCGPQNNCSPLYSRPCPLSHFNP RRSPFLSRRFSTNTPVAAMASAADLTVAVEGLKLQSTTETSKFPNSFPSLNPVDIYRE HIAEKLGAATGIDSEKIYTRLQWSSTLDKGDLLLPVPALGIKGKKPQELCEELAQKFP ESDLVLPPTCVGIHLVFFFKPQPLTHTVVSRILKEKAAYGTNGNQGLRDPSDPSKGQK KIIVEFSSPNIAKPFHAGHLRSTIIGGFLANLYTVMGWDVIKMNYLGDWGKQYGLLAN GYKQFGSEEALNKDPINHLFDVYVKINNVVAQQEGPIKELKEQIKAKKEKNEDVSELE QELQKLVDASEDESARRYFKSMEDGNEEALGLWRRFRDLSIQKYRQTYARLNIDFDVY SGESQIKNESMTAAYETMEKAGVSEKSEGAVIVDFTKHGAKKLGKAIIIRKDGTPLYL TRDIGAITEREEAYHFDKMIYVVAMQQDLHLAQLFKITELMGHKDLASRCQHVNFGMV RGMSTRKGTVKFLDDILGDVRDKMHEVMKKNEEKYQQVENPEETADILGITSVMVQDM SGKRINGYDFNLDAMTSFEGDTGPYLQYAHARLCSIIRKSELNVEELGSANLDLLTET HATDLARMLAQWPDVLLNTTRTLEPCTILQYLFKMTHTLSSSYEVLKVVGSEPELKKA RMALYESARQVLYNGMRVVGLSPVSRM AFLA_137970 MGRRRQQALAKSIQFALFRDPKTFRKTLIGRIIAFFSIFYLKLL RYEPDVFRKLRNEIWEISEEQYRACFLSGKDKALPLLPMGDLGFSGSTFFSTSNSAFL VKSLPRHFEHSFFRNDLFQPYYEYITSHPDSILVWITDYIYAAYTSLGTLVKTTPAHH IIMENMLCGKEKDPAAEKWETYDLKPIDYFFPERDLVPDPLVSQETLSRLADEFPDKI RLTQSDYDDMKRMIEADTAFLASANAVDYSLFLVRFPASSNPDVIGKKSKWRIGLAST DGKWKYRAVLLDFFWAKHKLHAQAMTGVIQTFNVIGRQGPMTITTTADEYREKFLALM DSIMEVYEP AFLA_137980 MSAFASENLTSALLVVGTAIFAVLVGAKFLGGSGKPRKVLNPTE FQNFVLKEKNEISHNVAIYRFALPRPTDILGLPIGQHISLAATIEGQPKEVVRSYTPI SSDNEAGYFDLLVKAYPQGNISKYLTTLKIGDNMKVRGPKGAMVYTPNMCRHIGMIAG GTGITPMLQIIKAIIRNRPRNGGNDTTQVDLIFANVNPEDILLKEELEQLVKEDDGFR VYYVLNNPPEGWTGGVGFVTPDMIKERLPAPAQDIKIMLCGPPPMISAMKKATESLGY TKARPVSKLEDQVFCF AFLA_137990 MTREEAPPAFNLTDIDRQVLAQTDEEFVLHDWEDLKAIIARNDL GILKRKPSDLKRYLAWTADIKAQYGTITNYICQRRLGWHLPDPDTTTTGGATTDSGAV FPFKNPTPFADPADYKILRNDWPYGVTPDINHLVVWLRTPVPVKPENGDVTDESRALI EDFVQRTFVARLAQEGKRFADPKEHVLWFKNWTALQSVRSLEHMHVLVRGVPEHILRE WTGEELLKN AFLA_138000 MYVKQIIIQGFKSYKDQTVIEPFSPKHNVIVGRNGSGKSNFFAA IRFVLGDAYTHMGREERQALLHEGSGSAVMSAYVEIIFDNSDDRFPTGKPEVVLRRTI GMKKDEYTLDRKNATKNDVMNLLESAGFSRSNPYYIVPQGRVTALTNMKDSERLNLLK EVAGTQVYEARRAESLKIMHETNNKRTKIDELLDFINERLSELEEEKDELRNFQEKDK ERRCLEYTIYSREQQEISSYLDSLEEQRQTGVEDTDLNRDRFIQGEKEMAQIDAEIAE CKQQIEFLKVDKAQLEDERREASKALAQVELQAKSLTDNQAAAQAQKSRRDEELKSVQ AAIEEREAELQQLIPSFNSAKDQEDAVKAQLTEAETSRQRLYAKQSRNSRFRNKSERD KWLQAEIKDNYTSISTVQGVMAQTQEDIKELENEIALLEPETERLRKQIDGRGDTIQS VEQQVQAAKDERDRLMDQRKELWREEAKLDSILVNATNEVDRADRSLSQMMDHNTSRG IAAVRRIKRQYNLEGVYGTLAELFDVNDRYRTAVEVTAGQSLFHYVVDTDETATKVLE ILQQEKAGRVTFMPLNRLRSRPTNMPRASDTIPMIEKLQYDRAYEKAFVHVFGKTIIC PNLQVAAQYARSHGVNAITPEGDRSDKRGALTGGFHDSRQSRLDAVKNLTKWRDEVET KKSRGNEIRKELEHLDQLITRAVGELQKLEQQRHQVQNSSGPLRQELRSKRDLIQKKN DNLEAKRRALRNIETNLAAVTDQVNAFEAELKSPFQKALTNDEEARLESLSATAQDLR RQYQELSSQRSELEARKSVLEVELRENLNPRLDQLVGQDIDMADDGSQGNLKETQREM KRLSKALEKLGQRLQQVDESIEQANARMAELGQRNAETRRELDELAKSIEKHQRRMEK SMQKKAALTKQAAECAANIRDLGVLPDEAFTKYKNMDSNAVVKKLHKVNEGLKKYSHV NKKAFEQYNSFTKQRETLTNRREELDASQKSIDDLINVLDQRKDEAIERTFKQVSREF HNVFEKLVPAGRGRLIIQRKTDRAMRQADELDSEDEEARNSVENYVGVGISVSFNSKH DEQQRIQQLSGGQKSLCALALVFAIQACDPAPFYLFDEIDANLDAQYRTAVAQMLQSI SDATNGQFICTTFRPEMLHVAEKCYGVSFRQKASTIDVVSREEALKFVEEQKS AFLA_138010 MASTDPSAQAPGLADGLTPSHTYVPNQGYLNEDGTTPTIAGQDP ALQEDEEDEEEEYYDDIFEEDDLEAENITSSNPADLTKAYNRQRKTNELAADPNAPKW TYPKSNTQKPSINTRASVDDQIKSLTRHAAKLKLDDQQSGLAARGDRGNDRADRATSE QVLDPRTRMLLLQMINRNIVSEIHGCLSTGKEANVYYSMLFPDEEDASPIHRAIKVYK TSILVFKDRDKYVTGEFRFRQGYSKSNNRAMVKLWAEKEMRNLRRIHAAGIPCPEPVY LRLHVLVMAFLGNSKGIASPRLKDVEFDIPNPETRWRELYIDLLGYMRVMYQTCRLVH ADLSEYNMLYHKKKLHIIDVSQSVEHDHPRSLEFLRMDIKNISDFFRRKSVDVLPERM VFEYIITAEGPATVDQSEEMQEAIEKLFVARAEMPDEELDTAVFRQQYIPQTLEQVYD IERDAEKVHAGEGEDLVYRDLLASGKSAKQPDDAESDAGSDVSGGVSVEGSGSDEDDD EEKDPFDKGVPRGKRFVDKDTKRDHKQKVKEEKREKRANKMPKHMKKRLVSTSSRKKK AFLA_138020 MSDSESSAISNNASDLNPSPGQENSMPQLETLISHLVAAKRSLS SINHVWRANEIVTAARSALEESVVVSARTGFLRRGLNNQLRLLYSVRTEVQEVSLRGR SEFATVLKSLDVADARLRKTLDLLRDTIVHASFRPEGEESKTLHDFVDERGVGELHTT LKRSIDRTNAAQADLESSNRAFDDELQSIKEALGNYRAATQLASSRTSASSSSPSTSN DSLPSLSSIPSMLHSLEMHAQEMANLLESLVRHFDLCVTAVKHTEGGGAAARSITGDV PATVHVNGRVGPNIEEGINANLNAPLDPLSNSEYQEMVSVLIKDAAEAEDVVMEIQDR IGEMETVLENVLAQRDSVLSVYNATTSVFKHLSTLASTRLSGYIAEAHSFTRVWHEEY EQIQSGLADLSDLNTLYDGFLEAYDGLILEVARRRQVRHRVEKVLRDAKQKLDQLYEE DVNARETFRVEQGDYLPSDIWPGIGREPMRIEFRRISGGNLKGIAAEPPDQDAPAAEP IQEARAVSSGDVGEDGEVIPELPKALVEEAIARFNARMRHLP AFLA_138030 MQSDDRSVREGSDSSQTFLMKMAQPTGELTHPSQQQQQQLLQQQ SFRSIFGGASDTAEEIDTETDSNHRRPHSFGAAATTPAKLANKNVAPFLVKHIPEQYG PLGSRRTDKLEDLSSPNSKFCYRHRPDLKCRRQADEPSMDKLQRELETLPPSDQQGIA HVWSLFSAAPAKHRKLILQGIMAQCCFPQLSFVSATVRDLIRIDFLTALPPEISFKIL CYLDTTSLCKAAQVSSRWRALADDDVVWHRMCEQHIHRKCKKCGWGLPLLERKRLRES KREIELRATTWDVSGPAQNAGGAECSAPHADDVITQKRKADSSDDETAIVKRHCSSLD ARPEPDEDYYTTRYRPWKEVYKDRFKVGTNWKYGRCSTKVFKGHTNGVMCLQFEDNIL ATGSYDATIKIWDTETGEELRTLRGHQSGIRCLQFDDTKLISGSMDRSLKVWNWRTGE CISTYTGHRGGVIGLHFDATILASASVDKTVKIWNFEDKSTFLLRGHTDWVNAVRVDT TSRTVFSASDDCTVRLWDLDTKACLRTFHGHVGQVQQVVPLPREFEFEDHDAECDNDN MSTTSGDTESNSLQATLGLESNATETSVFGPSFDNGRPAPPRYIVTSALDSTIRLWET TTGRCLRTFFGHLEGVWALGADTLRIVSGAEDRMVKIWDPRTGKCERTFTGHSGPVTC IGLGDSRFATGSEDCEVRMYSFRN AFLA_138040 MRNTRRTGLNIAFVYDPKDYYESIGFSKSECADLADDVTINGVA SALESLGHRVVHVPGIKTLVKHLSAEHHKQWDLVFNYSEGVFGSARESQVPALLEAYQ IPFTFSDAATLATGIDKGKTKMLLEHYRIPTSPFVIVPRSGEAVDYAALEDQLPYPLF AKPIAASTSNGISPLNKILRKEDLQEVVEDLRAEFKDQEILLEKFLDGREFTVAVLGS GDRARVLGVSEVTWYNPEGRKSDDLSVDFATSFSKAGRGVGHDMGHVHADPADPLVKE IAEIGLSAYQALGCKDGGRVDIRMDGAVPCVIEVNPIFGLRPDHSLFTWIAKNNGMEY QDIIAEIVDNALLRQKPVTEANGLKN AFLA_138050 MMYTLSTLVLLGGILGLYVLLNSKAPRAPLPPGPKGLPIIGSVG SDLPRGGKDWEHWLKHKVLYGPISSITTAGHTHIILNDANVATELLEKRSARYSSRPR LVMANELSGSDIFVTTQNEHAIVRALRKRILSQLRSEEALLSFYPQVDTLIRRFLLRT LQKPEELIGNIKTGIGGVILKVVYGYTVEFHDRDPLVDLVGETAVAFGRINQPTGYLV DSIPALKYLPSWFPGAGFKKEAREYRRGFDTLLNWPFTFARRQMEEGNYEPSFVSRLI EQRGSLLSLEEEVKIKHAAAAVYQAGYDTTASTITSFFLAMALFPAAQHKAQEEIDRV VGARLPTPEDRGKLPYVNALINEVLRWNPVAQIGIMHAATEDDIYEGYLIPKGAPIVP NIWAIAHDPDVYSDPMSFKPERFLASDGHTPERDPHTLVFGFGRRICPGRPLADFNNF LTIARSLAVFQVQKATKDGKEIDPIVDYQGGIIGHLSPFEVSIRPRSAEHEALIRSIE VEDSISRGDTATESVRV AFLA_138060 MSASSSVNNDQSVDMPRNRRVNGSANSSEKPVSKSLSPTETHME FGGPAGVTALMLGFPLLMYYMYIGATLYDGHLPTPQNGETISDFLYHLFDLAYTHAFP HRRAWIIYWTFLILQGIGYIYLPGVYGKGKCLPHLNGKQLDYYCSAVSAWYITIAGSL ALHFTGVFRLDTLINEFGSIMSVAICSGFLVSIIAYVSALARGAQHRMTGSHIYDFFM GAELNPRLFKWLDMKMFFEVRIPWYILFLLSLATALKQWEELGYVSGEVCFVLMAHFL YANACAKGEELIITSWDMYYEKWGFMLIFWNLAGVPMSYCHCTLYLASHDPSTYRWNR VALAALFAMYLFAYWVWDTCNSQKNMFRAQERGFWVDRKTFPQLPWKYIENPDKIPTV TGDSILCSGWYGMARKVHYTCDWFFAFSWGLITGFNSPFPWFYSVFFTTMIIHRVIRD IQRCRERYGEAWAEYERRVPYLFIPVSSHAWRPT AFLA_138070 MCQTVGLHRSSTTKGDPSTLAETKRHVFWLLYTIDKNISLNLGF TSHFQDHDIDTDLFTPSDHHQYRSWDLMTLVTAEFATIQGRVYDELYSISASRASDEK RLNAIEKLSVDLIAVRDKLLAIDVSAGLYADSLHGMAACADFITYSVLTVIYRAETRP RNAMAISSRCYEAATLALHSHLKCFTYFRGRQTHKQIEYVHWLAYWALGLESR AFLA_138080 MSRAISKPALKVPLHALEVDYDSSEQRLEALQEQLAVINQTLAQ ITQSAAPGTTGLVSVPESSTHATLPFEGQSSFHHETLLAKDAALSAIATSHNSGLNDH VSAVLSSLKNSLAEEHLSNNGQPTETNLPSSSPDSKSLLPVDLVVAVVRAVKGMGDLF LPFISSSDKISKTSVFSRQPFLERLTPSRISLPIYLLPN AFLA_138090 MRWSFLTVLLWLVSLTGAENGFNGWLRYAPVQCDKRCQRALPSS IVTLNSTDSGPIGTASQELQAGLENIVGKQLSIKRSSCGSRSSILVATLEQYRQACNR SSEVPSLGIDGFWLRAYGDTVQIVGENERGALYGAFEYLSLLAQGNFSHVDYTTSAHA PVRWVNQWDNMDGSIERGYAGPSIFFEDGHIVEDLSRVKQYARLLASIRINGVIVNNV NANATLLTSQNMDGLARIANVFRPYGIQIGISLNFASPDTLGGLGTYDPLDPSVISWW ANITDSLYDRVPDMAGYLVKASSEGQPGPDTYNRTLAEGANVFAKALQPHGGILMFRT FVYDHHINESIWTNDRANAQVDFFKELDGQFEDNVILQIKYGPIDFQVREPVSPLFAN LYKTNMAIELQVTQEYLGQQDHLVYLSPLWKELLDFDLRVDHQPSLVRDIVSGQRFDR QLGGWAAVVNVGTNTTWLGSHLAMSNLYAYGRLAWSPTDDSQGILQDWIRLTFGRDQN VLDTITDMSMASWPAYENYTGNLGIQTLTDILYTHYGPNPASQDNNGWGQWTRADHDT IGMDRTVKNGTGNAGQYPAEIAQVYEDLDSTPDDLLLWFHHVPYTHRLHSGKTVIQHF YDAHYDGAETAHRFLSQWESLKGRIDQQRYNEVLSRLVYQAGHSLVWRDAINNFYWNM SGISDEKNRVGHHPWRVEAESMTLDGYEPYTVSPFETASNYKAVVTTSNSTTGTAQTK LQFPSGTYDLGVNYYDMYGGKSEWTVYVNDRVVGQWEGNSENTLGHTPSIYIDGHSAT RITFRGVEIENGDQLKIVGVPDGVEPAPLDYVVLLPPDVVD AFLA_138100 MFQWLTDWYTGTTTAPSRASYKVKGDTIIKQTLTRPRSMSPWAI IEVYCDLHFLGYDWILMWSQQNQTDDDSIEEINVPIGVMTENRIEDKDALLANLDLKD LKLGVGGEHKHFSEKETGAITSERRSVTVKAHSNTFYYQKRYNFLSRLWPFIYAEGRL WVAALPGTTKPSTADTAFSVMADEFLVVPDKLVGEGKVTTVEAAKVWRMGDYPLRPGP PSLEDERIMSEVKLLRATGYSVSPS AFLA_138110 MMYSGALSLTLATTVANAAAVIPRATATSLTTPSAVAVLNDPIA RPCMAPGVVCLKQHAANLPYPFHRAAPDGRNIPTYGDTEVPADASWKHISTADFIVFD ESRAADVLGDSPSVDFVLSVDANHIHEGPVFVPTQNRIYFSELSTNLPQFAIDLNQDP PSLSHFTADPPIYIPNGGFYHNNTVYFSVAGSNTSIPGLGEQRPGIVTLDPATNKSTT LLNNYYGLTFTDCDDLIVDPATGFIWFTAPYYSWWLELADIPPQTKSGTYRFDPTTGS TVIVNDEMFSPNGIALSPDRRHIYISDSAASGLSAPISSDVPSPGGAGILYNVTGTRA IYKFDLVDDGRAIINKRSIYYDAIGSVPDGLKVARNGYVVTATGNGLSVMDEYGDMIV RVQTNFTVNNFVWTNANEYREVWMVGMGGIARLKWGLQGQEAV AFLA_138120 MECQSLQDNQMLGVPVYLGHQELIQRGEHARFVPGGYLNALVMG KVPGKPVTHLRLTATEADLIKTQLAQMFNNMRRKVYDVDDPNTEHVFFDRETQQTYFI GMSDVGKDETMCGDTILKTSKKVTMFCVDSFVIRH AFLA_138130 MVFADVQGANAPRLPPKEKVFPGFVLHSPTGEVRLVGEMKTSWT TDLDNLWSRAERKFLGQVFRYMDDYGTLYGCACTYEQAVFLKRRDQGPFRSHRLSSIA LPR AFLA_138140 MRIDCTVASLTALASGCQALSTRPYVPRGHSLSRRDDSAPIYRD ASYCIDERVDDLLARMTIEEKAGQLFHTRLMDGPLDDEGSGNNAHNSTSNMIGEKHMT HFNLASDITNATETAEFINRIQELALQTRLGIPVTVSTDPRHSFTENVGTGFKAGVFS QWPESIGLAALRDPYVVRKFAEVAKEEYIAVGIRAALHPQVDLSTEPRWARISNTWGE NSTLTSELLVEYIKGFQGDKLGPQSVKTVTKHFPGGGPVENGEDSHFAYGKNQTYPGN NLEEHLKPFKAAIAAGATEIMPYYSRPIGTEYEPVAFSFNKRIVTELLRNELGFEGIV LTDWGLITDGYIAGQYMPARAWGVENLTELERAARILDAGCDQFGGEERPELIVQLVQ EGTVSEDRIDVSVRRLLREKFVLGLFDNPFVDPESAGRVVGNDYFVRLGREAQRRSYT LLSNNEDIVPLKKIEQSTKFYIEGFNASFIESWNYTVVDSPEEADYALLRYNAPYEPR PGGFEANMHAGSLAFNDTEKARQAKIYSTVPTIVDIVMDRPAVIPEIIEQAKAVFASY GSDSNAFLDVVFGVSAPEGKLPFDLPSSMEAVEAQMEDVPFDTRNPVFKFGHGLSYAN PCASSSSKCS AFLA_138150 MRSFYAVVPLALALRAAALYEDWHFGNMFAVGPAANNAQITKAT YSLVPPAIPCGTVQEKQNDAPWLSIWVGISASMSDQAADLFQPLLNWSPDQKSQACPA TAQEWCVAASTYHLSGQVQQPELTMAVTVDDKKITQQVSIGGKQVSEQSDDKSINPTF LYSSNECYLGTCGTVAGYSWDKLTIHLSQADPNFGNTLNLMNATSSGFATSDQGKTWY AESIKINEDYFYSDGSRKECSV AFLA_138160 MAKRDSYTDLLIIGAGPAGLMAACWASQYAITTQLIDLKSERTA AGHADGINSRTMEILDSFGLADTVLRQAAGNMDAAYWVCGYCGPNEKTGNIRRIKHQP SQTQELSRFDQMLLNQGAIEQILIDYLVSKGRVHVERERKAEELELPCHSDKKSDEEY PVHVRVVSANGDADIQRACAIQAGDGLSMMVVPRENNLVRFYLHLNGGEERSPNGPDK SEGSLEDLMDMAEKTLKPYKLSYKYCDWWSIYPIGRRLIKQYRSDRIFFAGDAAHTHS PKGGQGMNISMQDTYNLVWKVAAVISGSVDPAILETYQSERRPEAEQLMEFDTRLVYA YEEGSTEDDSEDGVEAVRDKYAGFMAGVAVTYPPSILVDESESNAAVTRNVQLGKRLP SYLIVGQEDGSVVHLAKKLNSNGCWRLLIFPGDLHHPGVLDRLSVFAKDLSGRINNDL IPQSTNNSEFLETLLIHSSPRASVNQSQHLGAFHQFDTELGCDHTKVFVDDPSCDGDT GQAYEQYGIDKQRGCLIVCRPDQHVGWIGAIEDAEGLEKYFSKFLFNKGRT AFLA_138170 MQLGHAKLFLFFLSLFTPALSRRIGHKHTHVAERQAPACTPTAG GSPTVDDVPAIESAMAACPSGTIMIPAKSTYHINSELSFAKCSGCTLQVEGTLLVSDD TKAWSGKDAVLNLEDVNDVSIVSKTGKGVIDGNGQAAWDLLNKDKNYSRVKCLLYLTG KTSGVTISGLTMRNPPNVFSSVKQSVTNVTYSNLILTAVSKSDALPKNTDGFDLGGTG IRMDNIKVQNGDDCIAIQNGAEDITVMNIQCTGSHGLSIGSIGKTPGEVDTVKNIHFK NAKMTKCSKAAGIKIYSGGYGTAEVSNVTWENVMVDGTSYAFQVQTCYGSDEKECASQ PSTAKLTDIVVKGFSGKTDKDEPVASINCPAKGTCGLSLTEMKVQSATGGEEYQCSNA GAIGVKCAPGASG AFLA_138180 MSSSLKFLGVLLPALAVACTGPPVNQNGLNLIKSFESFQPSVYD DGFGNPTIGYGHLCGDATCSEVTYPKPLSEADASRLLADDLVSYQDALTNALADPVTL NDNQYAALVSWTFNIGNGNMQKSDLVARMNKGENVATVAHDELPQWNKANGQVVNGLT RRRKAELDLFDAPAIYGALPVPC AFLA_138190 MVKDHAVDIVVVTTAPDSHLELAKLALNAGKHVVVEKPFTPTYQ EAQKLIDLAKKQSRLLTVYLSMLLLLTHLLPRGGRFVGKT AFLA_138200 MHYDEFMATAKAAVISPQEKQLRFWVRGTKGTFKKFYFDVQEEQ LKSGMRPGDDGYGIEPSERYRTLTSVQPNGAFKTEAVPTVDPPLYTEFYSKLAEALAG EGEVSVSPEESAAVIRLVEIAVQSSKTGRTLDVDLCS AFLA_138210 MTVLPTSITLRPGPLDALQRVATALSKLKSDDYYAYERTGVWYL GIGNRSSVTIDPEGRKATFSTNGKDEVRSIDGGVTDVVREYTSANEDCGTRIYGYVGF SNALLLRGISHSTGEWPMLSHMVPRTEVVFYPDRITLTGVDKRGTVELSALVDDTTCI EPSPGHPIDTNTRASEYVEQVEAALADIRAEKYTKVIPSRAVSLPWRVDMPETLRCGR QHHNPARSFCLLHGNNQATGFSPELVMSLRDGKVTTEPLAGTRSQEEAGD AFLA_138220 MKIAKLGTGTGVWLFEGAKYLPTTAQLDGFDISDEQFPLKEQCP PNLRFGIMDSFVDPPASLVGQYDVVHLRMWTSNFRNRDSGVIIHHVRELLKPGGFIQW EEAYLTHQVVVVKRPSNSSWVPNLSKRVKQLCFLLIEFERQQF AFLA_138230 MSKKQLALALTCALSFSPACAIAFRDTMALPVSLQQCLNSTGVA VMYPSDMNYDALSRPQNANYQPHPKVIVVPTSSEEVAASVRCVAAEKGDVKLSTRGGG HSYAAYGFSGEVVVDSSQMKGMSFDDDKKEVTVQFGQTLGPLAVAMGRKGYALPHGTC PGVGIAGHALGGGWGFTSRKWGWLLDHIVSLELVDIGGNIKVLNSSSVGMDAELWWAL RGAGANNFGVVTSFTYAMEAAPTAVMNYGISFSSKSDCAQVLLAVQELGSISTDDPDG LPVELGGEVIISGADAPNVCSFTGQYLGERAAFVPVLDRLLGKLADRGVRPVNSTSYI KEFDDWIDALTDLMGSLDEPSTPQPYYAQSLVDDGAPNYTSHGVEHIIDAIQNAKLVK DTENHVSFDLNGPGSRTNIPPTSGDTSFIHRDSLFLVQIFSYKFPGFNNTDGRDQGLK KVTNVADSIKQAKPSGQWHAYQNYIDPYLDDFGQAYYGVNLEHLKSLKAVADPDSVFD FPQGLGHA AFLA_138240 MSMIAVSDLAPSIPLSLNYPAHTEEIESLKDAASTAARGMLKYY HGNEPGQTPGTLDGTWWEAGAMFMTLVQYWRVSGDSSYNDLTTQGLQWQSGDDHDYLP ANSSAYLGNDDQMFWGLAAMTCAESRYPDVSDGPSWLSLVQGVFNNQIPRWEMQTCHG GLRWQIHSWLPGYDLKNTISNGGLFQIAARLARYTGDQKYADWATKIWDWIASSPLLD TKTWNVADTTSVTNDCTTNGNEQWTYNYGTLLSGAAYMYNLTNGDQKWLDAVDGLLNA SLRLFFPPMYNNGTVLSEVSCETIETCDRNQMCFKGFLSIWMAYTATLVPSTAERIIP RLQGSAEAAARQCSGGEDGTACGVRWYEDKWDGRNGLETQMSSLSIFTANLMLQSDEQ PVTSTTGGESKSDPDAGTGGKSRKPEEPRKIKAGDRAGAWIMTLVVGVAWIVIIVWLV WEK AFLA_138250 MGIHKLSTARIKYEKAHADFAYFNNQFILHLAAAVHNPLPSRKL LSPGITCPAIRGVQEATVIPVTDVFDEHGLQSLGYETDLERWSDPAAKAAATKRVDYY TNIVEGPSQIIEAKARTESYWQWSAVRFVLEGFVLPWTPNRWRSAARRFQLTRPLQQC VDSVLPDLVPHAIAMHLRMWPSDLSFGQHDACYRGQVPVLKHIFSKCDWTGSYLYNNV LRVQKSDTQPVIIATDDKEHPAIVDLVRRLGHRAYFMEMTAACTAAIQDAHPSNETQW RSATYWPIVEAAVMVRTESFIGSFWSTFSQLIAIRRHRIHRTFFVQNRLQEFVWDNRW IIILVFAGTLGSIIVRTSRRSR AFLA_138260 MNTISKSQSTSPSSMRVNIPSSDESTSPPWHAWELEAPTSADEP WRQDQPDSGTPFSPLVDNSMSDAPKHEGAILNFAGTSELAQIYKEYNSHGYGQLAHFV LLVEALPSGQYVFQMYGLEGLNLLDKLITALQNPEFLYNLGVIRIVATEDSIEVLYQE EIPWVHSMMMWFCSAFRAPIPGVISLSAGTYDV AFLA_138270 MSTKCETLKEVSGGITLAGSNAILVPIRYTPDHRGIIWFYEEGD REGDEHMDRALGHTGIPARWLGPEFKQSNLKFEELVGDMRALVYTGTDIPKLGAAYSL LNTVSK AFLA_138280 MEKYVFPTKPKANAGSIVSGPKYRFTVLTDRLLRFEWAEDGQFE DRASTFAINRDLPVPEFRIIDNDGLEIITEHFHLSYDKKRFSPNGMVAHLSAKTTKYG TEWRFGTPSTLNLGGTARTLDLCDGRCDMGDGVLSKAGFAVIDDSESMLFDGQGFVVS RPAGDRVDGYLFAYGRNYKAAIKAFYAVSGKQPVVPRYALGNWWSRYHPYRQEEYLEL MDKFHKMDIPLSVAVLDMDWHLVSDEQVPHAGWTGYTWNKKLFPDPAMFARELHERNL KITLNDHPHDGIHSHEDSYEEMAKFLGRDTSHKTPILFDSTDPKFMDAYLNILHRNLE AVGCDFWWIDWQQGPYSKIPGIDPMWMLNHFAYLDHGRDGKIPLILSRYAGPGSHRYP LGFSGDTVVTWASLEFQPEFTATASNIGYGWWSHDIGGHIHGGRDDELVTRWVQLGVF SPIMRLHSSSSRWMSKEPWLYSDECRSAMTQFLRFRHRLVPFLYTRNIICAKEDEPLV QPMYWEYPGREEAYSVPNQFIFGSELVVAPIVQPRDKRTGLASVKAWLPPVGQLVDIF TGTVYDGDRELTLYRPLYGYPVLAREGSIIPLDASPSNGCLNPGAFDVFVVVGKDGYT NVLEDSRDDRLQEDDNARDGKQRVSTIRYAQAEGNLTAEVTGRVWTFYFLGITSVPQN LRVLVNRIDRTEDAIVDVYSYPKGQNLNVRCPYESDERYVITIELGRSPQLSAMDHIP RIEAMIMDYQIEFQMKNKLWDAVQGFHDRPLNVTIGRLTALGYDEAIVGPILELILAD SRTVKV AFLA_138290 MLSTFWPHTEYAEDQPFPKLILTSHVLDRSFQAGSLLGSTNGLI RIGLLAYQPTSNNKFYTRYIIPPGSTPATLLMRSTGTGAVIGLGAMAAMLPYYLVKWD PIEWQDRSWRLLENPGQVEVDTWGFAGAVMGVTGLVVLARRNGRMFQLTGHEEVSSLV LLRALGWRNVFASAGMGSLSGVLGYLGWRYGVMGGKR AFLA_138300 MKPIVLGKSPLKMTHKESSARRDPGDVQAWLIGGGIASLAAAVH LINDAKVPGEHIHLLDEHKHAGGGMQSFGDAENGYVLRTPCLPYFHDICVQDLLSYVP SPGDPNQSIMDAVRASESGEGEKPTASSRLVEQRSTGLEKVDDRQFHLGIKHRWDLIK LMVEGEKAVADKAIKDLFDESFFNSHFWTLWSTTFAMRPEHGAVEFQRHMRKYLEKIK DINNVSALDRTQYTLHDSVILPIIAYLEKEGVDFRFNAKVTDLVMYPEGDPTTISEIK LVHDDNSPSLVIIDPMDIVIVTLGSVSSGSAVGSNNAPPKWEAWDALEDTIMEDWSLW NKVSGMATRKFGNPVPFRSHIKESKVVTFTITLKDSTFLEDYQRMTHNEPGSAALVSF VKSNWNLSLSVPSQPLFANQPANVHVVWGYGNDPEKPGNFVHKPMQDCSGKEILLEVL GHLGIDQERILPSANTIPYIFPLATASLLKRSHFDRPEVVPHNATNMAFVGQFVEIPH DTTFSMEYSVRSAQMAVYNLMGLSKRPPPVKTNVLLEVFGLLA AFLA_138310 MHFSVFHILLASLISIAQLASGQGTDACNVPPAIITNFTWHNST YNCGCDDGGCLPTGLHGGPSCGPPDMVEATFSQRSPTYNDTLTCGASDPGSVPARAIP GGPFNCRSLGRHFIFTVNGTEGTLTYVEPNFIWWVRRQHYLCTLRSFEILLVCRANPP FSLNRMRSWVFIELRPQLPK AFLA_138320 MKPTVVFVPGAWLVPEFYRPFLEAVQAAGFPTYTAELPSLDPVD PTATDCATDAASIRRLVFSLVEDEGRDVVLVMHSYASMPGGAAAVGLSKSERVQQEKK GGVLGLVCMGAFLVPEGVSCAGTQGGNLPPWILLDQPSTGLNIPDQPEKTFAADVSEE QALEISSVIKPHASLAFFSAQPSPAWADPAYTGRLAYVVTTEDLAVPNVAQYGMMSGT GQNWHVREIESSHCAPFITKVAESVGILQDFIQTFERL AFLA_138330 MSRLVNKIAIVTGSSSGIGRAIALKLSREGAVVVCADIREIART EILTETEVATHDLITQNGGTAEFLKVDASKAEDEERLVGHTAIKYGRLDIMINNAGVS AEADNPRPIWDFSQEVWDKDIALNSIGVFLGCKYASAQMIKQDPLPCGDRGWIVNIVS VFGLTGAASMSGYVASKHATMGVTKVAAWDCAPHRIHVNAICPGYTDTAFISGISGDV RGFVQQLHPFRGLGKPEDVANAAIFLASEENTWVTGIGLPVDGGYTSL AFLA_138340 MPKVETVAIAGASGTLGPYVFQALVNAGFRVSILTRSQKPGAYA SNIKVFEVDFNSVKSLTAALQGVDVVVSTVGVAAVDNQNVLIDAAIAAGVKRFIPSEF SSVTTNPKLETLPIYSSMFKIRNYLEEKTAAGEFSWTVLACGAFLDSVLNSPILLDFE NHTVTMLDEGDNRISSTSLPGVGRAIVAILQNFDATENRVIRVSEAILTQNQLIGFAK ELRPDIKWRTSKERTSVLLQESLEQFGAGDFCMAAFIKLIKGTALAGDTYGSAFDVTD NELLGIKELAPADLKKLIAEKLA AFLA_138350 MQELLRGHYDIAYKHLQCGVRILRELKADIQLINGSETKSPVDK CLIGTFLHLDSQLMYGGVEGPLSSLDRELEYTPGESQDIYGEYNSLQEVRHAFSCLMR RHFRFLSRTQELSAEDIKSNYEALHLKQQQILSQLYRVAWKLEAFSARSYTQLTEKDQ RGLDMIDLALKSITLGARRCFIRSNSLVKLYTPEYDALVSEIEDVMCKLPDRPSMVLD VGVIIPLYYVALGCNDLWRASESNQITPQLATFRRGF AFLA_138360 MVSATRLLLLLPFLGALASPTDPTPNEPVARGEKSYGLLQQIGE SIFNATSEDKLQGRSTDLRTSKDGVNSAGYYYSLYNDNHAGADYTEFPDSGRFQLKWN TNKEFLGGKGYRGGSTRKLTWDGHFKADGDYTLAVYGWTTDPVTEWYVVEQHGTGTPG NGHILGQVNVDGGVYDVYMIPYRNVPKIYGVTNFNQLWSVRRNPRTTGSVDVTAHFKR WKELGLQPGNPVFQMVTAEGFKGSGNLDFQLH AFLA_138370 MAIIPAALVIMVFGIYCVKSELRPGMIIVIVSLLGLMAYILSRI IVLCGNTRRAFTPGKEMMLLFAGVTLALIVPTLVCAVQCMRNFDSGLKSVTHQETQWP GSSYMFKRLSSRGNSPTNARYDTRYNPRLSLD AFLA_138380 MKLSLALATLVATAFSQELCAQYDSASSPPYSVNNNLWGQDSGT GSQCVYVDNLSSSGAAWHTTWTWNGGEGSVKSYSNSAVTFDKKLVSDVQSIPTDVEWS QDNTNVNADVAYDLFTAADQNHVTYSGDYELMIWLARYGTIQPIGTQIDTATVEGHTW ELWYGTTIQAGAEQKTYSFVSATPINTFGGDIKKFFDYITSKHSFPASAQYLINMQFG TEPFTGGPVTFTVPNWTASVN AFLA_138390 MNPERNVEDVPDDEASIEAAPLIHQAENETVVPPGQGQPNRRLG LVSTTFLITNRMIGTAIFSVPSAIAHSTGSAGASLVVWVAGYFLAFCGFFIYLELGSL LPHNGGEKIYLEAAYPRPPLFATVIFATHIIFLGFTGIGTIAIAENILLATQATADDR TKRCMAVAFVASVAAMHICAKTWNVKLMNILASLKLFVLALMVLTGLGLVIFGSPNIP YPGASYKHPFAGSSTDVADYTVALFKVLATFQGWSNAMYVLDEVKDPRRTLKVAGFLG VGSVGVLYVLLNAAFFVAATPKELSETGITVVALFVGKVCGQHMQRFTAILAALSSLG NIMTASFSMSRVIRSFAQEGLLPFSRFFASRSRSGSPAGAFALVFFSSCVMIIAVPFG EAYNFVLDVGQWAVALIQFFVVCGLFIIRKRVTYPPRAFKVWTSVACLFLATQVFLIV SPFVAPVTGRTSIPVWLTPLTGTLLLCLGGIYWYIWWILLPRLGQFSWEKSALIGPDG EHAFAWRRVPKN AFLA_138400 MGQQASVPQPGTQLQVIGAGLSRTGTASFSAALEILLDGPIYHG GTQTTMGPPVEIKSWNQILRTWLAGDRATTLSLLRRRTTGYAAITDAPASQFVPELLA LYPDSKVIVTVRDPDAWVKSMQQISSLAQLWFLGAVLLPLPGMRHFVTYISLLQAQWD KIYDGSRDNAYVYQRHIEWLKEVVPADRLIFFSVKDGWGPLCKALGKEVPKDIPFPRI NDSKAIDRVTQYHIKRGLARWAVVFTVVGVLSAWWFMRV AFLA_138410 MSSSGKGFYTGSGTNSQGNHYCSRDYGTGSDSNGSGRGDAYHYS NRSVYFIEHQESSISNGSYYYSNSNGSTYYNDGKGGSTYTPSGGKK AFLA_138420 MTTKSFSLSEVLAVAKRHPFYNPEIQYPLDETALQAVRDWAVKN QTEVDLRFQPLLHKNDIYKTVERLTHDASPENVYRESSYMSITGGGSGGVPMMFAVDV HENRQQRAQMGKLLRNCGVIRRKDWVLSVHISGGFYRSLDLTTETMENAGATVLSAGN YMEPEEVVQALAHYHVNVLTGDASQIVQLACYISTLPLERQRQIQINKIIYTSEPLTG AQRAFLRATLGDVKICSVMGSSEAGPWALSNPDLVGEENLNSSSMDFVFDTRDMIIEI LSPAGLDDGKPPSDIDPLPLGETGIIVQTSLRRLRNPLVRYITGDLGSLHPLPEIASA VVPESERQYLRVLRMQGRDRRFSFKWYGAYFEFEKMKALLQAEECGVLQWQVILDQLE SSGLPTLQVRLLRAPSRADVLSEEQLVKRVRTFFLVLPENEDVFSIVFVKNLDGFERS STAGKVISFVDRLH AFLA_138430 MGSVGISADEVVDNLIRKHIEELSNDPYVSQSDHPFFVADGSRI VEQHLRWKSSLPDIQPFYAVKCNSDVNFLRYLDRLGVNFDCASQGEIELILSLGVDPS RIIFAHPCKSISALHFAAKQGIRWATFDNIDELEKVKQHSPQIGLLLRIFAEDDGAKV CLGDKFGAPWNTTVALLKRARELHLQIVGVSFHIGSGASDPEAFTTAIQQARHVFDQG ERLGFNMTVLDVGGGFQHTNFEFMASSLRPALAREFGDRPVRVIAEPGRFYATPCYTL VCKVIARRTHIGAAPSNPADMLYQNDGLYGCFSCGWSEGEEYMPVLVKQNEGRDDHRE SGEHRYSLWGPTCDSIDQIAKEVVMDGEVKVGDWLVYKDMGELGGTDDVFSLYNVGII PV AFLA_138440 MQHIGRHFHMARSPSICARLLNISTSPRQYLPVIQRPTRRFTTD AVPTVPHLVAQDLASSQHLHHVRDVHNNLKGHGILKISLSFPDPKSQYLESLIVSLSK NHGHGLPITHSASRGWFWDVRPSETSFQTENHQARSETMQEFPWHTDCSYEHAPPRFF ALQVLQHDRYGGGTLSVMKIDRLSQFLSPTTKAALLEPEFQITIPPEFIKHPDQRHIV GSLFAIDTEDHCLMMRYRDEIVTPLSARAAAALKELKGALQDMEALSQSTLHLTAADL PERSIILLDNYRWLHARNGIKDPARHLRRVRWNAIPFANGIVSHSAEVSST AFLA_138450 MKYPTTGQLQQVHLGIGPKGYEPVASYQGDKQLYTQEHEILQAS ILGFCPEHLWHHGSNKASCPRPILVTAKHQEQLEQLHNALVTAIVDIVKRWWTDLDAR FPERMPLTRDEEDLLRWLEHQHSHNGVPYEARLGSWRPDFLVGDYSGGPSTETYRLTE INARFCFNGFMHQAYGQEGLSDLGAGRNGLIHATDSSKILDGLLSLFNPDRPLHLLKG EEPGIDIHMFIDFVYRHIGIKPRLITPADLRLIPDPQKKDGSKLCCLVKDQQNASLIN ESRLLVTSKGEVVEEVHQVGLELHQHELFGLSREMLREISLRCFNDMRTILLVHDKRM LGIIKQEMPTLVARKVLTHDQGEALERGISDSFIPGSSELNELIQTLTDSPELRKEYL LKPIRGGKGAGIIFGDEVGPDEWLSTLERLRNPHFVSGNTMYVVQRRIWPRLYEVILN SSGDRGNYPLIGTYHTTNGQLLGLGTWRSSPDRICAVSHGGGWICSVLDEYAESSE AFLA_138460 MAVSLSFLTYLSLIVAGVYLARKISRAVQSVYLRQFKKRHGCLP PPRDHHKDPVLGLDEVRSMLRVFREDYLMEYTLEKYRRHGNTFATSVLGDDDIFTAEP ENIKTILAVKFKQFDLGETRRRTFHPLLGDGIFAADGPQWEHSRTLLRPSFTRTQIAA TDLHERHIQRLISRIPRDGSTVDLQELFFNLTLDTATEFLFGESVESLRLGSSAGSSS FAHHFNVAQDEIAFSMVIAPFDQLIFRPRFRESVRVARGYVGNFVKKAIEYRHSLDAE KHAGDTTDSQSRYVFLEELAKETDNPSDITDQILNILLAGRDTTASLLSMVFYNLARR PDIWDLLRSEVATLGGKCPSFEELKQLKYLSWVINETLRLYPVVPSNSRTANEDTFLP VGGGPDGKSPVFVAKGQRVAYDVYVMHRRHDIFGPDAEEFRPERWETIRPGWGYLPFN GGPRICLGQQFALTEASYTTVRIVQSFKEITSRDPEPYRERLALTLASRHGTKVAMVP V AFLA_138470 MYPFLDRQSFEETAFSATLAQTLEGTPAFSALYHAVLALGCQYH DGGSFDPGKGKAWKFFQMSLGLMVDILVPRESLLSLQALTAMSIFAMNTCCLQIDEIL IMEAARMAHALRYHRAICSREQQVWCLRTFWVIYGMEKQLAFQNRENSLIADYNVGCP IPETPEASFGSYNWFLSSIRFARITSQAYELLFSITATQSSTETYYTRIDHVHERLEK WRLTVPDGFRPGESCSPQTFTEPVSKMVALQTHYSYHSMVIALARLTLQIGSDDGVRQ EDSRRSLMASARRIIELTQYIDKAPHTPLFILAIMPLVALFLLFDFVIHNPTHPETKT SLAMLDIVSGHFALVEHASNGSLPCSLASEFAHIARQHVRDVNGGRGLGEIMDATLTP AQRPFRGHIKTGSGVVSNAQLPVALEHNAPDNQQPHVELSGELEPYKHTESVATLCCP GLIYGRCSGRSCRMALIHLKMPPILVGLCRRLQRSASNTTSMSGVA AFLA_138480 MAETETISTNPNLPRPPSISSDNTIHVASVPAGPGSEDDTPPLH AVNVALRWNGSKTMIWRVLATFWCALVMGSNDAAYGAIIPYLEVSYDKSYTIISLVFL SPFLGYTVSAVVSNLIHQRFGRRGVAFIGPACHLLAFAVISSNPPFPVLVIMYIFVGL GSGIQNAGWNVWISSLANSHEVLGCFHGFYGVGATVSPLIATTLITKAGWHWNSCYYL LTGAAALELVNATSAFWTETGSKYRQDNPSSPGSNGSRSPNQTRLSLTYRVTWICAIF LFLYGGCEVAIGGWIVVFMTSIRHGTPFASGMAETGFWLGITLGRFILGFVSPRIGER LSIIVYIVLAIALELIFWLVPKFIVSAVAVALVGFFLGTIFPGVVVVATRMLPKHLHV AAIGFAAAFSMGGGSCLPFYDRGYCTG AFLA_138490 MSFPEVTAANVAEVLHNDRMVIAGVDVDGQLRGKLMKKSKFLSI ATGGFGFCSIIFGWDQQDTGYPKELAICNEENGYRDLIAVPDLSSVRRIRWENKVPFF LLSFLDPDTQEPVCACPRGLLKNATAKVEAAGHFAMAENMFDGNRPSSCTT AFLA_138500 MFAAEYEFGHFRIPGDSFSPERAASGITSFIQNNSVDSLPSLTE GMFGYSMTRSLHSENYHDGILDECEQFRCNIEEWRAKSGPGAFEATLQCSEAKDMADK ASLCKYVVKAYGIKHGITPCFMAKPRHELPGNGGHMNISLITADGKSAFTRDTPDPSP PYPDVAHLSDLGRQFLTGLLVGLPDIMPLFAPTINSYKRLVEDLWAPNTVSWGLEHRA ASIRLITPPTANANATRFEIRVPGADANPHFVLAAIIALGWRGVEKKLEIPVPPLPKG EDMSSSSDKSMPLAKALKEAVATFTRLDSVAREVFGDSFVEHFGGTREYKIQLWEEAV TD AFLA_138510 MGTAVKMEVYSQIHDLELYISSRFQDSDFPDTEDFTEDIMRKSS NVFLHVKLILDELMDLTTIRQMRKALEKESKGLEQAYTSIVQRIDIQPKAKRALAHRF IGWIAFAKRRFKLSELIHAFAVEKDEEEIYEDNTVSPDLLLQSCIGMVVLFDDNTVGL CHATAYDFFRSTVLVSHDMNTDISETCLRYMCLKSFKQGPCASSAELSCRFHEMVFLD YASRHWGEHLQDLEDLEGDTESLVQFLILDGNLRDAAIQALHFRNGFETDLSNALFEA MPAKQTALHVAAYWNLTRNLKTLIKSALDTSPKDTQGWTPLHYACANGHFASAELLIE NGADIDTPDDQGWTPLFWASFTGSLDIVRLLLSNHAKYTRRSKSGSTALHWAISRGET EIVQALLQHHQTQLSLTLKADIRTLSVDDVRRLSTPVGVSPIQVAAEAKHAPIFDLLV VYLQAPGSRVGDDVFDKLWSRESFKVPVAENSWRMLLKSSKGGKSSKKTKALLYSAET ISGQTYLVEDPRKYFKNEWVETSPSVWKAIVLGSTIRDGYLNAARLLIETGVDVNFKS KEGSLLHIAACQKDPSFARLLLDKGAKPDQQFGAGELALHVAIRHGNLETVKVILASP HDVNIRGHHGTPLHVAAGQEDPRFAQLLLENGANPESYDSHGRNALHLAVMNGFIETA RAIIDGGADINQFSLQLDRILDMGPIPRSETCLMLAVLLANKASEKQQALATEMARML LSKGADPTLQNSEGETVLHIAAMCGILSFIDPLMATGSRVDTVDNMGRTAIHTMMQFA EVCWDVEEVRRALHLMLQGLPERPAASLLNQRAWKPINQNNFNQYVRLTGQVLRDGSA SMLDISPTFALWHNTSTNEEDELDTPLTLALRRRAWGISCVLISFGAKIPATPYLQSI LAAATRDLDYEIMDVLLGHGVSPNEDAALVLVSEMVGHFHDQSPGHEGSSSSHRKSLK EIAQAFPRILVSLKSAGVNVNFQSPFTGMTPLLLAARSIPMAEITLALLEAGADAFHA CGETSDSVLTAAVFNNPEPLKHLTAHVSISPKPDHWTRHLCSPTPSDIKDIFCRICNA LAQFGRLDSQNSMSQTLLHLAAMRGNTDLVVSLLDHGAHANIPDKDGWFPIHHAGFSR DYCKLSDRLKRRHHHDTLWHLLPVNIVQRTSNHHPYRSRETGLRLGDQVICQEIAEKR NNYGNTMLEEALITGDEIMFSHLLRLVADVNSCISFCRKHHSILHAAVSRANFGNVVS LLLSRGADIEAAGIDGWRPLHVAAYWGNVAIVERLITAGASIHVPTRRLDMCHGRLPN PDEKEWNGQPLHLAAMGGHVAVVELLLKHGADVNATTNHFERPTGWYGPTALHIALDR VRLQREKGSTNRLKVANILVENGACVEGVVDQLQVDDIPCFENFEQLWDRLRGYTK AFLA_138530 MGKFARLAQAAHLLSRVLRHVSDTEISRHILREEGDILDRAIRS LLSLTVSEEELCGVAYCSPVAVLGSALLMLQSFHRPRHEVPSHAAGEDRFLTAMERTA EVILPIAHRLRDNQSQFPSPLVVDWLYQSAVIFTNLEQANFPFYRDCVKCVREAMKNL TSLWPVGNFYLDPLETRKLTNMQ AFLA_138540 MTVGAPKGRIVTDLIDEIKPKTMIELGCYMGYSAILFGDASGAE PGIANMLIELAGLRDFVRVIVGRSDVSLDRLYRRGEVSKIELMFPDHYKPTYLTDLKL CEHHGMIVPGSVLAADNVLYPGNPPYLEYVRSSVEQKREAAKGGPMKGYNVERTSQRQ VNSYMPEGDTPAFEVIGNPNLVYQSCTAATRGRTGESPCRRWNCSNLSFANHRDRMPS KSPDVWGGRSRYRARSLKSINL AFLA_138550 MPVENYGVWKAKPVRFTYETDADDHVSPHLSLFFTTSDNPRGEG RAAINIKSGDKSDSRLVFWLAKKFENFQNEQLRELKPGFHRLEGTMEQAPNGLALDYI RGNLFHRETGRLLPHDIPGPDNDILDELIPLLDGAVDNDSVIYIYGSHFNHGNGIHNI HMNQGSPRKWKSDNGIYQDGGILLDFGDHWKGVFIGFASQAVHTDAEGQPTPPHGYLT WNELLNPEIPGDQRKRRDVHDRTVSISEALIRHHGADPTAKPDMITLTNRADAPVVLN GWSIRNHKGDNEYLPDGTVLRRRRRQSFQLHNCALSDEGGTITLLNEQGLKVDGVRYT ATQSSPGHVVSF AFLA_138560 MIPHQYYPTTIHLPHFAANETSVVSLIAQFGFLWAAVLGTAFFV IRRVRPTASRADKLAFVWMCLTGFIHFFFEAYFVIHHETLAGSQELFGQLWKEYSLSD SRYLTSDAFLVTMEAVTAFCWGPLAFFIAYCIAVQHPARHALQLLLSVGQVYGDVLYY ATSLFDLYFHGETFCRPEGYYFWFYYFFFNFIWMFIGSYYVKQSIGEIYRAFKTVQEL GSSRKLN AFLA_138570 MRPLSHLSFFNGLLLGLSALSAATSVVHERREVTSSNWVKRARV NPSDKHVVRIGLTQSSLEEAHDLLMDVSNPSSPNYARFYSADEVAAKFAPSTETVNEV QNWLTEKGIDASRVAQTQNHGWLVFHATSKEIENLFDTTYYEYHNRKTGKKAIACEQY HVPASVQKHIDYVHPGVNLNPSSGKPSSIRKRGAASKKTKLPARGPRPIQQHDVKGLN VTNCDQLITPDCIRALYKIPSARAAPHPNNSLGIFEEGDYYAQEDLDLFFKTFAKNIP QGTHPIPAFIDGAEAPVPVTKAGGESDLDFELAYPIVHPQSITLYQTDDANWATNTTG FLNTFLDALDGSYCTYCAYGECGNDPSLDPVYPDDAGYDGQLMCGVFKPTNVISVSYG EQENDLPANYQQRQCMEFLKLGLQGVSVLFASGDNGVAGPPGDGNSVNGCLNNGTVFS PAFPNSCPYITNVGATKVYPGYTVSQPESAVYDPDGLYSYASGGGFSNIYPIPDYQAE AVATYFKDHNPPYPYYEGAENLGKNGGLYNRLGRGYPDVAANGDNIAVFNGGEFGSSG GTSASTPIFASIINRIIDERLAVGKGPVGFINPVLYKNPSVLNDITNGTNPGCGTDGF STAPGWDPATGLGTPNYPKMLKLWLDLP AFLA_138580 MSQQPMSDDGVPGDFEHPNQDLRRSVIITLYFAFILSTTAVALR LLARKLNGTRLYLDDYLIIIALIGWSNSLVYSSCIAFVKFSVLALYKRLFSTPRMIFA ANIVAGFVILWWLSVCVVGILLCLPVNKFWDPTVPGSCLDSAQYYYGQQIPNILTDAV LLVMPLKFVWALPISKTQRLLLSGVFVTGGLTLIFDIVRLVAMINLTRSGPDVTYNQT PVVVYTCVEATVGIIAACLPNLRPLLKLSRGSFWSQIRSGTGHSKQPLMPAQELSMEE SNYDPYFTQTNIYARHSVSIQYSKP AFLA_138590 MPAKKVLIILSDADSFPLKKTSGQDAGKTVDQPSGFFLMELAKP LQKLLDAGYEVTFASPKGQEPTPDPNSESLLAFAGNFYERRRENELIDRMKRENGFSH PRTFSSISDDELESFAGVFIPGGHAPLRDLGADKDLGRILRYFHAKSRPTAAICHGPF AFLSTKFAGDGEFAYKGYKITSWSDAEEKMMEMMMGGEIEKVESVLRNEGAVMIEGAK EKIGSITVDRELVTGANPTAANALGDQFLQMLNVH AFLA_138600 MEANSFKTILYEKSPDGKIAYITLNRPDRFNAIDGHLPRDLRDA VKLANADPTVHCIILKGNGPGFCGGYDLDIYSQNAVRGETAGSQDLSKGYDPLIDYTM MKENTDCFSELFHSHKPTIAQVHGAAVAGGSDIALCCDLVIMATDARIGYPPSRVWGC PTTAMWAFRIGVEKAKRMLFTGDLISGAEAADMGLVLKAVPEEELEETVLLLANRIAS VPQNQLWMQKQVINGLIEGPLLRSQKLSTIFDGITRNSPEGVAFQELSKEKGFKAAIQ ERDSPARSERYRKVWKSVL AFLA_138610 MPQSIGNVFSAPGDGQRRYRDATVFLTYLSELSATLSDLIDQLL CETQDPAVSDGISCDAATTLDYIDNAIQLQSEIKDTMQSLLNSLIGSGIHYGGNTVFE IRSRL AFLA_138620 MVHAENATPSIGASITNVGFSSGKAATYCCGSPRTNGSSVVCLT SESDSNSNVPFTIQDGSPILGAAMLQNVTTLDTTDSSSSDSNSSTATPTTCLPSHDVA IGAGVGVPLGAIAILLLIWALLERRKASRALQSQPAALGGGYSASGHATAAAAYMNIT EMNAHPPVELEYTQPVSELMAKDAQR AFLA_138630 MASESIKAPAAAVTSSFNDRPTLPTSDNPKSWSKGKKWTFTVVA SLMTFSATFASSVFSTAEKQTAAEFHVSHEVTILGLSLFMLGYCFGPLLCGPLSESHG RSLPLMLGVIVFCIFQVPVAVAQNIPTTVICRFIGGLFACSPLSIVGAILADIWDPVE RGIAACIYSGATFSGPVLGPIVGGFVVDSYLGWRWTAWLTLIRGVYFWVLGMVFVPGT HAPTLLRWFQARECSSDARDELGRANANLEVSHMNWREFTTKYLARPLVMLASEPILL LTTLYMSLVYGTLYLFFEAYPISFQDQRGWNAGVGTLPFLSITIRVVFGNLTIAATTA FHLKRKYNEGGGKVAPEERLIPMMVGAVVLPPGLFWFAWTSSTHITWVPQVLAGIPIG MGIQVIYTMGLNYILDVYTPYAASAVSEYTFVRSMAAAGFPLFATPMYDRLGISWATS LLGFVSVLMMPVPLLFYVYGERLRKLGRYSVK AFLA_138640 MGHYELKQAPPAALHEIDGSSQENLDDINLARIGKRAVLRRNFG LMSILGFSCTILITWEGITALFIQGFQNGGPAGSVYAFLFVWAGVTATFVVLSELVSM APTAGGQYHWCSMLAPRSAMKLSSYITGWLTVIGWQATYATAIYLNGTYIAAMISLTH SDYVPQAWHMTLYSYATALIGLAINCVGGKLLPRFEGTILILHILGFFAILIPLTYMA DHKSAKEVFTHFINEGQWPTQGLSFFIGLIGPVFAFAGGDAAVHMAEEISNAPTYVPW SLMLTVLINGTLGFAMLLALLFCIGDIDTALNDPTGLPFVGIFLQATESIPGTVVMAS IIIVLCFCTSVGMLASASRQFWSFSRDRGIPGWQLWSQVTTRTAIPTYTVVFTTTISL LLNLINIGSDVAFNSLVSMSTSGLYLSYMVVAGLLLYRRCTGGIMERNNGTRGSEIKI NTAGAQLVWGPFHVRGVLGIVLNVFSLVYMTIATFFSFWPPNNHADVQSMNYSVVGTV GTIILSLVYYFVRARRIYSGPVVEI AFLA_138650 MHSSLMRIYAGWPFRSAVIERTELVWDPRDAWRPVPLRAPYLLT LAGLLLLTAIALEVCRQYNDRIGWLVRYKHASDLPTTVSVAYVIVPTAIALVVVNLWE FSSCDVMRLEPYFQLAKSRGASATVLFTNYSFCYGIFAPITAARNRHWIALCVSLMSL VIRILLPSLFAGMIVMGELNLTDPKIIETWPALVDLQTQEGWMAAETSGLSESSQDAE FLLLRSPHYAAAPVSMPVDDENDTSMLRLNQTIYWANLTCVDMPLYGIAPTTHSMNYT RSDDEQIISWNMRNISLPTLSNSTAGCSINFALDSVAPTDTERFQLGYWEPSQPSRNS NTTSAFTGSGCGSPTLYGVVIEGDVLKNNSIKPSKAAAFACMATYHYAEAEVFIATNT SIVAAQIQQDTVSSLSPAEFSIDKFEDQFRVGPRAAGIRIIVNTTSSSSLFDTGSTSK FIALEEYQKKLRNSWNHRFLVTVNKMFDPTRPTKTHAEQQSVSVILQMLHDPAIHAEI ILCVASLLLSFLAFVYPRRPNFLRSDPGSIAAQCAIVANLFSPTIALARPDTDFDQAT TRQLLQWAKGLWCQWSGVSKERRLEIVSLDGNPVPLATGKSRRKKDFMPHFLRLPLFF MECLSLAFILALFGLALRYLRLDDPNSMTGPQLVLYISMLFVPTIVASMISALLASVL RHLSVLEPWTQLQKGLATAKQSLLMDYGCQTSFAVLWKCARRGPRLLVIISLACILDL LLTVVSGGLFEPQVRHYSTAASGLFATYNLSTLSRQSQSPVLDSYSVIPSVITQDAPF LPWTTSNHSLVPTRIDGPESERTFYTALTRGIGVNLICESLPSSDSGIDGNSTNPYWR YTPFNSSTESACSVEAPWAASDYPENFIHFMAPTGSSASTECETSTLLVIARLDKITG KSLHRDSTFALHCQPTIHIQDFLVQFDHSGQVEDYEAVPGSSITDGPLYQNASDLLGQ FNMAFTQSIQALPAHPNSSFYQYDWPGVLTARTIEKLNPKSDSVDTALLIDAVQLTYR TVFSTYLTLWRDLYLQRLPESHSITIDGTVTQGVWGILPSTPSILIVIILVSLDVVLM VGVFVTYRGRFNGPRIPKSIGSLIPWIAHSQMMRDFEGTHAWSEVQQRKHLEELDRTY SLGTFPCPDGMSRIAVDYKDDEQAYELHDLATSGAVPSESTAQEPQHGYQGVSRTPQG GPVDTICADRR AFLA_138660 MSSLPNASNNSKPRFEIPPNISNQPRWLLDLDDWVVRAYSRIRF HQDPKNREYGYGIISYTWGKYWNRTDTVPEKDAPDGIDWKIPRLAKDAISLDEAKKVI TSMGKRYVWWDWMCVPQGGSHKDIAEQEIGKQMAIYKNAKASIIWLHDTNWAQSSDVG QFLRNHYPKRPLRQWLQNFSTGLQRIREREPWLTSIWTLQEGVLLNHSRLVDRHGARL PDVPKDKRFHSDEATVVDLAIVPAKLARDIAMALFTGEGNPDPLFRDFTSVRENRVYA QEILCEIIRSGLFGYYDNPVPLTILAGKGSRRYDKATNPDQYWALIGALDLKVAPNYN LTIQKARENFFKGLLEKYQWNLLLAPSLPLDISRRGWPEVIADGHILPLDDLFFISEL VDRLPPLSWTGTETGGPIIIGGAGGTQFKVFRLKKTGHFRRYIQARNKQGQDLVDVLG PATEGPIEDATYLHIAKLQPKSGLPGKRCIEMRGYQRGAGQFNGVVDLWVAEDDVALE SISKITLHLPQKSL AFLA_138670 MPEEQDLADGRQDRNNDLNTIQENRNESSTANEQQNNTRQDRQR PPLHYHNTGSQRPARYSQLRRRQTNQTSRTNQTTHTNQTIPSLAGPREPDPNWTYVHP EYHDMNPDYGKSNEEPVWGLAKPLPRVVRPGMRRHDGGGTTSAYPTGQKGESEPVPEL EATPDQGDEHGKEGQDVSSPGPGAHGDTMVHQEMSNADAPDRVSRPVEDEVTEDASDP YGGEAEHFNKWSRVRHRLREPFAEWLGTTVAMLIGLCATLAISTGKGDAGNKLTLYWA WGLAITVGIYIAGGISGGHLNPAISISLWIYRGFPGRRCIYYVIAQILGALTAGGLAY CIYRDSIFHSGSNSGTGITMGATGLGFYTEPLAYVRNVTAFFNEFVAAAILICTIFAM GDDSNAPPGAGMHSFIIGLLIFVLAIGFGYNTGGCFNPARDLGPRLVALMAGYGGSTF TERGGWWFWGAWLATISGALVGGAMYDIFIFIGGESPINYPRTRRQRSKLKKEAKWRR RLNLGRQRLPSIEEGIKELDE AFLA_138680 MDKTWDVIIAGAGPVGLFLACELAIAGVSVLVLEREMQPESPWK EGLFGRRGLYTPAVEAFYRRGILKRIFGDDERPTHLKKTEGFQYGGHFAGLVLNANNI EFSRWPYRLPGPSFLPGPTSLGRLEAVLSERAETLGVQILRGMEVSRLADEGESVKVW AGDQWFTAQWLVGCDGGRSAVRKTAGFEFVGTEAEFTGYIAVCDLDRPDLLKSGFTHT NSGMYIVSGPGQLHVIDFDRSFDRSQTITREHFQEVLRRVSGTNIVVEALHLASSFTD RSKQATEYRRGRILLAGDSAHIHSPLGAQGLSTGIGDAMNLGWKLAATVKGFASPGLL DTYHQERHPEAARVLEWTRAQVAALRPDPYGQAIASLMRDMINTQDGATYLADRIWGL SVRYGPGDAHPLVGSSAPDFEFDDGMRLGAKLGTGSFLVIDFGSNNQVAEHVQSLQSL QFMIQYCACSAKEEFGLKGLLLRPDGVVAWVSTEEINIIRLHVALSRWISLRGFEA AFLA_138690 MRFTLACLAALASSAAAYMVNAPMTGDQVPIQAGTIITWSAVDT DQPTFDLWLVNMRHFEPYARQIGQGINRDAQTYRVQGVSGVPPNTGYQFNFVRHGADA NEAKERPLAQSGDFTVYSEGTV AFLA_138700 MIVDDYIMSVTFGIYTTLLVLINISSHYNTNLFLHEQLPQILAD PKDVANRIYGSKIVIGLEQCMLLSTWGVKTCLVAMYWKLTKMLSWHLFVKVLAGYVVL GFVVIEITYFAVYCRPFSEYWAVPPENQQCATYQHYSITQAVFNLSSDACMLAIPIPL LKGAQMPLRKKILLVSVLGLGVFVMIAAILNKYFNFHSPYTTIYQIWYIREASTAIYV ANLMCWWPLLRKILGKALTQYHSRYATKNGHPSHPSGVLSRETGSTKPSADRKYLALS SSKRWWPHKGLGESSSHVLTTSTSQEAINLPVRDPQSEGNLEIWHKVDYNIEESYDAK K AFLA_138710 MEQAPTSANHASKGNIQVDEARLEAALGHKQELVRGFGLFSLTS LGIIIANSWAATGGTIVTALYNGGPMAVLYGLILVTIFYAFISASLSELASAIPSAGG VYHWSSVVAGKYGRAAGFFTGYLNACAWLLSAASMSSVLGNEAVAMYLLRHPGVEWHS WQPFIVFLVVLWMCCAIVCLGNRYLPLINRISLVLSMGGWFITIVVLAVMPRGRHASN AQVWRIYYNETGGWSDGICFLSGLLNAAFAVGTPDCISHLSEEVPQPERKVPQGIMLQ LLTAFLTAFVYLIALFYGINDIDAVFNTNVNYFPVAEIYLQATGSTAGAVGLISLLFL ATFPTLVGTLTTGGRMWWSLARDNATPFPLFFGQVHPTLDCPVNATVAMAVMVSCLGC VYVGSTTAFQALISSFIVLSTLSYAGAIVPHILSRRRSVVLGPFAMPHKIGYVVNILA VLYIAVTVVFFCFPFTLPVTVQNMNYTSVITVGLMTLVGIWWLFQGMRTYKGPTYSRE AAERLAMGKQESSAEMSAMDGM AFLA_138720 MSIHNHNKPWLRGAEEFRGQRLLPHVIDYHAHNEPNRVFNSMPR TQNIADGFRDVDMKTMATAVNFMAWWLDGHFKDVPKEKKVLAYVGVPDIRYPVLLFAA IKAGWTTFWVSPRNPPDHNLYLLLEAKVNLVLYADLMEPVVKGIQQLSSTAQIPYTAV PSVDEVLNGQSPPYPFDVLFADVKDERCLAMHTSGSTGLPKIVYYTHAAFACTDSDRN IPVPEGRRPQNAKQFDFSPPGRFYCCFPPFHLGGTMAFMVIPTFSTTATTVWGPSTMP PSGQLVSSITNQTTVRALYLPPSTIEQWWTCDPDARKKAEGLDFVLFGGGPLAPSVGQ KLSELTDLCQMYGSIESGQIQMLVPQPGEWQYLEPNPAEECDMQRVEEGSGLYELVLH QDEKFRGRRTLSHTFPDVKEWRTKDLFTPHPTKAGLWQFHSRTDDLIALGTSAKVFPV PMETALQGDPNIAGALVVGNARPAVVLIIEPVQSVSHENREEFVDKIWPSVVEANGAA PTQGKISRSRILLTDPEVGFARTPKGTIARKTSEAIYTAAIDALFRGGIVEDNGLTGA F AFLA_138730 MSTLRRRPEALLQQRGIRAKQRPGASIITEALVQKVLFNETTAT GVKVITGGGTHTTEAKKEVILSCGALNTPKILELSGIGNKEILDRYRISVVVDNSNVG ENLQDQLMTGISFEVVEGVIMGDALMRQETETMEEATKLYYEHNASPLTIGGVQSTAF MPCLDENGARYEKHIKDLMDRFLSDTDDREPAIRGILKEPDSPTWAQFMFNCQANLHK TGTISLARSFCRAILSALVLFRGGAVDEKLRVHGTTNLRVCEASILPLITVGNIMSTV YAVAERAAEIIKADA AFLA_138740 MIVMKLGELVNTVPTIGFNVETVEYPRKYRWTIWDMSMSRRSVK QLIHSYFPGTDIVLFIHNCDPQQPEPIYDFHYFVDFVHKHGSKHMWILLNKQDTLPAE SAPEIVDGLRKKYEKEMAKYDQLSWKILDHKLSAKTGEGVQEILHQLHSSANLLLRTR PKPQPQPRTEPEQDPEPNPAPEIAEDTVSSPRLSEPINRKASQDSVNVQAFWNSFVTG DIPVWDHHAHLKVTYILVLEYVQQRRSTFAMADTMLAHLRRLRNSQPEKFGNREHR AFLA_138750 MMQSVRIGVDVGGTNTDAVLIDLGQRETPSRGILSSHKAPTSPD VTTGIQEAINTVLRDSQVAPDNVASVTIGTTAFLNSVLEQDPRRLSKVAIIRLSKSFL RDVKPFSEWPAGLASLINGYVGYIDGGLHIDGSQEAPVVESQVVRECENIKALGLTTV VVAGVYSPIDEVFRQEETVREIITREIPGVDVVCSKEIANIGFLERENAAILNGAILR YARQTIRRFRGAMKSLHLQCPLFLTQNDGTIIDATTAAKTPIRTFNSGATNSMRGAAY LAGEDLANKSTIVVDIGGTTTDVGVLLPSGLPRQASAYVKAAGIKVNYSMPHLYSVGL GGGSIVRDGQSGAKKGAVTVGPDSVGHRLLTEGLVFGGDVLTATDIAVAGAQCVVGNA ESVKHLASKLVSASQTRIKQILERSIDLMKTSPEPLPVLLVGGGAVLAPAELEGASKL INPPFFDVANAVGAACAKVGGTVDKITSIADQSIKDAVEEAKKAAIERAIQAGAVEDS VFIAEVESMPIPYISNQLRTVVKAIGNLDTERSMSMFVDNDAAPMEEDTLDENPRTTE HLQVPEVIPVDHLTYRPNVVFNEKAGWHEWLLTETDLNYIADGAYVLGCGGGGSPDAG RIQLQEMLRQGYKIRCIDHSVLPDDALVYWGGRMGSPATTVERLQAHETVDAIGQLMH YMGHKSFDAVMGLEIGGSNGLEAFQWGSDRFYDRPVIDADFMGRANPMIWQTTMAVYR PGELTPCAIDSGDGRSVIMPRAGDDEMVDRVLRAALTEMGSLVGLSARPTNGAAVREF AILNTVSLSWRIGRAIAQAAQYSTLLTVPEAIIAEAGGPQSAKVLFRGKISGIEQTVY KGHSYGELIITEVPAEDDDQATNQNCSPAVAQGGHIRIPFKNENIYAEHHAADGSKKI IASVPDLICILDKESGKPIGVPEYRYGYQVVVLGLACSPHWSKTERGLEIGGPKGYGY DFAYEPLGEYFEPRSVIDEFKEVN AFLA_138760 MIAEIHSLAEYEAELAKPGLAVLDFYAPHCGPCHVIAPLYSKIS EDQSSVRFYKVNGVDEEGAEVQKKAEVTWWPTLVVYRDGKEIWRDRVPNPPSRKPLES LEYYLKTVVA AFLA_138770 MGRFSGAASRLHRTLQLSGDTDQWRNRDLIPLPPDRTTWSSWDF LYLWSTVFFTTFGWQITSSLLGLGLNVWQSILCNIITKFLQTAVVFCVGWPGGVWHIG FTVNSRSVFGMWGSYVPVILRIFLCIIWYGVQAFTGGQLVAIILSTIFSGYHHMENTL PESAHMTTKQFVGYVIFNIISLGLLWVPPDKLKKPFKLIAAINLLVILGLAIGLIAGA RGGSLGTLQTSQRTDNLGWTFIHGFAVVFSGNAVGMASHSDFSRFARRPGAQVKGQLF SFLISGNVVPILGIFGTAAAAKMYGDVNELGLWNPPNILQMWLDNQYHNKAMRAAAFF VAFGLTSSIMAMNSIENGVSGGMDIAGLYPRYFNIRRGSYLLAAISVVINPWQIIANG AIFTNTLNSFGVILFPLMGTMVADYYVVRKQKLKLSDLYRADASSIYWFEGGFNWRAF TAWLVGFAPSVPGLAALNPHNTGIPIGLTYTFYLWPIAGFFASFVLHAGLCYLSPPAG IGKVDEQEFHDPMYSERSDEMQSQTITAMEKGQHR AFLA_138780 MTDSPASTATPAVHHPARAPVACTQCRQKRLKCNSGYPQCSRCL SAGSNCTYIPSRRGQRPPKNRPELDSVSQHISTFAIQTPRSSFLPATPNTALPLSLTD APAPGEVSQPVNLAPGPASTTSPLTSFTTTATTSSRIHYNERLVNYYFSNFHPAHPIL LPHSLYVTQGYPDYLQAVVQFVGSHYASTPVDWQTREETVQAIMSSNSPQTPEMVQAR LICALAIHARYELMDSFTIFQAATDLAIQLGMDCATFAVQHGNQNHMLEESLRRTWWE LVFSEGILSGLYRHQRFTRATYFSDVLLPCEETDYENLTIPDPSNLVSLTQFDKRIFN PNSVEFSSYSYRIEAVRIFVNVLTLTEPDSNMRPSQVHAVDHAIAGWIHHLPDGKGDI LQPDGKGDEMLFQAYMIVQYASMFLHFPRSDLISMLPNPDIACAQNEPHVSPTTSQRL HGLKAIVASKWIFNLAALRLPVVKHTPLFICALLSAAIVQLSALSKKSQDENIDVTEC YDSMYLAIGVLKSFSKHWPLGQSALQELQKVASEVLKVQTTTHTLPEDDGWTCNIDFE GVQGLLHINRDMGS AFLA_138790 MKLLLLTLSAALASALSQDIPWSPPGPDDVRSPCPVLNALANHN ILQHDGKDITQQDTISAMDALHVDEELSNTLFAAALKTNLTPNATTFSLDDLDHHNII EHDGSLSRGDFYFGDNHSFNQTLFDQVKSYWTEPLIDLHLGAKARLAGVNRSKATNPT FDLSGFRLRFSYAQTATYILVFGDKVSGTVNKTWIEYLFEKERLPVELGWEKQETPIS TSDLDSMIERVMQATKEIENSQEM AFLA_138800 MSSACTHSSICYTSSPHAKTAGFKRPDTGERLAAAEPTEALLPE EEYTFDNPATFPPPLVFPGDDLAEDPEYPPQNYRQWFRDEDRNPVTGKRRTVYVVPAP LVGDEVSFMKSWSIPRGVGETPRAHTLKLLDIRDYLAAFYRGLPVKALTQSPLEFMSW EELKKSKKSQRDPQYIGLRIGDECVGIRTRACPDGIYSRQLNLDDLLDAAISMLPKDA YALLLLTDHDLYEDDEDTFVCGRAYGGSRVAVVSNARYNPDLDALQSVDRLHAWPLSH CAEYMSTCCSTSEPKTKRQKKSQSAKANANEEPSGQNEPSPAPLDMAFSVARSLTSLD WTQESLAALWLGRMCRTASHELGHCFGIDHCVYYACCMQGTGSIQEDARQPPYICPVD QAKLLRATGTTEAQRDSALLSFCERSELRETQFFAPFAAWLRAKSN AFLA_138810 MKHVTDSGIDGLFVTFYFFFTHYLFSSLTILAISSIQEGQDNQS GCDSFEEASRLLAELKDAGNCVAQEYYHHVELIEAALAAHTKRTMLSDRLDVPTNAAL PTF AFLA_138820 MAFIHHSNASRFSIHAQTEAAMCMKNLFQVFVKDESKRFGAYFG SFKALGAPYAVYKILADEVHTKTGARPSPAELRTFQYRDITKSVTVCVASDGDQGREL AYGAQLFGCRCVVYIHSQVSEGRADITKELGAVVIRVNGEYKASVSRAKEDARMNNWF FVSSTSWPDFDNDIPQHVMNA AFLA_138830 MSSDLYKSVPLEPNATRMVRLLPDKETNAEIKCELFTYDLTGAE GGKHLYEALSYVWSGDEEGSAEKHKEIILHGHTVPITANLHAALVNLRDHQLERVLWV DAICINQDDMDEKNQQIPLMRTIYAQADRVIIWLGEAFEDGDKALEIIRNIAEKRFKS GNDSATKLLESSSELCLKLLRRKWFRRIWVLQEAGVARSIEIMCGSVQINGYTFCEGL GQLEIPSSLGHIHPVVYLIRGAHFRPRNAPKLRGSLCIGELIEMYRYHMASTSHDKVY ALLGLQPGYNDNPDTESLREMDSRQSPPYDITLTWARTVSDTENDVRPRDPMELIQIA PQYQKEGSDTEEGSTDLRVIVDGIAVQLADQGEPGLFKGWLTQMGTAVPVSEQVVKAV VGNTTPDGPQIVEMLLQYQGNLPVTEEVVKVAAEHNGGYAPMILDLLLEYRDNIPVTE EVVKAVAMNKGPYAHYIMGRLFMYQKNIPVTEEVVKAAAGNRGVCGPELMEILLNHRH SLPVTEELVRIVAGNEGLPAKDIMRVLFKHQDDILINEEMMTTMEQRELPDRYEIVMG MLFKHNK AFLA_138840 MRIITTLALLAIDTNWAIALDSTELFHFSTSVDIENSALRPNGS LLLTTFDQGRLYTLDPSVPNAEAELVAALPGATALCGIAAIDTDKFAVIGGIRGNYSY TNETVYTVDFNANPANPTVEVVSQIPNAIMLNGMAALPAHPHVVLAGDARLGAVFRID TDTGNVGIAFNDPLLTAPTNASTPIGVNGLKIAGDYMYFTNTAREIFARVPIDGFGQK TGDIEVIAALNDAESYNWDDFVVLEDLNVAYLAQPDNAIAQVSLDGEQKIIVGGGDDG TTLVGTTSLAITQDGKTLYATTRGGTVDGSVYGGQVVRVQL AFLA_138850 MDEKTSLGATAHNETLTQATKSGLADPADRRESFALNLVENPLT RSSPEQAVLDARAFAESHQMAEHADLFGRAALVARDPQRFEMITELSEDERAALIYER DHKWHGPFMLWYSIALCAVGAATQGWDQTGSNGANLSFPQEFGLVGTARAEWIVGVIN AIIFLTAGLIGAFIVDPLNHYLGRRGEIFVTACCLTATPIGSAFAKSWQGLFAARFVM GIGIGAKNATVPIYSAEMAPARIRGALVMFWQLWVVAGIFLGFCANVIVKDTGDISWR LQLGSAFIPSFILGAGIYFCPESPRWLMKHGKYASGFKSMLRLRAHPIIAARDFYYSW VIYEEELKEARGAGYFARMWDCFAVPRIRRANYGASTVMLAQQMCGINIISFYSSTIF EDVGYTSEQALYASLGYGAIQVVSTIPTLFLIDTKGRRTLTLATFPLMCIFLLAGGLS LLKDDGSRGEQIGPVVLFVYLFTICYSLGEGPVAFQYSAEVFPTIQREQGMAWAVCIN NTFAGVLSLTFPRMRTVMTPTGAFGFYAGLNLIAWFMIFCFVRETKQLTLEELDQVFS VPTKDFIHHELTVWLPYFIKRHIFRRNIEKPPPIIAAADGPVGSRAA AFLA_138860 MRPFRYVGILSALARIAVADEQTECNPLNATCPADPALGTEHTW WFNSTLDEKLWNMTTGVPTYTSEGAEFLIKTENGSTLLQSNFYIFFGVMEAHVKMAKG AGIISSVILQSDDLDEIDWEWVGYNTSQVQSDFFGKGNTTTSDRGGYHAVANADTEFH NYTSYWDKDRLEWWIDNELVRTVNYSEPLTVYGKNYPQTPCRVKVSNWPVGIASQSIG NIEWGGGLVNWTNLPFTMTVQRIRVQDFHSGKEYTYSGNSGSYDSINVVSGNSTAKTE INKKPAKTLAQKWDDLGKAAHIGVYCGAAAAGVLIVAGIALWCVRQRRKGRLERGLAE GPPSTAKPIEMEDYKNRWRQSDWGHRGYQAVDQ AFLA_138870 MAGNFYESAEAVRLDGPVLFARLRNVDGGWEDAEIDLNEFIGNV DGQFVWDEPNFFETAAEVGFNFEGDDNVPILRAQLRDREGQWVPADINLGERLINING HFEFQY AFLA_138880 MQRAAGNAWTICIRGAYRGFTTSLPSTSCYQRISRRSGPRTTAV PHRTVMSTTQWTCTPRVFPTSGFELLDRSNKLDEETLPTYQPEKYYPVNQGEVLNGRY QALAKIGASTYVVLKVYVTGQARAHVRELRIYKQMNGVETKHPGRNFIRKLLDHFDIE GPHGRHVCLVHEPLGTSADFLVKMFRGHAMTLDDMKPGIRQLLIALDFLHSECHIIHT GNIPNHPENHLQLKNLLLPGPETSYLSRFEEAEVADPSPRKALKDRTIYKSLGFLPKG GLPILADFGEARLGDQEHNEDIMPNVYRALEVILRSNWGYKVDIWSVAMVAWDIVSSR TLINGRNPDGIFDDRVHMAELIALLGPPPPEFRKQRHLSSAFWDESGNWKEVAPIPDV TLESLAERVKGEDKEGFLRWLRMALQWNPEDRPTALGLIRRVADGGARGVKRGRSNCR SSWYGMTC AFLA_138890 MGNFQKAPGGLKIVKAFGICTTVLPFTIDTQARFGDELEERFGS WSRHLFSLVSAFNCFFLTMLAVLLLLVGTIQVHAGWVLILHYIIFSVVVTVISFGARL PRDQPTGVNTVKDIMKGIAMGCYMSTALVIPALIVFLQEPDSPGSDVITYISLSLLPP GITRPYPATGALHWI AFLA_138900 MDSPPQDSLSEGKPVADHIEASPQKTENIDTTRTDEAMKVLAHY TGEQSWEPSEEKRLVRKIDWRLLPVLCMTYGLQYYDKAMLSQAAIFGLRQDLGLIVGN RYSMSAAIFYLGFIVGAYPTMFLAQRYPIHHVASGIVTLWGICLILTSVCHNYQSLYA QRFFLGLLESGISPMFMMIVVRWYKKNEQALRMGIWYSCTGYVSIFSPLINYGLGHIK GSLPSWKYMYLFAGALTIFWGVCLEFLLPSDPVSARGFNERERYISVARMRTNNSGVR NTHFKMGQVVELALDIKFWLIFFTAFLAMIANAPVSTFTPIIINSFGFSTLESLLLVI PAGFYGGTMMLILPWLGYKYTSKGIRSWLVIGGQIVTTVACLLLLLLPLNETGGLLFA CYILPTMGAGYAVLMGLQIGNIAGYTKRSLSSSGLYIGYCLGNFVGPLCFREQDSPRY VPGFIVTVVTSVIAAVLVFVYRFVCLYDNRRRDATGVLEGFENAYQDDLTDKTVSPQP LPSRQQNRPDNHRILNSDIPSSIILGTMVFRANYAVFFGQLTVSHL AFLA_138910 MAQDRLIEIPSGASITVKLINPVNFGPSHLTRFMAPQVPGLDTF ARNPAFSFLIEHSSGRKLVFDLGIRKDWENYAPKIAEYIPTTGYKIEVTQHVADILEE HGVKAKDVEAVIWSHWHWDHIGDPSTFPPSTDLIVGPGFRDAMLPGYPANPDSPIRET DYANRTLREIPFTGPTTLHIGQFPAYDYFGDGSFYLLDSPGHAIGHLCGLARTTTAPD TFILMGGDIAHYTGIFRPSKHLPLPDSIQPHPIFPSCEAAFCPGSAWEELQSSRGRKV TDSLFEPTFGHDIPLAIETISKLQEIDCDEDVLVIIAHDFAVRDGVDHFPAALNDWKE KGWGRKLRWAFLRELEAYWKAKGLVE AFLA_138920 MGSIGSTPYTHNNGHLDYDVLIIGAGLSGIYTLHQMNTFGLRAK VLEAASGPGGTWFWNRYPGARFDSESYSYGFSWSQEVLDEWSWSEHFAAQPETLRYCE FLVDKFNLRPGMQFNTRVKAAHYQEDTKSWLLTDERGQQYSSRWLVTCMGILNEYTLP NIPGVHDFAGQAIHTARWPHSPVSFEGKRVGIIGTGATGIQTIQEVAKTAGHLTVFQR TPNWSAPLNNGPITTEEMEEIRKQYPEIFKKCKESYSCFIHKSNPASVFSVSEEERER FWNELYETRGFQKWLSNYYDIGTDKRANALYSEFIANKIRERVKDPKTAELLIPKCHG FGTKRVPLESGYFESFNRPNVSLVDVKSDPIERITASGIKTRDNAYDLDILIYATGFD AVTGAFTAIDFQGVGGVKLSKRWSEGPRTFLGLFVESFPNMLMVMGPHQMFGNFPRSI EYASHWVAEFIRWASEQGVSSAECTREKVEEWTEHVHACAEGLLANEVDSWMTGVNKN LAHKQKRIIARYNGPAPGYRARADDVAARGFEDLVIT AFLA_138930 MVTSTPWLQFTGHQPKRKRAELACIICHSKKIRCDLQVRSRQGL RTCTNCETASKECRTRPSKRRTRRTRDPLSPLNSGSIEQAHPVVEDSAKDTTDENVTL PEPQWAWSFDNPSPLPEDSTQLTHAHLNPPVDHPGGNLSCSPATCRTNDTDTRRDFSS RYYELEIQADARNQEQRLLAQRQPDVPHLPSPDLQQSFIETYLEYCSPWCPVLDRDQL EIDELSQSPLLVNALAIVGSHIQPPVLPHDGPAAYYERARNLFYNDAEPNVVRSLQAV SLFYWWSPRPPTILHRHSSWWWTSCVVRHCQQLGVHHQPSLGPGPASSQHNQPANHRS HLIRRRIWWTAFARERLTSICQGRPSIIDEADCDIMEPTLDDFPDALIDHRARVRAEI FIHWVRLCAVIGRIAKYLTRPASAINTRFPTHLAQELIAWVQSLPPHLQLPINADRTT TFNRDVHQMHLPYLAVIIMLHLKLPYQPHNSAAYPPAILAASCVARILRDTLARGGTR FLMAITGWYCGMAFIALLQACRVDGLAAAANEDLDILTLAVDQLRSMWPTAQIFHDGF QRLRPSAAGAEALLSLSNSGPSAPVGLASTVPNVELAITEVPVGPGTGNGLANNLLEG LNWMDYFPFATAQTSGVAERLLVPRTGEMTFEEFPESMVQFQDLFADSYKPS AFLA_138940 MHRRNRHPSYKVEPAAYCFSRPYIDYTQKMAISTEQLVANGHTN GHTNGTATPLDSSSTSEKPVHPRSVPLNSQYGYTPRKLRIITIGAGFSGLLMAHKIQH RFKELEEYVTHTIFEMRKDIGGTWLVNDYPGVQCDVPAHIYAFPFDPNPNWTKFYASG PEIQAYIKNTVAKWNLDRDVQLNTRVVGARWNENDGVWKVTVERDGVQRDEFAEILIS GQGVLCHPSWPTIPGLRQFKGKVVHSAEWDHGFDYSHKRIAVIGNGSSGIQITPQMAN LPGTEVVNFVRGGGWIYYRIPPSRHLGRTTDEVNPTYTEEEKTRFQDPEYHHQYRKGI IDRTNKAYKLFLKGKNNEEAVRFGTEQMAAKLNHDPELCRILIPKWEVGCRRVTPGPG YLEAFSKPNCNLTDSPITHISENAVHTADGNVFECDVVVCATGFDVSHRPRFPLIGQN GANLAEKWADEPESYLSVATAGFPNYFIFSGPNSLGGHGSLVEALNWTGDYFVKWIKK IATEDIKSVVPRKSAEEAFVRYGDEVHKTIVWTGSCKSWYKRNKANGRVTALFGGSAL LFNRLLSELRPEDFEIEYHSANNFRFLGNGFLEYEMDPEQDLSWYVELPEPLKQ AFLA_138950 MSIPATMHAWRKHKGNPVPGHEGCGEIIAIGSEVTDNRFEIGGK VALLAVPGCGLDTCSECSRDLSQLCPSGMHHGIGQDGFYAEYVGIDVRGAVPLPDGVP PEVGAIATDAVTTAYHGIIHRAQVQSHESVFLFGLGGLGFNALQIVYKHIGARVIVSD LRPEKLAAAKSLGIPDSDIVPPGTSVPVYVAERGVKIDTVLDFVGKNQTFADAQKIVR PGGKVLCIGTLDRVNELDMKNGIRKRLSFVFSYGGQHRDLVDVLNLISQGVINPRVKT GRLEEFPRVLRELCQGEVEDRVALVP AFLA_138960 MTKIAIPTTPPSRSLQGKTAIVTGAGCLGDGIGNGRAIAILLAS DGCNVLCVDRNLEWAERTVNMIKAQAEDALVEGKSVYGNATAMQADVTNPGDCESIVS TALTTFNRLDILVNNVGISGAAGTAVDVDMEEWAKSLEVNVSSMVFVSKYAIPAMMQN ERDEYSGMRGSIVNMGSVAGLRGGTPHLLYPTSKGAVVQLTRAMAAHHAPDGIRVNCV CPGMLFTPMMYGGGMSEEAREARRKRSLLQTEGNGWDCATAVVFLAGPHARWMTGVIL PVDAGTTAAVGIGMPKSASVNG AFLA_138970 MSTSNAENKSRKNLHRTYSIPSSFFPSPRSWPIPDRDCSNAQFV KCNITSWEEQVSVFEAAIANSPSKSCDIVIANAGISRASGDSLWPLDGAYIKSAIRSP TYEAELISKGVEFAPQEDVAKCFLRIATDRSINGHSLMITPASVAKEGFMDIDMDDYT EGYFKRTQDVQLRIIEDQWVEGWEKGRTAEGGKKP AFLA_138980 MVSPPGLLGLNVPAPNVSYFTPKHRQSPGAPVNIDASTPTLFTP LKIRDVTLRNRITVAPMCQFSTAPEGPSIGALTDYHIATLGHFALKGAALVFVEATGV QPNGRISPYCPGLWDDAQIPALKRVFDFVKSQGALAGIQLAHAGRKSSTAAPWVAGST ELRKASLRAGEDQYGWPDDVVGPSGGIEQTWDGLGLREEGGYWPPRALTGDEIKELVG DWAKTAKRAVQAGADVIEIHAAHGYLIHQFLSPVSNHRTDSYGGSFENRTRLLLEIIE SVRKEMPAGMPLFLRVSSTEWLESTEIGTKYGTWTVEDTIRLAKLLPEAGVDLLDVSS GGNHPMQTVNSFLTKDYQTKIAARIRKELKQSGVNLLIGAVGMITEAEQARDLVEADK LLSQEAKDAADVTDAKQGNEPSADVLLIGRQFLREPEWVLRVAWKLGVDVAWPSQYLR VRFPRL AFLA_138990 MRERNPDYLHSNPSSTTTFALNFTTILLISHPVYLILWNISKFY ESYHLRENLANISSIGKYQHPHTTSQFLFPENNPKIILQP AFLA_139000 MKTSTVIGFLAMLSSQALALELEGTYTVASAGTELYLEDASGQI IFEEGDPQAWFFIEAETDRYAIVNGVTNQYIHCGSTEGAICEASDVAQLFQIDNISDN VYTFLEPESQLLLHRTTDNQLDLSLPTPTNDESFELTQVSS AFLA_139010 MLSTWRDGDRSKWTPSHWLLDLLGTRLTPNDRNVPVYSKEEKIP FVREWSVHLWILSHALIPHLLHQAYMAYTGRTLHPIAVFWLYTTTFYGTGIHLMQTIR RLGWTYGFLDGDKHQRDDIPDVGVKRVAAELLSVPTLRLAMSVYLSYRPQELPLSLSW GWLALKIGLYSITVDFWFYWYHRLMHSVPWLWKFHRTHHLTKHPHPLLGAYADHEQEF MDILGIPLLAYGTMKLMGFPMSFYEWYICYQYVVFSEIIGHSGLRMHGGTPSTINWLL QMFDAELVIEDHDLHHRYGWRKSHNYGKQTRVWDRVFGTCRERIEGHKDNIDYVNRVT FPLF AFLA_139020 MGNDKISAYYAPTGGLPPQTQLLTDRAMFTEAYAVIPKGTFSDI VTSFLPFWEQTRLWVIARPLSGFAETFSQYIMEVQPGGGSDRAELDEGAEGVIFVVEG EVSITLGGETHRLTEGGYAYLPPKSGWTLRNTGVATARFHWIRKAYEAVAGLDAPDPL FLNERDIVPTAMPNTNNAWATTRFVDPTDLRHDMHVTIVTFEPGGVIPFAETHVMEHG LYVLEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPGKFRYLLYKDVNRHMKLS R AFLA_139030 MPSETDTVETSPTYVHQGKEFGLKTRDDQSPERENASFGSVEGF NKVLYHTGLTGRLLLVTIITSLGLTMFVYAMDEGVTQQFTMIAASSFQMHAQLGAVNT ASTVINGISKPVIGKLADVLSRPTSYIISLLFYVVGYAVAASCTNFVAYTVGVALTAV GKAGLNILCQIIVGDLTTLQWRGFWTSMIIAPYLVTTFTNGFVVDAFVPDEWRWGLGM FAIMVPVLLTPAIIALYGTQQRARRMGIMGSSLAEKGEQTTLYTAWQCLVAIDIPGLV LLGFSFSLILLPLSLAESAENGWNNRSMIAMEATGFAILVLFVVFEIYLAPKPMMTKR IIANKVFLAALGANLFDQMTTTLGSNYFSSYIYIIKGWDNYTWTVFTGARNLAITIFS LVGGFLQVRYHRYKTQMIIGAVLKVVGYATCFTSNQRSTQSTAALAISQVLLGTSALT ALGSRIGAMASVPHEDMASIIAAYFLWTYLGSAAGYAIASAIWTDKMLGFMRDELPDT PDSTLKKIYGSVDILRTQYDLDDPIREGAIRAYTRTNGIIFIVAAAISTLSVLCSFLM PGNSLFLFPLFTTGFMLTFLLS AFLA_139040 MKITAQSAGGLADQDYQVLEAGFSEGHNGSGLAMIFQRELLAED LWDGDRGTDDYFNNSYCVTLGSGEAVYGGLEQVAFSGKRATFDFAESMAGILGIEPQL IVDFEVSDQELQLFQETLKKIVTWGVPSQVPQLNGFS AFLA_139050 MRLLALLAVVTPLVLALPADKRESGCVALNNCPAKRSILEGISE KEAGCIVLNNCPEKRESLVGLSEREAGCIILGTCPEKRESGCVALNNCPGKREEVAGL SERETGCIILGTCPEKRELFNGLSEREAGCIILNTCPEKRAALVTRESGCVALNNCPS KN AFLA_139060 MSSPRSDSQTDDGSEEKNEDGEVDIIEVMDEAESEDTRPIDSLY GSNSGPSGCCYKHAKLDNMAEDIDGPKPFQAGWELIQNANGSIDREPDGVYLGLSSDM PDSFVFPFYHFDPFVIFGVKKPKERMSFPFAHWEPSLFGFNPGEDKKEKWIKSIKADS PLNRLMKMVGFDDVKKEFLSVLDRVKTARINEAQPPTIRSLRLDLLISGHAESERSMI ADLYKTLLEFLGLSSRCCWAKRDGVDCNLKDEEPGVIVLDNDISFYGYDRDKHVLIGL GSREHIRNILSQSWANGRFHLRVDLKGYSEDELLTILANELKERNLRVQGGLSAPFLR MFVRQMRNRQDDPNNVGLEQVKAEITKVVSRRAEHLETATRSEDKSIMSLSHLSPKLV ESDFFGSYPSKFYDESESFKKLDRMAGMEKVKQAVKELVSRGQINYVRATQGMKPLTT SPNYVFLGPPGTGKTTAATLFGHILADLGYVESREVVLKKPTDFLGRYVGDSEKQTEE ILHQTEGKVLIIDEAHSFYHGTEYGIDGSDIYRKGIIDTIVANFDNEPGGNRCIILMG YPDRMKEFYRNTNPGFQRRFPLEDAFVFENYDDGVLSQIMDIMLAHDQATATNGAKAV GMELLRRQRDLPSFGNGGAVRNLLSSAYIRYSKRIEAEEGQGSDKPTPPSSNDDRAQI MLQPQDFDPQYDRGLRNSQDLRSLFHNLVGFETIIGTFEGYQTMTANMNTRGLNPREE VPFSFIFKGPPGSGKTTTARALGKMYYSMGFLSTTEVMDCSVTDLIGTYVGQTGPKVK NLLEQALGKVLFIDEAYRLGILRDAFTREAVGELVDCMTKERYMNKLVIVLAGYERSM DQLLSTNEGLRSRFTEIVFPRLRPKDCLRLLQAKLLDKKINILRPRAVHVQQRVLVLF KKLGETASWANARDVGAIAKEITLQLFMNPLPAGQPMEITLEEIARILKRFYRDRRSK KEEEEAGDSSES AFLA_139070 MRTSKRMTSQHVEARPPGPIRADSMLSRRPTFPQTAKKISRGVS HPWRRCNTTYSGQNTTTSRQPQEDGQPVDRSAVSVTCNQESILDLISKGNRCVSSSSS SSSPDGVIFPQFDDPHAYLPAELRATPLWKKETNRLIDIVKSSESIRPFKSEELCGGG REEDYSRLARLAEMILAAHSLCLLDNECISVEHCKPLANRNKVKTLLQQAQVSQTNSE NEKRPGEQSKDTQVRQLEATFALIDYIAAVLARLLCQVAAGEYWWNNVVAVLAKRVTK LKVLMLDMHANTVISCQAGEAALDRTDLSSRLSSGIFDEEECEEVLRMIDADAKEGLT TTASTDVARHCVDQNRFRSGIDLTFRYLLMSLRFQNRSGLSGTSFEICGMVYATGFED FKSLLFQDLDLEYSASSDQDALNTAHPAFEILSQILANIKRAQVKPPGHLQTKVEWRY SGAGKEKNCKNDSNERLDDNLPCDLVQCDPNEAIMETMNDIVTVMIPLLLTSPLAVAN LTSFRTMVLLTCDAKNIVQVFKGIDYSAFFQMHTNKFKEDSRYWDVMRLSAAVEKGFF SRCTLISDGKYSEKPERLAQKMASLSQEMNDWVIDERSIMVPCQLHVCFIILVAFLIA GGGLCIMAVGERIAGVDPSNLSAYLWVLAGFYLLVCKSRYVEEWPWNDFLHFRVRCRC VSELHAITGINEQFIMAKLLHDESGGSMLKTRGPYNKMFLRRDATDGFSIDCLLQMKT LLLSGLIMLKVVTPRGHALVCLDARRGTKLKVVEHQGNQAQEHLVCEDINRLHELQTQ NRSQENTRLQLVRSKDLKWKRVQGVYSHINAEFL AFLA_139080 MALEKTSMAEPLGVSEYRRFISQPRLTPHEQDELNTPLAVGPVT SIQEADPECETMSEPLRTKIPGNAPQRSTDDGTQETSEEDKLGQPPSNPKMDTNEEGK YLCLSDDSDTQETPLNGSRKTDPTRSHKRKADHCRLVGTMDSGDSEGDCFEGDETSDS DLDSDLDIGDPALHTHKRKMRRWKKLARGKFEEMLLECIVNIDKIPANYENIFLDKKT IAQVEKVTKLGLTRPKAFSHGVLKDNKVTGAVLYGPPGTGKTLLAKGVAKQAGFNMLS ISTAEVWQKCHGEDEKMIQAVFSLARKMYPAIIFLDEADAMLGERKAGERRHLRSMLN KFLMEWDGIMSGANSPFVLLATNRPNDLDPAVLRRAPVRIFFDLPSKAERVGILGLLL KHEHLGYDITIPTLANLTPEYTGSDLKNLCVTAATECISEQSEDTTKRILNRRHFLFA MQIVKATTISKTRERDFHNFGNNRQEQAEE AFLA_139090 MAGLQLNVDWKSNRRAIAFCLVAAIGALCYGYDTIYYTGVQGMA WFAKDYGEEGSDGSFSLGTTFLSLSASIIYVGELVGAIAAAPINDLFGRRAVFLSASL CIIVGAVVQACSFGSHPVFYVSRVLIGLGVGQFTATCLIYIGEVAPSAIRGPALMCFQ FMQSISQLVGACVNQGTQSIQSAQSYRIPMCLLVVLPGIMLLCLPFTPESPVWYMYKG KREQAIKSLRKINHSNRDYDPSADIQAIDEAVQQEQEMAKDATWASLITDPVERRKLF YACGVMFVQQINGIQFWYTYGVVFAQSIGVADPFTINTIIYVLQIITVGVSVVFGNRM KRRTNLLVCSCGMFVSLLTVGGLGTTKAADGTLSRGIGIGIVVLAYVNIIFYNFSIGT LSYSIASEMSVGRNRNKITSCAMGVFFVTVWLMVFTSPYMYYTANLGPMIGFVYGGTS LFLLAYSWFCVGETAGRSNADIERLFQDRVPVRQWASYVIPSDDGEDLKKKDINDEQV EMA AFLA_139100 MTPAVDAHKIFPITTFISDLPSNLTPQLFSSSAENEPTSAGRRL VIVGDVHGMKKSLDALLEKVCFDKGKGDHLIFVGDLVNKGPDSPGVIDRAVELGASAV RGNHDNAVLDAAVEIKARGDNLMHAGGITSGSAKLPEDSGAELPSETVACDGPETSAS PNASPLTRHSATTYSTARALSTRHLDWLAGLPLILRIKLPYHLTSSLDDTLVVVHAGL TPGIPLEKQDPHAVMHMRSLTHAPGDERTLIPAEASGEEGWVAQWDQWQDQLTTRTTV IFGHDAKRRLQLGRHTIGLDSACLYGHHLSALVIESTDRGIEHRIVQVECADTPVVPK A AFLA_139110 MVRRRACDGCSLRKTRCSGGQPCQPCVQSGFECSYLKPAAKPGP KGPRAETYMRINRRLQSIRDRAPRGATETASPANVRAGLAEAADSSRAIPAFEVAGDE QMPFLTEWPSQLPLADVLGHLEAYEHRMYPVWPVVDVARLRNSLMLDVNNDELRSLAF AVCAATCAQLQCHPDNQRTQMFRVGKCSLADHFAKESEYCRSMYDYRESGTFEAVLGP LFLHFYYGATNKMSTASLLLRESVTLCQLQGLDREDTYQDMAVEEETYRRRAFWLLYV TERGHAIQHGINTCLKGSIRLPTRDCANECHLVEAFDSLVGLFISVEGVLLEPGDSPR GPNTIICSKDMLCRLQSQLRQRLQWPTAYHALQRTDIAITQQWLRVLLWQLSLKNIFL SSASADDSMRLTYPVHVARDAIVLISNVPQDTFMAHGPGMAIKLFEITSTLLDVIHCV PSLVHRNPAGTYDILHHLCYLLSSLSHRPFGLELLQKKLDESGIPYRRIVSLSPAERD DSPVIEPLNDEELDSW AFLA_139120 MATQHKTQSSPVNAAWWKEASVYQIYPASFKDSNGDGIGDIPGI ISELDYLKALGIDLVWLSPILQSPQVDMGYDVSDYYRIHPPYGTVEDVDALIQGLHQR GMRYVMDLVVNHTSDQHEWFKQSRSSSDNEYRDWYIWKKPKYDANGVRQPPNNWGAYF GGSAWQYDETTDEYYLHLFAPEQPDLNWENPKVRSAVHQIMRYWLDKGVSGFRMDVIN MISKDQSFPDAPITDPKAQWQHGAMHYCCGPRLHEYLQELGRVLKEYDAFSVGEMPNV YDPVEIGKAVGFDRGELAMAFQFEIMDIDHGPAGKFSPHKYRMSDLKHIVSKWQDFMN ENEGWNALYLENHDQGRTISRFTSAGPEYRATAGKMLATFLGLQGGTPFVYQGQEIGL VNVPETWGIEQFRDIETLNYWNEITAAYPNDTELHKVTLEQFRVKSRDNGRTPMQWTS GKFAGFTAAHNGPWIDVHDDYEDWNAASQVGNPNSVFQHWAKVLALRKAHKRLFVYGS YKLIDEANNDLFTYLRVYGSQSALVLANFTGKEVSWVVPAETISILKNGAVLLESYQR HRPVRPDGTIAVMPFESFVMFLDSPNARVGAGGGKL AFLA_139130 MVAGGGVVSSSGMDAYRALPNNTNSNWFKDKGLRRLNFGLMLMF ASAAANGYDGALMNGLLTLPMFAKNVGENISSNMEGLIISGISLGGMFTFIPASYFAD YFGRKMSVALGSAIMIVASVIQAATVGRWAFFGTRIAMGIGLGFAQTAAPPLTTEIAH PRHRGVVTAIFQATWYWGAILAAAVCLGTLYVEGSTWSWRIPCLLQCFFPAVQLVGLL IVPESPRWLVSKDRRDEALQILARYHGNCDTSDPLVQFEFSEICSAIELENSVVHKSG WSAFIATKGARHRLAICLLVGIMIQWAGNGVISYYLAPILRSVGITNPTQQSAINLGL QAWNAVCAAGGAVAAEKYGRRPLWMLSTVLMLLFFTLATVLSAVFDESAIKAAGSAVV AFLYLFYGAYDIAYTPLSIAYPVEIMPFYLRTKGLSLSLTAQFGAGFFNQFVNPIALG AIKWKFYFVYLGLLVIFLGIIYFVFPETKGHTLEEIAVIFDGPGAETEVQRDLAAIIA VEREVKAPMKEELEHAP AFLA_139140 MIDPNHIEVEGNPIIPPSAPFSGERFAIQHCQPKPISVSDVPLD TKNMGNTFAVPRAATEDEIKNIITGFAHAAEFLDRAGYDGIELHAAHGYLLSQFLSQA TNLRRDKYGGSPTNRMRLILEIRAAITEKVRPGFIVGSKLTVSSLSQTGLFVTRPGNC VVRVRSTNSTLWNYLEGNIRTWTRMILQSMSYRRNTRLRQFFLDVAEKVVSSLTKMKF YLTGGFRSTAGMVDGIQTVDGIGLARPFCQEPFLCHDILSGKIPGAIIPVMDLLNYQL TVAAACIQMRQIGNKVQPVDLSSQDAVDAVTAAVKGWLEQKTIDRSEEAFKPPFLPGH ADRL AFLA_139150 MESHAPAVAGKPDPKKGPYQATPWNIQLSATDTPGFTHVENLEQ RSADRASDLVMNNHSKFHTFHDEIVGFHNHISHHVLTLWALGATPDEMQAAYDFNKSF QLLTYYNDPSVNIKLRDPEFFRQGLSNFELYGDYVRFFQAEVAAKGVPTVLNEYLFKG DTLAEDLLARLFSGFLHPLINLGFALEFQQPFLAAECLASTCMHAPYPAEFLIATEQH VESNGRPRTLPILSIVEGMGLDPVVATAVGPEDGNNRIADALLKRALKELIPHLSHFQ VERTEEDLARKTAEILQASAYICGAAQHPRKVEALDFVMLHSLTAAVFFPTIIRQEWI SIETRARLLEWKGRSDLITYAALGCPQLYPDRITGYRPKEVATGWPDVVERARVYQDD GHACKVIRALMCAENVCQPFEGEEGFPLKKADFLTLAHMTMDSVERMLDPNWVRQTEK VKQMSAQGRGQHSQVSAIMLRWVRWCGTEGAWDDFPDLQEIPPLHDTN AFLA_139160 MRRYAILGATGNTGQALLNVLLQSPDNQIHAYCRSAAKLNRLHP EITQNRQVKVWEGWLEDVSFLSECIRGTRAVFMVVAIPDNMPYCTIAQDCTNAVLNAL KKLQTEGCQSLPKLIVLSSASLEDSLCADVPPLIHRVLNIAAGNLYSDLAKAEKILRA EKHWVSTTFVKPGGLVHDVQRGHTLSTTTAKTPVSFLDVAAGMVEIANVDDKRYDMTN VSVNAIGDGTAFPWKGVYYVMTGLLFHFFPWTYKYFGDCPMPKRKKDL AFLA_139170 MVFHTEVVGCYWNEDRGEWTVRLRQHASGSEPREFEDHCHVLVH ASGVFNNPQWPQIPGLHDRFQGRVLHTARWPDDYQESQWKSDRVAVIGSGASSIQTVP GMQPTVKHLDVFVRTGVWFGVLAGNTGSQTKEYSPTERDEFRRNPAALVAHAKAIEDQ VNGMWGAFCTGSKGQAMGSAFFRQRTANLIKDERLREGLDPPFAFGCRRITPGDPYME AIQKENVHVHFTPVVSCTEKGVVGGDGVERQVDTVVCATGFDASYRPRFPIVGRDGMD LREKWKECPNSYLGLAVPEMPNFFTFIGPTWPIQNGSVIGPLQAVSKYVVQWIKKAQN ENLRSFVPRQDRTDQFNDHVQEWVKHTVWKDNCRSWYKNNETGRVNAIWPGSSLHYQQ VIDQPRYEDFDIRSFHENPWACLGMGWTIQDRKGPKEADVSPHLGLQEIDPKWWESIK NENGSNGVSDSNR AFLA_139180 MTNTASRELIRAIEHVPLTWWFLAVGGAWIVSKIVKILQTAYFS PLRKVPGPWYARLTSARLAWASFANNRIYYVQSLHEKYGPIVLIGPEEVDIADPVAAK QIHRMGSGFVKAPFYKLLSPGPVDNIFNFRDAKLHSTRRKLYAKGFTLNSLRQQWEPT IRNIVALTVERIRHDAQQGEAEILGWWTLMANETVCKLTFNGGHDTVRNGTKDPFVLM LERRMGDLAHLLQHFAPPLYYLGRLLGRAEPRLHDVFFSQETMFEAGKHVVAIARSAR DAEGDRNLFVKALAAGDLESKIGCLNDTEIITDAGALLLAGSDPTALSLTYLIWCVLN RPKLQAELESEVAGLQGDITDAACADLPILNAVICESLRLYGPAPGSMPRSPPPDGAT LCGYYIPPSAVVVTQNWSLHGNPKVWKDPHTFDHTRWLPGSSLSEEAKMSFNPFGQGA RQCLGIHLGWMQLRLATALFFRRCPGAKLAPSTTPESMVMIDSFIAGMPKARRCAIQL AFLA_139190 MGRNWFQVTAMAVVPVVGIMAAVNPTILSSAASSLPSLGAMFTS DDFASQMDGRIQAQGLLSSHFGMYGWPGQSFDYVIVGGGTAGLAMARRLSQDGTASVA VIEAGGFYETDAGNATEVPMYLFNYFFDNGKVKNPLFDWYQYTTPQPGLAQREMFYMQ GKTLGGSTARGAMLYHRGSKGAYDMWADHVGDDSYRWDKWLPYFQKSVHFSGPETNPR PANATALNDNTAFTASGGPVHVGYPFQVNAISSWVDKALAKMGFPEAQGFSNGNLLGR SYITHTINPYTRRRETASSSYLREALMESKNLNIFTRTLVKRVLFDDQNRATGVTVST DGFEWQIGAKKEVILSAGVMRSPQLLMVSGIGPKEHLEQLGIPVRSDLSGVGQNMQDT IILGPTVPVKVESHSQLMGNKETLPRAIREYNEQRKGLLTNPGQDYFAFEKHQPGMLK ESTAADIDAAFPDDWPTFSYIALDDTFVPQYDGKNYFSMSAALMTPFSRGTVTINSND TANPPIVDPQWLADPRDQEMAVAAFRRCREIVASDVMREVVAGPEILPGPQYQTDEEI LNYIAETSDAYYAGVGTCAMGKADDSKAVVDSKARVLGVKGLRVVDASIFPFAIDGQP MGTVYALAEKIAAEMMAEQ AFLA_139200 MIYSIIICAGALLGLWILEKLLAPKDTRPPLPPGPWRKPIIGNL TDFPPKGTPEWLFWAKHQERYGPMSSLEVMGQTIIMINDAQLGIEIMHKKSALSQMIP DAPFAHMAGWGMSLATERNRQAWKTIRANMKQEIGTRRAISTFHPKMEIGIRRFLLRT LDNPDDLRFHIRKEANAFMMDVAYGYTIAPHGKDELYDLTQQSVRQFSHIFSPGEWSV NFFPILRYVPSWFPGASFQIKAAEYKRTIERMTMVPYLWIKDQVARGCSRPSILLRLL QKGHYESGSHQEQVLVWTNAEFVMGGSDTTVSAVSSFFVAMALYPEVQRKAREELDRV VGPTTLATFEHRSQLPFIDALVKEVFRWHPASPLGAPHITQEDQIWDGYLLPKGALLL PNIWTFTHDPSVYHDPMVFKPERFLEGKDSPPETDPMKFVFGFGRRICPGRFVTDEKL FLIACHAVSCFFISPKDPGAPEPDWLPGVISQPGAFDLNVVPRSPAHEELIRSIETDH PWKNADATDISRFMARNQMI AFLA_139210 MTLPNKAALVGLAHTLSEQVKRYLVTADETKSPEDHKLCIEGER TPSSTEHAQAWEIVRTCDRIGSLVHGPVPWLLSNALSHLDSACLAAATQLNLQDIIVD GPSPTSLDTIVTATGVSEDLLRRILRGCAQRFIFEEVAPDQYAHTDASKMLRVTGIHA LVGFSCDEVMRSAAYFSNFLQQTKGKPPSWNVPSPFSLAFDPTKGLFDYYSTVDEVRG RRFDLGMGGTEATKPLVEEMFDFSSLPEGSTVVDVGGGRGHLSRRVSQKHPHLRFIVQ DLPAVIHGVEDTDKVTMMEHDIRRRNPVRGADVYLLRSILHDYPDAACVEILSNIVTA MDPSKSRILLDEMIMPDLLAQDSQRFMNQIDMTVVLTLNGKERSTKEWNSLITMVDNR LETEKIWWRKGEEGSHWGVQQLRLRK AFLA_139220 MTGLDMETIFAKIKDEYARTDDVGKRQIQGHIRELQVGFYSDWD VVMRLSSGPLQVALAKVAIDLGIFRSLKESDTPITLAEFVKKTGASPRLLGRILRTQA AFGLIKETGPQEYTSSAFTDVFANSDAAGAVVQLFDISGPCTQILPDFLAERNYQDIT SNKDCVFQKAFGSDLTMFEWMPQHPKHMESLGHLMALERPVSWVDHFPILEELGKFPA PDKVLMVDIGGGFGQQSKALRAKFPNLPGRLIVQDIPQTLANAQPAAGIEFMEHNFFE PQPIQNAKFYYLRHVFHDWPDEQCVLILKQIIPAMGPESQILIDEMVIPSTGVPWQAA FTDLLMMNSLGGVERTRAEWDDLMEQAGLEIIQSKVYDSKEQAILVAVAKRT AFLA_139230 MVTYALLGATGATGSSILRHLLHESPDSLRIQILVRSKVKLLQA FPNLQTTRNPQVHVIQGTSTDPDALSECLRNASIVFMCVAQNGSPIGTTLCQDSARAI ISVLQQQQQSQGASYQPCTIVQLRSASLNPALAAQVPAFVHRIVSFCLFANYADIKKA CQYYSQAQKQGILEYILVDPPTLHDANGTQPTGYRLISTESQATALSYADLGAAMCEI AHRQSEFHGRAVGVTATGRVRQAWGVLLRHLLEGGSARLREKIAQETVVDGVVSNQLN IIFAATKASSYVVLNSCSFARRELASTL AFLA_139240 MMIPALQATAVVMGSFMSGAMMSVYGLAMPAFLQTVTQSGQLVG YQRRLYLIGTTKGRVLGLTTTLLYASVSVHQYLARKPWLVSAAAGLTTISLVPFTEIV MASINNALACLETETNKGVVISREETEQLVRKWDRFNAVRALFPLAGAFLGSLGILQL VYL AFLA_139250 MSECLPFAVRGMTSILYFNPSRLELALSWPQTTTAMYFLSLPAL AIIVPVGYVLLHLGYNLFFHPLRGYPGPLLWRASSLPWKIALLRGTMHHDLMRYHQKY GDTVRIKPDEISYANAQAWRDIHAHVPGRPEFLKDPVRLPLAPNGVMSILVSDTKNHA RFRSLFGHAFSDKGLRTQESTIVQYADLLVEVLREVADTGRSAEMVYYFNMAIFDSIG ALSFGESFDSLKSRQLHPWVDAIHKNLKSVAISHVLRSMGIEFLTPYVLPKELRGKRQ ENYSYAVEKLNKRMKMEGDQGDFWDKVLVKSADDNQRGDGMSAGEMLNNAAVMVVAGS ETTASALSGAMYLLCLSGKIEKSTAEIRKNFASPEDIDLISVSHLPYLTAVIDETLRM YPAVPGQPPRVVPAGGATVCGRFVPEETRVGVSHLGTYFADYNFTHADKFIPERHLQK TEEPFKYDNYGAYQPWSVGLRNCIGRNLAYAEVRLTLAKLLWHFDFTLDVDKTGNFLD QKIWSIWAKRELYMFIKTRGTSSSTPQ AFLA_139260 MGGDGWPSDGHILLLIVLTVLTPPSLALYRLWIHPLRSYPGPRW WAIWRGPYILSNIRGNLVRDLQRLHQQFGSVVRIAPNELSFIVPEAASPIYTSNPEFP KDPMHLPPFHNGTPGILAADHAHHRRYRRLLAFSFSDKGLRQQRSLIERSVNLLITRL HENCGQGPLDLTLWFNWATFDIIGDLAFGDSFGCLDNVQTHPWISSIQGNVKLIPILN AFRRYRLDGLLQLLGSRKLLEQRRRNAQFTTDQVDRRLKNSSTPRGDIWDAVLAQKPD GEPPMSREEMISNASAIVLAGSETSATLLSGCTWLLLKNPGHLHQLTSRIRSQFTHAS EIDSQSVSRVEGLQAILEESLRLYPPVPMQSNRIVPQSGAYIAGGWVPGGTSVGLQQF VACRSSSNFHRPEEFLPERWQGQGEFAHDRREVSQPFSIGPRNCIGRQLAYVEMRLIL VKLLWHFNLRLDTTQMKDTDWLAEQGIWILWDKKPLWVTLEPRNE AFLA_139270 MPLSENTALRLAGNAFGTIILGFGINALIRPDHALTFFEWTPPT VTADRQLVNSLMYVYGVRDIYMGLSVYVASIFGTPKSLGWTLLAFSAVAFADGAICWS WGHGEWGHWSYAPIVALIGTALSGLLD AFLA_139280 MYLSLLIALGVVSFIRFLTAFYQARQEVWKLQRANLPMPEFKLL AGHFGTLKKTIQGMPSDATLHSIMLKISKQFQSGIFYINMWPFSGTWMIVSTPSAATQ IQKLNLTKPAILRQPLETVTGGPSMMTMHGETWKKWRALFNPGFNPAYIIGLAPNITD EVATFCAQLRKKAQQGEVFPLESLTTRLTVDSICSVVLDTQLHHQIKDHPLATALQRQ INWTSFGTTFNPLKRYFTIRPLVLWYNNKVMDRIIGGEVDRAYRTPPDHPSKSVISLA LREYLQEQASSNSTRSLAEFKRLVAPQLRVFLFAGRNTTSSTLIYTYYLLAQHPEVLA KIRAEHEDVLGADPAEAQGRIKEDVQLLNKLPYTTAVIKETLRLFPPSASMREGRPDA EIIGADGQRYPTMGCNVWTLTVALHHNSDHWNQVESFIPERWLVGPEDPLYPVKGAWR AFEFGPRSCIGQTLAMLELRIALAMTIRQFDITPAYDEWDSIHPAPTAKEVNGHRAYQ AERGAGGAHPADGFPCRVKERF AFLA_139290 MSTDGFTIAVFVTRKPDLSPDAFQDYWENHHIPLLRRLGGSRFP RSHIRHYLKRDSQVPDFPAAVLVGEPSDFTYDGFAIINFESEAAFQEFAPVMSTPEVS EDEDRFTDRSKMRAVVLGGVRKTTQ AFLA_139300 MSDNHRLDGKVALVTGAGRGIGAAIAVALGERGAKVVVNYAHSR EAAEKVVEQIKANGTDAIAIQADVGDPEATAKLMAETVRHFGYLDIVSSNAGIVSFGH LKDVTPEEFDRVFRVNTRGQFFVAREAYRHMREGGRIILTSSNTACVKGVPKHAVYSG SKGAIDTFVRCMAIDCGDKKITVNAVAPGAIKTDMFLAVSREYIPNGETFTDEQVDEC AAWLSPLNRVGLPVDVARVVSFLASDAAEWVSGKIIGVDGGAFR AFLA_139310 MVLPTAPEPPTLLGYHRILSSSAGVRVSPLCLGTMSFGNGWKGV MGECDQATSFNMLDTFYESGGNFIDVANFYQGGDSERWVGEWMAQRQNRDEIVLSTKY TMGYTMFGPQKIKSNYQGNHTKSLRLSVKASLQKLQTDYIDLLYVHMWDFTTSVEEVM RSLNHLVANGKVLYLGVSDTPAWLVVKCNAFARANGLTPFSVYQGHWSCAFRDFERDI LPMCESEGMGLAPWGVLGRGQFRSAEDFSREGRKMGPQDEKHRRLGEKLDQMAQQKNT KATSIAQAYVMHKAPYVFPVIGGRKVEHLKENIEALGLVLSEEEIREIDDAEPFDVGF PMNFLFETPTQSYRTNMTSKDIWQLSCNTRLETVPKQQPIEPFQGAKYFGSASK AFLA_139320 METPFAAPWHQFVEDLGQTPCLPGKDLDSILAGWGQLAGTLATR YGFPPPDESVTTEDVQLDGLWLRCYTPPNATGQEPVGLYFHGGGWVMGGVNEEDGFCR VISRQCQMRLVSVEYRKAPETRYPGALNDGVSAALWALSRYENQPLILMGTSAGGNLA FGTALRLIDQDMADKVSGVVALAPITVHPDAVPEHLKEQYTAYEENAELTVNSRAAMQ VFFDCYKAPVDDVYTSCLLHPRLLALPKVYIAELGLDTLRDDARLMKGALDTAKVPVM YDAYPGYPHCSFMFPFKSLGEHQRTFFGGVAKAVRWMS AFLA_139330 MEVLDTTVDLGTLRGKSALITGGASGIGLATARAWAAAGMYVTI ADIQPLETGQNILADLAGGHVHYVCCDVTSWESQIKAFKEAIQFTPSKALDIVAAFAG VSFAGGNQVDHVLAAGDPRLDVNPSPPDIRNIQVNLIGVYYTSWLGLYYLRLSPTNKA ANPSPDKSLILMGSIGSYMDSPKASTYPASKFGVRGLFRSTRARTRELGVRCNLLAPW FIDTPLIAPMKKAMAARGIDMAQRLTFASVDACVEAATTCAANPQLHGRALAIQPEGI FDLKDDLEYGWGGDRLRPIMQRRREAGFDA AFLA_139340 MTLTDLETCAEEIATAARTLARDGHSGGYSAGLPDHLRPVQRTL IANASQVLALASQPADLVRQLALYNQLLACLRWLGEFQVLACIPLDESVPFEDVADIA GVPECRLRRLVRPLFTIGFLCEPSPGHVAHSVMSKQFVTQPALLDAILFMSETLAPSA SAMGTQTRRFGASEQAEDSAWNMAVGSDSPFAECLQQRPKVKRQLGAYLSYVSSSIDA GVEDTLTRMNWQNLGMATVVHVGAQSPSLVVALAPQFPSLRFLVQTEAKAESGGHQPC LDNHGISALKLASIPLHLRARITWGTRLSTATQPVLDAAVYLISIPFPSPQSPAMEIT MRVAQALKAHVEVLRNNSDARLILTLPMSSATRSMDAAARAAVSLSDLSLLQLTNGGS LNMGEIRDLLRSRSDGLVVMREVRSPTNAVIAFEIQYRVDNDDNRY AFLA_139360 MVDHISPRASPGPIRSSQTRRARKLRDSCTSCASSKVRCTKEKP ACARCIERGLACQYMVSKRMGRNPRAPSPLDSTRRPSESLPSARSEQGLPAHNTYSTP HAHTQAHTHAHSHPQPHPQSHPQSNQPPHALPTPNGSSSVSAIFSHQSPPPPVETQGL GGDLAGQEQSTLSSLTVDSEFGGSLQSMEHGNHVDFLAESTGSLFDAFLEVGTPMIDP FLESAPLPPFQARYCCFSLALQTLTHLFPHAPLGCQLRLTDGEDSSCNLMTTDMVISG NKRATDAVRKILGCSCAQDGYLLSMVVLIVLKVLAWYAAAAGTQCTSTAAGGETNSGS CSNSPATVSSGCLTEERVLHLPSMMGEDCVDEEDQPRVAAQLVLSELHRVQSLVNLLA KRLQEGGDDAAGIPAHHPASPFSLLGFSGLEANLRHRLRAVSSDIIDYLHRE AFLA_139370 MGSVGREHESIPIQAAQRGAARICAAFGGQGSNNLDVLKGLQEL YKRYGPDLDELLDVASNTLSQLASSPAAIDVHEPWGFDLRQWLTTPEVAPSKEVLALS PRSFPLNTLLSLALYCATCRELELDPGQFRSLLHSSTGHSQGILAAVAITQAESWPTF YDACRTVLQISFWIGLEAYLSTPSSAVSDAMIQDCIEHGEGLLSSMLSVSGLSRSQVE RVIEHVNKGLGECNRWVHLALVNSHEKFVLAGPPQSLWAVCLHVRRIRADNDLDQSRI LFRNRKPMVDILFLPISAPFHTPYLDGVQGRVIEALSSASLALHSIKIPLYHTGTGSN LQELQPHQLIPTLIRAITVDQLDWPLVCRGLNATHVLDFGPGQTCSLIQELTQGTGVS VIQLTTQSGPKPVGGHLAAVNWEAEFGLQLHANVHGAAKLHNRMTTLLGKPPVMVAGM TPTTVRWDFVAAVAQAGYHVELAGGGYHAERQFEAEIRRLATAIPADHGITCNLLYAK PTTFSWQISVIKDLVRQGVPVEGITIGAGIPSPEVVQECVQSIGLKHISFKPGSFEAI HQVIQIARAHPSFLIGLQWTAGRGGGHHSWEDFHGPILATYAQIRSCPNILLIVGSGF GGGPDTFPYLTGQWAQAFGYPCMPFDGVLLGSRMMVAREAHTSAQAKRLIIDAQGVGD ADWHKSFDEPTGGVVTVNSEFGQPIHVLATRGVMLWKELDNRVFSIKDTSKRLEYLRN HRQEIVSRLNADFARPWFAVDGHGQNVELEDMTYLEVLRRLCDLTYVSHQKRWVDPSY RILLLDFVHLLRERFQCAIDNPGEYPLDIIARVEKSLKDKAYRTLYPEDVSLLMHLFS RRDIKPVPFIPRLDERFETWFKKDSLWQSEDVEAVIGQDVQRIFIIQGPMAVQYSISD DESVKDILHNICNHYVEALQADSRETSIGDVHSITQKPLSAFPGLKVTTNRVQGLYKF EKVGAVPEMDVLFEHIVGLSKSWARTCLMSKSVFRDGSRLHNPIRAALQLQRGDTIEV LLTADSEIRKIRLISPTGDGKSTSKVVLEIVSNDGQRVFATLAPNIPLSPEPTVVFCF KVDQKPNEWTLEEDSSGRAERIKALYMSLWNLGFPNKASVLGLNSQFTGEELMITTDK IRDFERVLRQTSPLQLQSWNPQGCVPIDYCVVIAWSALTKPLMVSALKCDLLDLLHSA ISFHYAPSVKPLRVGDIVKTSSRILAVSVRPRGTMLTVSADIQRQGQHVVTVKSDFFL GGPVLACETPFELTEEPEMVVHVDSEVRRAILHSRKWLMREDRAIDLLGRQLLFRLKS EKLFRPDGQLALLQVTGSVFTYSPDGSTTAFGRVYFESESCTGNVVMDFLYRYGAPRA QLLELQHPGWTGTSTVAVRGPRRSQSYARVSLDHNPIHVCPAFARYAGLSGPIVHGME TSAMMRRIAEWAIGDADRSRFRSWHITLQAPVHPNDPLRVELQHKAMEDGKMVLKVQA FNERTEERVAEADAHVEQETTAYVFCGQGSQRQGMGMDLYVNCPEAKALWARADKHLW EKYGFSILHIVQNNPPALTVHFGSQRGRRIRANYLRMMGQPPIDGRHPPILKGLTRNS TSYTFSYSQGLLMSTQFAQPALALMEMAQFEWLKAQGVAQKGARFAGHSLGEYAALGA CASFLSFEDLISLIFYRGLKMQNALPRDANGHTDYGMLAADPSRIGKGFEEASLKCLV HIIQQETGWFVEVVNYNINSQQYVCAGHFRALWMLGKICDDLSCHPQPETVEGQELRA MVWKHVPTVEQVSRENRMERGRATIPLPGIDIPYHSTMLRGEIEPYREYLSERIKVGD VKPCELVGRWIPNVVGQPFSVDKSYVQLVHGITGSPRLHSLLQQMA AFLA_139380 MAQKAVRGMVIQGKRLAASSIQLLASSLDAKKLCYEYDERQAPG VTQITEEAPTELPPLSSPPSLPQAPNVSPISASKIVIEDVALSRVQIVQALVARKLKT AIAQLPTSKSIKDLSGGRSSLQNELVGDIHNEFSSIPDAPEQILLRDFGEANPTVQLG KTSSAAVAKLISSKMPSDFNANAIRAHLANKWGLGPLRQTAVLLYAIASEPPSRLTSS SAAEEYWDNVSSMYAESCGITLRPRQDTMNEDAMASSAVDPAAVAELSKAHRRLGVQQ FQALAEYLQIDLSESQASQSDAMVAELQQKVDLWTAEMTPEFLAGISPMLDVKKSRRY GSWWNMARQDVLAFYRRPSYSEFVDDALAFKVFLNRLCNRADEALLNMVRSLSCDAYF KQGSLPGYHAASRLLEQAITSTVADCPKARLILPAVGPHTTITKDGKIEYAEAPRQGV SGPTAYIQSLRQGASFIGLKSADVDTQSNLTDALLDAMCLALHDGISFVGKTFLVTGA GQGSIGAGVVRLLLEGGARVLVTTSREPATTSRYFQQMYDNHGAKFSELRVVPCNLAS AQDCEGLIRHVYDPRGLNWDLDAILPFAAASDYSTEMHDIRGQSELGHRLMLVNVFRL LGHIVHCKRDAGVDCHPTQVLLPLSPNHGIFGGDGMYPESKLALESLFHRIRSESWSD QLSICGVRIGWTRSTGLMTAHDIIAETVEEHGIRTFSVAEMALNIAMLLTPDFVAHCE DGPLDADFTGSLGTLGSIPGFLAQLHQKVQLAAEVIRAVQAEDEHERFLSPGTKPTLQ APVTPVHPRSSLRVGYPRLPDYEQEIRPLSPRLERLQDPANAVVVVGYSELGPWGSAR LRWEIESQGQWTSAGYVELAWLMNLIRHVDDESYVGWVDTQTGKPVRDGEIQALYGDH IDNHTGIRPIQSTSYDPERMEVLQEVAVEEDLPEFEVSQLTANAMRLRHGANVSIRPS GNPDACRVKLKRGAVILVPKTIPFVWGSCAGELPKGWTPAKYGIPENLIHQVDPVTLY TICCVAEAFYSAGITHPLEVFRHIHLSELGNFIGSSMGGPTKTRQLYRDVYFDHEIPS DVLQDTYLNTPAAWVNMLLLGCTGPIKTPVGACATGVESIDSGYESIMAGKTKMCLVG GYDDLQEEASYGFAQLKATVNVEEEIACGRQPSEMSRPMAESRAGFVEAHGCGVQLLC RGDIALQMGLPIYAVIASSAMAADKIGSSVPAPGQGILSFSRERARSSMISVTSRPSS RSSTSSEVSDKSSLTSITSISNPAPRAQRARSTIDIAPLRAALATWGLTIDDLDVASL HGTSTRGNDLNEPEVIETQMRHLGRTPGRPLWAICQKSVTGHPKAPAAAWMLNGCLQV LDSGLVPGNRNLDTLDEALRSASHLCFPTRTVQLREVKAFLLTSFGFGQKGGQVVGVA PKYFFATLPRSEVEGYYRKVRVRTEAGDRAYAAAVMSQTVVKIQTQNPYDEPDAPRIF LDPLARISQDPSTGQYRFRPDATPALDDDALPPPGEPTELVKGISSAWIEEKVRPHMS PGGTVGVDLVPLASFDAYKNAIFVERNYTVRERDWAEKSADVRAAYASRWCAKEAVFK CLQTHSQGAGAAMKEIEIEHGGNGAPKVKLWGAAQTAARQRGLEGVQLSISYGDDAVI AVALGLMSGAS AFLA_139390 MGLWWFQHSLSTFLSRDIMNGSLSHHGQERLSTPCRDRPPEETV YLVTGASRGIGRGLIEAFLQRPKSTVVACVRNVATATPALSALTVAEGSRMIIVQLNC DSETDAQAAVQTLREEHGVTHLDVVVANAAMATNFGPASTMPLEHLQAHMMVNMYAPV LLFQATRLMLQQSKQQAKFVLIGAPISTITNMHDYARAPLTAYGVSKLAANYMVRKFH FENKWLTAFIIDPGHVQTDMGDQGARLMGRPQAPTTVADSVAGICARIDEATKETTSG HFVIHTDGSQLPW AFLA_139400 MEDLRDLSPRDLHKARGAKEEFKNVFLLADGELTVHASFSRIFT RRTNKMGTEYVAVLTGSFLTGAMMNLHLLTIPILIETTRQPAQLVHQWSRIFYSGHRK GPGIALVTGALYGYAAWAKYSVGEPWHHWMVAGVTTVSMVPYTWMFMNATNTALFHAE DQFEKGGVEISLQESVRLVGKWDWLNTVRALFPLAGSVMGMLGVCGVVRY AFLA_139410 MAQSRQLFLFGDQTADFVPKLRSLLSVQDSPILAAFLDQSHYVV RAQMLQSMNTIDHKLARTADLRQMVQKYVDGKLTPAFRTALVCLCQLGCFIREYEESG NMYPQPSDSYVLGFCMGSLAAVAVSCSRSLSELLPIAVQTVLIAFRLGLCALEMRDRV DGCSDDRGDPWSTIVWGLDPQQARDQIEVFCQTTNAPQTRRPWISCISKNAITLSGSP STLRAFCEMPQMAQHRTALIPICLPAHNGALFTQADITTILDTTPTTPWEQLPGQIPY ISHVTGNVVQTTNYRDLIEVALSETLLEQVRLDLVETGLPRLLQSRQVKSVTIVPFLT RMNETMSNILPVSFISTETRTDTGRAIPASGRPGAGKCKLAIVSMSGRFPESPTTESF WDLLYKGLDVCKEVPRRRWDINTHVDPSGKARNKGATKWGCWLDFSGEFDPRFFGISP KEAPQMDPAQRMALMSTYEAMERAGLVPDTTPSTQRDRIGVFHGVTSNDWMETNTAQN IDTYFITGGNRGFIPGRINFCFEFAGPSYTNDTACSSSLAAIHLACNSLWRGDCDTAV AGGTNMIYTPDGHTGLDKGFFLSRTGNCKPYDDKADGYCRAEGVGTVFIKRLEDALAD NDPILGVILDAKTNHSAMSESMTRPHVGAQIDNMTAALNTTGLHPNDFSYIEMHGTGT QVGDAVEMESVLSVFAPSETARKADQPLFVGSAKANVGHGEGVSGVTSLIKVLMMMQH DTIPPHCGIKPGSKINRNFPDLGARNVHIAFEPKPWPRTHTPRRVLINNFSAAGGNTA LILEDAPERHWPTEKDPRSSHIVALSAHVGASMKTNLERLHQYLLKNPHTDLAQLSYT TTARRWHYLHRVSVTGASVEEVTRKLEMAIQNGDGVSRPKSKPKILFAFTGQGSQYAT MGKQVYDAYPSFREDLEKFDRLAQSHGFPSFLHVCTSPKGDVEEMAPVVVQLAITCLQ MALTNLMTYFGIRADVTVGHSLGEFAALYAAGVLSASDVVYLVGQRAELLQERCQRGT HAMLAVKATPEALSQWIRDHDCEVACINGPEDTVLSGTTKNVAEVQRAMTDNGIKCTL LKLPFAFHSAQVQPILDDFEALAQGATFAKPQLPILSPLLRTEIHEQGVVTPSYVAQH CRHTVDMAQALRSAREKGLIDDKTLVIELGPKPLISGMVKMTLGDKISTLPTLAPNKA IWPSLQKILTSVYTGGWDINWKNYHAPFASSQKVVDLPSYGWDLKDYYIPYQGDWCLH RHQQDCKCAAPGHEIKTADYQVPPESTPHRPSKLDPSKEAFPEIKTTTTLHRVVEETT KPLGATLVVETDISRKDVNGLARGHLVDGIPLCTPSFYADIAMQVGQYSMQRLRAGHP GAGAIDGLVDVSDMVVDKALVPHGKGPQLLRTTLTMEWPPKAAATTRSAKVKFATYFA DGKLDTEHASCTVRFTSDAQLKSLRRSVSEYKTHIRQLHDGHAKGQFMRYNRKTGYKL MSSMARFNPDYMLLDYLVLNEAENEAASGVDFSLGSSEGTFAAHPAHVDAITQVAGFA MNANDNVDIEKQVYVNHGWDSFQIYQPLDNSKSYQVYTKMCQAKENDLVHGDVVVLDG EQIVAFFRGLTLRSVPRGALRVVLQTTVKKADRQLGFKTMPSPPPPTTTMPISPYKPA NTQVSSQAIPAEATHSHTPQQPKHSPVPETAGSAPAAKGVGVSNEKLDAVMRVVSEES GIALEELTDDSNFADMGIDSLSSMVIGSRFREDLGLDLGPEFSLFIDCTTVRALEDFM LGSGDAGSGSNVEDPPPSATPAINPEIDWSSSASDSIFASEDHGHSSESGADTGSPPA LDLKPYCRPSTSVVLQGLPMVARKTLFMLPDGGGSAFSYASLPRLKSDTAVVGLNCPY ARDPENMNCTHGAMIESFCNEIRRRQPRGPYHLGGWSSGGAFAYVVAEALVNQGEEVH SLIIIDAPIPQAMEQLPRAFYEHCNSIGLFATQPGASPDGSTEPPSYLIPHFIAVVDV MLDYKLAPLHARRMPKVGIVWAADTVMDERDAPKMKGMHFMIQKRTEFGPDGWDTIMP GASFDIVRADGANHFTLMQKEHVSIISDLIDRVMD AFLA_139420 MVDCRPKSKEGNKEQSTQTYSSFFLSLSLSFLSHPLLHCYQVVN HSCTSLISLTPFPFPLSAKQHQTLLSTQHHRPGGPSMQSNKETALDPERNPTGEGEVR TSNDHDMLIDEAADASSHISGMKLYLIVLSLLLAVFCVALDNTILSVAIPRITDEFHR LNDIGWYASAYLLTTCAFQLLYGKLYALFSTKWVFLVALGIFEVGSLICGVAPSSVVL IVGRAIAGVGSSGIFTGALVTIAHIVPLAKRPVYMGLLGGMYGIASVAGPLLGGAFTN EVTWRWCFYINLPVGGVTAVVILFLLRIPKSADLRTHGAWEMLKGLDPLGTIVFTPSI ICVLLALQWGGVDYAWSNGRIIALFVLFGVLLITFIVIQVLMKDNATVPIKVASQRSV ACASVFVFFIGASMFVMIYYVPIWFQAIRNQSPVQAGIDSIALILANTAGAIISGAVT NKTGHYAHWFIVSSVIMSIGAGCLTLFTVDIAQSKWIGFLFLYGIGVGFGFQQGAVAV QAVLPMAQVPIGTALIWFVQMLGGALFTSVAQNIFSTHLAENLANLQLPGLDPEAIVG AGATGFRQLVQPEYMDQVLVAYNAALLDVFQVALICSCLSILGAVGIEWRSVKQNR AFLA_139430 MKCISKTRTITITFMLAGLRSRTKILNSRRSLFSRGLRSPPSST ITIGYDEESSSPSSRRNTSRASTLTSDLTTHYVYGTNLKFSRSRISKMTFSRAWTAWI PGSPCYSSSGVYQKTLLQAMATADVPEEEKTATRLQMETLNIIAGGTETTARALAVGV FHLAHKPSLLLQLRDELRTVMPFPDSLASCTQLEQLPYSYLAGVVNESLRLAFGFIIR PARVYPNDPLVNEDLTPISQSAYFVCMDPSIFSQPDDFNPDRWVQAARDGNKLHRYLI VLSKGSRHCLGINFALAEIYLAIATVARRFDLVPYQTTVEQLQMKRDLGFTAPEKGPF TVRAKVTGLAD AFLA_139440 MGPRGDRGDWLIVRVVCRYCKWRWRMWCRKPHMCFPLLEPAVHI SLMDEEVNTIENAYEFDPGFPHTFLSGSMFAEGPPKGGYSPDVVWWTKMLGTFDWVEG AKPIRPHP AFLA_139450 MGGSAGGSGIKVPGSGARLKIPRPAPDNWKSNTIIAWPYDSQVS PLILEGCCGNPDHLHTYGDNDAFRFCDSASNPSSVKQCLENQGIKGVEELHKNGGSTL LSSRSVSMPALGIIP AFLA_139460 MGHQEEPPRICKTPSGHGQGEGPAEKTSKPSTEEVGWDGPTDPA RPVNWSRKKKWWNMGIISYLTFLTPLTSSIVAPAQGLVMKDFHSTNRTLASFVVSIYL VGFAVGPLFLAPLSEIYGRLRVYQVGTFIFTIWNIAGALAPNVGALLVFRLFAGISGS GPVTLGAGSVADMFARQERGVAMSLYGLGPLLGPVIGPIAGGYLSQAQGWRWVFWLLA IVSGVAVVLVLFVLSESYEPVLLRQKAKRIRQENTSVEVNAGQALKLDSRKVFIQAIT RPTKLLFLTPNVALFSLYTGVVFGYLYLLFTTVTEVYETTYNFSQGATGLVYIGIGVG ALIGITGFGALSDKIQNLLIERNNGQAEPEFRLPPLIPGSFLIPIGLFWYGWSAQMHV HWIMPIIGLGWVGCGMIATLLPIQSYLVDAFGEYAASAIAANTVVRSIVGAFLPLAGP SMYATLGLGWGNSLLGFVALGLLPVPVVFYFYGKRIRMNSRYQVSV AFLA_139470 MATRIASFIGISTVASLALANGINVPDTISRDVIILGAGSSGTY AAIRLKDEGKTVAVVERNDYLGGHGETYYTEDNTPLNFGVEGFFNTSVTRNYLERLQV PYGRRNPAPAHEDYVNLNTGQRTEYPPGQLQDREAFAKWVDAISQFGFLDDGVYRITE PVPEDLIIPFADFVKKYHLEDAVYALFSHTSGDVLEMITLYVIQYIGIPHAAALNEGY VRPIEGIAALYKSAGKELGSDVLLKTTPESVQRSKDGVEVTVRSADGTKTLLKGKQLL VTIPPLLENLHGFPLSDQESRIFSKWQYHQYWAALVNDTGLPDDVNIVNVDTERLYGV PEEPFIWRLDNHWAPGYHNIKLVGGSDFGEDEAKAYMYEKLDLLHEEGTYSTHKPEIV KFASHTPVTMFVSAEEIRGGFYRQLYELQGLNSTFWTGATWASDYSTLLWGYTDEVLD QMASS AFLA_139480 MEISRKAATELPKPFHVLSQALNLSNKDHAKWWYSTAPMFATMM ASAGYDVHAQYKFLCIHREVIIPALGPYPEKGQPMHWKSHLTRFGLPFELSFNYSKSL LRFAFEPLGSLTGTEHDPFNTQAIRPVLQDLKGIVPGLNLEWFDHFTKALVVSDEEAQ ALRDGDIEIPVFKTQNKLAADLEPSGDIVLKTYIYPRIKSIATGTPKERLMFDAIKAA DKCGKITAPLAILKEFIAERAPTLLGHFLSCDLVKPSESRIKVYCMERQLDLASIEGI WTLNGRRNDPETLEGLDALRELWQLLPITEGLCPLPNCFYEPGTSPQEQLPFIINFTL SPKSPLPEPQIYFPAFGQNDRAIAEGLATFFERRGWGGLAKTYPSDLASYYPDVDLNT ANHLQAWISFSYKGKKPYMSVYLHTFEAFSGAAQEVSMCRDGHNH AFLA_139490 MRMPIAVIGTACRFPGDVASPSQLWDLLSNPKDVLSDLDHKRLN LSSFHHHSGEHHGATDVPNKSYILEEDVHHFDAAFFNISAAEAEAMDPQQRLLLETTY EALEAAGYTLKQMRGSSTSVFIGAMTSDYHDIQTRDLDTISRWHATGTSPSILSNRIS YFFDLKGPSMTVNTACSSSLVALHQAVQSLRNGDCTAAIVGGVNLLLDPEVYISHSNL HMLSPTSRCRMWDRDADGYARGEGCASIVIKTLDQALKDGDDVECIIRETAVNSDGRS AGITMPSPEAQATLIREAYERSGLDPVRDRCQYFECHGTGTQAGDPVEAQAIQQTFYP KNAAFSPDDKLYVGSIKTLIGHLEGCAGLAGLMKAIMCLKNRTITPNMFFDKLNPKIT PFYDHLRIPTNTMPWPPVAHGCPLRASVNSFGFGGTNAHAIVESYVPSQPESQASYCK ESNRQKYTNSGPFVFSAHTQESLYSNIERTARYVRSNEALDLGHLAWTLAKRTVLPFK IAITALSREELLGNIDKAIVEYKASKASEQGPSPWKHPPEPHRIMGIFTGQGAQWAGM GRELLLASPVFRKSIERCERALANLHDGPSWSLQEELLADKPSSRLSNPAISQPVTTA IEIAAYDLLCTSGVSVEAVVGHSSGEIVAAYALSIISAEDAMKIAYYRGLHAKPARSG GMLAVSLSFHDARELCSRPSFSGRIVVAASNGPASTTLSGDYDAILEVKALLDRKKTF ARTLQVDVAYHSHHMIPCSTAYLESLRACNIQVKSPRSGCTWISSVTGRNAILDEDVQ RFSATYWVDNMVKPVLFSQALESSLCGTQDLGACIEFGPHPALRGPVLDTLKSKGASS VLYTSLLHRGHNDLKAASSAVGYLWERMADRVDLASFLQGFRSQPLQLIKGLPTYSWD HGRGFWRESRVSRRYRLEGTQLHPLLGRRSADEFPNELRWKNILHLKEMPWAEGYKED GRVVLSAAFYLSSLLSAASSAPVCQHVVLLELNNFVVMEPITLEEYGNGVEYITTIQF HNEDFRTTLNTRLDAEASCHACNSGESVLTKLCTARLTLHLSDASCSDCDRLPPRDQR NDLLAPVHVSDLYDSFEQVGMSYSGPFRSITSIQRSLGEATASATWAADTIPESVLHP AMVEVSFQAMMCAFASPLTEELRTPFYAKEVRRVVVTPRLAPEGASCGIDAFVTGVHR DVVEGDVSLYKPDGNAIIQIEGLVMKNIPQPESSSDRNLFSHVVWESDPFGYSLISDP TPKENMEWKRAADIVALYHFRRAVEEIDPLESAGFTPHHQLLYCEISRIAADGKGCEY YITDPDRVQMSEESILAMIEKYADIVDLQSIHSLGKALPAILRGEVDLVNTPNKLDTI EDFTQTAGMFSQMNKDICSIIRRIVHKHPHMNVLELDAGTSVVTHQILEVVHDKYTSY CLGSADPVLLNKTLATLSAQHRNLYSKVIDLTTVNAGEHGNDKYDMIIAANPLHATDT SDNLFEVCRAMLKPGGYLVFVRLSGRMSVSLLCACGWLPQWWQGYDQDAQTWSNMSTV RYDSQLRSKGFSGIDHIIHDSMNSNGDGLSVMVTQAVNDTVMMLREPMNSTGLAPLTE TVVFVGGKTLSVARLLQSIQRIVAASGTATTVVEDIDRLELNGLTKQHSIISLVELDE PFFSRGAFHERLLVFKELVTRSKHVLWLTTRNMTSISVAIGRAMRSERGADISLQFLG LSTVANISPSAVVEVFLRLTWSFVPVLTDGEVLWTNEPELQWDGSTLRIPRLVWDHKR NKRYNYRHRQGRPEAGLPQTAVPLSPRVSTNSVAVQIKYSCLVCTDVYLWVGARIDRQ GNVVGISDHVSFVIHARLDHVHNLSDEHDLSPDALRATASFTLAYLLIKSLSGPILLY EPDELLAAAVEQAREPEQTVYFVTSKYNDCRRGWIAVHPHASRRMVERMLPRKVSAFV DFSSGDDYIVTTLRDIYSHARIQAVELYRRAFAARPEQLMADSYAQACTRLSTLPHTA LEVTSSTDVPTNIALIAYPKVVNWTSPAPIASPGDMISATTMFSSRGTYWMIDMATPL GLSILKWMATNGARTFVLAGRNPRMHEAWLEEMSRLGATVKPLKMDVSNKESILSAFT HIKEALPPIAGVCYAPLALSDQGFEYTVADTGGLAATAMINAAKYLDELLPTPTLDFF VILTSLVSVIGTPKQVAYHAPSLFMTDLIQRRRMRGLVGSVMALGMVVDAGYFSRQGK EVIQRMMHHGYAPLSESDLHYAFGEAVAAGAPEAESNPEIFFGLQMIDSQIDQRREST SVSNHLLSHFIKSRSGTKEGQCAGQEDSASLLVPDEQLQELEPGKNAYDSFLARLSGK VRSILRLGDQALDVHTPLLDLGCDSLLAVDIQAWVAKEFDIDITPMDALLDTVAGLCE KAVPRPNTPSFVLEKEDQLVKDLDFIDVATTASRSERSSSVQDIPLDGTSSESSCVLC PSDSGFEQVRNDLEPRFTRIEKMSPHQSQIWFAGHWMRDPTQYNVVISYNVEGKFPVD RFKEALEQAVSMHESLRTAFFSDPNNGDLLQGVLKVPPPFFEHVRTPSAASVSQEFNK LASYQWRLEDGEVMRVTVVSVGQDQHRVIFGYHHIVMDGASWSTFLHDLKCIYEQRPP REVAQYVDYSLMLNRDIHNGTFAKELEFWKSELLPPPEIMPVLPLAKAKTRIPTDNFK VHTSTRHISIEATERIKQASRSLRGTPFHFYLATLQVFLAGLLKIESLCIGMSDANRK HQQFTGTVGYFLNMLPLRFEVQQTDSFANVFQKTSSKVLTALLNSSVPSNLVVDALNI PRVSNVTPLFQVAINYRVGEITRMSVDDFDLNYDRSVMGNAPYDISFHVTPCANGTSI VEVNCRDYLYSPRATERIIDEYVRLLEIMSSDPLQSVQSSVATSAPINEDGLSVQRGP RISHGWPATLPERFQDMVDQYGDRIAVTDQGREFSYLQLQAQSTRIGESLRQKGVRSG DTIAVLCPPSMNSVASMLAILRIRAVYVPLDLSLPAARHKAMILASPVRALVCVSSTV EKVSELGVSTILNLSEIPDIPVPSTRFTNSAKGDSLSILLYTSGSTGQPKGVCLPQSG FINYLAAKRKELGLDSSTVVLQQSSLGFDMGLAQTLNAIMNGGKLVIVPQELRGDSIE IARIIRDQKVTFTLATPSEYLVMLQHGREYLNNYTGWRHACLGGEPFTDQLKREFVRL GKNCPVVQDSYGVTEISACTTFETMSASQLEDARSVGRTIPNTSLYIVDTDCNLVATG EPGEICISGAGVALGYLNEEQTRLKFVQDPFALPDDIARGWTRMYRTGDKAKLLHDGS LILMGRMDGNTEIKVRGLRIDLEDVASTMVNCHPDLLSSAIVCVKGKGVSETLVAFVA LMPGQTASDVELQHLASNLPLPQYMRPSTIICLDELPRTANGKIDRKRIDAMPWTAPT ILSQPSKRLTLGEGELKLLWQVLLPGKHIQPESDFFLLGGNSTLLVRLQGAIRTSIGV SLTLREMYGASTLAQMALKVDARKAESPSMTINWLAETAIPQNILDRVSSTSNINLPK HFQGSGCQILLTGSTSFLGRVLVQVLLQVPEVERVHCIAVEKEQEHVLPTSDKVSLYY GSLLDPNLGLSTAEWASLQDRIDVVIHNGSNGHCLNTYNSLKGPNLGSTHRLAEFALQ SQIPLHYISSGRVILQSGQTALGPTSVSFHPPPLDGSDGLTATKWASEVFLERLAEHT DISISIHRPCTPIGDQAPAQDALNSLLRYSVNLGATPRLTRMEGYLDFQKVEIIAQEI ATLVTSRFTKRSNTSSFTTPGVSFFHHSSNVKVPVKSFKEYMEKVHGRPFQELGLREW SSLALEQGIEPLIPSFLEAVDDNEETLRYPYLGN AFLA_139500 MSETHQNTLTWKGTRLPAGEACNGCRERKRRCVRRKRELPCLSC QAENRPCDVSWYRRRRRRRRGPDKRNCKSLRVLDGQSAEPFNMHQQPDTASCSEIQHG IETDECGSQCRIPSQSPGPNPEPQPAASTYSLCLPSYVTGVPKHLALVTLNALREKGA FTLPPAEIQTYLISSYIMHVHPNMPFLDLERLLEAVILRYRGRQTSMLLLQAVMFAGS IFLDPVYLHLMGYTSRRAAMRDLFGRAKLLYECGFEVQPTYKLQSLLLFTLFHEDDLA GSSFWMGEAWNLAKTIGLQYDLQEVPVDESSSELAFRRRLWWCVYTRDRLLALSTRSA MHISDGDFNVPMLALADFKSCFGTAEAYRALQLDSDLRTDGTKTALALTFIYKTKLSQ LIGRVLMSQYTIGSASPTTMLYYPRPTPISLSDFLGMENDLDVWETSLPSLLEFPLPL LSPVSQAEKIIYAQRAMLHMIYLTCINALHRPWSSSAQPTSSDPWEGAFRDLSAWKIE YASQAILMIANHLHSIGLTNFLADTAVPSLLSAMITHIVRLNSDILVAPEANAVCFVQ GWECLQGLREKYEWARHAAAFIRFTTRELRTG AFLA_139510 MVEIPSDVVAGWPAPNYADPETKGPALPTIVLLFYVLATSALSV RLYDKLKISRQFFAEDYLIILSIVISASTATLKITQGSDEDDDSPSCAPTEWMKLEPA LAGGLEGTPEKRLLTWAKFEHNDNLFGRVIIRSHYVSGQRTEDGRVRPLIEPFTKVVS KPDSESILTEAVVLAPEATGTEVKMDKAFIHDFIRSVYHGWTAEQVRISKPFLAIGHL LTERLDLDGGNSRRGTAFDT AFLA_139520 MVGPDSHRTQSGLADLILWCDHMTEVTCGQGHYDFQIPRYEGPL LEGWEAAVHNSQVDHVDQETILTLSATVRQQPATWGLHSVSLCKPGASNYVLDERDRL RTFSYVVNVGINYAHSIRYLNPVDVRVAGGSVEEV AFLA_139530 MPLTRSLLFATLLTGLLGSVHAHGMKHRHGLRDMWHLTDTHIHV LPPFYVAAVAEAGGDPSGYPTPEWSLEGTLRSMSSVGSEKAVLSLSTPGVPVVGTGEK GRTLCRKVNDFLANITHEDPTHIEFFGALPDWRDINGTLAEIDYIFKTQRAAVGVGMY TSYGDMLPGDPTFDPIWERLNMYKALVFMHPGVMDVNPLFVAGALPQPIVDYPQQTTR AAVDLVLRGVRTRTQDVDLILSHAGGTLPYLSARASGSLLVPEISSVLNLTPLQVEAE FRRFYYDIALSTTKTQLTGLLLNTDTSHVLYGSDYPYAPAVAIEGAKASYLAFAHDNP ELSPDVLSQNAKDLLLKHKLSAGMYE AFLA_139540 MPDAFLPSVLRAADLGRFTTEEEAAYLPLQLIIGAADTSQISTW SFLEAMLQYPDVQHRARSEIEAVVGDRLPEFADLERIPYIRCVMKEVWRWRPHVALGH PHVTTKDIVDNRKRIPKGAHLHLNAWVISHDSKRHEYPDRFWPERYTDDHTTVSNLLP GHVNSSDVTKRDHFAFGAGRRSCPGYHVAKRSHAIAIMRILWAFEVVWAPGTRQPVDP LTYLRRSEVPGNASSRLPVTLRVLS AFLA_139550 MAAQWKPLKGAESLPSRWHWSRDLGGPDMPIRLEGEIGDVMVRG TIPASIDGTFYRVAGDHVTPTPDKHSPLEGHGAVSAFRIHNGHVDFKIRYVQNDRYKV ERANRRSMFVDIVGHPMQDHPCVRAVSEQTSNINVLHWGGKLLALNEIGKAWTLDPDT LETTGVDPYGKQVLQSATFSAHPKIDQNVDELVTWGFGTSFEELVSYSITRHGRVKNL HRVVRPIPGTIHDVAITENWLVFCQWPSVPSNKAGRSRLVWDTSLPTVFLVTPRCPDK PLAGSGWKPYEFRIYTHNSNTEIVHTGGAWEENGKIIFEGTWPNQPKTSFFNWWDRKQ DEPNQNDESVRDAGPENTVVEYVRFEIDPVQPDRTELADPTVLVTIPNEFPRIDERFY CKPHDYVFMNVVCDDGTAQSPKHVYRSLNATAMLNKRTGELKTYNPGPHCRCQEPVFI PRSDDAPEGDGHIIFAVDRLDINLTNVVILDTKDFEHPVAVIELPMRMRAQVHGNWVD ARELTGKPLVASPSWNGVAPSGKL AFLA_139560 MPRQTITNGERSRCAKACGNCRRRKERCDGNLPCGRCRSRRVDK ECNFARSPIAPSSRSSAASLPSDPAHENLRDLESPAVCATASVYSIHSEAGSSPLASR VLDCSHNAPGTYLSRLIQDGHGNSMFIGDSANISFLQVIRTLVSNSLGSHLFAGDSFW NLAVGTTPVTRSDWTQEMANQPPPRPTRDEARYLINWYCYAVNSVLRLYDERDFNRML FQWPQMNQNEPQQRATSMILFLVFAIGAQTCPEDRDEEAERYFNYGRFLAMSGMTGDP DLASVQAHILITLYLLGASQKNVAFVYLGTAVRAAYAIGLHRREVNALFDLSEFTLRE KVWKVLRILDLFTCALLGRPPSTHETRNTAAKENYSTSNDICLIFERVLTDVYTERNI SDSILERISEHHRQWADRFPSSLAFDGIYPSPFTEVGGQNVPNIGLIHIKEGYYWTIM LLTRPYLIQVVSKHLSQVTAKARSDEIPAPESQFHFIAAHACIDSAIRTVELSQSLKS NENIPKRLPLVINSLFLAALVLGLAQFSDLDRQFPIEKGLAGAQEQLGMFSRHDAVAR SYLAVVNNLRAAGAFYFRTRARHRMERQGQLIRGLFGAVHGDRTASPCPMTVEDGVQG MAGGSSLSELYPTPVPGTQSSCRLDNDNDDFLRSTRSKTQPVIDPSAASFLSDFAPVT DLAFPMTPRTVMYDPHIFHFSNEDASQFNIRQSL AFLA_139570 MFFTTTPSADEDFALIEDNNPDKIYEQFGQLRRKCPVAHTSQTG GFWRLTRHDDVERAASDPDTFVSSVKAVIPSDPRGIRRPPLSTDPAAHSPYRTALDRT LKPARLKRLAPILERHAQRELDALLKTNTVDHQDAGTETKASQ AFLA_139580 MVNTKLALLLVLLHAAFGSSYRWFNWQFEVTCESDAYIAPHNET AVANFLKQQYPKGSHIKVVGNGHGFGNLTTCVDNSLTKKPSYIVSMTNLKGLNIDKKN MTVTFGADWDVYNLTEELKANNLSFGNLSIERVQNFVGAASTSTHGSRSVIGNIASQI IRLRVLNAQGNLRVINKTNNPEELKTFRISLGALGLITEMTIKVQPTLLLKKTTKIVN ATSDYTLIGKQLAQLYKDHDRVTNEASQNWDLEPTYFYSYWEPTNYTGVHNCTLNYCA NDCGDCKKEYICYDEVIDAASCPPQGVCDREFYAEIEHFLPIEYYAEAAADYISYQQS QTPRMKAPYNSMMVIQHRSLKGDDAYMSPVNTYNLGSDLSGVFAIIEIDWIQTYNNFT TLWQNQQLGYEFMAEFGEKYNVRPHWNKMNPPNATYALEKFPKLPEFLAIQQRQDPKC QFVNEFLVEQLGITRCANYLSI AFLA_139590 MKSVILASTIASVAAAQAYTAAEQANVQANLIFDPKTVAGKTVD YIIAGGGLTGLTVAAKLTENPSINVLVIEKGFYESNDGPIIENPNDYGLIFGSSVDHN YLTVPQDINNRTLDIKAGKGLGGSTLVNGDSWTRPDKVQIDSWETVFGNPGWNWDNLN DYMKKAELARYPTQAEIAAGHYFNASCHGFNGTVYSGPRNDGRPYSVLMKALMNTTAA MGVPIQKDFLCGHPRGVSMIYNNLLPDQTRADAAREWLLPNYQRPNLHVLTGQIVGKV LFNKTSAGPKAVGVNFGTNKAVNFNVYAKYEVLLAAGSLVSPLILEHSGIGVKSVLD AFLA_139600 MYFANFTEVFGDYTPMAVGLLNNNLDQWANETVARGGFYNATAL KIQYENYRNWLLNEDVAYAELFMDTNGKINFDLWDLIPFTRGSTHITSADPYLQSFSN NPQFLLNELDLLGQAAASMLARKLQNSGEMSNYFDGEDIPGADLLSYNATLDDWVGYV KQNFRANWHAVSTCSMMSKELGGVVDPTAKVYGTLGLRVIDGSVSPTQVSSHVMTIFY GMALKIADAILADYNKS AFLA_139610 MTCLLLRSMKPVGFLPVLIMIFFWWSVGSLSHPTDGTGSDETRD TKHGSTFLVGVGKADITGAVVQIPFAGYGKMNQTGTGLRQRTYARSFVFADPANITNS FIYVVLDTQSGDTAVRYGILQGLQTLGGKYGNFREHNVAVGGTHQHSGPGGWMNYLLP QIATLGFDKQTYTAIVDGALLSVQRAYDSLAPSTISVGTINIEDANINRSPYSYLANP AAERAQYSSDTDTTMTLLTFDRLSDGKTTGVLSFFSVHGTSLYNNNTLVTGDNKGVAA YLFERGATNDARFADNFVAGFSQSSVGDMSPNVLGPFCEDTGLPCDFKTSTCNGRAEF CQARGPYFNEGDNGAKKEEYTVQRYEGASTLYGPNQLAAYVNLSLAYLPYLGVPNAVA QLPKLPEGPRPPVTTNYSLAFVPGVAFDSPPFGHSFGDVLSSSTPYFYMVGDYVSATF VGANPRNDLRLEKTYAAVEMNIDGIWEVVRTDADWNLVFEWKQTNVLLGTSQVTLTWH IEDSYYLSGWGNALQRGIYRLHYYGESKNAFGTISSFEGVGPEFSLQI AFLA_139620 MSHSPPSQVKKHLNGITLLCLTFVICNSWAGISGSLQLAILAGG PATLVYSIIVAATAYLAIAASMAELAVVYPSAGGQYHIASILAPERWRQGVSYTCGLL ALFSWLVIGVSVTSIAAQQLMALVATSLSDFVPQPWHIFFVYQGLALLAIIYNTFFLK KNPWTHNVAFILTISLFSVCFILLLVRGNPKQSHDFVWNTFLNYTGWPDGVCFLIGLT TSCYMFNGLDGAMHMAEESSNPERVVPRTMLGAVGIGFTTGFAYAVAQVYAISDIEEV MTTMQWVPFVVMEQGFRSRTIAIVIVSISIVMAMTIIIATQEASSRLAWVLARDKGLL FSQYIEHVHPHLEVPIRSLLLIWVLTFICGFLYLASQTAFNAIIGSSVILQQLSFGIP ILLIIARKRSTAYLSPARSFRMPNILGWVVNIYAVAFITLMAAILCLPVINPTSALTM NYTCVILGFCLLLALANWWLYAKDHYNGPVIETWDSYEAEPASVSTSLSQTKKGNEGT A AFLA_139630 MVVGTFFAIAAGVPEPLLGIVLGQLINELNTVACSATQYNPSSV RTKVLYLIYITIFNFVSIYIYAACWALVSERLARRYRKAYFRSVVRQEAAFHDSLPSG QVISRLVSDIETVQSGTSEKVGIYMATLSYFVTAYIVAFIKVPVIAGILIAIVPCFFA MALVGGHLTSRYGSRVGKHIDLATSIASSSLSHLKIVHAFNAHQRLEDLFSSHLSNSQ KDALKKAAVHAAQMGTLFFVVYSSNALAYWKGAHLIADSIDGRNSGVSVGAVYTVIFI LIDASFILSQVSPYMHVFSAAASASERLLEVINRPSTIDGTSNTGGKCADLREEEIKF NDVHFTYPARSENVVLQGVTFSIPPRQHTAIVGPSGGGKSTIVALLERFYDPSTGSIC IGPQDIRHVNVANLRGQMGFVQQESQLFNRSILENIAYGLVGSVEHEDLVEVILDMSL TGVVAELQSGCTEEEVLRNCDPRISEIIRRAKVAADKANALSFIETLQFGIATSVGTA GGQLSGGQRQRIALATALIREPKLLILDEATAALDSMSEQLIQAALLKVSGTTTIVSI AHRLAAVKDADQIVVIEKGRVAEYGSSQQLLDSGGMYAKMIGLQRMDNAEADGAKALA SRANTLTGSETLESTIDEKAVLQEKQEVDLTTDQPSGEAKRTSSTVHAPEEEIPTRSK RLTTRIYFSFIRPNLLPIILGLGMSVIIGGSYSANAILFGNTVGGLSPCKGTPNIRHS GNLFGLMFFIVGLIELFANIMGGCAYGWAADQTLYRVRVASLRSLLSQSLTWHSTGGR SPGTLIAYITGDASAISGLTGTTIGLLLATTVNLFAGIIISFIVAWKISIVLVPTIPV LLLAGVMKLRVQSEFAERHKKAFSRATEITVEALGNLRFVAGFSLEKQLYREFLFSIQ GPYQRTMKAITWGNFWLAAAFSVSNLISALAYWWGSKQIASGLYSQSQFFIVLPALLF STQSCGQMLALAPDLSKAGKAASRIVDLVSTHSSEREFGGGDVHRALISGPGKSDADI EANERSESASCRTGQMPVGAELRDVEFSYPTSPDTPILKGLTVTFEPGRFYALVGPSG SGKSTIFAMLERFYYPSAGSIFINGQDVTRVLSTNFRDDIAIVPQENVLFEGTVAFNI ALGARPGYTPTQAEIEEACKLAHIHDVIAELPQGYETICTNAGKQFSGGQRQRLSIAR ALIRKPGLLLLDESTSALDGESEGKIQDALSGFMGKTTVVAIAHRLRTIYRADRIFLI QGGRCVDRGTHTELIERSEMYRESVLHQSLDI AFLA_139640 MAVMSAADHDGVPMMLSILGLFASLGGAAGSAIASAVYTNVFPE RLQDGLPLDAKGDWVDIYLGGYLTQMAYPMGSEVRTAINNAWGDSQKYSCIATTAVIA LGFPCIAVWKNLNVDQKQNKGVML AFLA_139650 MMNLPSQHTQLALNASPDQVTTTPAADPEKPSLPSPDAKELQER TRDLADSEATGVKKAEAAALVWSKPALWGIYAWYRRVKLSMWRYLKEMG AFLA_139660 MSPEVKALPDLQPAAQWQQMQLPVTRLPAPPTDCAHGQTTDQSV KRLGIGRLSYLRNERGTDSLIQNYTRAIMAVTGQNHIAFWITADDEESTAKHGVVVAK CATNDTMPEWEAFEATLEDCTERSEKVEFGLWLMRAGEIDIDLESSVSRKCTSLHVKA PLANKSSTSY AFLA_139670 MKVYLERVEGEEDSTQFWTKELQDFVTIPERQFPTLSCERVTVT STTLPILSRSLAMGTTWEELQSLAQRLQLQSLASVVRAAFAYILAEYLESDKVLIGER DSVDVKRTKPDTAIPVPIFVAEPTAEGLIRQIDNSIARITRVQNVSPDRLMEILNCPS SQVPYNAFFTYHQEKGSNESEKAEEDGARSESSMISIGLSFRQSKQGIPSCTLSARQD LMDASHLELVLRHIDALVTAILARPTESLQDLTQAFPEDLLSSHSPLISEELRQAPHL SPSHWVDHWAASNPSWVALEVIEAISDDDVLSKKWTYCELSETSDRLCTWLAHQGWYN RVIADTATSLIPPDNCRVIDINDHQFLEELDNAEELTIVTTKPTDNCYLLYTSGSTGM PKGVLVSRGNLSAFTEAQSEYICRDVPHTLELKGKGSYLAHASRAFDVHICEMVLGWR HGLRLVTAPRTMILDNLLQVLRRFRITHAGFVPSLLEHTGVSAEQLPDLRYLGVGGEK ISETIIERFVGKPCIALVNAYGPTEVTIGMSSHTVTATSTVRNIGTAVGNITIHVFEL ETTKYVKRGQAGELCVTGDLVANGYHCRPDAQGFTDYRGQRMYRTGDIVRLMANNCIE YLGRRDSQAKVRGQRLELEEVSIAIRRCADCPVNVTSMVTPSPITKRPQLVAFISPSS DRPDDLKTQPAFLKAEYQAWVPGILERCREELPVYMVPSVLLPVSFIPIQISGKADNR RLVALYEKIPASDLHLQTRISAAREPEPGLHAGSASLTTDEEKIRDILCSVVSVDRST VTKTTSIFQLGIDSLSSLSVAAKMREAGYQCAAADILGNPTLAQLASLPLSRAGGDLQ SSKYLSTGQLAEASQRLEYLDQSFRKLQKNIPNSSIAVVRPCLPLQASLVSSSMGSLS ALYVNHIMCRIGSDIVLRDLRLAFQDLIHENEILRTCFHVMDDKVIQVVLKPRAAKIS WEQVAVADEEAAQVYFSNRQTEVATKIIRNIESEVPLHIVAASSSNNSLPGWLMLSIH HSIFDGASMDVLLDRLYHHYTGKTALHTRDLTPLYRHFVTAPEEAAERFWSSYLTGAL PTIVKTDSPSGTSYEIITEVLPFKLSMISKVASEISTTTAIILETIWGISLARYLVET DVIFGRIMNGRSIPVESVEEMLIPLVTTVPSRLRLPSGLSSIVDLIKAHTQASLASLP YQHTSLRDIQRYAQAPGPLFNSMFSYIATAPTSPANAMLVEMDSVMPADYPLALEIKA ESEPDTVTLRLRVTRGSCLTDSGRGLIDVMCIILQGLISNGDFMIESEGRSQPQERDE PKWDETRWTEWETKIREVVSEITGLPEFQISKNISFFALGVDSVITIRMARRLQEQGL KASSSDILRYPSIGALHNYLQKCNAVPSISPMKENTSDPSISIDLFHEDDSIVETYRY NAYRGLSNHVGSFASAARKITNTEESSVKFWAETVKGYRYCKVPFSPTVAIEPCVKLA EATVQAPVTTLQHQCRCLEVTLQTVALLAFGRSLATLLGQRDVIFGHVVSGRGLNIDT AAPIIGPLFNTVPFRLVLDPILQSTRSVLRHIQRFSADAQSHQHAPLSVVQKDWRLDN HSEASSLFETLFTFNKSGNQDPTSLFQPYHSHRAPDTPHYRLNVEFEQRSDFLVVRAS SRDLLSNGGDLDAWLQTLALGLENIISHPDAPVLSFPSELKALPLATSSIQDLGKQPT DIRGLENHARIIKAIIATVTKTPIDQLHEGSSIFALGVDSILAIDISAKSREAGLKLS VSDILQGRTIQGIATIATKKIKTPSVLPETITDGSRSSISADAKQQALTALALEEDNV EVILPCLSGQIFYISSWLGSGRRLWEFTFAFTSRVRLDPGKLDHAWLLLQHRHSILRT SFAAISAVEIVQVVCKPAKVNRGLRVESQPSTGNLQSEIQGLTRNITCSPSDLFTPPV RLCLVQHKDSDVLLLTLHHALYDARTIPMLIGDLESLYLNTPLAPHSSFSAFVHHAQQ TAGSKLADAYWKPAIETGQRTVLGKNTPSAGNHSQIVSSQLIYPQDLKIVEDWCHQIG VSVPCLILLAVGRSLAHIADVTHPTFGLFQSGRSGEYPDIHRVAGPTVNMLPFVVPDA LTRPMLGALKAIQHDLGQRAIHDQTNLRQLRQRMKELGNDPEFNVLLNIVLSKSTDNS TRDSTDPLLTPSPIDFENYLTSERPLAGATSVDKFRYNWLPCEKNIYLEVNYNETGGE LHWKVDYEVNSMTTLEAQTLLENIGQEVNFIMGDS AFLA_022800 MSGTIQTVTYIFRVLSILNPASYDDYAAWFVLILIAPLWTNAFV YMVMGRMVWNFTDDARVMRMRPWHFGAFVIQVYGAAQAAGNNISYSTEMTGLHIYMAG VGVQQLFILLFVVCAIVLHRKILRQHSPDTKKALQLLYVLYACLVFITLRIIFRLCEY GQGLNSTVPSHEAYQYCLDSLPMLLALVLFNFIHPGRLMPGKNSDIPSRKERKKMGVC TKPKMAGNDVVCPADC AFLA_022810 MLFTRPSNEKGAAWPAILVGLFAAFGGILYGYDTGTISGIQTMP YWIEEFDNPNAGRIALIVSILSVGTFVGALAAGIMADITGRRWGIILSAMLPFNLGVA LQTAATSQPLFIAGRFFAGLGVGLISAQIPMYQSETLPKWIRGAVVGSYQLCITIGLF LAAIVNWATQHRPDSGSYRIPLSIQFAWALILVGSPYDKAGGLFFLPETPRFLIKKGN DTQALRSLVFLRRLSADDPDLLAEMEELKNNWEYEKSIGSASYLECFKGTAGKRTITG IILQSLQQLVGINFIIYYGTSYFAENVQGLPDSFILQVIVNSINVVMTLPGLWAIDRF GRRPVLLTGALGMGVSQYIVAACGAATPTSNFTSQCAQFAFICIYISFFASTFGPCAW VVTGEIFSLQTRAKGLSMTTAANWFFNWLLSYITPYLTGALNPTQSNVFWIWGSFCWI AFVFTFTMIYETKGLSLEQVNELYESVSKAWRSANYRSELRRMSVSEAYRKESVDEET KPSEMACEDSSKV AFLA_022820 MMATTDNSGKKLVLSYDTELIQNYIQGEIVSPKNKFEALQTKDG HTLLFGIDSSNVFHVIEESSGQHSTGWAQIDLSTTTISSQLPGKKDAMVRTFDVGQSA LDQTIGMAMAVRVEGKDNLFVSLKNSNSDTAWTKKPEWTLVPFDAANETQPSITVAGI WFAETDSQKQYLVVDVDRAGSSTIKDIARYYVDPSETSGSRWVKHDVPVDIAAGSYQS CIGRVHRGRVDGVYTAGTAGGSAQLDYVPLENIFGDGPPLPTRFKLPDNKIPSAIATA RNGNGETDLYILNGETLYRIAAEKQKDDATADAVLTNSLLSGTVVLRAMIHQGVLTLF GKNGSDQVYCLSCHIENVTDRRAWNVPVPIANGVEQISAYVNRADGGNTIFTSGGGKL GKITQDINSLWKPQNLKLAPASTTEKALVFKSYTTFIHVMDENDLAASGATLKVSTAS RTPVYINGLYYVLGQSPIEVEADSTGSMTVIEETPNINGATLIVSTDGGVTTTAINPM EKSFEKLGKLNSKGTLRDASFPSKTCGGGVVGTPKKSPLVESSTKDSDLDKVAANMEG LNKAYAHVKTTKPAGQKLHGNLRATSSGDFGDNILIGIGDLFSWFESGVEAVVEVIWH EATQAWHFIATIAGDIYRAILDTVEAVVAAVEWIFNAIKTAIKAIIQFIEFLFEWDDI KRTKNHQIDSIGDAKSTFNNKIESVEASLNEWADVDWSPLGDTVSKPASSSSKSNSKN QTSGSQLLAHHYKNNANSVSVVADSPFSGDINKDPVQKALDDLHSALSKEDKVISGFR DQIGEVAKQFATMTVEDAIKKIVAILVDGILASVEVVVDALLDLLQDLATAVVGMVDA KLHIPIISDILNAIGIPDISFLDLFTWVAAVCYTVVYKIAKGEPPFPDNKDVQSVIDA GSWNDLIDTLHPPASFSVASRTVYDMPVSRLASASATSTPSQPTVLQDAIFIAGHSVS GICGVIGAFVNAVEAESPTGDNPMSTPSAILGFIGAASQGVADIVSPRDPLQEPIFSA LSTATSVTTVVSKVVFSSYGQKKLAKLGLPTAKDPRGMGAGINVLLVGVGAAATIKHF VELAKDPAGKDRSAAIIGEVSNLTSYISRISYALAVNDIEEDTRQVVIAVMTVSNLIT AGLQIAEAIVD AFLA_022830 MGADTESHLSGSDFHYDFKNGDKTIKTGYDFVVSTTETSINDGL RAYLNNSDQPVQYLCFLVDSQGNVDKTITLDELLKLTKNVNPFTTPAGKVDQAVWQPL VDAKFRYGIKLQMGIPPGLLPKDLDIVEFADEDATHVRFRLYCSEVSIIEFQIPSGWG STDHTLVLHSQPAGKPWYAEMKVNLKVEDLDKQLNTTYLNNHPTVKQEIKDKLKNLKD TAFSLQQLLYDLDSATLDSTPDFPGLHEGSDVFPLLQKTFSGTWSKSAAEKGLPLVAI AAVAQSNDSSPLVMRDYQRHVSLQTTKDGKKFRTLDYFCLTGNKKLPSNPSLPKFTWN WVVPEDKATKSGTMAINRTTFANYLKDQLVPHAQKYCGHPIVKVTGDDDPLKPHINFF PVRIEYGKDPDKIEVTEKGKDVIHLSYEGYGEDKAHSGLFRLYGAVEVWNKYNCSVTF AGSSMTIEQHHVVRFFVQKNSTTDDINAIDRKSTVSYSLQVNDHGRLETVKGKSSDED KSQRGDRSLFIDTFSGINAVVNSLKGVDLAPPELESINGDKLQSFIFPGSKAFAYKSV NFSDYQDLVCDITYANPS AFLA_022840 MASPLAPTKSSPDSQEVQGKDQKDNWVEHVQDENALDVVATYHS YEPEFRSTVEKELLRKIDARILPLIVVIYLFNYLDRNSITQARLYGLQEDTGLKGAEY QTAISIFSAGYILMQLPSTIMMTKFRPSIYLPTCMILWAITSGCTAATQSTPGILLVR FFLGFVEAPFFPGAVYYLSCWYTKREIGVRMALLVCGILLSNAFAGLISAGILSGMGG VAGLAAWRWLFILEGLATVVLGCLALVVLPDFPSTTKWLTDSEKVVAQARLAVDSGTS TVNDEEVPIMRGIAWAVKDVRTWIFACLQMSTTASISYSHFFPTLIKQLGFENNTIVL LLTSPPYFVAFWWSLSWAWVADRKQIRSIPSGISQALAMVGTILLIAVSGQLWARYAF TFLVCCGTFGVYSTTYAWLSSTLTQPPIKRAVAIGLANTCANIASLFANYFWLDEYEP AYRQSWGCLLAFQALGMACILTLRFLLQRSNKKFEKLAAEGDINDTIFISHLNDDERS AVQNNFRYVV AFLA_022850 MDGMWDTHIHCLDPIHYPYKSTRAYTPPAAPLEDLIRSTPATQI VLVQASVEDGFDGLAAHLARIHTEYPNVLARGIICMDENWSLLKTEDFDNLHALGVRY CRIHGVHGGVNMDAQSLQRQIRHFATSYPSIHLNWGLSAQFPLATWSSLKSLFLEDAD VKKLRIIADHVGNIVPSDIASPIFKDFLEMVRVGRLNVKISALYRRCGEDISKMKSIV QRIADTAPSALIWGSDWPHVDASQGKSTEVPPTADIKRELSELQDWLSKDQFRKMLVD NPQRLFGA AFLA_022860 MRVAFSKLLCKSCSVYSTSHASPKDRTAEVSLPLQPTDTIDIEK TTLQLQSLLIAKLPSEIRRRIYELAFGTKTIHFIRHDVSSGIHCPCPDRMCNSPERCG NGDNSQCYACTVYSPSGLTRRERLPPWKKSNLLVVCRQM AFLA_022870 MDSTLRSRETTPETHPTHGVYFSRETIVSIVKSLVPGCNILTID PLEHGKSFNNRIYFLKIYVPEELRLHGLNSGAVNDMVLKLNGKFFDQTKSENEVSCLS LLEYFVPEIPAPRALAWSDSKNSLVHKLTVAGTPVKKELEIHSDTDGQLQGWILMTRL PGIPLSTLHLDTDKLKVVGEQLADIVYRWRESLPTWASAGNLACGLPHGKDQDPNSVE VAGLRIASSSNMPGFGVKVVEPIISQLQYYRVRLETRLQKLQALDIFAGNRHLIAPIR DFIAVRLPQLGISNGKYPFLFTHYDLSPRNVLMSVDHTRITGIIDFEFSGFFPELDEF VNDSVANEGDWPNAFYEAYLNRLEVCGMKTPMNGIKEEHWKEATWLSRLEDNIAPWWL ENLTPEDRRKPSEDLRKSETVVLEAIRVLGTGV AFLA_022880 MLHVAGVETNPHNGDQMVNPRSASKEPYDEKTGIPDVEETSPSP VEYDEDTPTEEELATLRRVPGSLPIVAYLICVVEFSERASYYGVSGLVSNFVNRPLPV GGNGYGAPPRGTQQTAGALGMGTVKANAVNQSFSMLAYALPMVFGYLSDAHTGRFKMI YWGVFVFGIAHVLMVGATAPNLLANGGAKAPFFISLYMLSVGAAMFKPNVSPLLLDQM PNTKAKTKLLSNGEKVIVDPEVTTERAMLWFYLLINIGGFMQVATSYAEKYVGWWLAF ILPLFLYLPLPALLFWLRKRLVLHPPGGSDLLNVCRVLGICLSRGGIFRIGRHGFWDA AKPSVIAAKGQNIRTHWNDQFVEDVRRTFQATGIFCFFPIQYINDNGLGNAASFLSTM LTTNGVPNDVISNFNSLSIIAFAPVLNYGLYPLLRHFHIRYGPVARITTGLALSTIGG IGYTVLNYYAYKLGPCGKYGSSDTCVDADGVSLVAPITIWWMAIPYALGGISELFVNV PAYGIAYSRAPKNMRGLVSAINLLNTAVAYAIGLACSAVIKDPYLTWVFGGPSIGPEV WCQSVFLDKNLATTIVDVHEDKGMLIAETNQRAQ AFLA_022990 MYRRSVFTNITPLPSYITRETVVAALHNHAEMIELNPLVIRHQP CRPPSFSPADEFHCTWYEMTDRISYLPGGLVQGNVSYAGCFYDLPRGLQTHVYAPTGL DIREKWSVCGNMPGEPREPVELGIPDAPREGLYLREDVNMRSQIWATAFVKRTLKRAH AVLVDRLVIKADLEKQRKDSISGLATDSSMPKPASPSYYQKSSHGEDSMSPQQNRWST TSDIAEVPGSLQAGQRLDERRGQSVYELE AFLA_023000 MGAPHEEIQALKRRREQNRLAQRRRRDNVRRRLRDLGLDTGSPA SASQTSLCSSTDSRVTLNPHQSLRSTDFLSFETSNSDTEMSPYDPPLQSKLRLDSQIP LAEISFPSYASSVSPSSSAGPLSSSPSPSQRPFIDSTDLTSLQSVYNPTSLAVHLDES SMPCGEAEIPTRQDSNFPRTPNLKSLMSGCNDPSAYQPWILTSSTVGEQMSSQALPHS PGPQHCSTPLPAETRPRWTTALHMAVSQGNFSVMRLLLSYGADPNAVNSEGATALHVG VMNGNYTMVAELLQRGADPTLTNAAGWLPLHQAVHAGDEGCVRVLLEADQPVDYPISD LDYT AFLA_023010 MRFRQQVETCLNYWPAEGPVQRELILGTVGAYRRPIDSRPVLIQ DVRGQEGTFTLDIHGFQFIKHISQHVASFDEASVLKDNMTALEAEHLLKTRWAIVNIW RPLKPVPRDPLAVSDARSFHDKDLLEIYGRVPGRQAKKDYDAATKGSGFGMLYGKYSP GQQWFYMSDMKPDEALLIKCYDSKDDGRTARRTPHTAFVDPRTRDVKVARESLELRCL VFFEDQPLA AFLA_023020 MSIFSSISSLGLEACYRHHVRTSPNATAVVDGDQSMTYRELETR VNDLASILGRENIEEEEPIGILVPMGIAHVVAQAAVLRLGGSCVPMDLSFPDQRINDL LRALKTRIVLTVESEKARFAEFQTILVDSKYANLHQNGYHEDTIPAVETGRNHRTHIL HTSGTTGLPKPVEIMSKGITRMAFNTQCVEFKSTDRVAQISAPSFDAALFEIWTTLAR GAAIVLLPKNVVIDPVALHDSLRKYRITSILVTTALLNHVVSAIPNAFEDLDYVLTGG EAANPSVMQVILENGPPKKLVHAYGPTECTIITTYHLTTLEEVRRGQTPIGRPLDNTT VYILDDNLQPVKEGIVGELYIGGDAVARGYLGRPEANAKSFLEVSHLSKDGSPVRIYR SGDLVRMLDTGAIEFVARADNMVKIRGFRIEPAEIEGALLKSEMVQGTVVLPVHRPGK ETYIVAFVIPKHDGAFSLEQLDEYLRRRLPAYMMPRLEAVASLPLTVHGKIDRVAVMK KHMEETKRAEQQVLISSNVKDAGDSVTWLRTLWTSVLGISNIDNEASFFHLGGSSLQA AALLVHIRRRFGLTLTMQQIYDSPTLLGLASVIDAGHAKSKVDHSRLGIFIADSQLAK DIPVLSKEAPDWRSPSEGKVFLTGATGFLGTYFLRELIDRPDVRSVKCLVRASDAHSA RKRLLGALDKYGLGWADNLDKVTAIAGDLGKDLFGLSETEFHELALWTSVIFHVGAHV NYVQPYEKHRNTNVYGTLNCIKLATTGRTKALHYTSTAAVTGPVSHFTGADKIPEDVD LGEFQGWLPYDIGYTQSKWVSEQLIHSMIAKGLPAIVFRPGFIMGDSLRGKGNCDDFM CRVFIGSIKLGYRPILPNQSKIMIPVDFITTALLHITSNPYNFGRTFHLVPQTPEEDT DIETSWNMLKELGYDLKAVEYKDWLEILSKDKDLLTNPLLPMLPVLQEPVRKHLTRWE LYEDMATYDVTNTRRSLADRGKLKSGIGLEDLRRHVEDWVARGLVPSRN AFLA_023030 MGFFIQHGLTKPEILYPFLFGIFAVASLCIATLLFPASFSAASR VISWVLSIYLEVKNPIRHTETGRNIPGPSYVWPNGQGDIEKYVQGRSRSEQWQRKYGN VYRIWAGMTPEVVLTRPEQLHAIFKDSDKHTKATNSDSGYFMSRILGQCLGLMAGPRW KLLKGIAAPPFMHPTAVRSIGRIQEHVRAHFHDLETNGNLREGRIHPVQDLKMLPFFI VAEANYGSLTPAMKSELDSLAPARENLMKFVLFGGLARFNISRFFPTEANRQLRRFRS QWRAFNRAAYERAREKHPSAMVVQMYDAVHKGVLTEEQVAQTMDETLYANLDVTTGGL SWNLVFLAANPACQARLHEEISALTPAEEEGYISRNGTYLAACVLESSRLRPALPFTI PQSAPTERVVDGYRIPAGTNYVVDTWGLNVRDEFWAPDNSTYRPERFLNSSNTDLRYH FWRFGFGPRQCIGRYTADVVIRAILLHLVKHYELQMLEEGDFTQDPECWITHPDLQVK CVRRT AFLA_023040 MILVSDNQFLHLGALAAWHDRATEHDLYDVQQLSVENFRHPPEL SPFLYFTHMTMERSCTQCHQRKVRCSKTLPCSACVRLGATCRFPTTDANAHRPRRVQK ITITDRIAQLERSLTILANSAGPLQGPENPNVRDTSTTLLPEPPQSPNRAQEVLVPDG ASTRYINETFLSQILDKERALYKVIGTPRETEEITSGLRPEGLLASSRRPTNWSNELE LSRWQSAQLWQIYRNNVDPVVKILHLPTVEPLVYATMNGEGSDDCRALLFAIYFAAVT SLSEVDAANLLGRDRRSCLLDFQARIEKVVIDAACLDAPTMLSLQALAIYIPVPSLRN RTDFYYETCLRAHRTSRSGWIMNGVLIRAAQSIGLHRDGTHFHLTPFDAEVRRRLWWQ IIVLDYRAAEDHGLAVHGFGSRSDTRLPLHVNDSDLSPELRILPGPRAKWTEMSLFLL TSEIAIAFQKVYHTTVDHTDNAQRLQTVQELTAYLESTYLCHCDTNIPIQKVAWLSTR SLLSKFEFFVYQLSLNNEQSQEAVSSAAERTLISACACLEQSMELQTDDLLRGFRWLF ASYNQFHCLMYVLWHLCAQPTGPHVVRVWNIVDLVFNVTENDPTRPDPGPTWKVLQHL REKAAQRRGNVAMSPPTQAHGPSDERVPESRTAEQHSGQELEGTGDGFGDLLAPPLDP SSLTEWINLSENLGMYRFEP AFLA_023050 MDRSRTSSQGRDVLPPRGDEGRISPSLDKEKSPGPEDQPDAPPD GGLTAWLVVVGAWCTSFCSFGWVNSVGIFQNYYESHLLKHLSSSTISWIPSLQIFFMF AMGPIVGKLYDTFGARYLIIGGTFFHVFGLMMASISTQYYQLLLSQGICSAIGAAAIF QPALSAVSAWFNRKRGIAFATLSTGSSVGGVIFPIMVDRLIAKVGFGWSMRISAFMIL FLLGIAIVTVKARRPPPQGPKPSGVQLLQPFKEPVFIVTLLGYMLLTYGVFIPINYVI VQAVASGMNADLASYLVPMLNGASLFGRLGAGFMSDRYGRYNIFIVMCIVAGVLVLAL WIPATSNAPIIVFATLFGFASGAYVSLSPALIAQISPLKEVGYRTGLLFLFASVGGLT TSPIAGAILQNAGGDYTHMKIFSGVMLLGGTAFIITARIVGTGLKLVVKY AFLA_023060 MSPTITRPLIGQLCPLTNVGQIAETFSSEEVSFVETVDPLRHAS KGGHHDDDNLPQGTLNNIWRGNPVIAWAALLRIAHKHFR AFLA_023070 MASTYPDGIFDNLGKFYASVAIVWTVALLVGSVFLVLHRHEQCI RIRNLPLALSAVSCLHIYWILCMLAYTMAGAYPCGVEYWIMSIYLPLGIALFQANSMQ LLSVSGIQEKMLHTAHQPQRASYSTGSKGPNEYLRQWKQMNLVHRTEVAIAVGMAVQL CLSLSIYLASRKFHGFGSFSEHGSLAECRRGPEWIPSILWQLFWSWIFAPCILWKIRK IREIHYWRLQITICVIAALPGSPLWFIALNSTAEPWITINRYWVPALWFAPGIIAMEG VTIFFPCYELIVSRKQRDRILGEIRAWNEKKGRDSESDSGTSRSHAGSSRTNELYTIK ALEKCLSEDSHALLRFAAVKEFSGENIIFLNYVRDWKATWARINAKNPEYDWHRDPQY HRLYFFKIAVEIYSACVNLKTAEFPINVESRIYSGLTTMFGEAVQCSGRRVSRGAATH MEEDTRALCLDENPYVSQSIMQIKSRVPDSVVVPSNFGISVFDEAEKSILALVFTNTW PKFIDSSSDDLSITF AFLA_023080 MSPTHSSKPSIATSVDTYLSSDTTGDWKRYSANVDLEAQQPPRK CFASLRYLLLTIYRRLFTLIYCANAVAFVIIMVQRRDKLLAFVNAAAVNLLICGLARH YLVVNAIFVSICRIPQSTPLWLRKIAAKAYHYGGVHSGCGVASFLWYVGLVALISREY WMFPGSGTVSLPVVILAYVLLADLMAIIVVAHPTFRTKLHDYFELTHRFGAWLAVALF LTLLVVFSDQARHAEGVSLGRYLIKLPAFWIVLVIVATIVHPWLMLRRVKVWPEYLSP HAARLHLDHTSTAFGKVIALSKHPLRTWHSFATFPDPDGKSFSCIVSKAGDWTTRCID QQPTYLWKRGTLMYGFIHVMRVFRRVVIIATGSGIGPCLSWLSEKNRPPLRVLWQTRN PGRTYGSDVLNLVRQLDPNPLIIDTNSSGRVDMVPMARELVREFDAEAVCVISNAVLT KKVVFQLEASGVPAFGPIFDS AFLA_023090 MPEASTPLDCGNAFRSASSPTQQVNHAVAVTDNPVAACANGSVP GNLKAGFLDSTGRSVAGIRASAFVGKQHETRAVLGQIYTWKCGGGEGVIATDVMRKYL ANGEDPANMSLRRLMDATPAEQIRHASRHEV AFLA_023100 MDYPLNHDSAVSCCLRIAGTTALCLGIVGPVSGNWCWIESQYLG LRYALGHGWRIAIVLITALTYIVVFIVVKRRYEHLSLFPNGDTSSGRDKSRSTEGDPV ELSSIRLDTTITVQSSDVFHNPASTGGVSDSPSRDQLSSQVPLSAVKSLPSKYDTTST ERRLRYADTPGRRGDSTEQRDKKIRYAEVRRVMLLNGYPAFYVLLWIPGLLNRLLESL GHKVRWLQILQASTQFIGLANAFTYSYNEGFRRQIRSLIARQRRSYHEQL AFLA_023110 MAQNLNVTPDVQIEPLFRQAFNYADKSNTLRLEDWLSILTLCLS PLIAHVLSGVPTVVRRCPSPPTWLDTLCLYNPTTILWRYLAIVDRRVRYRHHWDAADM AATNALFWTSHGFDGSEDMMRQSRTFCTRIPHHHRTEVWSIDSIKTVIITLQGIQALV VLVRGVMALANIGNQPFNATISMSTIFYPLAVLGLLRLFAAPWLTENYTYNEHETYES SRILAQHIMHIPSSDGASYAAVRTSPDTKNAPPSNTSLLPRSSMAMRDPSPDVYARPK GFHLPAQAVAVAVRCCYLGLMTAILAICVCYMIPYNGALMILTQPASSSVLWLLIVMY IVFILVSIILFVTYLIRCGRDTTTVIPCLRTWWYRAYTLIFITAAIALIVLSGVYTRR TSCGQLTVFPAEFDQEVCNGTPMQVDKGIGPFGIVTQAPGLTPETWILPLEGWCSGTL TGEILPVVSVS AFLA_023120 MNGTAGEGKQSWHDGPNTPPDDSMTPYFVAKDYGPKYLNTDIGY KVIQPLATPTQTEGNFTMGTIIMSSKLTNESVSTATLPHHFALQMEEGQLAFQVQGYE LVHLLHGDVAFVPAGVSFSYYATVPYTKFMYMNAGSKGLDYQLLRRSVSWDFPAYPIS PGFKANITGSKFT AFLA_023130 MLSIILLTPFAATNGLPSNSSIIVDTAPNSVRPYVLPKYKGDAI KLTSSQTIRFSITANSSDGAFSLLQHNGKASGWLSARPHTHGVTHEHLYCTRSIHTFQ LVDPDSQLTHVFHPGGFEKLFKVFSQGDHDTHGISAPYVPIPDDPSPFGPMTPREYNL LVS AFLA_023140 MSGVVVDPQGLLSVIVDAGAAFDTQGQDISGDNFLVKVDPSRGQ VLWRKNLTEVTNGVYGGYQDAAHDTRGNTFVVGTFPASIVKVGPNGSTAIPWYLQPQP NQTVPGLSGLAVKGDILLGTDGSDGQLYRFNMTDEMGHKIHVPLRGRNATRIGNSLDG ILLPKQFNGTVLLVSDTTDGTIVLRSADGLWTSAEMVGTVPNLYASQNGFSVDNVEIG GSLYSVTEFFLDEKVPGTLAGNRAQFPLVDITQQVLRLLK AFLA_023150 MRESLQTFIFSILLLGCNIAPAHARIPASAFRSGRLRSYNDTPI YNPGIHHSPSTDVLGNLENHGSSVQDAPKAPTLSSDAAKPGCPSLTGNITVNAYQLYP EHADFDDNDCLVYLRYAFL AFLA_023160 MDELNEDIERHDEPPATAATGSTDTDAVSQDKTTNGRPKSVLPS WRLDQGVDVNMKKDAGETALSQAAANGFADVVRFLVNHGAHIDIQNRKHEPPLAQAAK YGHEEVVRVLLEQRVDVNRINQNDDKDMTAVHTAAEHGQDEVVRLLLANGAHIDVKDF LEHDTPA AFLA_023170 MVLPQEQFFLSKARRPFLLRRDTSDIHVDDGGNETHGQRRIVLS PLPSSDPNEPLNWSTARKACNFTLVLAVTCLIFTALSIQQIFWQLMVVDLNVTYAQLN NAMSVNFVGLAMGCVFFIPFAKKFGRRPVYLISTALMLVTSFWAAEIKTIAELYITNL LQGLAGATNEAIVQITIADLFFVHHRGGMNALYMTMMMIGSFLTPMAAGAQATRQGWR WSYRTMGIFNAVIFVLFIVFYEESKYTPTIEGVTPTARPTDGAQQIPADESGNKSLVK AATRAEEHSISGPPLDHIIPMKTWAQRLSLVTYTQEPIWPYFYRPIEILFTFPAVLCC GLQYACGVIWLTILSSVIALVFPLPPYEFTPEQIGYMSVGPFIGNLIGSFYGGFLGDW SIKYFSGRNSGYYEPEMRLYILHLPAVALCGGLIMFGVTIDRGMHWILPSIAGALFGF GLGSISDACLTLVIDSYMEITGDAFTGVAFLRNAFSIGIPFAISPWMEHSGLTKMFVA CGFISLGVTLTLLGMVMYGKRIRRATAKRYHQMASKSG AFLA_023180 MVLAVKLWFLSWFDRVSDHGADEKPLQRVKILLDAHDRALKMIT TRYNVKDPWYLEVVAVHPSLQGRGLGKVMMERILDYVGHAPIVLECTAERNVGFYTTL GFEVIEKVELSDSGEAVSCWFMLRRATRKGNSSSQ AFLA_023190 MHGMPWSMHLHGMFGLLQAHGLYGPGERTEFRTHLLEVMGVMDL PTFTVGRSTSLGFWRQHCCNRASLQHPLGDEVEVVSGLPRSLLDIMSCIGHGATEEDF WNWPGSPGSLTQHQLWEAYRLAGMLAIRYGHLLLVPQSSGTLTGSTAQANEASSRPLI LPSTTVIISRIVSHLDALRRAFTETEGVGSLVFNAIKYPAFIAGLQADIINAQPELKD VLRCCLSIHYHPHGFERDFELLLEVLEEWWSHHHDMTNAHELAFARGMEIGLL AFLA_023200 MSFRSPISKCSTILPSLGRLEGFQYSNGVQQYCGIPYASLEKRW TRSQLMTQWPNDYHDGTKLGSDCPRPRIEGDDSDDLVPVPPTGHFGEPQTDELSGLVM NIVLPCAPGSQRFPVMVYVHGGSLLYGGANLPIFDGVNLVSHSMEIGMPIVYVNFNYR VGLGGFLASAAIQQELQRDGHQGCGNFGFTDQQVAFEWVQRYIGDLGGNPDRVTAVGE SAGGISISNQMLAAHPPPFHRAVCMSGLSVSIPAWTMEQHEELFRAVCRHFNIDPPQS DVLDRLRRIPQQELANATPIIQGVLSGTGNPCLDGWFYDRDPREIHEPPQWIESLMLG DTYHEGVIFHLNLLNDSFASIREILSQHMPLAVTDKVLAEYEIQPDLPQDVLLSRVEH MCGDAIFKIPNYATALASVRLSREKAVFEYHFDQRSRLKNALEGTAYHAHELLYLFGN LDNELSTEERAMARSFAESWVKFTYGYSPWEGSKRHWKVWGPESVQAVKHEDEDEEAR SYSRMKRMLAMDHGETWKRWLNGVDALVNKRMNMGKNYHP AFLA_023210 MAFVQALLADPGIPIKDRQDALHRVFADPGIPGPLRESTSSFWF KDPHPQLANMQSPELPQEADVVIIGSGITGASIARTLLDSSDQLGHIGKKTPAVVMLE ARDTCTGATGRNGGHILETVEEFADWEALYGLEAAKALTRFRLAHLAEILKVADQYGL TKETQARKVEFLSVHFDEERWRQAAQCIQRFKVCMPVESAEWKLFEKSEIPKEWCLPH AIGVVAGPAGALWPYKLVTGVLEKLRQKNPGNFLIETNTPVTQVSTDDTSSLRYAMQT PRGILRARHVIHCTNAHVGHLVPELRGHVYPVRGQMSAQNPGSKFICQATEHSWLFNY ERGFDYLTQLPPAGESSGMMMLGGGFAQGRNNGLADLGIPTDSELSLSCDIHLSGALS AVFGRENWGHVAGPSVEQMWTGNMGFSSDGLPWVGQLPSSVNYAAGTTKQDGAQWVCC GFSGEGMVQAWLSGTAVAKMLLSSDRKHHLRPDYLSWFPEQMLLTEERLEKAGLTRVV SDRASNL AFLA_023220 MTLSKPADSPGNGFYPNSPPMRRPGLSFIYRLECNIEPEEVNVG APHRAGVIRSVANIAGGSFRGPSISGTVLPGGADWATVIEGTHSMTLDAHYTIKTDDG CYLYVRAHGLYRPGPGTEYAKQVEKDPALRPPPTVTQDDVEFFSHLRIETGPGKYNWL NGLVCVGVMSCENDRILIDAYHLTNFEDSKPEDVMAHRNAC AFLA_023230 MRSNLALRPFGYINCLGPGLVSSHHSHRPTEMAENTATKGNWET RVAEKRKQLELQIPQDWRLNAAFLSTLPSNGHLIEANIPRHSGLLSEEELDLTEHYTA AQLLQKLAWGEVTSLAVTTAFCKRAAIAQQLTSCLTEHFFDRALERAQYLDDYLKREK RVIGPLHGLPISLKDSFCIKGIQSTVGYVSFLENPPAETNSALVDLLLDLGAVLYVKT NIPQTMMVSDSENNIYGRTLNPHNTNLTAGGSSGGEGALVAFRGSILGVGTDIAGSIR IPSLCCGVYGFKPTADRIPFGGQVSGAIEGVPGIKPAAGPLAQSLDDIELFMSTVLKA EPWRYDVTTIGSPWVSALRLPSLLTIGVLGEDPDFPMHPPVRRAMESAIAALAKKGHR IVRLGHEPSRGVAYASRLAFQYFTYGPHVDHIAASGEPLVASVAKLANPLFTGPFPVD QELGIFEKIDGLHNARKAYAEEWRRTWVQHDIDVLLTPGAQNTATPHDTYGWPPYTVI WNLLDYPACIVPYSKASKALDPEPMPVHDGVQPSYEPDSVNGASCALQIVTPRHQDEK CLLFARLIDKDIR AFLA_023240 MAKLIIPSFMGGLTLALAANTYCTIATQLADHDMKNLTQKVTIE ARVPLARIGNPEDTGRASWSARKGVDM AFLA_023250 MFSTILTSVLALASSVTARSVETRSLTPRSITPPEGCPIPTWTV DNFRWFNGSHSLDCIHSEVDKNTKGCLCGRDWCEPNPDTCNGTMVNVCYTGMPNYQPW GYGPPQTLAIDFEDGLHCGDTYIGYRIHDIAHGESNCGYADRGLGRIVSFYGSSNEAT STGHMDYVLGSGHALECANGSKITYSGSTDFTLNCVHDEFFNATCTAEPFEVPVLSYS WVN AFLA_023260 MEQQSYHRDLLHDLLKVMRSVDESHARGLFDLLRADSSVEELRS YIDKTLSEVRVSDHDGETIRSLERLWEKVDVSSGAPPSRPTVMDLNYLCDVTPFRVPA KPWTTVTNDDNLVSHLVSLYFTWDYPFYAFVDQKAFIRHMTLGNVDSDLCSPFLVNAV LANACYYSQYSEAYVVPGDVASKGRNFLAEAERYLPSHQLEKGGGVRLASLQGALLLY ERYAMSGEDDLGYTMLNIAIEMAEALGIINREPLDLSKLQLSDEMITSVKRTAWGVFQ VDTVVHTNFLKPSRVTSVSLDRIDPNESGAGDLWVPYPTDKRPRQSWLSQYFDEACKL SFIARDISHHLYHETTTGTDQYREKQVFYNKLRQWERALPDYFRAFRRPPPHVLLLRM RYHVLIIGLARDGFGVQSFFLGSEEQRRKMDESANAMSLASAREISALARIHRQEYGM ERAHQFATYAMMLALFTMLDDPSFDVVDHDFLSLTSAFSITASRSQVGRHLFHIFRES VRSRNQEERVLQSDAISDEVKELFGRHPSSQLPDRWGNYADGLERYRGSFSSGSWNYT ASGVRDMLEKYERLSLGNHDNTHPRRASSPNDGWET AFLA_023270 MVYDPNRDEAMAQSKERLESSDYAERPPTSDTTDSTSTTSSVDY DPQLHTLRSRATEVDLERHTTRASDALSRIHTQRLQHALTVGESVKSHPSKAPLPPFG AGKPYPPPLPAREDYVVEFDGKDDPLYPQNWPLKRKVYISAILAFTSICSTFDSAIFS SSSKSVANAFGVGLEVSTLSSSLYIIGYASGPLIWAPMSELQGRRLPIVIAMMGFGIF NIAVAVAKDLQTLMISRFFCGIFGSCPLAVVAAVFSDIYDNRTRGVAIAVFSSTVFLG PLIAPFIGGFINMSYLGWRYTAYIPAFMGFFAFALNVFFLKESYPPVILISKASELRR RTKNWGIHAKQEEIEVDLKDLIINNFSRPLRLLINEPLILAVTVYLSFIYGLLYCFLT AYTLVFQGVHGMNAGVGGLPLFGMVVGLFIAAGYIILSSGSYNKKLEANGGIPVPEWR LPPVILAGALFAAGLFWFGWTGFTDSIHWIVPTLSGLFTGFGLLIIFIQLFNYLIDTY LMFAASAIAANTFVRSLVASSFPLFSRQMFNNMGIQWASTLLGCLAAILVPIPVAFFF FGKRLRMKSKYAPIYEKAEEPMSDENEIAAETNGPLRE AFLA_023280 MKLSLILAACATLAAAAVTESTAFFAATENMSFPKDICDVTDFI HSHPGGSDLLQDAIGKDATDLFSSSKHSTNARLLLLSMQVAVAETSSAFKVEWWQGFE REEWNVSPVD AFLA_023290 MVTHTEPQEDLERPLLADSPEPSYEAIVAPTGASDAAPRATFRR NLGAVEAFGIVISIVIGSGVFTSPGAIDTNVPSPGAALIVWLVGGLLAWTGATTMAEL GTAISGEGGVQPYLQYAFGDIFGFLAAWTWIIAVMPATLAILSIVFIESIYSAAGITD QAASIQHKLLSILVLIAIGVANSISTKVSTRLSSFFVTTKFVTITGIVIAGLLVVIVH LSSTHWDGPATDWYSKSCGKSDAPINAIVLSTALPIFYILFGDFRALLTFNGLGEYTF FLLTVLGAIILRFREPCLRRPYKPSIIIPITFAIVSGFVVVRGAIFAPVQALVLLSLW IIGVGYYEVRKSWAVDRNA AFLA_023300 MHLLHTILFLLPLASWAVPSAPSSNLNKRSITCLKVGASATATW TNSAGQTCSFVGVVGSNYGANSAGDGDDPNCGDAYNAAVDDTAGGLIAGCSQTNPSNS VSPPNSSPVCS AFLA_023310 MAQVSANKYLITFLLNQSIIFRGYKPDLILKMKFSIPSALLVTA TLSSVGNAAFPNVPETCLEIPQVLGQKPFKLMQYFHTQVCEKANCTTTINQHNQYLHN KVLPQLIQDVNTKLGVSASEQALYNQTSTQIIAAVQKGCAAEGNKPLCNNPEGLFNYG VCAFKASQPILEKQVKQLSSSVQLTEEKCRKIKQLDSNEAAWSKTLPGYIDRFAALCE KDN AFLA_023320 MGVIDFIKDIIRPGNGVDYPKAGDMVTVHYHGYLYDPTRSWNRG RRFDSSIKRGIPFTFQIGMGTVIKGWEVGILGMSLGEKALLTFGPHYGYGARGAPPFI PGNSTLVL AFLA_023330 MKLYLYHAVLLTAPLVTAKKTNLQWAICDSDPQTVLQKLGLGTP EPYKENPITYYDTSPPVYISDGLMFRTKTSKGEDISTVKVRLQQESSDVPDSAECVWD RYGESPTYTCEKRCPLRESTIWCDQQIELAEHYEKVNWEELTNYGPYPNAKWKIRIEG YKAKFDDVAAGSLHLMEIEAKVPKEKADKAYEEVTQHLESLGIVLCDPQEGKTARLFR AMGYTKDERDDL AFLA_023340 MYRFLVSLLGLVAGTTYAAPVPEVSSMNAVRNGPPPGCLTVGPA GQHHTVGAALSALGSSPSPACIYIAQGTYKEKVVINYKGALTLYGETSDTSSYKGNAV TITHTISSDDAGTLDDSATLQVKSNDFNMYNINVVNGYGPGKQALALSADANHLGFYG CQFRGYQDTVFTKTGTQFFSKCLIQGSVDYIFGGGSAWFHQCDIRSTAPGYITASGRE KADNSWLAFDHCTISGASGVDLKEKVFLGRPWRPLARVIYQNSDLSDVVSPKGWSPMA KGATPLFYEFKNTGAGSNTSKREFLSPIAAAVTRESVLGNDAAQWALFTFLNICCIIG TENGVGHKIQDFTSLHTLEKAMLWWWLGQMLYIWSSAVAKVSIALALIRLTVRRMHLV ILWTVIAVVIAIGLMFWLVLLFDCNPVSYFWLRINPMNTGTCLSTDILLAIAYLYSAL TIFCDFTLGIFPIFLIWNLQMNGRTKAALGGILSMGAIASVAVVIRLPFLQNYKDTDF LYSTYQIAIWSVMETGLAIVAGSLITLRPLFRWFLDGTVSYPQNEHRGKYPLSSLTGN TSKTAGSRDPRYWRPDITSDESPTFVVTSVSSPHRLNANDNSSQEVLYPVSDPWLPPN SVNVHKTFRVGEEEA AFLA_023350 MKLSAALSTLAALQPAVGAAVQNRASDVADLEHYWSYGHSEPVY PTPETKGLGDWEEAFTKARSLVAQMTDKEKNNITYGYSSTANGCGGTSGGVPRLGFPG ICLQDAGNGVRGTDMVNSYASGVHVGASWNRDLTYSRAQYMGAEFKRKGVNVALGPVA GPIGRIARGGRNWEGFSNDPYLSGALTGDTVRGLQESVIACVKHLIGNEQEAHRSTPS KLANSRNQSSSSNLDDKTMHELYLWPFQDAVKAGAGSVMCSYNRINNSYGCQNSKAMN GLLKGELGFQGFVVSDWGAQHTGIASAAAGLDMAMPSSSYWENGTLALAVKNESLSST RLDDMATRIVATWYKYAEIENPGHGLPYSLLAPHNLTDARDPKSKSTILQGAVEGHVL VKNTNNALPLKKPQFLSLFGYDAVAAARNTMDDLDWNIWSMGYDNSLTYPNGSAVDAM MLKYIFLSSANPSASGPGVALNGTTITGGGSGASTASYIDAPFNAFQRQAYDDDTFLA WDFASQSPLVNPASDACIVFINEQSSEGWDRPYLADPYSDTLVQNVASQCSNTMVVIH NAGVRLVDRWIENDNITAVIYAHLPGQDSGRALVEVMYGKQSPSGRLPYTVAKNESDY GSLLNPVIQSGTDDIYYPQDNFTEGVYIDYKAFVAANITPRYEFGYGLTYSTFDYSDL KVSTSSNVSTSYLAPGTTVAEGGLPSLWDIIATVTCTVSNTGSVAAAEVAQLYIGIPG GPAKVLRGFEKQLIEPGQQVQVTFDLTRRDLSTWDTEKQNWGLQAGSYALYVGKSVLD IQLTGSLSL AFLA_023360 MDLAVAMLETTNMGTDYRYGDGKTGDATNFGIFKQNWYMLRTSA SEFLGQSVNDVRNGEILNKDLGKDIKARHDGEAKYGFDVWFAGHRNGASGVQNPNTAD IKRYRDAVQWIKSQIESDKKYESDNTRFWVDVTPI AFLA_023370 MRTIIVSLFVVVLASAIPAPIDIPNLLNPVSPASPASPVNQANP VNPVNPVKNPVGPKKVVPGTGFMVRTKRFIPGVSQLIYQLPAENIANLAKKCSTTKRI CSSTEGKNNWTVECSTEVRTLLQLFIYHKVYVY AFLA_023380 MRTEFSSATSSGGMSHTSAKSYSDLPSFLQPLRIEIDTLYDLSY RLSLTYKKLAASPEHFFPTPITRLPTGLETGRYLAVYVGLSYLRVAFIDLLGDQQRVR RTLEKAWPIEEHLRRDRAPDLFTFIGDCIADVVRDSLNSPSEEVPRELTTGISFCFPI RQKCLNEAILMPTGKGFALKTDLNLHQALLDGYERHTWSPGEDEQRMPVKRRKLFNLP KLRIAAMTNDTSSTLCSLAYTIHSFPNTRAVMGFIVGAGSNATVPIKIADLHERKIQH IREKDPSAQEALVSTEWTLSSASAPIFELNLRTKWDTELDKHCQRPGFQPLELMVGGR YVGELVRLIAFDWFHGVLGIPRSALPANLVAEYSLSTDFLSLKVACNQSDERLAMELS HELPPPSMSDWRWTPDSSRDLRVIASYVQDRATSLVAAVIVGLLVCAGEIALQPPHNH RDLSAPTASNGKARTDESTTRWKNGPEELAVAVSGGVMQHYPYYKEKTQRYIDQLLIR AGPQEGGKSIFLRDVNDGGLIGTGILAGTTAGEIGGIIGSTFEVSSFNSI AFLA_023390 MHLAIKSLFISLLGASVLASPLPSNALVERNAPLNEFLSALLSH LPAIDGTIDAVSGVITDFDQLLADLTGARTTQNGYIGVCTDYTVLFARGTSEPGNVGV LVGPPLSEAFEQAVGAKALSFQGVNGYNADVAGYLAGGDAAGSKSMASLASEVLSKCP DTKLVMSGYSQGCQIVHNAVEQLPAADASKISSVLLFGDPYAGKAFPNVDASRVHTVC HAGDTICNNSVVILPPHLTYAVDVTNAVQFAVAAAN AFLA_023400 MSSKALDVAASSCPRADVERSKQPHGYDDAIKIFNGESGEIDVE FTDKEASVVRWKIDLIIVPMMTVSYILSFIDKGILSTAAVYGLRTDLNLKGQQYSWTS SIFYFGYLFWQYPNSIFMQKLPIGKWIGSMIFMWGLCVATTAASTNFATLAVNRFFLG LFEASNNPAFTLLVSQYFTKNEHALRSCIWWAGGPIGAFIGDGVSNGIGHVHGKLSQW QYLYLIFGPITMLWGIIVFFTMPSSPMSAWFLTPRERKIAVVRVLHNHAGLHNRQYKV YQVKEALRDPQAWMLFSIVFLQCIPGGGLNAFNKIILTGLGFSSVESTVVAMPEHAVQ LVSVLLAGIVCSWIGKGRCIAMILSNVCVLVGSVLLYTLPTDRKMSRLGAVYSLLTCT VSYIMCMSLISSNIAGFTKKMTVSVMVFVGYCVGQIITPQFFLSHEAPTYPTGFRAYF VTSSMMISVEAALMFYLLNENKRRDKRALESAASQSTGEHRIVETDLLDLTDWERAQF RYAW AFLA_023410 MRGVADGAGVDLEDIILLNARYDLSHISNPSTTCDDPTRSLHQL TSEAEDKPYYPSYPRASSECTSAIILSEATGNGEVYTAQNWDMSDRLYKEDGIIYLEV HPHPSENLPSLFLVTEAGQLCRSGMNSDGLGLCANSLCSSIDAIPFSLDTGVGKGEMP AIPPSSALRRLFLESPSYADGLKRVARTPRHVSCNLMLTTADNMGICLEITPRIIFRI SGSAGSDTPYILHSNHFKTQSFLCQSEIQDTLAGGSSWYRADRLEVGIRRKALIGFLT ESDIMNAFKDHAGYPHSLCEHSARKATEGPFVQPGRPNPYSGSTCTVSCVIYNLTKRS IKVCKGPPCRGNFQEFALKRAFESRR AFLA_023420 MFVQLTTEACTWAGVSHMGADMGEEYASLLQYTRSLESKVAELE GRAQRNITPASEHQVTSVTAELSTNVALLSMNATAEPFFVGATAGFSVSKMVEGILSQ SPFPHPALDSIASSSMQATNDVCPPTNNHTRPDPQVERKLVDIFFSRVHPRYPFLDRF SFEEFYQSYQLSKDTSRVSPSKPFHLHMVLAISARIMQLHPEMGGNINPEFYYSNATR YVDEALQVPGLERIQSLLLLALYILRTPGSISNLGGWHIIGFAVRYAVELGMHRNIKC GGPRAQDPYRIEIRRRVFWSAYVLDRAVSLTLGRPFALSENDIDVDLPVALEQSPVSG KEIQYDQSSAALTETKTSNLSSFVHLCRLRRLESRIKQELYSASSPATMPDYTYDTII DSYRQELLEWLNNIPFFTPDGKLQEGYSLYDTPEFFRIQYSKALRMLLQHRITHFTSE TNSPKDKEYLALSARAAGDICQYYRTLHQRRPLGWNFLALHSIFTAGLTLLYCIWAER EHADLARFEDIRSCSNVLFAISERWPTATKFRDIFEVLAKRMVDLVSLSSVPHSGLDD APFLPDARISPAGALQESTGFPAMNQDDFWAMLDELVDDDYIRSQFQLNGVESSWGLF DS AFLA_023430 MTSSMSGVCLPRQIRRIIPGCLAAILFVSYLSMTDTFSELSDGL SYRSCETHRFFPKKIWQSWKVAPFEFEERDLTVARSWTSKNPGYRYEVLTDQNDLYYV ETHFGPDGFNRPDIVDTYRTLTAQIIKADLLRYLIMYVDGGVWADIDVEALRPIDRFI PERYDEHDIDMVIGVEIDEPGFNDHPILGQKSQSFCQWTFMAKPRQPVMMRLVNHILE WLNELSVKQGKPIGELELEFDDIISGTGPSAFTAAVLAEMSINAGHEVTWKTFHDIPE SKLVGGFLVLTVEAFAAGQGHSDSGNHNSRNALIKHHYHASGWPSKHPRHNHPVYGEV EQCNWNKECVAQWDANTAAFAALSPEEQEHQIALKRALDAEANPVQDQTAWINPDPDP EWREPEWQPEWQ AFLA_023440 MTSYHEDSAVGDIPKMRTVDLEGTLEKEYWKSRPTSPIRSLPTR AAQWCVDHLRPAFLTGIGGHNSHQSRRTAYLDGLRGFAAFLVYWGHHELWAHDGIGAE MIFENAYGYQKKHYFVAFPGVRLFFSGGHFAVSVFFVLSGYVLSAKPLSLIRAGDYLQ LGDNLASALFRRWLRLHIPVICTTFVYMTYLHLFRIHATPEIKSTYGEELWNWYVELK NFSFVFRTGGEPWFTYNFHSWSIPVEFRGSIVIYTALQAFSKCRPNARLLCELGLIFY FMYIADGWFCALFMSGMLLCDLDLRAARDELPDVFMMLEPFKEGIFYAMLFISMYLGG VPSRTWEEQFLRESPGWYYLSYLKPQAVFDFKWFYLFWAATFLVASISRIHWLKSFFE TPFNQYLGRISFAFYLVHGPVLWVLGDRLYAAVGWVRDSHETTCPGWINRFPLPKVGP LGLELNFFAPHFLLLPVTLWLAEIVTKFVDEPSVRFAQWCYRKTLAPANQS AFLA_023450 MSMTHTELYSVSTPDKKLFKIDFSGRQAINPSIIPHPEQPNTWI ITAQLHKPADKRKSSVWFAELVCDAVFRDGGRTLGCVEPPLILPIAATVGDSNNCLGD LSYFTLNIGPHDARVFYGPEAPYAVYGSNSQFTCFGQWIHDFRPLVDWKGDMDILSDN GFRQATELQRPLPYGAVEKNWFLFWDAAGQAYVHYDVAPNRVFARLELDGSVGPDLAP LAAAIDRQCLQRHLPALKDAELESIHQATNSLTITLCQRSDPLCQATDLNTFILTIFQ HKSFYAFHSVYEPYVMLFRRSAPFDVHGISTKPLWISGRGTSGHGKKPKALTAGESEA WDHTEMLYVTSISWKAQGNKYHGYLDDVMFIAFGREDEDTAGIDMSLVI AFLA_023460 MKWAFSSAVLALFATTVKAWPYEESLSAYNLNENKSATNPAQYW GEWPDHKGKYFPSPDNWRFPVYTLFMDRFVNGDPTNDNINGTLFEHDISSTQMRHGGD VAGLVDTLDYLQGMGIKAIYLAGTILMNQPWGSDGYSALDTTLLDQHFGDIATWRNAI DEIHKRGMYVIFDNTIATMGDLIGFEGHLNDTTPFSVKEHKALWKSNRRYVDFDIGND YNQTCDYPRFWYEDGYPVQQSMTEGLVGCYDSDFDQYGDIEAFGVFPDWQRQLAKFAS VQDRLREWHPSVRERLIRHSCMIIYQLDIDGFRYDKATQSTVDALGDMSMAYRECARA VGKENFFISGEITGGNTFGSIYLGRGRQPNQYPETAEKAMKMTNESESQYFLREAGHE AIDGAAFHYSTYRALTRFLGMDGNLAAGYDVPVDWVDAWNLMLQSNDFINPNTGKFDP RHMFGATNQDVFRWPTVEKGVERQLLGLYITTLLLPGIPLLLWGEEQAFYILDATASN YIYGRQAMSPATAWRDHGCFSLDSSQYYQWPIQAGREGCHDPTAAYDHRDPAHPVRNI IKHMYQLREDFPVLNDGYSVQKLSNLTEEVFYPGSNGTATETGLWSILRDVNADVQDL GSDAKNQPVWLVYHNTNRTIDFKFNCKDNETALISPFATGTKVRNLFYPYDEHTLIDG PVKLGLNGSTELNGCLANMTLDAYEFRAYVPSARFTKPRPMITQFTPGHDVPVRSTVA PNLDESVKIELYFSEEMDCDSVTKAISISSSTESKKVPTLDEKTVDCKGIPASNTSWT GQLPSVFMWAANLTGVYNGIHRVTVKNASSTNGNATTNAVDHFLFRIGQIDNPMVFTS ANYSTSLLHEESNGTLFIQHHAAGADKWRYSTNWGTTFSEWKDYTGGNDTITELEWSG TKKQRWKGHHVRVEYWSKWTGSSDYVQEGDAGVHSNVPRRFPHIFFNGPYNQYGYDGG LDNVVRQDSKDGLWKYHFTAEWPAQAQLNIWGMNPDGKPDQSWVLGDADNDSVLDRMP PSSLSATLINITEHPPKPYLAWNIYINDATMKFQLFPVGHQNTQIAMFVLFWIIPVIT GAACVYIFMKSFYKVKFNQIGVSEKATLIPLALRRKFKRNRGGDEERMNPLMRLANKS GFLQTNTAIGGAASGKRRMVLIATMEYDIEDWQIKIKIGGLGVMAQLMGKTLGHQDLI WVVPCVGGVEYPVDKPAEPMNVTILGNSYEVQVQYHVLNNITYVLLDAPVFRQQSKSE PYPARMDDLNSAIYYSAWNQCIAEACKRFPIDLYHINDYHGSLAPLYLLPDTVPACLS LHNAEFQGLWPMRTQKEKEEVCSVFNLDIDIVRRYVQFGEVFNLLHSGASYLRVHQQG FGAVGVSKKYGKRSYARYPIFWGLRKVGNLPNPDPSDVGEWSKEKAIGNADEVHVDPD YEAGRADLKRQAQEWAGLDVNPDADLMVFVGRWSMQKGVDLIADVMPAVLEARPNVQV ICVGPVIDLYGKFAALKLDHMMKVYPGRVFSRPEFTALPPYIFSGAEFALIPSRDEPF GLVAVEFGRKGALGIGARVGGLGQMPGWWYNVESTATSHLLYQFKLAIDAALNSKQET RAMMRARSAKQRFPVAQWVEDLEILQTTAIQVHNKELVKHNGRPFTPTGTTTPSGLMT QPASPLGTPGMQTPLAHSRESSYSNLNRLSEYVTQPKTSYSRDPSPSGTEKPKSGLQR QLSLGVRSGPGHQSRRGRARQRDSIPEHEDTQEAHGGAITDVEEESSDDDIVNHYADD EYTLTPAQVEEGRRLQAAQQQAGIRMPLSPGGRRYSQDSLHPRNVQPPSSPGTPPAAS QSLLPPPRLLDPGSRLIYRDKHGASPIGSFYDDNGSRMSGSDGPHSNDSEDDEFLLGK DYVPPTGLKKWMQIRIGDWPIYSLFLALGQIIAANSYQITLLTGEVGQTAEKLYGIAT TYLITSILWWLVFRYFKSVVCLSAPWFLYGIAFIFIGSAHFESNSFTRGWIQNVGSGF YAAASSSGSFFFALNFGDEGGAPVETWIFRACLIQGIQSAYVIALWYWGSTLSQAQSE GLLTPTNNISNSWKMSAICYPIAAALFGIGLLLTFGLPNYYRQTPGKVASFYKSVFRR KIVLWNFVAVILQNFFLSAPYGRNWQFLWTSHHAHHWQIVILCVVFYGFVWAGFLFVV SRYFKSHSWFLPVFACGLGAPRWAQIWWGVSGIGYYLPWVTGGYTGGALVSRSVWLWL GVLDSIQGLGFGIILLQTLTRMHMLFCLVCSQVLGSIATICARAFAPNNVGPGPVSPD PTFGGSAVANAWFWVALFCQLLVCAGYILFFRKEQLSKP AFLA_023470 MSGAQRGSHIFFEILWIISSQLRHLFSHSHFDPEKAGRGSDNIE TEWKFQNTVTIHG AFLA_023480 MVSSSSLGRFAVLVTSLVGSAVAATTAEWKSRSVYQTMTDRFAR TDGSTTAPCNTTQGLYCGGTWRGTIDKLDYIQGMGFDAVMISPIVENIEGRVSYGEAY HGYWPLDLYSLNSHFGTHQDLLDLSEALHSRGMYLMMDTVINNMAYMTNGKDPAKNID YSVFTPFNDSSYFHPYCKITDWNNYTNAQLCQTGDDKVALPDLFTEHEDVQQILEKWA KEIISTYSIDGLRIDAAKHVNPGFLKNFGDAIGAFMTGEVLQQEVDTICKYQNNYIGS VPNYPIYYSVLKAFTLGNTTDLANQVEIMKNSCDDVTALASFSENHDVARFASMTDDM ALAKNVLTFTILYDGVPMIYQGQEQHLDGPGTPDNREAIWLTKYNTDAELYKLIAKLN TIRKHAYKLDPNYVSLQTYPIFRGGSELGFRKGVEGRQVVMLLSTQGSNSSAYNLTLP VSFNGGVQVMDVLNCVNYTVNPQSELIVPMDKGEPRVFFPTSLMPGSGLCGYTTANVS FVELKTKGAAAAMSLGAKTTSRAAHGVLLSVLLSSLVAVLL AFLA_023490 MVSFFSRCFPKRRKGQQQQQQWKEIEEAARDLESRPSWNAPDNT LLLEAFEWHVPDDTCHWRRLQHALPGLKEIGIDNIWIPPGCKAMNSSGNGYDIYDLYD LGEFDQKGSRTTKWGSRRELEDLVEEAKSLGVGVYWDAVLNHKAGADYPERFQAVKVD PNRRNVEISKPTEIEGWVGFDFAGRGDQYSSMKYNWQHFNGVDWDESRRENAIFKIHA PGKDWAQDVGKDNGNYDYLMFANLDYSNPEVREDVLNWGTWITNELSLSGMRLDAAKH FSAGFQKEFIEHVRKTANKDLFVIGEYWSGNLKDLLGYLQQLDHSVTAVDVPLVVNLC RTSYTKGGDLRKIFKGTLVQSKPENALTFVSNHDTVPGQMLENPVAQYFKPLAYALVL LRKDGHPCVFYGDLYGTLGDKPLKRACKGKLPILTRARKLYAYGEQQDYFDQANCIGF VRYGNARHPSGMACIMSNGAAAEKRMYVGPKHANEQWTDIMQSHESVVTIDASGYGVF PVNGMSVSVWVNSAAPDRDILHQPL AFLA_023500 MRLSYAISLLPLAASVGALQVTSPKKGEDVDLSKSFTVKWDAVD TDPSSFDLYIVNNAVYPSVEQKIASDVDSSKGSYDVSGLSDLTNGKGYQINFLSNSAK NSGILAQSQQFNVEGSSESTSTASASESKTTTAATGTSTATTVSSSARASASASASAN STSSGSTPVSTGAGVSLAAPVSAAAGLLMGVLALNL AFLA_023510 MKIAITGARGTVGRAVVKAASEAGHATVQVDRTDTEYDGTPNSE MKTADTANDYKATLEAFRGCDAVIHLAAIPNPLDKGDDLVHNNNVNSAFNGFRAAAEL GIKKFCYASSVNAIGLEYATRPLKFDYFPIDEEAPERPTDSYALAKDEAEMQGKAFAR WFPGMNIACMRIHAVASRSEAQKGHAENWDSSAVKSLWGWVSAQATARACLLAVEKSE KLNGCEVFNIVAPTTTQDTPSQELAKKYYPDAEIRGDLSSNQSFFTTEKAKRILGWVH EEKE AFLA_023520 MSSSNAVLANATIREYRDLIRNQDDEFIKQMPKVEMHVHIEGTM TPELRWPLAVRHRIPIPNPRTGKYCRDLSELKGLYDLLDDLEQGGVEGGMLRFFELYY GGFDVLRDEEDFYLLAMNYFQRAARMNIRYCEPFFDPQGHTRRGVSLDTVMKGLQRAQ LEAAEKLNVQSQWIMCFLRDMSPESAMSHYIDALPYRDMIVGIGLDSLETDRPPLLFE DVFQRAREDGFKITCHCDVGAKDSLRHIAQVIDQLGGTGADRIDHGLHAADDPSLLAK VKEKDLGMTICPWGYLCYSGETQILERIRIIHDAGIKIAIGSDDPAYMEDVWLNNSLH MLRELCHFTDDDFVALQRYAIDICWASADVKREILAELQEYQKQAL AFLA_023530 MEEAQVFVRNDTVEVRVHNVPIPKPGAGQILIKVVATGTNPKDW KFPAWMENFNGANTGDDIAGYVHEVGEGVSGFQVGDRVAAYHDYTAPHGSYAEYAIGE DYATFHIPDNISFEQAATVPLAAMTASLALFSRLGLPEPWFKEKTWSQKPEGGVLIYG AASAVGTFAIKLLQKADIHPIICVAGRGKDFVRSHLDKSKGDVVIDYREGESAVVAAI RKASKQLRYALDAVSEKASFSVVSQVLDPDCGAMSVVSPVGPEECPEKIRVEFTDVGR AHRDEKEFAYVWSRFFTLGLREGWLTTHPLELVPGGLQGVQIALTNLKEGKASALKYV LRIKDN AFLA_023540 MTAQGDSPTPTRDGTSVASVEEKPPKADTNKSTSTPEHAGGLRS YIRIFSYADTVGWVLNVLALIGAIGAGSALPLMDVLFGKMITNFNNFATGSDSPDQFR SELNKFTLYFVYLFIGKFVLVYAWTLSLSVSAVRTTKSLRIAFLTHLLRQDIGFFDRN ESGSSVVQLTTNANLVNQGISEKLGFAVQGTATFVAAFIVAFVVQWKLTLITICIAPA ILIVTSVCAGILVKQENRILHVNSIAGSLAEEVLASMKTVHAFSAFSKLTSKYDDHAK EAKRLGLTQSLNMAILYSAEFFCVYAGYGLAFWQGVRMYARGEINEPGKIITVIFAVI LAATAMTQIAPQIIQVTKAASAAQSMWEVIDRDSPIDGLSLDGQRPDKCEGNIEFSNV SFSYPTRPQIPVLHDFTLSIPANKTTALVGPSGSGKSTVTGLLERWYNTQDGIITLDG VDIRQLSIQWLRTHIRIVQQEPTLFNATIFENVAYGLAGTDYANAPKQVQIERVITAC KAAYAHDFIETLPEKYDTQVGERATMLSGGQKQRIAVARSIVSDPKVLILDEATSALD PQAEKIVQQALDNVSASRTTITIAHKLSTIRKADQIVVLSQGQIVEKGTHEELQAAGG TYHRLIKAQDLGTVDNDGPLPEKERNEGSTGITPAISRQQDHSKETQLVERLKAPSGR GRSLIRCLAILLRERRELWFEFIVTLVTCVVGGATYPILAFVFAKVLDVFQIQPTSKM VEKGDFYALMFFVLALVILVVYGVMGWVTNVIAHVSVTEIPRLIALLTIKQCVVYTYR LEMFRDYIRQDMTFYDQPQHTTGSLVSDLSTKPNSLQELLSFNVGIIIVALVNITASS ILSIAVGWKLGLAVLAGAMIPMVFCGYLRIRLEFRLDDATSHRFSESAALAGEAMSAI RTVASLAIERVILEKYTTKLAGIERKSIKSLTWTMFWLALTQSLSLLSEALSFWYGGR LLSTGEYSSTRLYIVVIGAILSGEAAASFFMFSTSFTKSQGACNYILWLRSLQPDVQD GPSDNGSGESNDTAARVELQDVAFRYPTRPTRPVLNDINVEINPGQFVAFVGPSGHGK SSLISLLERYYNPTSGSIQLDGSDIRDMSLASYRSHLSLVQQEPVLYQGTIRENIALG LKEEATEERIYEACRQANIFDFVSSLPDGLATSCGSRGSLFSGGQRQRIAIARALIRR PRLLLLDEATSALDTESERIVQEALDQAKDGRTTVAIAHRLSTIKHSDRIFVLVGGRV REQGTHEELLQRRGIYYEMCLGQALDKAA AFLA_023550 MSKPEREEIERASQETINTVADKPTESQIVFLHGWRLCFVILGY VILAIPESSSTFFAKSNFDPRLMICLYLVNMEVTIVSTSLIAITSDLIGFNKTSWIIT GFLITFTGFMSIWTRVSDIIGRKKTLLAAEVTFLAFSFGCGLSPSVNTLIICRSFQGI GGAGVYPLTLLCAYETVPKSKIPLLGGLFAIAIACAALTGPLIGGVLAQNSEWRWVFY VKCVRSIFSSSFAILITFASGCPWNVIVVYLAQRFQVLERLSPVDAGIRLIPYSALAT VGTAGANLACLRGHIPFVYLILFGSLLQTVGMALFSIIPQTNSFPSAGYGYEVIAGAG IGVTIGICVLAVPYVVETRDLGMAPILLVLLATGALNQCRFLGGAIGLAIAANIQYGN LKSELADTLSPEQLQQLLENSSTVETLPTQLQAAIGDVFAKSYTHQYQAMIAFAAVQI PASLLMLRRGGQYVVKEDETTDSDSGSDTTV AFLA_023560 MAASTYETRDSIQDVYGDRTPYKHEWPTRYDMRVLDTPDKWVQS ACVLCSNGCGLDIGVKDGRVVGVRGRATDRVNKGRLGPKGLHGWESIHHPDRLKHPLI RRNGKLEQASWDEAMSLIVDKAKEIRARLTNHGIGFYTSGQLFLEEYYVLAMIGKAGL NTLHMDGNTRLCTATAAASMRESFGSDGQPGSYGDIDYTECLFMVGHNVANTQTVLWS RILDRLEGAYPPKLIVVDPRRSETAKKATIHLAPKIGTNVALLNGLQHLLFKNGWVNE AFVSKHVVGLQQLEAVVEEYTPEYVMQVTGVPTTLLEEAARIIGTSSSLLSTALQGVY QSNQATAAACQINNINLLLGHIGKPGSGILQMNGQPTAQNNREAGCDGEYPGFRNFQN QKHMQEIADHWNIDLIRMPHWNQPTHIQNMLNYIENGSIEMFWVSGTNPLVSLPNLHR VRDLFTKPDLFLVVQDIFPTETTAVADVVLPAAQWAEKTGCFTNADRTMHLSQKAVEP PGEAKSDLDIFLDFGRRMGFKSKDGGPLIPYTTPEEVFDAWKKMSYGRPCDCSELTYE KLTGGSGIQWPCTKEYPNGKERLFTDGKFFTDPDYCEDFGHDLETGAPYTRAQYQAMN PAGRAILKAAHHKAPLEAPDDQFPLLLSTGRNVFHFHTRTKTGRARRLQQADPQPFVR ISEADARALYLTEGEMVVVRSRRGSVELPVRIGEINKGHVFIPFHFGYFDSKDPRARA ANELTVDEWDPVSKQPMFKSGAVRIEKCIQKEGGKESHPKEEQTAAIRSVEQKKGQGK APAANAFNSQSGVERIRRLELWLGATHQALEVLNDVYMDLIPRLVHDLEVYSGLEVMR RITLDILRKLNPVISRYHESRQYGRKVATSLKDSLFPVVEEGSDAYEALVALQALDVF LTYIEGHLTALSPASQALWDLEFVDAVKFSQHGIQRQKAWVTQHIKVKSPQTLLVPVA ALDELHSDESTLSGYLRC AFLA_023570 MGLSYTEAIRLVEAEAYRKRNVFLSRAETCSIYAAGGRIARHTI YSPISTPRFDTSAMDGYALSSAATEDATVEYPRTFEVKGITAAGDEPFTEVKHDGAIP PCVEIMTGAPFPKTVEQEDFDCCVRYEDVIVEERSGRRFVTVTKPAKPKQNRRLAATD FQTDNAIVKEGELIHPNQVMAMASVGITELSVLLKLRIAVFSTGSELLLAKEESTKLH RISDSNGPYLTATLEDWGAIVDFLGVIPDQPEDLEQALLRGLHGSRYDLIISSGAVSA GRYDLIPAVLERLRARTVFHKVEMKPGHPVLFSMLPRLQTAPDSEVAFFGLPGNPVAS AACLRFIVVPYLNTIRLQPQEKPYKARIMTMNDKLGSHHDIPLGSGKPVTTVPMEKDV FRPGTLCGSTDQDLMVQLISDHSPGKIKPFLEANCWIRIAHGVSEVREGDLVGIYPTR AFLA_023580 MLSIEIDGSSTLVQVIYDRPNGDTLDTENDMGPAGGLLAAHRFD PQATWLVVACDYPFLSVSDLHHLQQEMAGPVTCFQNADGFCEPLLGIWSPEAMHVLDQ NVRKGDCGPKSVVRQCSGKTIRPRDDRCLFNMNTPADLDMVLKLKDGLDSGM AFLA_023590 MAYDCYCAICGVSFTGMHIESPSETAIERRRRWIEKRCRALEAG QDISQISTEENDAPVRSYDPRLVDTDNISWLYKAYCLGSNPPSGTSKTNKAFIAGPGY YADIVGDPRIYFEASHSSRSLHATLTGRTSGETRQCYDEGTEDAAGPVLPFHWSCFEI LTRVLTGSTEISRVNLNALYGVMSALTNHSSLHLSYGNDISRSQGRYWECIPGAEYCA KNPTDTPMVDELFQNLSTDSKFKRPSLEIELRERRPTDPFGQLPLEIAQQICMFLPGD SLKALAQASLSVQMITQDNSFWKRFMQWDMPWLWEFQTLQNQKDVNYKSLYLWLNKMT TPRYGMDDLNLMGVANRRRVWGVCEQLASRYNKTTGQAPAEAMKWGRD AFLA_023600 MQFKNLALAASIVATAAAAPAADSTSAPAATPSSTPATDAPHFF GVIAIHSGSGVQNAGFSAAKGSLIAGLQNKSNSCQETSFYINDGVLNIYDDTARPQEI YVDRSGMGQGKIGYTVGVEPAPKNAERKGWAIKDGHLEFDGSSLIACPGADGYSIWAS SGVANPGGNKDCIGIAAHVVGTKEPKPCWAN AFLA_023610 MKITTTIGLLFTLLTTSTSATPFYYGWSDSVTLQLSNDWSGRHA EASVATDGRPHAIEELFDNSDLEQDGKIFATSAQLTKFHHNTICRVLQNQPRVEVTLD DENTWSFLDRGAWVDVHRGVVICFEK AFLA_023620 MAVECKEAIANSEPEPELGDKSDATEKDDSKALHYTSLCTLVLI TNAAGSSVGEILRAAGIDTEDDDPTEAVLTLRMWVLGIGFCIVVSGLNTLYTLRNPSI TISSAVVLLLAYPLGKLWEKAIPSWNVPLGAWSFNLNPGPFNKKEHILVYVMSNLSIY VRLGADVLTEQQMFFGYKAGWGFQIPMTLAGFFVGLSLAGIFRSLVVLPHELVWPGLL GTSALTSTLHGSKKKDAHAIESFGYTTWKISRYAFFSLVFCISFCWYWFPDFIFPALS YFAFPCWIAPKNTVVNQLFGMKSGMGLLPLTFDWSQISYVGSPLVVPSWAIVNVFGAL VFWIWIVAVACYYTNTWYSAYLPFQSSSVFDNTGSTYSASKIVNKASRYQLDVAKYEA YSPVFMPVTYALNMFGLSFATLTSLVVWMFLEKRQEIADAMRRVQHKFVTGNLKEIFA PDNSPNAEVPMWWYLCTTLLALFLAIFSVEYWDVELRWYGALLACAVALSFFSPLALV YATANQKINIDIFCRIVAGFVFEGRVLANIWFFNLGYVTTIKGLYFCQDMKLGIYFNI PPRKLFIAQCGGIIAGTLSSVSVLNWGLGNIEGVCTTDAVNGFSCPFSRTHFNTSLIW GAIGPRRFFNNKIGYHSLLYFFIIGAVLPVIVFIARRRYPKNVILQKFHVPLFLGGLN YLPPATGTTYGSWALVGLAFGWIIRKRLYAWWYKYNFVLSAALDSSVSVAGVVIFFAI FFSGASSHFSWWGTKVYKVSIIYLQFSFW AFLA_023630 MDRTTFPENGNMPRPRPVVQPFAGRIGGNQGLVVDRTDPDNADL LKKVPDAAPLMTFREGFDLRGFWDIDLWKFGFIECMGERYEVTN AFLA_023640 MTSPVDPLVKILHRPSIQAHLLEGECYLKYEPWHPAPAALASAI YYAASCTVNQDTCLSCFGMDKVSLISKYQKESTAALERADYLLTDDLTVLQAFVISLV SVKDVDLVVLLAEWLQIAMRCHDRTRRFWTMLALALRIAQALSLHDPSPPFPVKPFEK EMRRRLWHAIGLLDIQASLSSASEPMIKSTWFRFEPFRDMEDDEFSTDLEIQISPRRN VAETAMFHVLSYAQETARQLNISNSVKSDTKSVQQRQQLIISFKTRTDELFVGCQTDQ NNLNCYAKELAHSIGIFLHLLALRPVEASSSSCNSQNTNVNLLRLAVEALDSRCRVYS SARTEPWRWIAPLFFPWQALATSLAEILVCDDLHFVRSVWPLIEQSYESFITLGIESP YHRLQESMKEKMERARSFYDSMLLPFLSSGGGGSALSWGLSPLTMFQYQDPPKSSESR FTENTPTRSTENLLPCSIGSQQIDFSTWNGDSELGEVDLTAFESQFQFEDTATFDASG VSEASYEELFLQYIQH AFLA_023650 MGDCCLKGFRWNGKPAGRETTLAGMSCYTVGTNSSVALLLLHDL FGWTFPNTRLLSDHLAEEVGCTVYVPDLFGGERLPPDVLLDESRWNELDLPGFLSRNT KAIRETDIFNCAKALREEHKYSSIGAIGFCFGGWAVFRLGAKDVRLVDCISTAHPTFL EQKEISDIGVPVQIMAPEHDPQFTEELKAFSNTVIPKLGVPYDYQYFPFLTHGFATRG NPNDKDEIAGMERAKNAAVLWFRQWLHKTSTAN AFLA_023660 MLGLVCGPLAVAPISELYGRLMPYHVCNLIFFGFTIGCAKSTGT VMFLAFRFLAGCAGSAPLTIGGGTIADVIPKEQRGVAMGMFSLGPTLGPSLGPIIGGF VAQYKGWRWTFWVLLILVITHWIVPNIGTSLVRLGTLFTLCSSISLTPVGLRKLRPLW LQIRYYVRLLQVCYLLLDNRYIIDLVWGGEIVYLGSWLSCLYLFLGYFIDTGDSCVSG LSRDTETCRQG AFLA_023670 MAPDIAIVGGGPCGLALAAMLEQQGIDYVVYERSAENTPPRGGC LDIHRSSGQIVLKKAGCFEEFKKYARGGYATIHCLFDHKGNKVTTFGEGRDSPEIDRA QLRQVMLSSIAKEKVRWSTPVKRSSRNENGDVILEFEDGTIASGFKLVVGADGLRSKI RHLVTQAEPKYAGILFLTLFIQPGNPYHSTLEQLAGQGPMIFCGKGKKIWIQRQGDGH YRMDFGWKGPADFPSAGEADLSDEDSVKDFLLREEYFGCFTEVVHEIIRNSTGPFRTW PLYYFPVEHLNWQTSPGVTLVGDAAHVTTPFVGDGVNCAMRNALVLARKIQNLGITQE AVTAYEQEMFPYAQDVITRSVASGELFLEWDSPKGLLENMVSANRLIRDEGDY AFLA_023680 MGATAEVTLIPWDPLSECHRTLLFKQRVECSWDMEMVQEIWRDQ QIRGEKCIYWIVSSTYIDTLALSTNDFVPEEREKLIDTAVSINAAARQPTQADFIPIG HISLDSKNKKAEKLDLDIPSDNVFWIKSFFIQHYLQGKGIGGATMNEIERIATQEPLC AKTLMLDTVERGDQLREEFAKVTYGGVPKFTNQDWYSRRGYRSLKIVQNYYDSKDRNG KVWDTKTIFMRKDLV AFLA_023690 MLTFPLTHQDTPNDEMPKTALFVVDTQAGLISIPDTAIPHSARI WEVGNKILDRARNVASTELEIVIVQHSDDAEDPNASLLPGTKEWELSLPMKVGGNNER IVSKTTRDTFKSNPSLADELKSQGITTIVAFGIQSECCVLETCRGAVEAGFTVVLLQG AHSTYDDQATGLRAEQIERQVEQELCAIGVQVVPWEQYVF AFLA_023700 MAHMQKDANSKKAELSHTDGGDSKAALNEHMWTRAKLVETTRLS QDTQRYTFGLSPPATKLGLETGQHIQIGFHFKDSLVFRPYTPVRPVLDKEEDGTFDLV VKTYFPDENQPGGTMSNILDCLQEGEEVEVKGPSGAIRYQGHGCFSVDDKTYTFDKVS LILGGSGVTPGYQIIARVLEDKTDKTKLRVIDANKSEDDILLKGELEQLSKEHGNQFE IVHVLSHPGDRWGGLKGHVNEDIIKKHAFEPSDRTVALLCGPPTMIQKAVLPVLQAWG YDEDKNLFGF AFLA_023710 MSFEEAALLKCLQHEQKYRSSMRKNDGRGRSPFHDEVLPEQIDE ADQFSPDSWVPRSKSLKRLTGKHPMNAEADLTTLFDAGLITPSPIHYVRNHGAVPHLL WENHKLDVVVDRPLTFGMDELISRFNSINIPIFLACDGSRRKELNMIKRTRGFNFTTA AVGCSYWKGVLLRDVLLEAGAAELMERESEKCFWVHYKGADELGEGEYATCVPLSYVL DPTNDVLLAYEMNDHPIPPDHGYPLRLMLPGWVGARSIKWLTKVWVTEFENDSYYHIY DNRQLPSFVTDSESEIAKIMYHHPSTLCTQQMLNSVIVKPSQEEKINLADMKKGKTYR IEGYAYNGGGNEIDRVEISLNGGDTWLYCVRKYPEAPIRHGKKFWTWLHWHIDLDITK LARAESIIVRAFDEHKNTQPPKPVWNLEGMMNNCWYRVRPEIYDDPANPDAYLLFRHP VDQGNGTNGWMKESTEERIEDVKRKASAPGKQFTRDEIEKHHTEGDCWIVVNGNVYDA TSVLSWHPGGKGPIMAHAGAVHMDTTEEFESIHDNYAHEKLKGQYQRRLSPFGYVTRG AEAIVQSVL AFLA_023720 MFSQEETITNPNGMHTRAAAQFVKEANIFTSNVTVSDGRKTVNG KKLFPLQTLALSQGNTLTITAEGEDEQNAVEHLCKLLAELY AFLA_023730 MPKDDAPELLLVFLPLPEPKEAIDSIRQQFPTIEVAFVEIPPGT LEITDDVIPSAGVDHLVNHPIFRDTNIRMSTSSGIHGPPIAEWVVMNWLVYSRMYTKI LEAKNQQRWLTFKEVRQWEVDDHVGQTVGILGYGSIGRQVARAASGLGMRVLVYTAQP RLTPESKRDTGFIIPGTGDPDGLIPEAWYSGRDKASLHDFLAQGLDHLVVSLPLNAGT TKLIGKEELDIFSAHCNSTTRKPFLTNISRGKVIDQDALLSALQSGALGGAALDVTDP EPLPVGHPLWEQPNVHIGSHMSAFGKRYWERSLEILRLNLTRIHEGKELINEYHR AFLA_023740 MQLPSTKPTLHYLDIGSLGRGEVIRLFLKDAGVEFNDVRYPYDD TWPAASAVLKEKGLSVTGHVPVLEYEGKILTQHLAILRYLARELGEYDGNTSLEKYFL DAVADVYNDWRIQWVNNLGNVTDKFRNEVVPNYYNVLARFYAQQEGPYLLGERITYTD FLVYQSIDNDSQTGTLPASLPEPLVKFKAAFEARPTVAPYLESRRG AFLA_023750 MLPNAGVNYCRFHRPGAPLLHYGTPFGLYLLQSPRNPIFISPCP TGQFPSALNLGPESQQLKGPRDQQQQEQLAVSPSEGTLTDAITELAKPSAEVAYLPRT DVLLTLLSLLVFVCGQGEGDTPRAERRKKRRQNKTVGISGRKGYPVNNVESKFQCYRG WPTVTATPMDWVRFHATYDTAWVAMMRKLADGSPSEWLIPSSLNHLPTTQSSDGG AFLA_023760 MAKRAISPDPASAERRIKPVSTEKRPFRIINLSEGDLVHQTCVA VHGECPEVDDAADTVFVSVSSSNMFNQEPQTVNHWPLHKGQWKALVMLAPGTNTLIFK LHHARGFSASLQINVNYIPLLQLPPLHLAVLVAKDSPLLIDCPPAKYGGISTAHSTID AAISKLRMSAYMWQALTAEDFREKGLGRRSFRLEEEWSTNTTVQAGHQATTGTRSRMG SVAKVHIIRSDKTVAELRDADVAQQNPRGRDRDALHRYFEAALVKSGPPFESSCRPVV AGLILDTHYSNEQSMIMGHAALGCHKPDGISLGIFGSHLTYSWPRFLEEVPACLTDMT PTGDTVGNDNGECDTMRGACFVGQGAFLHEVGHAFGAGHTTGIMARGYSKAWAMNFVT HETNGTAENEAKWDLQDALKFKSLPHFALPGDKPVSNDTRLAHVRIEVDFGLDNLDTM SMEAEYPEGLNVSCTAGLAQVSIENGVNPPIIHDFINAVTRKGAGTRLSIDDVCAKFD QTQPLKVTALGMNGKVSVVKDFWAMLKERPYIIIPGSNVILRKQSVRSEDLDSNDHDQ EFIKWAMLLHRRGRDGQLHRATSIDLRVGCTMDGAIVYYADGQQANCGPGHPHRFGGH ASQRHDIPVEETITKVRVCKDDHGWRSLAGICMTLSNGDEWGHLNHNDLDHDSDSDSD NGNGEDGKSVVTLEPAEDEVIVGFYGQSHPMSGYTFEFGILTTPRGVDLPGNVYDLPE FRNN AFLA_023770 MSVEEKLKAQFPDTVYTALAPPDRHPGFNYKGFHPGRVTRLPRG HVKEPGYQAFPVDVTWEEDQAIPMRDGIKLYADIFRPADESERVPAIVPWSPYGKVGT STLNYDNMGPWRIGIPYQNLSGYETFEVSIARPLPSQLITIADCAKGPNPAEWCSRGY AVIDVDARGSGHSEGNLMCWGEQEAVDIYDTITWISEQPWCNGSVVMAGNSWLAISQL NFASRFQHPNLKAIAPWEGLTDLYAHQICRGGIPKPAFFELILHGSAGVGKAENIGAM VNSRPLFDDYWEEKRIKPEDIKDIPMYLTASYSTGLHCEGSFRAFELAQTSRKWLRVH STQEWHDLYRPEATDDLQRFYDYYAKGIQNGWEAETPRVRLSLLGYDGSITKTIVERP EEQWPPARQHIRRYYLDAATQTFSAVKPVNSASIAHEGHSLTASSDFTLIFDKYTELC GRPFVKLYMSCNVKDDFDVVVQIRKISASGELLESLNWSPMPAPGPKVPNVNVAKHLG QQGMLRASHHVSLQPRASEDEVPVYDHRRREAITPGDIVPLLIPIWPLGVVFEAGEGL VLRISGHDMSLPEAEALRLTAPVDENEGQHTVYTGGEYESYLVIPVIEG AFLA_023780 MSQSNTNTGLYADEHAIFRVRRDLQFPVPEEGELLIETQFSGAN PADVKHATFLGIYPAVLGYDFCGKVLQAPPQSSFSPGDTVAGYTPTGLNRPAGYGTHQ QYLVCPEDMAFHVPANLPPHHAACLSVVTMTAADTLYSIFKFPLPGTKDTEKTGRPVL IWGASSSVGLCAVQLARASGIYPIFVTASPQRHPLLLELGATQCFDYRSPDVVSQIKS ALEQGQWSSIDYAFDTVGSYGGTGSAQLMAECVSEEACLVSVVVQRDPKFKMPIATPN RDCTIRVHGAPRPITIPARPSDYVRAWQALQWAVTNYGAGFQFPSVDVVSGTAENALE QVQALGDGTRGFGKLALEHPLL AFLA_023790 MALLMVIKRGMDLQATAVTGGIVVSAESKGTYGCDDNFACRFHS SNADYPGMMGCCPQGPAGPCNGFFSTCYGHHEITKTPSLLSSTDDFFAMFCTKDDWPY CLPWTWPEIGVSAFECTNITLRKTATVHTLSTYTNAAWFGRTAVSAVSISWIKDREMI TRLNFKPTRTATSHSSESTATKMSESSSGPSPTLVGAVVGSVVGGLVALSVTVLILWV WRKRKLSY AFLA_023800 MATHTGARRAGRSPLIFFAMLSYLMMIPGVLAMNFVSRAEWKAR APKEAYKPMTDAKGVKVHYLGPQFSGKQHSECDDFMRSVQNQHMDESPEDYFDIAYNL AVCEHGYVFDGRGKGHRSGANGDVQLNSDHYAVLAFLGKSGVTEPTKEQIIGLQDSIA YLRRAGAGDEIKGHRDGYNTECPGGPLYKLVTDGSLDPGKLWDGGSHTVQKGEDLDTI SAKYNVPKQYIITANDLQSPYRLTVNQTIEVPARGVPLTESGN AFLA_023810 MPRAAKKASARAPLDAADPSGLVSQPQPPISTSHIPDPEEVEEI DDEDPPLDILKWNCTQVRRKIKNFIESKEMKIGEFQEAIGVSSRSYNTFLKMTGEKGS ESNTYFHAHRFFLKRELQGIKEPKKKPASKQAKLDTEKKYDVSGIHLPGEEEGKVQVY DTCDEVRKKIYAHLRDPNVTKAGFLREIVKSYTPEQAVKFQGNSLTRFLDMSGANAGN TNAVFYAAYVFFEKLRICDGQPKNKFREEMEKIWRSHGGFGIETPHHKGYWCHASEFV YVDKYGQTGFGKRR AFLA_023820 MNYWGFQLVGNLIHHIARSERLNDPIFSIFPELQNEVKTAYMAT GNGEGFGIFELRDPKTLQSAIDSHNTCVLNMTLRNPILATFVAVSTLLSVCHAQAPEA VVYTDSATGITFDTWNVPSSSKAGGLTFGVALPSDALKSDATDFIGYLRCIATDKSAA EGWCGITLGGSMTDALLFVAYPDGDAVRTSLRFASEYAMPGVYSGNATVKPISATANS TGFSLIFHCQDCLHWSQGETTGSASTSSGLLDLGYAQSVKAPSNPSCAAELKLARHDI QGTWTAMLDDHAASDSYDKWRALAKDAVPEKCSA AFLA_023830 MTLSSMFVAITLNVLSTLCQKTIGRLIPINIDRFPMFHQRRVMD DPQDGEDPQEWVDTLDWDDTPDWDIPYITNPSLSATDRTVFHDQGCTVFGYPSTGGVL IKEADLVDMLFLSLPRSHASQCSPSADEEDRFCNLMRRTGATLWPNKQNWIQVETGFR KKTKEQAKVMVYGWPTDGGVWVLRFESSEELPHDFGRIGFAMNMGEKIQIMREYGATF VEDITQVEELNTN AFLA_023840 MWSQLRLAFFVAHEFESRREVNARLLRTAIEAISGIAPNLESFI LQTGGKGYGLEFSNELKISPPLHESMPRIPEPWRSKVFYYEQYDTLSELSKGKKWSFS EIRPDGIIGFVPGTNVMNLAQGIALYLTLYREVHGQAAEVPFPGMLHGYRSTHSDTFQ DILSKMEIYAALNRDKCPNGSAYNVANGDVVSWEQVWPGICSHFGLVGTGPQGDQKKI EDFARENRGAWAGLVEKHGLRKGSLEAQNWPFIHFMLVEFDFDREYTLDAARSIGFTE RIDTVQGYRVAFDRMAAARIIPSSF AFLA_023850 MRFTLSSLALLVAATAVAADNVVTLAVPGSNDSEDNGMSVLSSL RFKIAGINGATTSLLPNCTASATATTTASTSTATDGFSNGLVNCEMTIVSGPKTFYVA YEGETVERYDMGDKSATGYVTWTTTNGTSTMESVESSVVPVTSAAFTITGTAASVTPL ASASASAKASISGSANATSTSASASASHTENAAMGLPTGHSLFAAGGAAMALALAIA AFLA_023860 MYIIEPPASRCSGRNIDVVQILISKGVDIDRCHNGYSPLASAVQ SSQEEMALWLIGQGANVTEWRMGTGLIILSIAAGFCSANVVRRVVDIIRDRVGNIGDI FSPADNVLILHRAISKGDAESVRILLANGADPSGWDIHGVSALTLATASGNEEIVTML LDLGANPLPHDAVERSAVGCAASSTKLSWKTVERIFHAYRNAGGDINCTYVTIPEFVG GAPWPLQTLPLHQFAAAGSVPGIELLLRYGAKVSTKGRNGMTALHAALFRYRYNRDTY QNIDEICQVLVKAAIRLGEDSNNQLTSSSSFMPMRGTTALHLAVVCELEEIVRLLLDQ GADVKIVDEEGKTALDRAKGLGNQPIIDLLTAKDV AFLA_023870 MSNSSSNHFTCPSGGTWYVCPDAPHFVGCCSSDPCTNVDANSTT PCPNLYPASFDTSIFDEILPNLCIGSANAHWYTCNTTDPPFLGCCSSPACSKTGCPAD DLLAAAWSSSRRGQFALFQDEGTGDDDNKGSGGGGGLSGGAIAGIVVGVVAALVSVGA LVWFFMRRRNKKAAAMTAHRHTPSVVEGEHQMTYQSPVSPYHGSEFSSPAGTTIGTGK DPKYMSTSSAGISLPSPGLPSEGGRPISELYSNSTGSEDMSQQKWAPGQSYGLGVQGA GKPEPIPELDSNVAEVHELDGQGGNRPRRVLPYSWIKLRLFIRPVTTTHILQPFVDIA TRWLLFTTLEAVSISKCPQHKGHQPLCISSSTDTCNVLPCNTLDRILVDKLRAGQSVR GKTIYTVYTP AFLA_023880 MPTWKTSSIAESESGFLRKTSEVRNERWGMKALVSKIKKKKKYD LDDFLVIGIDFGTTYSGAAWATVDDFERDEINLITSWPNHGREEGKAPTELFYEDGKV MWGYDIPSDADPVRWFKLLLLREEDMAEELRQSEFILRGRKMIRETGKSAIDLIADYL RALWQHTLDTIHKARSKSVIAALTFQVVITVPAIWKDYARQGMEEAARRAGILQDRPA GPTVLSFAPEPEAAALATLCERERDIESGDVYVICDAGGGTVDLITYRVGDLDPIEIH EAVIGTGGLCGGIFIDEAFEAICRDRLGRQWNKLSQTGIKHILKEQWEYGIKAKFKPK NTGEEFPVAIPAEAFQGSDLNDHSRKPFITNGRIHFSSSDIQKAFTPIFADIEGLLNE QIAKSRSKSLPVKNIILVGGLGSSPYLYEHLSERYERDGIDIIQSTGIRPRTAICRGA IVKGFLDGPSAAMTGTPVLSVVSTIARQSLGVAYSPLFDESRHLEKDRNWDGDEGVWR ASNQMMWYLRKGDDVFKAEPVRHEFYRTYADKTEFTDSLTETILQCDDDKPPSRRNSS VKELCKIRINRRHVSFNSLEDYMGKNGQHLKKWVYDIEMVPSGASNEFAVIYQGKRLG SEKADIEFQ AFLA_023890 MSTAIPPQDPLRGKTTLMGSPADTAPPGRGKNDEVYSSALDAVS IQTSRPSTAVPADVLATSLAQAKHDLEEERQQHQQTKMDLRQKEQEARELRKSWLETA NELNRYLRQGHGYNQMTDDDLLQHVAQLRFTITGFAAQHFSHPQLRDRKMTAEYDFFQ QYLGISKTDFEAYIHSVTLRSSLVRALLWAFLLRKVFDQFRWATLEAGARMYNLYRIL DPLNDQAKDVVPDARRRCSMWRANTSNLVLDSMIFEGDKAYDDRQQFVTMNVKVLSKY LASLSLSPKDVIEARLQDLFTQSLELDQELNRQVASITWNRDVRLPCPFDPDHMAMEA AESHQTDEELTVRLVLAPGLSRRGRASGDRFDEIVQLLKMEVSCELAVYNEPSRRSRP ATRIGRLVSDMGWGNDN AFLA_023900 MSSNQSSKKHPQWMMPLTSPDKKSDPSFGGAALGAALYSANHEC RCIAKESVGRIRGKWWRQASSTAPARYAIAASPGFWKGGMECGPGKWYYEVSSTGAAR DATAASSCFWEDGMITWQVIGRSEIDRSEIEVLPVYEAYYQNAK AFLA_023910 MVYGSTCGCCGQSSYISSIWDICPNTIPALLGADVVYKALLENT DWDKCGTYLDNDTCIADLGFSNLTRFHGPGQLPANGTETLYNTGGVISTPVSGNTFTW TIHDIPHPVTAAATNKAVPTKSESGGKSATATAESGGRHEFRLSLWTLVSTIGAGYLL VR AFLA_023920 MVYKGNFHWYMFSCRMDCSAIQKTLAHTPLEEQYKNTLMRLMEC LDHSEQDNSDH AFLA_023930 MLLCKMNKLSGRILLPWKPIRVFRPNPHCHKFLSSFSPAQACNS SQDKGTVESQKGNCGSATASITANKQSLSAWQLSFWKCRHTWKRAGINTLRCLVGCTL GDFSALWMLQTFYPGLGMGTIMAASMASGITTSIILETVLLRRGADQLSWPVALRTAM GMSMVSMVAMEAAENTVDYHLTGGVVALDDPSFWMAAAVSMTAGYLAPLPYNYLRLKK YGKACH AFLA_023940 MTGDTIPKLVVLIDADNARSSVVDPLLSEIAKYALQAHKDDHLA TQLRTTVEATSDDDGWARLSQVGGLLTEKYPDFDSRTYGYYKLSDLIAASSLFETSRR SFREGKPAEIIVRDKRRKPKSPAK AFLA_023950 MFKTMSSQSEDLITCLASPPPYTASMGAQYSVDLEHAVAMQDSF VNDTHELLWLRSPACSGSLTRCMERYKRFQLLVHLYPDRRASLRPTWDIELALRTHQL YADDFHTFASQLVGGHLNYAKVPRALETEKPMANTMTDTNTAELWEKQFREPYTSCLC WACECIKDAIGESGMELSTKCVSPKQIKEIQKALQFYASVESARESGQRLPAAPRQDK KKDEKWELDWGHGWREVAVKGKFDEHGNVVKRIVREKPYTSQINWMLGV AFLA_023960 MVGFDMRGLTPAPVTPFTESGDVDYEAIQRLGSWLGSIDGVKGL VVLGHAGEGTFLTAEEQISVIKAFVQSVDNKIPIIAGITGEGTEVAALEAKRAKDAGA AAGLLYPSHGWLRFGYQDGAPQDRYRRVYEVSGLPLILFQYPDNTKATYSLKTMLDIS AQPGVFAMKNGVRNMRRWDTEIPVIRRERPELQILSCHDEYLLHTSFDVDGFLVGYGN IAPEPLIELIKAGKAKDYKKAREIHDQLLPVTKSVYHRGSHMEGTVALKHALVARGIL KHATVRSPLLPLEPGAEQEIHAAISAASLARVA AFLA_023970 MTSGTPNHATSPTSNVTPIPSSRKHPLEDAYDPGSENRRKDPKV SRACDSCKVKKIRCSGTAPCDHCNRRRLTCTYASKYSRGRPPTPPPLSGSRERATISA GQRPNVQHTSSRSTSNISEDVQDNERPQVNIDERATSSSVNVGDGAPSPELVIEGQYA DPTSGLTSFHQAWRKISMQNRDIASPRSSEAEMNQPLVSAGDRPFYQDSHSSDPFPDP PTARRLLCFYFESCVVTYRIFHRQTVEGWLDAVLDNRRTNRPITHSIGNAKYSIILTI LAIARFRTFKIERKFPNNDEASALTETDPLFCAAMDLTETEKGYPRLESAQARLIQVL YLLQTSRVNRAWYVFGNVYQIVSSLGLHRRRSRKQNIASNGLSNYIKAQCAKRVFWVT YTIDKYLSVVMGRPQLLHDDDIDQDFPDSVNDEDIGPSGLLSVEDPEDCHVDALIFHA KIAHIIGRISRQVYSVGSSAGEGRKAAAYSIVHELHVWRANLPLHLGTIKLSTLIPSF RREATALHLAYSHALIHVNRPFLLGDVVSSEDEPEAKDRIAECISAAKAVLELVNTMA KDTNLLHSFWWTHYVTFCALAVVYIWNIQCKARNDQQLGNESSYVKLFDLAEKCRAHL AWTGSPSSPGRRYAAILEELRLEAQRETINNTHTDSGQGMVEGVTQPNSQLNVFDSSG LAEASFECIASIDRPVNTVPSMLDAWQAVDWLDLDASKIIPESTETACHTGSRLLHQC ALQAHCYVVLFPGPQCLVPPSSVSFYASTSYISSPHGV AFLA_023980 MLVLLYLIAYIDKTNIGNAKIEGLLPALGMNGNQYNIALSVFFI PYVLAEVPSNIILNHFKRPSVYLGSLILVWGIIMTCTGFVQNFGSLVGIRFLLGLFEA GFLPGAVLIISKWYLPNETQTRIAILYTSAASGGAFSGLLAFVIAKMDGIAGYEGWRW IFIIEGLATICLSVLTFFLLLDSPQLSSGWLTSDEVRFLEVRQIANSTQGAHKDGVAW SALISVLTDWKIYLLILANWSNAVPNYALKFTMPQIIQSMGFTSARAQLLTIPPYAVG AFSAYIFSVFADRYTWRMPFIIIPQLLQVVAFSILFTKAADIRDNIALCYFGVCLACF GMYPILPGVNAWNVSNLPNPTKRAIGIGYLVCMGNAGGIIGSFIYQEKEAPRYPTGYG NSFAFASAGLVACLVLEFCLFRLNKQKAQLSEAEIRDRYTDEELNEMGEKSPLFKYTL AFLA_023990 MRFLSIFAAIVALTPAVMAQGQNPKNCLEECHPYSAGSNQYCDA EHQALYREGPGCFKCCESV AFLA_024000 MQLPASNGTVAASTAETPPTGCFPVPNPGECFWQTQPHPKSNHR STEQLPEHSDIVIIGAGYAGISTAYHIVKDHKDFNKSITILEARGVCSGATGRNGGHL RPDFYGHIPTYIDRAGARAGAEIAEFEIAHLPALKKVIEEEKIDCDFTLTRTIDVWCN GEAAAKAKATFDSVVAQKFEYMNDAIFYTGKEVEGVSANRRQICGVKGAVACASYTAG TVWPYKFIMHLTESLLATGKVNLQAYTPATSIAPDSNGGYVIETPRGKMHADNVIHAN NAYVAGLLPEYEKNIIPCKGICCRITVPEGTTAPLLNNSYINRTEDNTLSYLIPRADG SIIVGGAAAKFRPFREQWYNNVDDSVLIDSAKDYYTDYMQRTYRGWENSGAKVDKIWT GVMGYSYDSNPHVGEVPAKDGQFIIAGFNGHGMPVIWLAAKELAKMVAQGTSFEETTM PRLFKTTQLRIDRAKNGSEEDGDILGTGNFPATKQ AFLA_024010 MPPHTAEYPSFDEAGPTSIAEKKGFHDNVGSYDVENTAGQLEEV HDFKQGLHQRHIQMIALAGTIGTGLFLGSGRAIATAGPLGAFLGYSIIGLTVSSVVFG VGEMGALAPLTGGAIRYLDSSAILRCHLPLGGTMYTPTWYQFRPRLSQRPSLFSSG AFLA_024020 MLITALLFVRIYGELEFGFSMLKIMLVIGINIMALVITCGGGPD HKAIGFSYWKNPGPFVQYLGVEGSLGRFMGFWTTFDNALYAYSGIDNITVAAAETRNP RHAIPQAARRIFVRIFLFYILTIFMVGLVVPSNDPNLLGSTSTAAHSPFVIAARNAGI SAVPSIINAVVLTSAWSSGNSNMLGGSRILYGMACNGHAPKFFKRMNRFGVPYISVAL YGLFMALGYMTLSDSASTVFTWLQDIVAISTLVNWVCICIVYLRFYYGCKKQGIDRHK ELPWAAPFQPWSTWFSLILLVILFFTGGYKTFMHGHWDTETFISSYLNGPLILVIYLG YKFVKKTRIIPLEEIPIRPFIENYQNNPEPEPKPKKGWRRLNILWS AFLA_024030 MTPKKHVVFDVVGTCVSFDAFYNCIDRVIGDKLRAQCITPRFFG FSWMTAAELEFTFLSISERYKPYKEVITALFYRTLHMAGIEDPRLFATEAERDQCVQG YSELELRPGTRECFAKLREAGFTVWCLTTGDTKRVRGYFERAGVDMPLENFISCDSQG VAKPTLAAYRPAMGKFAEEDVKWFAAAHMWDVSAAVKVGFRGAYCTVYEKESCAEIFD TQLEVLEDSLPEMADKIIAVSG AFLA_024040 MPTDKKRAARACDECRRLKEKCEGGIPCSRCSHFRRSCEFKNRV SRVREFRAYVPRTRSPAVRADVRELAERSTYMERILRHTMQGISLDTDTLSQMANALA SNQEHPREPPLEPEEVDGLPIDDEACTIDPVEDTTTHYSGEFSYWNFSMRIKHQIEHQ TRRSIAQHTRNADQRVFEYWRAQQLRSGQSHLSAAISSCPPRQIARFLANTFFKYAET HYFFVQKRWFFENLNVLYSDPGSFGRKGAAVISILLTVFAVGTQYAYLDSPSHNTTSD GDFSEDDIGATFYQNAVRLLPEIIESSCLESVQACLLFGFYSLPIDASGLGYIYINLA VRLAMQNGMHRKCKSDVFNPDMIETRNRVWWTAYSLERKVSIFHGRPLSVLRSDVDTD VPEYREGMHIEHPPWNIARAVTSVQLIHFLEDFFHELSLLRHCEKKAVPNILARLWDK KRAMADWWDSMPQDVLGGSSQPQNLSRAAVHLRLEYCLVNMFIGRPFLLRDRTTQSPR SSPAGPEFTSTGGENGEGSSPKQTSSTQSLVKDCTEAATEVIRLCQILQNNGPGLARA SYIEYSSCRASLLVLIAYSIQNRSAEYHKTLQDGLDMIREMAASGDSARSEVALIEAL ERALARLHSEAQPTQPSDFPSETIPTMSDYEAFKQWGSSWRSGGALNMCDNVAVPETT AVVSAGSALPPVNSDPNSVGYMEPLNLTASSNESRRDMQMDALNAWDPVNELSIFGAG NLALSSAWPTQTETQVLEQFLAVPEAGFVPRLEADRHGGFAQMFPYRASQDARTSPR AFLA_024050 MARLSLLLAPLFAVLPLVSAFPAQLPARATAPALPVDDPFYIPP EGFESSAPGTILRHRTPPNPIAALGFAKVNIQAAHQILYRTSDSSGNAIATVSTILIP HNADYSKLLSYQVAEDAADPNCAPSYALQLEAAHDGILGLVIPQVELLFFGAALNKGW VVTVPDHLGPKAAFLANNLSGQAVLDNIRAALASSSFTNITSDPTIALWGYSGGSLAS GFAAELHPTYAPELNIVGAALGGTVPKIRPVIDAVNKGLFVGLVPSGIQGLANEYPDI QQLINDGLKPSKRADFNKTQNLCLSGDILQYLGQDIYDYTNDRNIFDQPAAVKVMDAN AMGQHVPKIPLLVYKSVGDEISPVNDTDALVETYCNAGASVEYKRDELSEHASLEITG SADGLLWIMDRMNNKPVQQGCTKSTAVTGLADPKALLALGDEILTLLKAILAGPIGPG VVG AFLA_024060 MATANSNNAYVLGVGMTQFLKPRRTREYPELGYEAGVKAMIDAQ INYDDVQTGIACYCYGDSTSGQRIFYQFGMTGIPIYNTNNACATGSTGLHLARTMVKG GTADCVLVVGFEQMRPGSIKSVWDDRPSAHGPSTRLMEEVYGKDPAPRNAQYFGNAGR EYMTKFGAKAEDFAEIARISHEHSQRNPYAQFRTSYTLEQIQNSGTIFAPLTKLQCSP TSDGAAAAVIVSQKFLDARPHLKSQAILIAGQQLMTDGPEVYSRSAIDLVGFQMSKQA AERAMAEAGVTPKDIKVCELHDCFSANELLLLDALGFSEPGKAHELVRRGDITYGGRG PVINPSGGLISKGHPLGATGVAQCAELTWQLRGWANNRLVKGTNVALQHNLGLGGAVV VTVYKRADGQSNPALSDAEVRQKSALGYNPAVEARYVRPEDGEKVRSRTKRHDHPLKE TVGTLSARI AFLA_024070 MLLIIAVALLGWTLYSVFCLVGNIRRIQKIGIPYHVIPCSPVNP LWILLEPLIFFILGLLPFEFGRIKHYGRRTWQFTDKAQSHMRMGDAWAIATPNEIFVY ICDADAITDIIARRADFVRPIELFTLLNVFGPNVATTEGADWQRHRKIVAAPFNESLN SFVWREALTQAQSMLTTRATAGPSGGLGTDTRTLALNVLAATGFKRSTRFQSAQEAQS EDPRSYAQSLKTVMLNTFLIMLIPPSVLKFPIFPSWCRRAGEAVEDFKQHMLNMFNTE KTLLDQGKPGTGTLMSSFVRESTVDPKSNKTVLTLDEILGNIYVINFAGHDTTAGSLT YVLFLLAAYPNIQEWIAEEIRTVFPNPDRDTWDYKEAFPRLKRCLAVVLETVRLYPPI LALPKSVAPQSTSLRLPESNRTIVLPKGTVVLPSLLAAQTHPKYWPDEPTTWNPRRWI ETPNPTDATPSTPEDHLAGEEIMEPRAGSYFPWSAGVQNCAGRKFAQVEIVAAMAAWF REYRVRPVREDGEDFEKAQARILESTNDSYQLLVMQMRDPDSAKFVWERVE AFLA_024080 MLQDLIATLASGAQMYWASSGVWMRVVLTVSAIWIFWRIWRFTI LPLFRPNEPVELPYWIPYFGHASAFFKDSHQLIRTALKRFGTLEPFSMIIAGDRYYVI TSPEDTRPFFADVKAMTTDGFLDRALLAFGCAPERLHTLWQRNTPTKVNPKGKNLIHL TQDLFKHDLVPGPTLNVLLERYQGALDELLSWDRLVGAYPSLVSTQTEAISLYDICAD FIANANQIVLFDRALLAIDPDMAVEMRTFTDELWKLVHRSRLVDTTEVTRILRQYSSA FKSYLQLPPEARPNETPVIRTLLETYAELGIHEDDRAAMLVMICWAGDANAYKAAYWV LAYILYDPQLREIIRQETAPAVGPDGKLDWPYLAKRCPRLSSIYHEVLRLTKRDVIVR QVVRDTALAGKRLRKDSIAVIPTCQLHDNPDTYGADAASFNPDRFLKQPGLAQTTFFP YGGGRHYCPGRYFVEMEIYGLVALMLNRYEMDLAWAAPFPRRDESLVTLGISRPVPGD DLHVTLNWKEGNKN AFLA_024090 MMDAEKSAVVETSSVSAKSPDSYLSGWQLAAVIFSLFLGVFVVS LDTSIIGVVIPSISSHFHALDDAAWYGSAYLLTITAFQPLMGSMYKFFKVELVFQICL VVFEVGSILCAAATNSAMFIVGRAIAGTGAAGILQGALSIIAITVVLEKRPLYQGIVI SVFVISVCVGPVLGGAFTTHGIWRWCFWINVPIGGVTLILLLFVLRIRNAANDNRSLP LKVKLQHMDPIGCVVFIGAVCCLLLALQWGGQTKPWHSATIIGLFVGFGLLSILFVGI QVWRKERALIPLRVLRQRSIWTSGGVLFCLGSATYAVTYYMPYYFQAVQGADAVHSGV DLIAVFIPQMLAVIVTSALVTQWGYYAPYMIVGELICIAGTVLLTRLKVESSTVYWAA ALVVHGLGMGLAMQLPYTAVNVVLHEDDVPTGNAIAVFVWQLGGALSIAISQTISLNN ILREVPRQIPELSPERVMSWGASNLEALAPTADALIELRSIWCTSVSHVMIFALACIC ASVLFTAGMEWLNAKKVANQRREAGGGGGQTGVGLV AFLA_024100 MTNYQIDTPAKVTGPVFIGVLWGATGLSFLFVLARTLARIYAFR RLWLDDAFAIGAWLMLLVQAITWMTQVDSMYLLFQLDAGKLMPTPEILNRLAQLERAE CAILILFYTSLWAIKASFLIFFRRIGGTDRSWLIWFWCVVGFVAATYFVCLGDIQYPC LLRDQAYILVISLPITLLWNVRLPFRRKMILISILSLAVLIIIVAIVRVAVVATKEKN SDMSWLWMWSFIEATVANIIACVGSFRQLFVKQDKNRASAYPVRFHTEYPSLDAKPGF QRVRTRSEGSSDLHLNSYDSHRELAPVV AFLA_024110 MQSPIATERLLATTDHLIRPDQNLSGRQQPWIHPRLIQSVDPIK GRQLRVCQPVKKGELLLVDLPYALIPVVDHPEQSDDVRCSNPACHRRVARTVERVSCP NRCSAEVVWCNSSCRDADNLRHEFECTWLKKYATSIRSKWGEYDFGMLWLIVRILASR HVEFRNTPSSDKTSGSKTGSDSKLSSFETGWNAIRSFCGSQDSWTHSQVRHWTMLVKK YLRNSQSLPHGLTSSEVLVLICQEEANSFGLYPRETGAFPLPELAVDRGEQFAAGVYP TAALANHSCSPNIIHKPDDQSRMVFVASKDIATGEECCISYFDLSKKVELKDRRDHLQ GSFRFVCKCDRCVSEEPPEEESPEEELQWDEFPSMDAF AFLA_024120 MDTPKPTVLVISGAWHTPKSYTKLANALKKEGYEVHVPRLPSMN GANPPNADLTTDTDLIRSYVESLASAGRTIVVIMHSYGGQVGTNALHNLGRNERKNQG LVGGISHLIYMCASLFTEGACILDIAREFGTADQIANASDILGMFPKEELKKLMAGPG VDDADAEELVSSLTRWNGDAMFQPLERCAWREIPSTYIHTTNDLAVSLEFQRVMVEKA RAEGGVFQTVELEAGHGVHLSMTAEVVKIVDGVVARGV AFLA_024130 MAWFPAATDWNDFYLPPGIQQELEDLETIFRNVPQPPNQNHPLS SNSQSDAPMVDATTLDPHSLIAPPTNHNTGYDNQLVMNLDSPFFSNTYQPQGMTPVFP TEQPLEESVKPKPSLKAQNPRSQRKSPQSQHIRTEGRVDATYRRPARVSTFIRLPGPG MQEGV AFLA_024140 MTVTNDPSPPNGPRKSLILNAFVEMCSGHQSPGLWRHPEDESHR FNDIDHWIELAKLLESAKFHGIFIADVLGGYDVYKGPRNLEPAIISGAQWPVNEPLAV VPAMAAATKNIGFGVTVTTTYEQPYHLARRLSTIDHLTKGRIGWNIVTGYLDSAARNL GHTQQPQHDDRYAIAEEYIKVTYKLWESSWRSDAVVLDRERGIYTDPSRVREINHVGK YFSVPGPHICQPSPQRTPVILQAGTSKSGKAFAAQHAEAIFVAGHSPVVVAKNVAEIR ELAKTQFGRDPQSIKFLALICPVLGRTEEEAQEKFKYYRSLGSIDGALALFGGWTGIN LDTYGDDEELRHVESNAIRSAVEGWSKATPGVDKWTKSTVGQHITVGGLGATPVGTSE QVADEMERWVREADVDGFNLAYAVKPGSFKDIIELLIPELRRRGLFWDDYAVNHGTYR ENLYGKPGQSGPPDDHPAAKYRWNAGVDAEEHKIPDN AFLA_024150 MTELTARGGNDSVQPPLSQAVGYVIVVVIGLIIAFVMMLITKVL KKTTGEDNKKTEMFMTANRTVRTGLTASAVISSWLWTTAMLGSSFVGYDYGVAGPFWF AAGCSPMIVFFALIGISCKRKIPEAHTSLEVVRIRYGRTAHIVFMTLCLVNNIFACAN MLLGAAAVISAVTGMHIIAATFLLPVGVTVYTFVGGIKATFLTDYFHTAIILIIACYF SIKAFTIDEVGSIGKLYDLVQAAAQRHPVSGNHDGTYLTMTSKGAMLFGILHICSNFG LVIMDTSYFIKAFSAAPSSVVPGYTIGGIAYFAIPWALGTIMSSVAIGLENQPSFPTY PRRMTTSEVSGGLVLPYAAMTIAGKGGAAAILLITFMAVTSTLSAQVIAVSSILSFDV YREYFNRNASDRDIIRSSHFGVIFFAAFSAGFSTMLHYVGIDLGWTLYMLGVVTCPGI FPMAFTILWRRQSTAAAILSPVLGMATGIGVWLGTAQHFYGSVSVSATGQILPCVYGT VASAFSPILYSVVITLVRPQNYDWNDFKKEKLALERLESDLTTVHSHGKANRQENGLE EGARHISAFDPQELKRWGRIAAFWSIATFLGHWVIWPLPMYGSKYVFGKGFFSAWVVV AIIWLWVTMLVAIFYPIFDGGIQQITQVYRGLCVGNVRKEAVGNESSSSPSISDTGEE VKRDDRT AFLA_024160 MVSLTHDQYTIAWICALPLEMAAACAMLTKAHTPLSKASTDPNA YELGELNGHFIVIACLPAGVYGKVSAATIVSRMRLTFPRLQFGLMVGIGGGVPSNSND IRLGDVVVSKPVAKYNGVIQYDYGKAVQGGQFEPTGALNKPPQALLTHISRLEAKQMT GCKDDISKIVSEVLEQNPNMKKKFSPPEQGTDFLFHSSYHHGDKGDNCEKCDKEQLVK RQVRDTRAPLIHYGLIASGDQVMKDSETRDRLAQRHGILCFEMEAAGLMDDLPTLVIR GICDYCDSHKQKDWQGYAALTAAAYAKMLLSVVPISPMDVDSLKNNKARHWVVSLARN SRFVGRQDEIAQLEELLAMPDGPKRIAITGLGGIGKTQVALEVAYRIRDRDRECSVFW VPCTSHGMIEQTFVNIAQTLGLHDVKQAEAKEQIRIYLSSERAGKWLLIFDNADDSEM WLTGNNATPALEDFLPQSDQGHILFTSRNGELAVELTGSTTISVPDVDKETASKILEN LLLQKHLLEDHITTVALLEKLAFLPLAIAQASAYINKKRLTLSAYLTLLQEEEYDAVE LLSEDFRDPGRYKDIQNPVITTWLISFKQIQHQDQLAADYLSFMACINPRNIPHSLLP HQTSRKQRLDALGLLNAYSFTNSQDLDISMHRLVHIATRNWLRKNGLFSHWVRRVADR IDKVFPDDHYFNRALWREYLPHGLALVHDNEFIVQQGQYTNLVGKIADCLNSDGRYHE AEALYKTLISINQDRDGLVHSTTLASKAKLASTYRNQGRWYEAEELLMQVMETMKIVR GTEHPKTLISMLNLASIYRSQGRWSEAERLIIQVIERRKTVLGAQHPSTLNSMANLAS TYWHQGRWSEAERLIVRVIEERKTVLGAQHPFTLTSMNNLAFFYWDQGRWEEAESLAV QVTGTLKTVLGAEHPDTLASMANLAYTWESQGKSQDALSLMEKCSKLCSKVLGPSHPH TRTFSYAFRNWKDKYTSSLSCTPTTAPAQKASSQNMQEVPRGASAAVMIAQSNREKLI NPLHAERLSAASTSKMYNQPVYAEDNKEALYSFIHANPLGILTTAIPSTLYPLLQSTH IPWILDPPNQTHGSPKARLRGHIARQNPQSKAIIDSLKDSNSTSGSQLSQEVMILFTS PHHHYVTPKFYTETKPITGKVAPTWNYSTVQVYGTATVYFDPEVKETGEFLDKQLRDL SDHCERDIMGFTGEEGREEPWRVDEAPEGYLRVLKRNIVGLSVEVERIEGKVKMSQER KRGKGRAL AFLA_024170 MPHPVLLFLIALHWCLIHTLAVPTFNGQSAVSDDLLRDGRFDYV VVGGGTAGIVVATRLAQRSYTVALIEAGGFYEYQSLAAIPLGDIIPVGSDPRNKFSID WGFVTENQPGANNRPIHYARGKCLGGSSALNFMIYQRPTRGAMERWATAVGDSSYTFD RVLPYFKRSVQFTPPNQLTRFPNSTPSFDPAAYDPQGGPLHASYSNYAMPFSSWMRLA MNALGIRDRDEFNLGSLLGAQYCTSTIRPRDQKRSSSESSFLETKPPLLTTYTYVLAK KILFDSQKRATGVLAKSKLGEFRLHADKEVIVSAGAFQSPQLLMVSGIGPAKTLEDHG IPVLADRPGVGQNMWDHPLFAPSYRVGMPTASTVVTSISYLLRQAANAAIFRQGPFTS PITDYLGWEKIPTSLRANFSRETLQDLARFPDDWPEAEYLSAAAYVGDVSKPVLIQPR DGYDYASIVGVLVAPTSRGNVTIRSADTFDLPTINPNWLSTETDQEVAIATFKRTRQA FESGAMAPILIGDEYYPGDRVQSNAEILEFVKDNMMTIWHAACTCKMGTAKDAMAVVD SHARVFGVDGLRVVDASAFPLLPPGHPQSVVYMLAEKISDAIAAANGTTERR AFLA_024180 MSGHLNPKDSMKSTWRRLDRADWTIFHWFYEILGIHPVTLDKEV PVHNKEEKVPYMPEWHLHCWVLTHAFIPLAIHHVYTSYTGHNLSPLAAFIFYSAAFKA IAIHEIHILRRLGHTLGFLDGDQHERDGVPDVGVKKVVHSLVSTSTFRPMFTVFLSYR ASQPPSTMNWLWLPLEAGLYGIILDFWFYWYHRLMHEMNGLWKYHRTHHLTKHPNPLL TLYADTEQEFFDIAGIPLMTYFSMKLMGFPMGFYEWWICHQYVVFAEVAGHSGLRLHT VPPNTLSWFLRIFNAELIIEDHDIHHRRGWKKSANYGKQTRLWDRVFGTCGDRVECYR DNIDYDNQISMPLL AFLA_024190 MAWKPTFLLTSLLVGSYATPLALHNHARSEKIAWGNCEDEGVTA PAQCGNLTVPLDYTEPDSGKTLQLQLLKVPAIRGPKKGTILFNFGGPGLEARLSLFRD GDILQALTGGHYDLIAHDPRGTAKTLTTYCSRNITEQLAVRTESRFNDFPLPSDTMGI GRQWAGSGLYANFCSEGELQENGAYLGTAFTARDLMQIVDAVTDDGLLKYWGFSYGTA LGSTVAAMFPDRVDKLILDGVMNPHQYFNSYDTELWADSDKVFSSFFQQCLKTPEQCA LASRNQTAEQLEESIYQLLDDLKREPIVYEHSIIDHSYMKTYIRFALYGPSSYPSLAA AFNFILDGNVTGFKELADARVGSLLAAGMAGDDAAFAIPCADKKTGKHTLDEIMPDLN TLSQTSKLLGEVGNAIAMTCTQWKFDAKERYEGNFESKTKNPIMVIGNTYDSATPLRS AQNISASFEGSVLLEHGGWGVSPFFVGYNFVVPGLTCYYSTRLWLTGLHARRGLSGIT GRMVPFLLLEQSVNQIIPLSNLAHWKMFWLTLASLIRNKCCQRPALAQRSYLSFLTAC ISH AFLA_024200 MDESHQEKQSGHHDNLEREAAHRGLRKTGSRTLGWDTDHREFPR NWPLRRKLYDACIIFFLEFYTTVMSTTGPSAAEEAMSEYAMSRVVMLTGFQFMYGAGQ ALGGLIMPPFSEALGRQKSYLVSAGAYCLSSLLVGLVPSPAGVFIGRFFSGFASSVPA IVLAGSIEDLYSQHSRLWLLWFWNCSTMLGIAVGPIYGSYIVDAIGWRWVYHISAITC AATFFLLIPVRESRPTTLLQRRFDNLQSKVGVVDMNIPNPDRIDSTRELMQVILVRPA KIGVSEPILILVSILSASAWGMMYLFTESFTVVYSEFGWSSRATSLPFIALFPGIILS GFVRLWDYHQLKSRQKASQRPEPEDKIGGFAIAAPALAIGLWIFGWTVPPLVHVPWIA SMFGLVLIGFAATEFSYTLSGYISDAYTIYASSGLAVQGFLRALASGCLPLFAYPMYS GLGSNVATSIIAAVATVYCVAPYIFLKHGRRLRENSPFARYSAKVNDEHGAD AFLA_024210 MLGQIFSSVFAWTLIAILAGLYRFTTKQRPIFPVVNDYRGDFFR RKAYREYNQNAKKLIVDGLAKHGSPITLRVPDGLKIVLPSALSEWVKTNRDLDHQELI REEYFAGFPGFEAQDTLHAPDGMLIKLLRTNLSQNEEIVPTVNRHIGPALQHYWGDSG IWHTIDWEDDTTGIISRAAASIFVGPEKAADDEWQTVVQAYVREYFAAVSELHTWRAS LRPIVQWFLPHASACRRLLHQSRAIMQEVVRKREREAQAAEDQGLVAPRYNDVLAWTM QVPDNKHPAGDIQLALAMAALFTTTELFKQILINIARHPELVEPLRKEIKTSLLGHGL GLTALAKMELLDSVMKESQRQIPVTVGLERKVIRDTSLPDGTKLPKGSHIMVDATDMW NPEVHVNPEVFDGYRFLKRRHAGDKASQFVQSSREHIVFGGGRHICPGRFFAGTELKL CLAHILLKYDIRLKEGYYPQPMVLGVYAIVDPMTQLEVRRREHTEDLVF AFLA_024220 MRSNVAMKPELDHAADPDTIVDCALWNAVGIRLYFRDKFDQPMR DNERAPKEHPWKVSYCVARHSFRVEDLFSRTSAIGEGVLYSVPTGAIASTLAEVSPR AFLA_024230 MAEPVGLASGLLALATFAFKASLSLYETINSFRSHTKRVRDLIE ELEALSEVLAPLQELLDTTTDESLSALELPLRRCGNACSEFEQEIVKYSSRSADRTSF RDWAKLRYLGDDDIDGFRRSLSGYKLTINVALTDANLRKSSANAEAIESYKALIETTK ADLEAHLEAIDDKLQLIVGQTVTAEDSDALELRRIKEERLSTVKCLQICNQLSDHIAQ IQLSTKSNDTSGGSSGSDVYPERVTDESLQDCKTKLADTITQLEKHMQALTDRLLVKS KAAMTSEQDILDLKRLQDEWQTAHQCMDICSKADTRLKENISNIHNYGTGDALQFMVS TNGKTLNGTNRGLGWRSRQVGGHLSDASVQQLSRDMTNVNFRIIEADAQTVRDYTNTI RDRNGDASSVEEFRERYGQGFTLTPKSRTT AFLA_024240 MADPLSIAASALAVVTAAIQSTKSLVDTVKRFKDRDKTLRRLQA ELEDLTKILDALAHVTSTEKSMLALLEDPLNRCSQICREFERSMKVFSERSKIGFRDW AKLEFMRGDINDFIDTIAGYKSTISVGLGTITMQTTKVSQHVLEEYNELVQDTVYNLE VYLRRIDEKLARIPFDTTDNTPGTNLDLKDEREVTKQCLRICQDAQSYIESLTNRESS LLQEAPPDTANDDTERLFDAQLLTRQALEANRDSFAEVIGHLGRRLQTLVLDSNPEND NDRQRLQEDINISMKCLEVCKVASEVSRQKIYRVGEAVADGDSDQVVVTTLADLFDVK KALSTGNSAQLVGSMTDDALCHLADKRYGSRFGVSAHPTGATTTKSPPAFETRRSKHS FPPQSGNDERFSKLETRRNEPSPNEMRKRATSDKKDQDYNKREAGI AFLA_024250 MTIQTWQEKAHTKQTTALSKIPPEWRLPPSIQSLLTSNPSLNCL DIPAKSNLLTPRELEITNTEDATALLTKISNREYTSAEVTTAFSKRAAIAQQLTNCLT EIFFDEALTRAKQLDEYLATTGKTIGPLHGLPISLKDSFNVAGIPSTLGFVSFLDKPV PTSNSALVDILLAAGAVVYVKTNIPQTLMTAESHNNIFGRVLNPHRINLAAGGSSGGE GALVALRGSLLGVGTDIGGSIRIPALCCGVFGFKPSGGRVPYAGQTSAARPGLTGIAP VAGPLCHSVRDADLFFKVIADSHPENVDDQVLGLPWSSPIEPKESLTIGLLPEDPTRP YHPSILRTISTAVEKLTAAGHKIIDLSGKCPSMKEFSDIAMHFFQMDPDRTPLGHLAA SDEPWVPSLKYTYNPNGTDPEPTLRQLYDLNVQKTDASATIRKVFLNNELDVILGPGH QTCAPVHDTFGLPIYTMLANLVDYPACIIPFGTADEVADAGFVRDVGYVPECMSSTCF AYTPKDVEGAPCHIQLIGRRLKDELLMQHTQVIEGVLKGK AFLA_024260 MAGLIQNHVYNDTHLFYSNNLATVDQTIFRAPWLYREELRLLAP PKGQHMFLITHGITSKADIYFNGKQIASNATQQGSYGGHRYDITPFVRTGKNVLLIQA HPTNYLRDFAQGFVDWNPYPPDNGTGVWRDVELKQTGPVSMSSPRILTDFIGVHTENV TATIKVDIKNHEDRIANGVVHGTVQAQDKGSQTVAFSKQFKLEPYKNATVSIDVVLKN PKIWWPATWGKQPLYTVKAKTTVGQNEISDIAPPTQFGIRHVTSKLNSYNDTEFSVNG YPFHVRGGGYSPDMFLRFDLEHLRNIFRYMLDMGLNTVRLEGKQEHPELYDLADRMGL MVMSGWECCDKWEGWKYNNEANGVKWTEKDYPIAETTMLHEAEMMQAHPSMLAFLIGS DFWPDEKATNVYVNALNRMDWPNPIIASASKRGYPKLLGPSGMKMDGPYDWVPPNYWY HDKLGAAFGFGSEQGPGVGTPELGSLQQFMSAQELESLWMKPEQPQWHMAKNDSPFAD RSLYNEGLFARYGPPTGLEDYLRKSQMSDYEGTRAEFEAFAIRQNASRPATGVVYWML NGAWPSLHWQLFDYYLRPAGSYFGTKVGARLEHVAYDYEAHTVHIINHSLKNFGKRNV TVDLIDLNGTSMHHESIATYTTPTSSKQVATVPEINKIKDVGFLRLTLKDSVKGTTIS RNVYWLPPNTESLDWDNSTFYTTPVTKYTDLTALNKMPAANVSTSMKMTVSKHGSTFG EVTLENKSDVPAFFMHLTVSDENGHELAPVYWSDNYVTLFPREKLILTVEFQGRKWAA TLSGANVEKRGIGRS AFLA_024270 MAITEQPYDYIIVGAGVGGLVLASRLSEDANTTVLLVEAGPNHM GDPRVETPGLLGAMMENPDFDWDYLTEPQVYANNRQLGQPRGRMVGGSSALNFSLVMY PSRANFEAWESLGNDGWGPDAMAPYLRKFHTYTPPSETTSALLSVDKYMNAENQGDQG PLPISHLDIYTPWNRAWDDTFARLGWNNHADPIAGRKVGSFTPPLSVDGKTGQRGYAG AYYTQDVAERKNLHLLTETMVERVILKKADSRVTATGIQIRTKNGQQLEVSATREVIV SAGSLNSPQLLELSGIGAADLLQKHNIPVVLDLPAVGENLQDHCMSTVNFEVADPQTS ADIARDPKVVQSLVELYEKTRTGPMTGIPVSVAYLPLVDHNGQVQREQIDDLLAQYLD SPQVKQISLGRQQQYKILRQMLRDDQTGSADYMFLVAQFNAKEGVNTMSYALSKDLPE NYINILVLHNHPFSRGSIHIQSANAGDKPIYDPKYLSHPLDLEILARHTQFLDKIAST EPFSSLLKPGARVPKAAVDLADLDTAKEVVKDRLFHCCHPVGTCAMMPAELGGVVDTQ LKVHGTHNLRVVDASIFPLELSGTIQATTYAVAERAADIIRATAHC AFLA_024280 MVGGFHGVGSLPCVASASDGGFHATTAFLSLECRFKQYNNFDRL EGFFDGLCPSALSSENPLIMRTPWCAVLALLAGVQAIDIDVDNPESVKKASYAVANNM LSHYTGMNPGDTPGVLPPPYYWWEAGAMFNALIDYWFYTGDDRWNDIIMQAMTWQAGD DGTFMPPNQTHAEGNDDQAFWAFAAMSAAERNFPNPPDGQPGWLAMAQAVFNTQAPRW NTETCGGGLRWQIFSFNNGYHYKNTIANGCFFNLAARLARYTGNQTYADWAVRVWDWT ESVGFITEDYLFLDGADELKNCSEFNYLQWTYNSGVYLFGAASMYNLTDGDPVWKERT QRILDATKVYFQNDVLYERACEPINTCGVDQRSFKGYLARWMAASAQVAPFILDQVMP KLRTSASAAARTCTGGSDHSTCGMKWTSGQWDQSDDVGVQMSVLEVMQATLVGGVAPP VTEDSGGTSKGDPSAGTESGGPRPHSRRTDTSTANRAGAGILTILMAMLIFYTVWWGI NE AFLA_024290 MSAEVSSHDYQIPSHCKAGVVVNEGPNFEVKVEMVPVPEPGPDD ILIRLNITGICSSDLHMMQGDLGTPPMSTFGVRSPGHEGAGVVVKVGANVKNFKLGDR AGIKPLLDTCGACDLCWGDKETYCPTAIHAGLMAPGTYQQYIVSPARYASPIPDGIPD EIAAPIMCSASTIYRSLTESGLKPGNWAVFPGGGGGVGIQGVQLAKAMGMRPVVVDAG ESKRALALEMGAEVFVDFIETSDPAAAVIKATDGVGAHGVFVTAPAAYRTALSYVGNR IGAVVMCIGLGPTGAMTIGGDPNAFIFKNLTVKGTLVGSRKDTAAALDFARRGKLQQI CEVYPIDRLPEAVEKLRKGQATGRMAVDFNK AFLA_024300 MLLGTLLSLAAVVAGAAIPNGQTLSLNEIPYYVSGIPVSTLQGY NASAYAALTEGIDLVPLTVIPVTPTTNLESLLSDYVERDDVFQPAFLRAVYLTASSAD DIASQVSNSASILKSSGTDMLLVDSEVHTASSNSTIAAQLTKELPSGPYFVSLYTGEV FKAYRLYPDDNLAFIQAGISDEKGGVLPLPAVTENAMTKDVAVPSRLYYTPTAEKPLA GLRLGVKDIYHIKGLKTSGGSRSYYYLYGTQNVTAPSVQRLLDLGAVFVGKTGTVQFA NGDRPTADWVDFHCPFNPRGEGYQAPSGSSSGSGAAIAAYDWLDLAVGSDTGGSMRSP AAVQGIYGNRPSTGAISLDHVLPLSPALDTAGVFARSASLWSRTVQAWYPHFRHNFTS FPRQLLLAGGGWDGKGISPEAHQSLTTFTRGLEAFLGTNHTNVDVSQRWLDTQSPTTP SLEEMLNLTYATLTSVDQFNHLAVPLFADYKAVHRGRQPFINPGPLARWQWGQANGGN TSYEVALRNMTTFRSWWEKSGYGQSDDASCSKSLFVSVYSVGTTDYRNHYYDAPTTPP LGFSIGRIAVLGGAPEVVVPVGESPYNSTISLQTEYLPVSVALQMARGCDHVLASLVA GLEKKGVLRPVSTGSRLYS AFLA_024310 MKFHGRSNKWADSPWGKDPKTGERGFALKSTIGYVYREHSESIT DDNKADKDPRGTPEYPNQ AFLA_024320 MQSDEWQSAWPPLVQDAGLIIHYANIPLTGPTEPDQAITERTPV ILDEKNGIFLNGVGDDGHEDFYISKIGNNFSFCKTGRRPYDLVVCTILLRAYVLAPST FELSSDGDWDNDWVEARDLYHCIWPEENIPCPWEKE AFLA_024330 MPLHQYNYIFAIGTIFAFLDAWNIGANDAANSWATSVSSRSIKL WQALILGSIMEFAGAVGVGDSVSDTIRTKIVEVDSFADNPPLLMLGMCCAVVGSAIYL SICTRIGLPVSTTHSILGGILGMGIALIGADNVIWWGGDINSGVVQVFLAWIIAPLLS GVAASLIFLITKYGILLRGNSALKALYTVPFYFLLTSALLTMLIVWKGGSSRIDLEGG EIAGTVLGVGAGVSLISAVFLCPWLYRRVILSDWQLKPWHIIQGPLLLRRGEVPPRPA HVQAVRNFYEGHKTLEELQADRSGDVENSSEHSSSDPRKESHVTSSSSNDAGPTNRDV INLCGPRPEGIWYNPVVMFWLFKKALFRGLEQDIVSAQKKDSKLAGDLEKTHAHSTHY DNEAEYMFSFLQILTACTAAFTHGANDVSNAVGPYATIYAIWQSGALDGAETPVPIWI LVFGGAAIAIGIWTYGYHIMSFLGNRLTLHSPSRGFTMELGAAIAVIIATRLKLPVST TQCITGSTVGVGLCNGTWRTINWRMVAWIYMGWIITLPCAGIISGCLVGIIVNAPRWG MPN AFLA_024340 MTTTADKNYPTPNTPIQTIGLREICEVNCHHFKIISGQEDWIEY SPENTPLPPTQPSPLYLSLIHENQGPDEPLHWSLFVARENEPGWLYQVTGDAEHMIYE PSDGKVAITSSESFLTLYQLASVTEGQAMVVKSIADQETPPYAVNRREVKENCQGWVE DDAASLRWMGQVWSWMGKVGRGYWCWVCGCYMFYFDWSLAVVGWTNVSPVYKWWLVHS LFSPQHCRNL AFLA_024350 MAYHYLLLHWWKRNQSINEPPPLPLSRIAGCVSLAHVLTQKKLI QDTKMEFGILNSFFALLILRVIYEYYRDRRLPPGPRRLPLIGNIHQVPQVLPWRTFHQ WSKKYIRAVVEEGLRWRSIVPGGVPHAARKDDTYMSYHIPKGATIVPLHWSMSLDEQH FDNPLEFRPERWLAEPDDDQFTNFFGYGRRICPGRHIARNSLFILVARILWGFEVRPP TGPDYQPKTVEDMDFGSAFVSVPAPFEAIFQPRSENARRVIESEWESTEKDINTLMDS IKEK AFLA_024360 MGLVADIHLDGAPGERPDVSPCIEDLPVPGPDANGLDEVDEFKD RKMSFYDDRGAAPATQFSFFTSQLDSVIHSSTIQSLRSFYKPFDTLLDTTEHSGLWWL DVTAPSDDDIETLSRIFDIHPLTTEDIKMRESREKIELFDRYYFLSLQPARQVEAVDG TRSSSPNVYAIVFREGVLSFNFGNSPHGGHVRNRIKEHRSHLALTSDWISYALIDDIV DGFAPFINRVQAGVELIEDDVSITRPDDIGLALQRIHRYRKEVLQIRQLMNDKTDVIR CFDRHCGSFGPSTMDVTLYLGDICDHVLSMVADLYNAEQMLSRAQEKYLSQLAFDSTR MRNEIAATLSRMTVVGGILVPMQFLIGLFGMNVTVPGQTPEDVDSPPVNWWYGILGVI LGLICLGLVTAKRLRFI AFLA_024370 MWGIKDPERKLVVKIDFFILSFCCVTYFFNYLDRSNLSNAYVSG MKEELAFHGNQLNVIITVFTVGYIIGQVPSNLALTYFRPRIFFPAMVLFWGALTMVTA AVHNPQGIMAIRFFLGLAEASTFSGTHYILGSWYTERELGKRSGIFTASGLAGTMFGG FIQTGIHSSLDGVRGLSGWRWLFIIDGLITLPIAIYGLLLFPDTPTTTTAPYLTAAEK ELAVSRLPTVNANRAPVNRAFIKRLFTTWYWWGFVILWVIAGETESFSSNSLLALYMK AHPTIHYSVSQLNNYPTGVPAVGIISTLFWATLTDILQGKRYLVSYFIGITGVVTSVL ILTRFDSTATVFGAYYWAGAVYACQATFFAWCNDAMRAQDARQRSVVIASMNMGNNAV NAWWSIIFYSANLAPRFTRGMWAMIGCSIALVLWTTGIVWRTAKEEGQGYRVEEPDRG VTKES AFLA_024380 MAGCKHHQFKDYPEPRKGPDLPPTYDRKPNPALRGVILSVGAWL YVALSRGIVCVSSVVYELIFDRLDWLWFLPQIIWSNAGFGSLRTIRSHLDYIEPRYDP TVVPLKGNSDENPDGSVADTVSAPPVKYSTKYYSVADYHELYKSGELTPIAVVKGLLP LIRRDLSTPGKHSIAFVDSRVDLVLTAAEESTRRYKEGRPLGLFDGVPAAVKDEFDLD GYRTNMGSLNDYTLEPKSDDPSITNWCIRQLEKAGAIVVGKVSMHEFGLDTTGNNIHY GTPPNPYHPDYYTGGSSSGCAYAVSTGLVPIALGTDGGGSVRIPSSFCSVVGLKPTHN RLSHYPSVNYASTTSVIGPLAADIRSLAEAYRVFATPGPDTPFPAPGPLSLTPSTRGK KILGIPEVWFSRSTPDVQRLCRSLLDKLVTEKDYTIVPIDIPFLVEGQTAHAMTILAD GAALLPDTTNITAPNRILLTLGRTTPATDYLLAQKLRRLLMQHLAALWQEFPGMIIVT PTTACAGWPVVSKSELKWGLSDGDRTLKAMEYVWLANFTGIPAISVPAGYADPEGKHS TEGTVPVGLMGNGEWGSEEQLLQWGLEAEELGADLRERPPIWEDVIQRAKWVGSSSGS QETA AFLA_024390 MDGTKDSGTDHVEQYVENPKDQDLRSVPTALLDWSPEERRQREK VLVRKIDTRLLIIMLVMYILNYLDRNNITAAKLAGLQDDLNLKGEEYQVCVNILFVGY LLMQAWYTRKELVERTALLYVGSLISGTFSGLISAGITSGLNGARGIAAWRWLFIIEG SLTVYIIFVALLACFIVPDLPRTTSWLSNDEKVLAAWRLEEDIGKDDWVDSEHQSMFH GAKLAILDPKAWLLLGVIYGCTSSGAVTTFFPSVMAGLGKDNIDTLLLTTPPRLIGTI IVLIHAWDADGTGEAYLHLCLPSIFAIASFILYMAGDNFTARYV AFLA_024400 MTLDLLKWTCHIGNLNSSFEICLAFKVLRIGQIVGDTATGYWNP TEAIPLMLRTAKTLGALPALDETPAWLPVDVVARSILELSGIVSNEKAKALAHDPSVV YHVQNSKTFRWTEDLLPALRQAGLKFDILPKREWVQRLRESEQDPQNNPTIKLLGFFA EKYDNDAPGRSGLTFAMEKTESASPSLKGGMELIHTGLIKRFVDAWAPLW AFLA_024410 MGLFEGENTKRDASQRINEDASNLKHLPGALEDMTDKEHSNFRG TGSTINRFNEL AFLA_024420 METHKLRRPRKEIRMNWVERRKLSSDPYIVDTLKHSGIACYVSH ADILSCHYLAPMSQNSEIVVPDNQLKDAWKALKRAGFLDCDSIRACQAEFSTGSRPIP DAHLHYAGGVGRVDLYKHTTHFKFLPQPVVGDLSPEDRNYMLLSDWKVPDLGDRPVFQ YAPLIETLPQFKDSLGPTCGRRVNFYAVPAPTLARSISSWSYQFYHAVKYIYKIDRAI HRAKDDGVIRNLESKRDASIDDAWLWFVYLNAIVWLYRHHQNDYKWGHKLSWNQIMRG VDQNLVPWLVDWKYKYLVTDDTDKKGNKVKFEKCLTDVTKFLGERRSR AFLA_024430 MDTREIAEEIASHLDGLDIPNLLWGQTAHLYHLGLRSSPDRCCV QTYLREIFCGPV AFLA_024440 MPTVLTDLDTEIPNNPNPGDSNYVLVTDERLPNCSSDGSSGNHQ GTLCVNRKRTARILTLARHSESLILRLCLNLNRNNAPAFFTAIEQIVAYYGENAQYTG IWEQLLCDLDNKNDSYGKYLKKQKDDWKHEDLDPESWQDCAIRNCKRSTERMKYTQHL RDELVNDGKLPSDRNIDSFDEVTPNTGHQ AFLA_024450 MLPRRTSFRPLTQTLLPNRANLSTRTKPQKSLPAAYYRGGTSRA VIFKKEDLPTDRSAWDEIFRRVIGSPDPNGRQLDGLGGGISSLSKICVVGKSTTPDVD IDYTFVSLGVKNTHVDYSSNCGNMISAIGPFAVDSRLFPVNSDGYEDVELRILNTNTG KVIRSRFPVVEGEAAASGDFAIDGVAGTAAKIQLDFLDPAGSKTGKLFPTGKVVDEFD GVRTTCIDVSNPCCFVLASELGVEGNITPEEIDAHPTLLDRLDSIRRQAGVAMGLAET AESVPGSVPKIGLVASPASNARALEQGQTEADVDLLVRALSVGQPHKAVPITVALALA AAARVPGSVVAGVTSGELVDPAGITLGHASGKLVVGAEFGVDGSLSHATVFRTARRLM EGKVFWKS AFLA_024460 MQTPALRTLITQDPGLPKPNPTSSYWQTPPHPLSDTQSPSLPPQ TDVAIIGSGITGLSVLLTLLDDHPDLNITVLEARSLCSGATGRNGGQLAANAGEEYLH LVEEFGREGAGEVVRFTFENLRRMRELVRRFAVEESEVEEVVKVRVFLEDDGFERFKG SVEALERDLPGFKGIYTTLDREVLKREYHLDGAGGALLPAGTVWPYRLVTKIFACLLE RYGHRLNIETQTPVTSIAFDATSPSWPYILHTPRGGLRATQIAHCTNGHAGYLLPGLR GPIYPFKGTMTVQDAGNIMPNRGGDLSWGFHYPVMYDKQSGRYAAGLYYLMQNTKTGY FFFGGEDTRIDNCLSADDSLVEEGSIKHLQEKLPHFLGHNGAEQWRLASGWSGIMGFS ADGLPVVGRAQASMTGRQGEGEYVAAAFNGYGMANCLLSGEALAKMMMGTDVSAWLPK AYGIHERRLKEPLTVDHAIKSFDLDA AFLA_024470 MSDSFNLPLRPLTEKRERPDPLPVEIAQINAQYGSFRDVTEDSL RAKIEADKNKDPWFDKEENDNASADEDTTERLDQLYKRRAAITQFALQAHMEAMFALD FVSLLLSKHTPRQAEMSMSAYLKQVAPLGSLNSEIVNPPPKPESAARDTKNVSRGWRL QNFNSAASKLLDSATRLEAEVASETRYWDEVLAVKEKGWKVSRLPRERQALGVQYGFL EATPIFRDRGLAALRRTNDGSLILDKGLIPLKARTVRVRVRSRGQITGCSKNQPASDN TESIESRILQARDTVYEEELFHELVREARILGSQGVTTRQNLVQFPVSEEQEVLLDLI DSDQISPENDVVSSNEHAVVADALAHAIRILLAYAHRQNLRRRTQLPTPLTPKRRQTP EYQLLRPVMAYLQHSSHVRWLESLLNDINQILKSAGITCGFTATPFSSLSLRRTISGL PKVEALVQEFLLPYESTFSAQLVTPQSSFRVKVRTNVTTPPLGTHYEISVNMPQYPDV RPPNRIGLQDEAASILTHFVLLDILTAITHAKGSSVKGIKQETGHLLTWQAAYPHNGE LLALSSTGQHKKMKVSLSRHELTVRVYSVRGIDGFGKPAVDKTPAMRSQTWKCDGTPD QPNLMDFIAEVSKE AFLA_024480 MMATTHAPAEPPKRRRIGVLTSGGDAPGMNGAVRAVVRMAIYSG CEAYAVYEGYEGLVHGGDMIRQVHWEDVRGWLSRGGTLIGSARSMAFRERAGRLKAAK NMIVRGIDALVVCGGDGSLTGADLFRSEWPGLLEELVKTGELTEEQIVPYKVLNIVGL VGSIDNDMSGTDATIGCYSSLTRICDAVDDVFDTAYSHQRGFVIEVMGRHCGWLALMS AISTGADWLFIPEMPPREGWEDDMCDIITKNRQERGKRRTIVIVAEGAHDRQLNKITS SKIKDILTNRLDLDTRVTVLGHTQRGGAACAYDRTLSTLQGVEAVRAVLDMTPESPSP VITVRENKLLRTPLMDAVKATKEVADLIHERKFDEAMHLRDSEFKEYHFAYKNTATPD HPKLILPENKRMRIAIIHVGAPAGGMNQATRAAVAYCQTRGHTALAVHNGFPGLCRHH ADTPVSSVREVSWEEQDTWVNEGGSDIGTNRSLPSEDFETTAKCFEKFKFDGLFVVGG FEAFTAVSQLRQAREKYPAFKIPMVVLPATISNNVPGTEYSLGSDTCLNTLIDFCDAI RQSASSSRRRVFVVETQGGKSGYVATTAGLAVGASAVYIPEEGIDIKMLARDIDFLRN NFAHDKGANRAGKIILRNETASSTYTTQVIADMIKEEAKGRFESRAAVPGHFQQGGKP SPMDRIRALRMAIRCMQHIETFSGKSADEIAADELSATVIGVKGSQVLFSQMGGPNGL EATETDWARRRPKDEFWLDLQSTVNILSGRASFGEGKTGWSCYENC AFLA_024490 MTTRYRVECLLAVPFVLHSQPTAVYQEHGENLVAIAADTHQRYA EIFRDVENLMRDHIHHIKTGAPGKSKLNHLVPTVGSFFTPLPLEDAFKYQDSQRFISR RRFVAPSFNDIRLILNSAQLLGLFRTSGLDLVTFDGDVTLYDDGACLTDDNPVIPRLI RLLQQGRKIGIVTAAGYTEAPKYYERLKGLLDAVHSSDVLTPANRNGLVVMGGESNFL FRYDHTSPDKLTYVPREQWLLEEMHSWQESDITQLLDIAESSLRACAKNLNLPVAVLR KDRAVGVYPLDRTKVTREQWEETVLVVQNTVERSVVGTRLPFCAFNGGNDVFVDIGDK SWGVRACQQYFGGIDRSRTLHIGDQFLSAGANDFKARLASTTAWIANPAETVQLLDEL AIIEK AFLA_024500 MDTAPPPYTPTSPSPSPSTSTSPTITPQNLAPETLLTRGLQIPS KSAYPTSGFTYPPLLAQYNITPTDWENFTSEVITTAKLSRKQWTTAIGKGLGVMVVGG LMVGFLGAIPAYYVARRAQRSREERNMIVGSGELRDVIERWNGGFFEPRGVLIRVDLP FEEVEEMEMMDVLERRRGIPGFGASREGERVREDAARKARIVVIPLPGKLG AFLA_024510 MAGAKKPVNIFQLKNLGDPKQVYNWRLWLAVISFGLMGAARGVD EGLISGAFNSKDFQRTIHYDSYSKVEQTNIKANVSAMVQIGSVGGALFAFLVCDRIGR LWATRQLCVLWIVGIAIFMGANGNLGAIYAGRFVAGLGVGQTVVVGPVYLAEIAPASV RGLCTCVFTGFVYLGIVLAYFTNYGCQVNLGDNTHKRWVMPTSLHIIFAGLIFLLSFT QYESPRYLVKKGKYDEALRNLSRVRHLPEDHEYVVEEMTAIRTSHEAEMEATMGSGPI GVIKEAFLVPSNLYRLYLALMAQLLSQWSGAGSITLYAPDLFALLGITGSNESLLITG IFGIVKLVAAIICALFLVDVIGRKRSLLIGITFQAISMIYVAGFLTSVPEMGVVDDFV LPENKLGPSRGAIAMIYISGFGWALGWNSMQYLLTAELFPLRIRALATSLAMTLHFVN QYGNSRAVPNMLLGTDHGGITPMGTFWFFSAVTVIGWFWVLFTVPETAGRSLETIDRL FELPWYKIGLYGARDAEQRDMVMSDKAQIAEQSSHVENVGSRDNTTRV AFLA_024520 MATEKGALDGVADEKAENAHASNVIAAAERGQAATDQYGRVLIE FDRAAERRLRLKIDLCIVPTVALLYLFCFIDRANIGNAKLAGLEKDLGLTGNDYNTVL SIFYISYIIFEIPCNIACKWMGPGWFLPTTTLLFGVCSLGTAFVQDIHAASGVRFLLG IFEAGMLPGIAYYLSRWYRRSELAFRLALYIVMAPLAGAFGGLLASAILTLDSFGSLH TWRMIFAIEGIITIGLGLIAFVTLTDRPETARWLTQEEKDLAIARLKAERVSTTEVLD KMDRTKMLLGIFNPVTLSTSFIFLLNNITVQGLAFFAPTIVRTIYPNASVVSQQLHTV PPYVVGAFFTVLFPFLSWRFDNRMVYFVIAPPLMIIGYIMFLASTEPMVRYGATFLIA CGAFSFGALCNAHVSNNVVSDTARSSAIGTTVMFGNLGGLISTWSFLPFDAPNYHIGN GLNLATSTLTMILGACLWMWMKWDNKRRDKVDIDQALRGMSQKQIQDLDWRNPAFRWR T AFLA_024530 MDTPSSGTVDLERGGGAIRKRLTLTFRNLNVRVTAPDAALGDTL LSYADPRQLLDVFRKSRGNKRTILKDINGQVKPGEMLLVLGRPGAGCTSFLRVLSNDR DSFDEVSGETRYGSMDHKEARKFRQQIMFNNEDDIHFPTLTVNRTMKFALKNKVPRER PEHLQEKKEYIQGTRDGILESLGIAHTKKTLVGNEFIRGVSGGERKRVSLAEVMAGQS PVQFWDNPTRGLDSKTAVEFARLLRREADQNDKTMVATMYQAGNAIYDEFDKILVLAE GRVIYYGPRTMARAYFEDMGFIVPKGANIADFLTSVTVITERIVQPGLEGKVPSTPEE FESRFLASDINTQMLDAIEPPEKLTHEKDDLVMAVANEKKKKHLPRPQSVYTTSLWDQ IYACTTRQFQIMAGDKLSLAIKVVSAILQALVCGSIFYNLKLDSSSIFLRPGTLFFPC LYFLLEGLSETTGAFMGRPILSRQKRFGFYRPTAFCIANAITDIPVVIVQISCFSLIL YFMSALQMDAGKFFTYWIMLIALTLCYMQLFRAVGALCRKFGLASMISGFLSTIFFVY GGYLIPFEKMHVWFRWIFYLNPGSYAFEALMANEFTGLKLDCIEPDYIPYGTGYPDSS SAYRGCSVLGSDENGLIDGAAYIREQYHYSHGHIWRSFGVIIGMWAFFIFLTSVGFEK LNSQGGSSVLLYKRGSQKKRTPDMEKGQQNMSQPAANTGALANTAKQSTFTWNNLDYH VPFHGEKKQLLNQVFGYVKPGNLVALMGCSGAGKTTLLDVLAQRKDSGEIYGSILIDG RPQGISFQRTTGYCEQMDVHEASATVREALEFSALLRQPASVPREEKLAYVDHIIDLL ELSDISDALIGIPGAGLSIEQRKRVTLGVELVAKPTLLFLDEPTSGLDGQSAYNIIRF LRKLVDGGQAVLCTIHQPSAVLFDAFDSLLLLAKGGKMTYFGETGQDSAKVLDYFAKN GAPCEPDVNPAEHIVEVIQGNTEKKIDWVEVWNQSEERQRAMTELEALNNDRKANTQE EEDQSDFATSHWFQFKMVLRRLMIQLWRSPDYIWSKIILHVFAALFSGFTFWKMGNGT FDLQLRLFAIFNFVFVAPACINQMQPFFLHNRDIFETREKKSKTYHWLAFIGAQAVSE IPYLIICATLYFACWYFTAGFPVEASISGHVYLQMIFYEFLYTSIGQAIAAYAPNEYF AAIMNPIILGAGLVSFCGVVVPYSALQPFWRYWMYYLDPFTYLVGGLLGEVLWDVKVE CKASELVHFSAPSGQTCGQYMADFLSEQAGYLLDPNSTSSCSFCQYSTGADYAKTFNL KEKYYSWRDTGITALFCISSYALVFLMMKLRSKKTKSARSE AFLA_024540 MGESAFDNLTTDFDLGTLVEDVSETLYAGHRSLINAAKIAGRYL PTGAGVGNRSIGTEVKQLDNPDDLSVVVRIEEQTSWSIHSLSGGWRRIVMNLLGNSFK FTRSGLIEISLSKEVEGVGDQKTTIAHLSIKDTGCGISKEFLDHQLYKPFAQEDVLTE GCGLGVPIVQQIVTYLGGYVEVHSDVGVGTQVDVYVPIEYAVQPPPPSAPLKQGEGPG SRIMTRVCLVGLNPYAELKGARKGVITTEAKRKLSIRGALSNVLLSQPGWMVSFADSL EKGSGDIGVVEESSLKKIAEAGPIETEFNTIMVLGEHGVSLPRDFAIKNADIIYVSQP LGPRKLTNALQRFIDAHREASPLSESPIAGPFSGFPGRGRSLSAAFAAAKGSESPPMV GESVAALSPFPTPKALNQKNIHVLIVDDNDVNLKILATFMRKIGCRYETATNGLAALE KYKECAGQFDYVLMDISMPIMDGIVSSSKIREYEEQNSLPRSTIMAVTGVASSSMQQQ AFAAGIDDYLVKPLSLHDLKRIMNIE AFLA_024550 MSYRLTWMFLLVVVVGSALAQICTPEWGYEGYSYIINNQSDLDE IAAKCTTINGSIAMSYNYTGAFHLPNIRNITKGFKWFTILAVSMDDPKPTSINLPDLE FLGGSIWFNSLPTLKSFTAPKLKTVGSKAFIDVTQELDLRSLVKSEYLSVRGDASSVR LDSLRQVREQIQICNSDECNSNNSSHGTLDLSLPALHDVGHLLLQGRFSSLDTPKLTN ISGFGSGSYSILLRSEEGPEIDLSFPELKYIQDDSLWLEGSIGR AFLA_024560 MEIRADSATSQDSQTKSDQQCTPDTVQLEKLGRQRPAIFANNVI EIGFCFSLLASMLLAVRDLQTTIEDLISLEQEYFISGFNTILPVLTDALDIPEEAKTW PASVFSLVTGAFLLPAARLADIFGAHIVFNSGLIWYFIWSLIGGCSKNYMMMIFCRAL QGLGPAAYLPAGIMLIGTIYRPGPRKNLVFSLYGAFSPLGFYSGIAVSGLSGHYLTWR WYFWIGAIMLFVVSIISLLSLPSVKSSSDSKMDWWGCATIIPGLLLLVYAITDSTHAP DGWRTPYILVTFILGILFLCAAFYVEGWIASSPLLPFDIFKVKYMTPLFTSLLFQYGV FGVYLFYANFYIQTILGKDTLITTAWFAPMAAGGLILATAGGFTLHFIPGKLLLIISG LGYLVAMLLFALIPENPNYWAYIFPAMIGTTVGCDITYSVSNIFITTNLPKDRQGVAG AVINTTVFVGISLFLGVADLTVSETTYLGLKGSYKAAFWFGVACAGVALVFLLFIKMG KAESDLTVEEKEQLRASVADGEV AFLA_024570 MKLISSLKPFQNDQISPIALIRDRITSDCLTYLSFPTTLLQILF RACELSNIAFTLPSTETPNLINEASSLLNAAQAFDVEAWANNIEGAPTHRTPNRIHTA LAHQNAVCIYIHRSIAYDPTDMDSETLVTEIIHHLSFIDPKDPLSKATSWPTFIAGAE TDNPVYRQWALDRLSLLWNVLPWGYVQTAVEVMRMAWRLRDEANSDIMGVSGWVQQLK ALGNHWLIA AFLA_024580 MADTPASLQTGWRISKACQECRKRKIKCNGSNPCKTCHLRNTPC IYRDVIRQRKRKHQTNRDSDSFESEVTTPNSSFLHLGAASRKALSAGLHKEAPSQGGE PTDSVQERRLTFWSLYFYETFELRYHGYFLGSASFAIIYDLMHGKDLAPTHLPWIYAA LQSLSTMREGDPIKSTITAIQTVLRKLNPAYEWVPPKAYNDTMGQQATTARPYSSDIP NPQTQSIPEPSLPGIPLQPLQTSNGLPILSEFQNNSLQAALNPPSGSLGSGEDLLDLT LSDMGWDFDFSTMDLETFFSIYPNGETPTG AFLA_024590 MNCPSRTDDTLLHVEWNQNPPFLAPDLTTRQDLNGISNARENKE GDGNGSDSGQSLACHSDKRRMNSLPIDPEKNLMWDNGASLTSKSGMTPYFRYFPVFTW SYNLPLHVGGLFIILSVSTLACAFPVLAIWFPRLRIPSSCLFFVSHFGTGVLIATAFV HLLPTAFQSLNDPCLSKFWTTDYPEMPGAIALAGVFLVTVIEMVFSPARHCCRGGTSL SDPPPYLSRPTEKETPIKRAHVVDSTVCNERERPAGVEPLPHLRDMGPLIDPERICRI SSAPEVPQYRQEPRIEPVQEDVERSDDGHVMTPEQKHRKEVMQVVLLEMGILFHSVFI GMSLSVSVGSEFVILLIAIVFHQTFEGLALGSRIAALDWPEKAMQPWLMSLAYGCTTP IGQAIGLATHTLYSPDSEVGLLLVGVMNAISAGLLIFASLVELMSEDFLSDESWRVLR GKKRVYACIILFMGAFCMSLVGAWA AFLA_024600 MASYLITGTSRGLGLALVSQLLSLPASQVASIFATSRSAQPSPN LKDLIDQSSGRASYIQLDVTDTISIRTAAQQIERQLQGRGLDVLINNAGTQPVTKGGP EYMDNLTETFNTNVNAPHEVIRTFLPLLRKGDRKVITNISTTLGSIGTASPFMAKLTP AYNITKAALNMLTVQYALSLEHEGFTVFCVSPGWLKTDLGGPRADLPVSTGAEAVTKI ILEANHKDTNGKFLNIHVPGWEQTEGFNQYDGAEIPW AFLA_024610 MGYDFTIYKGSKDGSIQKSTTHRPALQKDQVLIRITHSGVCFTD VHYRTTDMALGHEGAGVVEETGPEVQDLVKGDRVGWGYEHDCCGRCSKCLTGWETFCP ERKMYAAADLDQGSFASHAIWRESFLFKIPEGIKNEDAAPLMCGGSTVFNALHVAGVK PTARVGIIGVGGLGHLAIQFAAKMGCQVVVFSGSDNKKDEAKKLGAAEFYATKGVKEL KVEKKLDNLIVTTSSQPDWNQYVSVLNPGATISPLSVDLEDFKFPYMPLLGNGFRVVG SIVSARQVHRDMLDFAAFHGVKPINMTYPMSKEGIEECLKTLEEGKMRYRGLLVAQ AFLA_024620 MAVAIYEPQLITRNPFARDPAIRLRAKTKPKGVQRARLKHRTII EQHQAAEAEARWKAAIAQKTEPDLLDRFFALPSEVRNHVYRLLIVQPCKFSFNHTFQC ERFSYDYPGPAHTATGPESNMNFACADCRWYAWGQRQPVFVSPARSQWSPPMTNEYMC DNCYSENIRAKREPHPTLRNLKCLCARRKNLHIFLINKRFYKEASHVFWTENWFAFEN PTILINFLSCIRPQTRSLITKISFMIDPNELGVNLDRKYVQLCWRLLRLCDGLMELEL DQFFLSNLQWVLGIKNITPRRRMEFMKTPDRAEIAYLMTYDRRIWQGVSRRKPVTNIL TQTLTSSMLKQRPMTAKAVRALFDRHQQGEDGDISAGD AFLA_024630 MTAFRPARHPPRGRITWISPILGLLVILFIYMNHQNASAPIAFP QRQQNANTDCPDLPGLEDIFVVLKTGVTEARDKVPIHLQTTLRCIPNYIIFSDYAEKI HNVQLHDVLENVAEDIKQSNPDFSIYNRVRAAGRTALTSADLNPDTNSAFGKPNNPGW KLDKWKFLPMIEETLKARADAKWYVFMEADTYFFWPNLLSWLAQLEHQRPYYLGNQMQ IADVVFAHGGSGFVLSNPAMRAAVTLRRENVDMWDRVTNDHWAGDCVLGKLMADAGVG MLWAWPVLISGQPSELDFFSEGYRKKPWCYAPVAYHHLGPDQIRELWEFERKWYRDGN RKHVLYRDVFRHIVRPKLGGTVAGWDNRIEETPGKSSLSLVECRVLCYRDDKCVQYTY TDGKCWTSHVPVRGAQKDGVASGWITERVDALVDDMGSCPHAKWILS AFLA_024640 MTSTSWLPKSERSAVEPVDHGVMAGDEKLQRKRTQNRLNQRARK PGLRLRDKDQAHITANPRPFRVYRWRLEDESQTTSGASSKHLNHGPAPNNTEWSEHSA PYLSVAPFSISNQVQRVLHGSSETEVSLPADHLLHLIQFNVLRGVHHAKVILAGSSAF IIPGIEKNEIRPGHLWFLGTSMYYATRPGLPESLIPTSLQMDIEHATWINFLPIPRMR DNLIAHENSFDHTEFVRDLLGDKIVDYMFGSLWSRKPPIASKLALTEGDDDDVTASRQ GLILWGEPHRLESWEVTPGFIRKWAWAMEGCDELIASSNRWRMMRGEEPIRVTVCE AFLA_024650 MSRYAEAHANPQGPGDARPTALQIVKDAEMEGKLAGKSAVITGV SSGIGLETVRAIAATGAFLYLTARDLNKAKTALGDIFKPEQMELIHIDQSSLESVRNA AKTILSKTDKVSLLINNAGIMALPELRLSMDGYELQFATNHLSHFLLFNLLKPAMLAA STPEFQSRVVNVSSDSHRHHGINASDNYSFQKGGYEPWTAYSQSKTAVIYMANELDRR YGSRGLHATSVHPGMIATNLGQYLTPEQIEQLLQNTRWNSLWKSFEQGAATTVWAAVG REWEGNGGKFLAGCAEAVRGPEGTEDYVGTFVNHTYKPEDEARLWKDSLEMVGLSDDK AFLA_024660 MVYFKKGTTSDDTRIMVRNRVYPDRSAILKLYSFVRNWGSDKKY PTFSYSSWKRALKSQCGFSSRSHRVRCKLPLGKGTQEIVYVSCEQQFAAAIDAMSQSG ADLEFEIVHKYKWFRVEKDPSLNGHRSHGASSKRGSSFYGAAEKLGDFEKCDLD AFLA_024670 MPREIAWDAVFKVHNRMDPTKSGMFMAWDFARVWDGQTMYIRDL DYGKWITGLIEKCGFDPNTHFARYTVYYDGIEITGNILCEAHWHYATRMNLERGLDFE IVPQDGYLETKHDGTLNETISREEFLAWLDNQYFSD AFLA_024680 MFGEIGTFGELGYGGSPKMKDVIPQMKEDGERRMDGYEIDKVVG LQESTNDFKLDSPLIRFQHRLNGTKPLRATEHISSENASIPNKGEFQQLHVRVKHALV DQYFHPGLIVKIPDDKSVFTISSLPYAPSPEEGRTPGIHTVDDFDGSILMDFHFCAVP RDKDVVNNV AFLA_024690 MHQIFPNTKSPLIANAPMHGFADSRLASAVSSAGGYGFIAGGID FRTGSPHLEQLQAELNTTRSLLGIATPEEKLPIGVGCLMLQPEGLIDNVLPILCAARV TGIWLAFPNNGSDHGPIITAVHQLREKENWDVKVFVQVGTVQAAREAIDYGADVLVVQ GSDAGGHQWAQGASLIALLPEVRGLLREIGKTGEVHLLAAGGIVDARGMVAAMALGEL HCPDIAKEKIVSTTDGTTTTIKSRKHDVFNSTDVWPSQYDGRAIIGRSYEDFQSGVTD EEILKRHSNAREKGEDDRTIIWAWSSAHKTNRGTGIGSIKEVTTVKQLLNDARSGVRS IVAQMNNAFRQDEED AFLA_024700 MDAAPTRTLLLIGSGPGIGVAVASLFAQKHFDHIALFARNSSQL QADKETILSSAADVGRQVHVRTWKVDISDLEQFKAALTEVQSFGTLECVYFNAARVGG SNFFDFPVEDIELDLRVSVTALYVAVQWATPILVNTMQTNLGVGFKPTILVTNSLLPV NPIPEYFSLSVAKAAQANLVKSLQKSLAKGGTRVGMVIVGGIVLPDSKFLNPTTIAEQ AWDLFNQDGADWKAQVSILEDRVDWCPRL AFLA_024710 MGSCFSLLGGGNRRHHMNPGMGMGGGGYPPPRRHEFGPGAGMGG FDSGPGGFGGGHHHHHHGGGGLGMGMGGGHGHHGHHGGMGGPGFGGHHGGGFGGHHGG HHGGGGFGGHHGGGHHGGGW AFLA_024720 MSTDQKDIPVENKNDRKTSIEEPSVGDVNDVVLDPKKEKKLLMK LDIAFVPIIMVTYLSCFLDRTNIGNVKVAGMPEDIGASDTQFSTAVSIFYVTYVLLES PWAVLMKKLTPRNILTGLCIVWSLATIFTGFVQNVAALYATRLILGACEAGLFPCLNL YLTMVYRREEQAKRVSYLFSCAAISGAVGGLLAYALLHMDGLGGKAGWRWVYIIEGLF SMVCAVLIWFGLPNDPAESYFLTAEEKWMMRVRNEQRRRYMGSDKFSWDEMWTALRDP KLAFSAVTQFCQDILLYGFSTFLPTILQGIGYNSLMSNVLTVPVYIWAALVFIAVAYC ADRFSRFASYILIANIFGIIGYILLLAVSNDPVKYFATYLCAIACYTGVGLNVAWLNV NFAPQYRRALAVGVQQTIGNCAGIVAGQIYRKSPYVLGNSFSLGALCVAQIVVFVHAM YLKRENAEKERIIDGKEDTRRVRTGDAEVEFKYHY AFLA_024730 MDPTQGQTAHQVRLLGRQNHITNTSGLAPGYLQANLLILPSKHA EDFHNLCLRNPVSCPLLGLTQKGNPHIIYPSSCIKDKDFDLRTDCPKYRVYKNGKYLE SRTDLVNLWTDDYVGFLIGCSFSFEDALSDAGLKPRHQDTGTIVAMYKTRIPLLASGI FKNGTCIVSMRPYRVEDVERVREITRPFLATHGEPVDWGWDALERLGISDIEKPDFGE RQIFEEGEIPVFWACGVTPQMAVESAGDRIEGLVFAHEPAHMLVTDFTVKDLKTLGKP LYN AFLA_024740 MERHHVKDAEDSGLDVCTRKDSDKKLAGMNSKSRFVGWREVMLT ETSGLDQLCEQMKAMQEQITALTAAVHTLTQGAAVPTSLSRPDQTCFSISSQRPFRRV SSAKELTFQGPTTSAFSFDLAKSSLQRRGIVERNDAGEDGDLTQEPSPMPSPSPTQVL HTRQGDPLWTIGKDEALRLCRVYEEEMGIMYPVLDLQELLNQVEILYGQVETEGWSEA SVQHNGHMKVDDYDVHILRLVLACAITAEASGNSDLAMRLFEDVQKVADNCVWGPPDI RGIMFLTLVSIFYFQMDEEALAWRTIGIVERMCLEKGLHRRETLQQPAILAEGKERIL RLFWSIYVLDMRWSFGTGMPFALEDTDIDPWLPEPEEKTPYLRVMIRYSRIAAKVWKF ISAFNNTNEIKKDEMNYLDWQVLRWAAAIPDSLRLDQPFDQAQQDPRSIRRLRSLLYL RANQLRMLIYRPVLHSAAHIMRYPAESQIVVDLAKDTIQFITKLNETSDIYRLQQVTF NWFLVSALAVIFLAVSQTPAQFSAHSKVEFYMALELVKGFSPRSYVSRRLWRSIKGLR KLGPQLGLQTHHQPEETVRHVLEPAAGTAAVDSMEQVPAPSTQSHTTPDGAQMTRELM EWFEAVGNIEDQIMSVGTGVQTYEEPWQYGARMGNGYTFDFGGELSSLMKDCF AFLA_024750 MSLQTIAIAAIAVAYFIIRYLNRTDVPKIKGIPEIPGVPLFGNL LQLGDQHATVAGKWAKKFGPVFQVRMGNKRVVFANSFDSVRQLWIKDQSALISRPTFH TFHSVVSSSQGFTIGTSPWDESCKRRRKAAATALNRPAVQSYMPIIDLEATASIKELL KDSQNGTVDVNPTAYFQRFALNTSLTLNYGFRIEGNVDDELLKEIVDVERGVSNFRST SNNWQDYIPLLRIIPKMNREAEEFRVRRDKYLTYLLDILKDRIAKGTDTPCITGNILK DPEAKLNEAEVKSICLTMVSAGLDTVPGNLIMGIAYLASEDGQRIQQKAYDEIMKVYP DGDAWEKCLVEEKVPYVSALVKETLRFWTVIPICLPRVNIKEIEFNGAKIPAGTTFFM NAWAADYDEDHFKMPEKFLPERYLEVSEGSGTPHYGYGAGSRMCAGSHLANRELYTAY IRLITAFTMHPAQNPADRPILDAIECNAIPTALTTEPKPFKVGFKPRDAPKLQQWIME SDERTKDL AFLA_024760 MIDNPLYTYDVSCYKDSSPGKYCDLILGEWRNQTNATNDDSSAH DCSDCILGPFKIQLESPIGYDDEWAEDFISLTSSCGATGYTYVAPTGYALNSSITSSG TATSTGTGSDAATPTSTHTCATTYTVQANDTCHSIAKKHSVSTYNLMVANGITIFCSN LAAPGESICIPESCNSTTLLAGNSCAQMMCDWNVSMAQILAWNSIISSLDAIDANNNP TKEWGLGTDFLDGLGINYTACSFYSHPYSVTVDDFVQWNPSLDVSDCVVHKGYSYCVL ISYNHTSSDPPDDMPVETKCDTVDKAWIMKGTALPGYWPTVIKHDDFTNPSN AFLA_024770 MRPPPVIIGYYEGWMSDSKCHPTLPGELPLGILTHLYFAFAYID PKSYTITTMSSDMSEELFTQVAAVKDLKPSLKIYVSVGGWTFSDNDTVTQPLFGEIAA DATKRRTFANNTLKILNTYGFDGIDIDWEYPGAGDRRGKPRDTDNYVKLLAKLRSTFN ASGRKLGISFTAPSSYCGSIVQAHTNLTNIKESTDLHWRVGTDPSKVVMGFGFYGRTF TLENSGYTAPDCPFTTGDTSGPCTHTSGYLAYYEIQDLLDKNPQITPVHDKVAAFLHF TYDKDQWISYDDKTTFKQKLDWARSVGLGGSLIWASDQG AFLA_024780 MQAWDESICCEDSTFDNLTLDCYWTGCDGSCKDGEVSVAEASNM NGWRKKALCCTPQGTLASSCDGVDLCKIDSDYCDTTNLGTNYKRRDLEDPYDNDDYDT HSIERRAPPAFKAFQIRQWKIMIRAFPWPASGELFKGNAGKVVFKKSFIALGTRNNRG TFLLTEKSLNIVKGKIFDIEDKDDIFNGVIHNPTDPRKFDKALDLVAKSGTKEEELFD FIRRAIGVWNYLNHPELLSRVDTVREKLFAEAIVLAQTVPGFKSLPAILKEVDADWYR VAASGTRDWVSAQLMLISLRYMGSRAANAEVVQTTAHLLKNQLDDIVVPALKALKD AFLA_024790 MLSCRGLVRRHIFHTSGSHSKALALMVVSNSVQLPARRGICQLQ SNDNFHKVRLRSPAEIKRGLQILFSILREPSLAGQLRELKLDRTPLLSHRGDPYEIRP TQIFLLPEDLQRLQLAVRNAGFEGQGEHERSVDLSRRLQYFSPFDKYLYFQAQALAVM LVFLSPQLESLAFCPLGLQQPSEIYLFENFLRRSINDKRDVAGLKNLRSVRFLSDIDN TADDCTLYWDYDVHDCLNLIRELPAIESARFEAIQPNRNVGMWPPPRSANYTDIMLYH CIMHSPEELDIIIQSAKRLRKFAFTVGGRFELDYDVSPVSAIHLLKSLLTHQHTLEEL DLDIQAHVTFREMFDEDRGASWGGLYEEVDEGLQDWVAQREKVLIVESLAPECALRSF PNLKHLSIGTHVLYCYARGFGAGRLKEPFSLVDNLPPHLESLRIYGYGLPGEYPHKYK ESLDLDVEAQIANLLEQKDAKLPSLKVIEGIDTPIPHGHTVEDCDDEHLLWQREDYDW GAGFDS AFLA_024800 MASNTINNDITIIRGTVDAIPAVLHLLDTAVQWLVSHDRTGQWG TAPFSENPQRAEQLREFATTGHGLWLAVKVANNMRIVQPQTTNGEAPGVIVGALAVGE KMPYVAPVSEPELYVRLLVSDRQYAGNQIGKRLLDHARDLASKDGVSLLRVDCYAGGD GKLIRYYESQGFKRFERLDVKGEWPCQVLAQRLDEMKGEERC AFLA_024810 MSSNDFSKKDFGPSSEKYSDKKDGLALEGTGPSPSRQPPAPFHA EHVSQSDNSSEDHGKLSGDGKRELTEDDCYDKLGFSFPWYKKWAILTVIFTVQMSMNF NSSVYPNAVTPLSEHFHVSEQAARVGQMIFLVAYAFGCELWAPWSEELGRWPIMQLSL FLVNIWQIPCALAPNFGTIIVCRFLGGLSSAGGSVTLGMTADMWEPDDQGFAVAYVVL SSVGGTTIGPIFGGMIQQWLKWEWNFWIQLIFGGVTQLVHFFFVSETRATILIDREAK RRRKTGEDPNIYGPNELKKPRFEAKDVLRVWCRPFEMFLREPIVLFLSLLSGFSDALI FTCIESFNLVFKQWGFNPLQIGLCFISIVVGYVVAYGIFLPDIWRQRQIRKSDGNTAR LPERRLLLLLFIAPLETIGLFGFAWTSMGPDYTHWIVPLVFVFLIAIANYGIYMATID YMVAAYGPYSASATGGNGFARDLLAGLSAMYATPMYSNIGGKFHLQWASTILACLAIL VTIPIYIFYWKGPEIRASSKFAQTLEADRAQHAGRRASRLSAEEKPYMA AFLA_024820 MVQWLHKDVRRGLGSCASDAKASKNIEHPGPCNGRSTAPSLKYV ADEERRLQ AFLA_024830 MPRPLAPHTEAAAPEPDETRVVSKSKHTAPSPQTVPLHARPPQE KERNGSRYPPLAQASTPMTPGPVFSDDFSRARAHQASLPPRAPGPRLGYFPDERREIP TTVPPHTTTRPQETSISARQTPMPAPEMARIEPLHSQGYRTSGVDVHGSPLLPTQGTL PPTQQSYIQHQPQPSLMPTGSHSRQPSLTKPPSSPAQTLQKQEQDISPIRHDHISQRP YYPLPGQHVGLSQPPPVLSPPKEALQPAPTPPEPEAPRQVPAKRSNILSILNDEPEEP QPRKRFASDQASNPAGTAASPSRPVYTGSHSYSQAAPRQEETHSAMATQKTPVYIQQA QYLPPSRGYVDYQSYTPVPGSSGASANNDWMARFDPRGQQQQQQPPPPHQQSSRSSGT LAPQPPYSPYASSQSLSGSGPSLPNLSAPSPVPTPSPAPSQRASYQTTVYAPSPAAHA QAAAVGSRDLGAQNQVFRPPIGSPTPRTNTIAYGSRQGPPTPIQAPANLLGMSRPPAS AAYATPASATPAPTHMSAQQHHSGHQTYQQHVQTMVSGAHQQPPHRSALGLAGAHYGH NTPPPQAQVSRAAGLPGPPHQAMSMGRSYTPPAILQSNSAGGLSYAPGGPPQAIGAVH PLQARGPVSGTLSEAVPGPHGAPGHHRVYSQGSNAGPHPGQLTPQHPPR AFLA_024840 MSSRYPPLSGFNSRDRSPQRFGDRRPPAGPRGSDDANLPPLGRE PPRGPKALIDPPRGAPFGGRGRGYPGRGDFRDRDRDLRDRDRDRDRDFRDVRDGPPPF RRDLDRDWGRRDRDFDPRDSRIGFGRGRSRSPPPPRDFRDMREPIGRDPDLVRMRRGS RDSLISVSSTTADIPSSGPGHHPRGGPMRGRGRGDWDIGRGRGRIPYLDDRDSFRRRS RSRDGRWDRDRERDRIMDRDRDRDRERDRDRERDRDRDRDRERERIMDRDREIDRRDR ERDRDLDRRERFERRDELDRRMERDDRDRPADVWKRDQPPNRNENRIPSISAAPPVPP ALHTGPATSDRVPDHPNVEQTRKPSIAEPRRDLERSERPDTTVPRPEPPKEFHTPIKR SPPPAAPQVPAFGSVTAPIPDLSAEKRLSDAGGAPPLAPKVEKEHHEPLSRGPIQPPT GPKASRTSPQQPLEQRIRRDETLDTSSKHEPVARTSKPHSVAPVSAQRQPDLSPPTAP AAMTGKEPPAPQVETPLANKSGPIVSSPELSARGPPSANRGSSPGPHTSPLMHSSSIP TGPRALQQRPSVSRGPPKSNKQWVRPGYRGPLPAPNAIVTPKRDSIDGKERALSISEE PKREQRMSIDDSTSGPEAGEIIPGKEKQESKREASPVSPERSRPLNPRAPTPSLPPTE DVGPKEKITGDKTDVLIPDFSRTSDEDEDENVVFTQEYLEERKRTFEKDMRALRAELP PPPLEDPNIVALLMRIQLLGAIANDVTPERAPNPPLPANKMEAEDREEVVIPPEVKLD KDAEDQESSAKMVLDSLPPADAVTIESLPFLNSGPPTPLSDLDIYQENAAAHQSIKEV FRGEMMKRRKEIARKNLALQHDYVDLYKEWRLRVHELDRSKEKNSLTPGPVSPPGTAI ATPTTAEGRRYKGNSELDFQNALRASEISAQEELERRRGNKATAQPDLSREAVIPDML ELDEAKARVYKDTNNIIDPDKAMDVFGFIPPPNDFSPEEHEIFTDAFMAHPKKWGKIA ESLPGRDFRQCIIHYYLTKEEIKYKAKLNKRWSRRGRARRSARPKSNALMADLGVVKP DYEGEEEPAPVTDTGRPRRAAAPTFGDSSADTEHTSNGRRGAKDGDQPEKPASRRGRT GAGSRGGRRGKVAQQQQQQQQQQQLQPQQSQPQPQPQPQPQQLAQPIQQEQPIQTVTM APIAPSVGAIPKLEVPEPSMDGANEAAMVPAREPTEKPVAELPPRARSGRGRQKEGVL VFESAEQETPTTTRQSEVGYGSLQPTSYWSVPEQRDFPQLLAHFGRDFEGISNFMKTK TTVMVCPFSF AFLA_024850 MSSQPAEAAPPTSATNAVLVASEPVPEGTHQVQGVDFERFQGRD ITVAEMVDNMRYMGFQGTAVAEATRIMNDMRAYRHPESGEKTTIFLGYTSNLISSGLR DTIRYLVRHRHVSAIVTTAGGVEEDLIKCLAPTYMGSFTAPGAGLRAKGLNRIGNLLV PNSNYCAFEDWLIPILDKMLEEQEAAKKKAQQTGDEEDELHWTPSRIIERLGREINHE DSVLYWAARNNIPIFCPALTDGSLGDMLYFHTFRSSPQRLRVDIVDDVRRINTMAVRA ARAGIIILGGGVVKHHIANACLMRNGAEHAVYVNTAQEFDGSDAGARPDEAVSWGKIK ADAKAVKVYAEATVVFPMMVAASFARADQSSANAADQAQN AFLA_024860 MDTTETSVTVEQLPPVTPDIEPPSTTDTGATTVALDAPTNDAPE SSTDQSNNTVESPLLVPENNISSQDTSVEEHEPPVRSDISAPPRPPPPEAEHAYWAEM EEDTSVPDEAEMKEIESAADGDYSAYEYPYWEKNFHPDLDDPEYRPIEKARLTWKIHG VRGTKEHPNRAKVMRSPPAYIGGYWWSIKFFPRGNNVGSLSIYIECSPTMPLPDKTLP DSEFKVLRGPADVDINDRAPDLNLNFAHTDDSAAWLENYKSQYPPAANEEKATSNTWR VSAQIGVILYNPDEPRTGWMQSSCHQFNPHNLDWGWTSFHGPWDQIHRRQRGQRQALL RNDTLAFDAYIRIIDDPTRSLWWHASDSEPTWDSLGLTGYRPLGDSVINHSAEVAGLA TWLHIAPFCKIIQNANIVEHHGNCDVKPKPLCDALQRFLWQLRSRKNSLQYVDTDIIT STLRNLHEYSGDVCEFWERLRRTLELELAGTSAVKDLAALFDSPTPDLLLQETQGSDV VNTIPKDYNSRICVPADQVKTMREALSNYLDSKPGRWALPPILHVELSRQKLDKAARQ WRLIYNRVDLDEELDLSPWLLNGQRGKYVLYGYIVHRGRRTSGKFFSILRPGGPGTKW LAFDDGSDNRVECLTRKTALGPHLGLDASQKVDHKTGHDVAIAVMYIRSDLAPQYLPG PQGPWDAPPAMKEYYERGIHPYAESSDGKVAGDIQVEVYSAPQYDKLDSLFDTYDLMS QAKAANKVMYLTVPRSTNLIELRKKIALWASAGAQDQTGPEHIRLWQIGHTRDRFGPT LAFSRVSDLRTTLNLPLKTARFWIQVVSDVDAKYFAMADPREVDVAQNKPEEAVFERE DSDSSDDQQSVAEVEAGRAGPSGTASRVDDTAQVVTTETSSEHFDNNVTENSGEGAAA SLETSHPENDIPNGAENDAVIAAIIAEDIQQMETEQSTDIPQINEVMNMPRAIEVSTE SLTTDDVSAPSMEPEPALPVDHVYYFIQIFDVDAQALRTVGSFFSQKEETIKAAIRKH LQWPVTKDFQVWQRVDGTTVTTMSSAETFEVFVPDGTCFIVGDKLNKDKRLKLNQQGL LANPDQLVRYLWAKSRNHPTKAFTGFKTIEASFTNEFYSGDLNKGYYHGKGKHVSDSA ATYDGDFVLGKRHGKGFLEYPIGDTYDGDWFENQCHGQGTFVEKKTGNKYVGGYKDGK RHGKGISYWEVADEEMDLCQICYCEEQDALFYDCGHVCACVTCARQVEICPICRKNIV SVVKIYRT AFLA_024870 MGRGRRMKKQGPPAPLDESKITMLKKRKAGETVSKTEAGKKRRR AEVEEEPLKEAPKKKVNAAVNGKSKKEVAVPETKGKKKAVEQKKPSFMDSSDEEDEEM SDLDNEEMLDDEFGDLDGVSDGSMDSQDEDDSENDDSVMDSDEEDHPRQAMFSDDEDL SDAEEKLTAANIEGLSRKLDLEKEAEEEEAERELQESAMQTNIAGDRPDVFGDGEGSG PGLAPDLQLLRTRITDTIRILGDLKTLGQAGKSRADYVSLLLDDICTYYGYTPFLAEK LFNLFTPMEAFAFFEANETPRPVVIRTNTLRTNRRSLAQALINRGVVLEPVGKWSKVG LQVFESAVPLGATPEYLAGHYILQAASSFLPVMALAPQPNERVLDMASAPGGKTTYIS ALMRNTGCVIANDASKPRAKGLIGNIHRLGCKNTLVTHLDARTAFPKAMGGFDRVLLD APCTGTGVISKDPAVKTSKTERDFLAIPHMQRQLLLAAIDSVDHSSKTGGYIVYSTCS VTVEENEAVVQYVLRKRPNVKIVDTGLGDFGSPGFKEYMGKHFDAKMTMTRRYFPHRE NVDGFYVCKLKKTGPSPTDKKPEGEAASEPKSSEARATPKSDDEDVIDKTPITDDDGN VMETEGGSFGPFEEDDDADRIARAERNRLRRKGINPKAVLNKPSKKSKSEPESTEESK DSESSAPEPKKKTATTTPEKAKKAQKNTKPKSQESTTPEKKSEGSTSEKKKSKSQAST TDKKKAKKSTK AFLA_024880 MYTSGPAAAMAEVNFIKSFISSLDSRPIKLPADYVIDPERVGRV PYLLPRMPAPHPEMPKKVKQAQAPGSAKSITVNLKSARNPVLEIKLSNKPISTTSVQD LKDAVRDRVVDAQSNKIPLDKIKILYKRKPVTGKTISEILADEPEMLAGGKEVEFGVM IMGGAKVVDEDQEMVDRGASPKAALGPSGESVLETDDFWEDLEGFLAQRIKDNEEATK LRSLFKEAWSSSR AFLA_024890 MAWQSPSAMVGAGGYGGPGDGSSNGGQPQGTEYTLQGVMRFLQT EWHRHERDRNAWEIERAEMKSRIGKLEGDVRTSKRLHESLGKHVRLLEAALKKEREKV KKLSNNEKVEDTRDPKDIARESINALKSQRPKLPMELNEAELNDSQPDFRQENEREKS RLYLSKCSQEVTYHVIPASHPPPELSDPELPNHIYGNQQLSQQALEEAYHIQQQRQKQ QQQQQQANHMMAREVSLQNHQPIVAQYSESSGIARQPNQFGLPPGSREALERKPLEPR QTPATAVDSRKKAPEHTIVDERTGAEKQAYEVYGAQGGVKEEIQPQQAEQQQQEDSDG WNFDEPSEKEPPTEPMPPHRPDVDAFPNANFVRPKSPSRSGSLSHRRKSSGARSKSEG SSDSIGGAAQKQDTNFKVRFALRGHLDVVRSVIFTGGGSPSEPEICTCSDDGTIKRWI IPATYGNFGSHNANSSNDLDITSYFTHRGHVGAVTALAACSPSRDFSNGGRALGDGWV FSGGQDASVRVWERGRIDPKATLDGHTDAVWGLCVLPGTTGSVFGDSCGHYGGPDRIL LASGAADGQILIWAVSAPPQLSSPQAGNRRAGGSRRANSISSGSNFPSSPQPSMATST PFHYTLIHKITRKDSPSPTCISPLSLAGVNFVVSFSDASIIVYDTRTGEEIVGMASLE TYDGTPSTGVNSVVATTVGFDGSAGLDPSRISGEEEVVHGATGSSGVEGVIISGYEDR YIRLFDANSGQCTYTMLAHPSAIASLSLSPDGRELVSAGHDASLRFWNLEKRSCTQEI TSHRLMRGEGVCSAVWSRDGRWVVSGGGDGLVKVFSR AFLA_024900 MADRSTPPPQTGSSKPGELPRAPLTPEQLRKIEINRMKAKAIRE QREAEARASVDVSSAAQSTKGGVKRSYSSMTAETPATVRDATSAARPLDSIKPARNFT KYVEYDFSKMTDTKGGFLTQEDDPFNKALHVKDGKEEQKPANMTQREWERKQLLDSLR RNRTGPYEPALSVLDDKNKQKKCRECGSLEIDWKWEQDLRCCVCHPCKEKFPEKYSLL TKTEAKEDYLLTDPELKDEELLPHLEKPNPHKSTWNNMMLYLRYQVEEYAFSAKKWGS AEALDAEFERRENEKKRRREAKFKSKLQDLKKRTRVDAYRRSRQGAAGGNFGDDLGNG GRHVHQWGRSIENPETGIGVKKCVDCGMEVEELEF AFLA_024910 MTDSDCDLLMFTIIAQFDATSSEEMAFISKEQRMSTDSVTDDSA SHSVLPQFESKQPFPPLLADDLAIPSQDAPDTSFTEDDPDIAEEEDYDTNFQSQYSLD DQLFSDASTDESDGQSPEDDGIEIHPFRHSTSSLHGPNAFAPPFYNRPPTPLPPSPSL TSLLRPPFSTTTSRPTTPDSSDVETPNDTEAAVAKSARRATTVPRASPKVPTYEYYGF VLYLASSLAFLLYILWSYLPKPFLHQLGITYYPTRWWSLAIPAWLVMTIVYIYIALAS YNTGYMTLPMNSVENIVDEVANVAVIDGKARRRPGGAAKMKPGATSYQIMGPQNKKVN WKEIWSEGTDAVLDVPVGGVCEVLYGQGRDDDDEVCEEYDFVG AFLA_024920 MVETTLFDLLVKRSDLLNPENDPNIGSSRGNASSTTSEAMENLG VKDSTSLSALVTTLVPALIIAVFWFGLFLICRRTQLRWYAPRTHLPNWHKHERSPQLP SGFLNWFGHFLKISDAHVLHSSSMDGYLFLRFLRVLCATCFTGCLITWPILLPINATG GAGNTQLDALSFSNVKNPKRYYAHTVMAIVFFTFVFYVVTRESIFYANLRQAYLNSSA YVNRISSRTVLFMSVPDEYKNEKKLRQVFGDSIHRIWITTDCKELDKLVRRRDKLAFW LESAETRLIRSANSSHLKGRIPSDTSLDSEAGTSPMFHGIRRPTHRLTWFGEKVDTIK WLREQLVEISQEVNHLQQKYKDGEMKTLSAIFIEFDTQSAAQIALQTLSHHQPLHMTP RFIGISPTEVVWSALNLSWWQRIVRKFAVKGGIAALVIFWSIPSALVGTISNITYLTD MVPFLHWIDLLPETIKGVIAGLLPSAALVMLMSLVPIICRICARRSGVPSSSRVELFT QSAHFCFQVVQVFLVTTLTSAASAAVTQIIKDPLSAKDLLSENLPKATNFYISYFLLQ GLTMSSMAVVQVAGALFFKFITTFFDRSPRRLYERWSALSGISWGNIFPVFTNMGVIA LTYSCIAPLILGFAFVGLYLVYQAYRYNFLFVYDPRIDTKGLVYPRALQHLLTGIYLA DICMIGLFAIKGAVGPLVIMVLFGILFVLAHMSLNEALAPFNNFLPRTLDAEEEAQQC KEEEEVHFLSPRSKWEAAWKWFHPNLYRDYAALRRKVRRNDVEIKYSEEERYNAYYEP CIKSPTPTIWIPRDKWGFSQQEVLATDPIIPITDEGAHLDEKNKIVWDKYDPNLPLSV LKTLY AFLA_024930 MTARLSLFDPPSESPWAGERSAVPPPTLHESQYPAQDPSHPPQS AAGLTNAQSPFQPPQTTPCDNPNAYPPQLHQQGKQANLSPWNEIGTPATVSTANTGSS HALIDLNEASDAHIQTNQRTAASSVYSDMNGGQPHPMPSENSQLGPAPPLPERSNISQ PGQPISGTPVSEAEAQNQHEQRSETYSIRHVNWTDATGKLRDCPILAQNKNGPCPLLA LVNALVLRSSPDSQPPIVRALQTREQISLGLLIEALFDELTTCLGPDDELPDIEALSR FLTMLHTGMNVNPRLTLNATQLSTFGIQHLTEKLPPGSLSILFRNDHFSTLYKHPQNH QLFTLVTDAGYSHRAEIVWESLVDVNGSQSGFFAGFGSPLIVIMQPLRLLALTAILKG AWALSANCTGSFDAISASDFVANINPGWNLGNSLDATPNEDSWNNPTVQESTFDYVKA AGFKSVRLPVTWTHHFTSESPDWTVDPKWLQRVSDVIDMITSRGLYTIVNVHHDSWEW ADVTKSDANITQIEQKFEKLWYQIGTKLACKSSMVAFETINEPPCNTAEDGAKINKFN EIFLRAINRAGGFNAKRVVNLVGGGMDSVKTSQWFKTPANITNPWALQFHFYSPYDFI FSAWGKTIWGSDSDKSELDSTLGLLRGNFTDVPIVLGEFDASPTNTEPAARWKYHDYL IRSTKKYNMSPIIWDNGLDHLDRSSGIWRDPVSIEIITNGNETNSLPDSTVDTSAPSQ SSSAYIYHKVGTEVTDQTLPFILNDNTLVSIQDSKGTTLKADTDYTVSGSNITFPASF LSTYYSETSEPGLLPNFTLKFSSGASPVVQLVQWDTPTLSKTSAAASSISGSDLSIPI TWKGLPKLATVKALLNNGTYLVDDFTQWFGPFGEARTVSLPSLCPLAIYPREY AFLA_024940 MHSYVRPNQFVALRLPSEFTKIQKIEPDSTVFLGKFGSFPANQI IGRPFYLTFEILDDADEKDGSCLRIIPAAELHAETLIAEGEGDGEELDTNEDGTPMRT NREIVDDASTQKLTWEEIEALKKESGGAGREIISKLLESHQTLDQKTSFSLAKYMLRK RRKYMKRFTVLPLDVSILTNHMMEDQGAARIMELRDEMVGLLGCWGNVHHGGDASLDE AIAAKPNGRYLVVDDTGGLVVAAMAERMGILYPHDGDEYEEQGSSDEPKKNEAEQAHD DEQPPTESSTHRPARPAHMSASGNSITVLHPNKQPNLSLLKYFGYSQDNPDETHPLHK HLKTISWLQLLDPNADPIYSEEPEIIPESELYTMKSNKRGAYYRKRNRWTRVQSVVNE ARAGEFDGLIVATVMDPSSVLKYAVPLLAGSAHVAVYSPSIEPLTELSDLYSTARKTA FINRRQQLREQKLQQSSDQPDANETELQDSDLSELMAEFYLDPTLLLAPTLQNSRVRP WQVLPGRTHPLMSMRGGAEGYIFHAVRVIPTQQTIQAAGNPSRKKRKVVTQETPTTAV DSGSGVDVEMKS AFLA_024950 MPAYHSVFLQDQGIPVIGNFPVLPLRTRTRGPAYTLPPLPPNVP DTEIAVDSESYDCVDEILSLFRANVLFRNFEINGPADRMLIYGTLFISECLGKVRPNM TALEAGKALNNVALDNFAIPGDASFPLNQAFEPPRDRQDAETLRAYLSQVRQEIAIRL HARLYPGGVGPSKWWLSFAKRKFMGKSF AFLA_024960 MALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKE QDIRSLVDLVIEKEEVKIQPEAVDSLVKLSKGDMRRALNVLQACHASSIPLPVKNAPK DQPRPEPELITNGTIYDCIAAPHPADIQEIMTTLLATSDVTSCLSTVNTLKSNKGLAL ADILAALGEQLQQLEVPAQTRITWLEGLAEIEFRLAGGGSEAIQTGGLVGVVRNGCEL MGDKGVSMAS AFLA_024970 MRSSIQPTSLLLALTALDLAAAERVLGAYIFARHGDRTPKVLGN TRLTDLGYSEVYQAGSYYHDRYIDASSSLQIEGISSNIVNLKQVTASSPSDAVLQNSG VAFLQGIYPPVGSSANETLANGTTITSPLGGYQLIPLSLVSTGTNSEDNTWLQDATGC NNAKVSSNSYYSSTLYNDLFDSTKDFYQSLSSMLDGAFAKDKMSFKQAYTIYDYLNVA SIHNTTNTPTTEQLEQLFLLANIEQYNLAYNTSDTVRAIAGSQLAGEMLQGLNETITS KGATKLNIQFGSYGTFLSYFGLAQLPAADVNFTGIPDYASSMSWELVTDSTADGFPDA SEINVRFVFHNGTITGSNDAPKEFPLYGQSSATIPWSKFVEETKKIAVTDTEEWCKVC GNTDGKCASYNSDGSGSDSAGATSKSGGGGVSRPVAGVIGAMVTLAAIFGLEALFLLV GGFTITKKRKGGADGVTSDVTENKA AFLA_024980 MDGLLCGIVHDTLKQCPEFTPIVFPEQWEESIRSDWRVPLQFRF SRKDIRVALNTLLHNKELYEKYRLCFFVDGLDECLETCQQDYHDMVNLLLGWVDVAPL DLKLCISSRNYEIFRTAFEDEKRLKLHELTRHDIENFVIHRLKGFEICSYIKSAAQAK QKLVNEIVDRADGVLLYRTFAVVGKLAELGMTPMTLLRYSFLSDYEMNTMFAMHAELS GCELIESDMKTRKTQARARLNDQSRGLIEIKPLPYRGHLLTKPLDIEHVTFIHRSVFD SLQTHHILDIMEACEGLDIVDAMSQIVLAEIKFFGLYSFHSTRLRWNLLKKLPPLLGY CQKEARDASIFRFFDELDHAVLCIQGLSPLQDQQNQLPVYISGTGHFTPSACYFSVFH WVIYTQYVGYLPYKILQDSRAILEGFAQGQHMEITYIKGWSQTNILNTALLAALKWLA DLSPADKTLYATLLESLLGSSTDIPWAIQAVTSYPRHNTATLQVTIHRSRVYKSYIRV EHQETVQYLMETNKGLSVSLHELVNFWELENASRLKQLLGPKPEIPEMDPSRKLDLLR QSPRLYRNRFGLIAGIVQLPWYISQYVA AFLA_024990 MVDAVAALIALRGRQERCKAPKAALEAAVEFLSKYIPLRSILAP PYSYSAYENLDQELDVYQLFASLTEDDLIEMARLHTMVEFILKEMVHSVLELRPDTQK PKEENVALSPPETFQMQRALYRLEIYRLLFSTRGLPWFEEQDRLSDIYVNSDDQWNLF LSLFTPWEMEEIRCATMYIFRVYEELPGATEFDDWCELFPDENEEPLSHLYDHAINSD AFLA_025000 MATEKSPAAEIAASLNDGKVHLLLAATGSVATIKLPLIIAAFAD HPNTSIRVILTKAAAEFLHAQSEEQPSVESLSSLPNVDSVLHDEDEWTQPWTRGSDIL HITLRRWAHLLVIAPLSANSLAKVVHGMSDNLLTSVVRAWDTTGLIDGRKKRILVAPA MNTAMWMHPVTAQQIRVLQEDWGIKEVDGNEQGWFEVLRPIEKSLACGDVGSGGMMEW REIVKIVKQRLGLSA AFLA_025010 MRQIERLSIAVLLLCSIVWLAKRFSNPSLEVHQVSRWHNGVKLG YTTPIPTPTTSQNTLTTRRKRPSITDLTPSRHRGAGSSNNPEHPKIKITAIDRVIVVG KTKEDDTDWVGKELPTWLHAIYAVDDPEAPLHVAKNKGKEANVYLQYIIDNYDDLPST IVFLHSHRDGYPKAWHTEFSDHSNVRTVRMLQTDFVQRNGYANLRCNPNPGCPDEIRP FRGLSDEEHLPEQVFPEAWKTFFNNTDVPEVIATPCCAQFAVSRTQVLQRPLSSYVRY HKWLMETELPDDVSGRVMEYMWHIIFGQDPVYCPDMDQCYEDVYGTFV AFLA_025020 MSAHYATEPNPTASATINTTFGPLHISLFATQTPLTCRNFLQHC QDGYYTDTIFHRVVPGFIIQGGDPTGTGSGGTSIYEDPEFEYDPEARDPNEKVVLRDE LHSRLRYNRRGLVGMAKSEDGSYGSQFFITLGNTERELNGQCTLFGRIEGDSIYNMVK IAEAERVEGTERPVYPVKITSCEIGELGPLAGKIKKRQITATRTAKTEDKPAPKKKKK NKGGKTLLSFGDDGDGDEDMPIRPAKPKFNSSLVTDTNIPESHKPAQEPAKPTKKRPR SPSPEPTKHRPKTPEPETQLPLPKPESPDRSPSPAPAPKQSFLSRTNAEIENLKASMR RTAHTGPADTGHKRSALEAMIPETAIRGRKRPPPGTSASTNGSNGITGFSSSADNETL KLFNAFKAKLESADSTSASTHKTTSQDTKPSTETQEADDEEAQLCDLHFIANCQSCKS WDDDQTAEGGKAGAAAEDEEGWLTHELRFGKDTLGKDLNWKREHQDADSLMVIDPREK EKEYVGGKRRGLERDRERDRKRERAGNLEWDKARRGK AFLA_025030 MSPQGLASRKRPAPGTSPIVHPQLGPVSNYPQNSGAQLSNDQFL QWGQNTSSNVVSPASFSDANPYGATAYSAGQDVPASTATASTQLARRQTPNQLVSRNR GYEQTPSSMSDHGSNTGEPGGWGESLDELYQRALVAKREVQAKRKQIPPFVQKLSSFL DESKNTDLIRWSDDGNSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKKVGL SDNSMRASERKNKSPSEYANPYFKRGHPDLLWLIQKPKNTAGQGSKSGKANVRVKTEE VDEHDNDDYDDVPGARDDRSRNRQLSLIQGGSIMPKDQLAGVYRELQAIRQQQQVISN TITKLRREHEQLYAQAANFQEQHTRHENSINAILTFLATVYNRSLQGQEGPQNLANSF AGAISQDQGNVVDMGDDYSLSTLGAQHMNSPGGPRAMKKQPLLLKAAPSERQSRATTL SPAASAYDGPQPRGHARHPSAPQHGHVEEVFDTSPQPKEAQPPQTEQFPQRDIMSVIQ NSNARNVVPPTSFADFPNVLSSLETSNGNVPLTPNQRADMLRLMANETSAGDSNVPVS QNNALVTPTPPPMPLGYSNRLANTRAEIDNLVKMQAEQDRSVQNLTNLLQPLSPTGTI PGMVTGDGSVPPPPLDLDQIFNNDYFTDIGDLEKNKNNLDFGNTTTSVPATAPIEPVT TGADDSVINDGNDLFDFDHIPDNGDLFDGPGQQQQNPGFYNGYDGSGFDNTINPGAGR IIETLTDSEATSPSNTVDEPAQYGVSNGGKDFQGGKGNGGSAKRRKKA AFLA_025040 MARSAMPKISWDRHLLRSVQNAPPATLPVFIYGTAWKKDRTADL VHQALDAGFRAIDTAAQPKHYREDLVGEGIRRAIRDGAIRREDLHIQTKFTSVNGQNP DDMPYDPNASVTDQVHASIKSSLEHLRSSDAPESVNDAYIDMLILHSPLPTMSQTLEA WSAFETYVPHRIRNLGISNCTLPLLRELSSLVKVKPAVVQNRFYGGTQFDVPLRSYCR DNDIIYQSFWTLTANPELVQSDTIQLLASRTEISPAAALYCLVLALGNTTLLNGTCNR GRMEADLTAPEKVGRFSQEHPGVWQRVLENFQQLIGDRVAL AFLA_025050 MLLPDLVLSGSIECQAVITHFFILCQSDFLACPSCPRMFLDPSA EDDEARETASDIIDRTHSGGKDRTKAFYNNILKKCFNNNPRCGGESAEE AFLA_025060 MRTAQLLALSGLMLMSNVAMGAELDHDDVPNRCWDVCGPVVGIA HKCDNMHDSDRAEMNCICDWKQAPSLIPLCEACIAQYRSERNNRDHDDDDDDDDRNPH HNDAYDILTSCSLSTTSYNPTAAASAVSSASANATDATPTATSSGISDSTNGGSSGNS NSNSASNTNSAVSAQNTGNAASAYSSPKAASLAAVVGLGFLAWL AFLA_025070 MSYQQAQEMYHDNQARSPGSQRHQQTLHRQPSRQFDAYGPMPVN LYEDPMSRYETGRLERLNPPLHNNNYAYDLSGSQTWNPNGFANPQALGAIRSASTSLK TTSRTGRSGLPTTWLDQQPGMPNAFSNLGPGPLQSSAMRPEASASSEADDELIPTAIV IKNIPFAVKKEQLVQLMTELNLPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIEVL NHFELQGRKLRVEYKKMLPLQERERIEREKRERRGQLEEQHRPMATSQLQTQSSMSSL TSHLPATSPSPVSQRGQKLEVDLNDSTTLSYYSQLLLFKEDTSRDTLCFPSNLSPVQR RTVHTLAHNMGLGHASRGSGEQRQVHVFKVAPGTNVSPPLSSIPAAVQPAETARRGLN RAATIDFSEARNDGPAPYGTLRSQASGFLGVLDSPGNFGNAQNLRAAKSFADLRSYTP SPVPSSASFPAALQSNGARLQHYDGATSGASNTPTLTPAPSGSSLGMPRDDNLLVNSL SSLSLGTGIGGPSSSPRRLRGMFSWEQPESQPSSAGPIGSNRSIGVGFDGQSQERVPI RQPRGPLPEKGPGFRRQNGHQSRGSDELRTNSGVEIIVE AFLA_025080 MPGGKGKSIGGKAGSKDSAGKAQKSHSAKAGLQFPCGRVKRFLK NNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPRINRALLLKVEQKKKNKTEA AFLA_025090 MYILSNVLILGIYLYTQSKIKSKKDMATLKYVEPAPLGSNEEPR PVTTTNMEYDQGQLRQLFKGQLMGVGMMCVMHLYFKYTNPLLIQSIIPLKSALESNLV KIHVFGKPATGDLARPFKAANSFLNQGQIKSDKASVENAEKNWRGGVKEE AFLA_025100 MATPKVGINGFGRIGRIVFRNAIASGDVDVVAVNDPFIETHYAA YMLKYDSTHGRFQGTIETYEEGLIVNGKKIRFFAERDPAAIPWGSAGAAYIVESTGVF TTTEKASAHLKGGAKKVIISAPSADAPMFVMGVNNKEYKTDINVLSNASCTTNCLAPL AKVINDNFGLVEGLMTTVHSYTATQKTVDAPSAKDWRGGRTAAQNIIPSSTGAAKAVG KVIPSLNGKLTGMSMRVPTANVSVVDLTCRTEKAVTYEDIKKTIKAASEEGELKGILG YTEDDIVSTDLIGDAHSSIFDAKAGIALNEHFIKLVSWYDNEWGYSRRVVDLIAYISK VDNQ AFLA_025110 MAAEEDEDTVMGEAVTHNPNPTPSQHLTHDGASHSNGRSDPWFN TPLLGPPLKLPVQPAASVRNPQPAAAADNHTMSPPKSLPKSADSGDATTEVLVQLNDS LVEENSDWSEGEDAVAIRGLPIAQLPSGLCYDVQMRYHCEVRPTADVHPEDPRRIYYI YKELCRAGLVDDPESSRPLVSRPMKRISVRNATEEEISLVHTPDHFAFVESTKGKR AFLA_025120 MQKDPHSGLVSNGIQKAFYDDPNVLYISLHVYQDGKFYPGGDEG DWDHCGTGAGYGRNVNIPWPSQGMGDGDYMYAFQQVVMPIAQEFDPDLVIVASGFDAA VGDELGGCFVTPTCYAHMTHMLMTLANGKVAVCLEGGYNFRSISKSALAVTKTLMGDP PDRLHSTFPSKLATTTVRRVMMIQSQFWSCMYPKAPQEEGLWTDRLHDVIRAYQSKRL YENYKLTSLYIYRTAISRSFENQVLATPNYYQRNPLLVIFHDPPEIMGLPHPVTNKLE AHNCWLADSLKDYIGWAVGKGYAVMDVNIPKHVTVEPSGKYEDEEENRPTATEELAAY LWDNYIEPNEATEIFFLGIGNAFYGVANLLINRDTLYKRVNGVVSFVAENPVRAIASH TQVWLSRWYKDNSLVFVSHTHGVWNTDENRRKPSKRYGHLIQSSRSGLSEMLMHHKEE VFQWIEDRADPHESEETEEEKQPRRSPTKPGEAFAKPT AFLA_025130 MQLKALSLLALTPLAAAVGNAVVKNSCDHPVYVWSVGGSVGPKQ TVEPGKSYSEPFRHDDASGGVSLKITTVDNGLYNGSPQLNYAYTLDNAGVWYDMSDVF GDPFSGSAVVIKPSDTSCPSICWPQGVSPGGSQVKVCQSNSDEVLELCAEEC AFLA_025140 MLIIGLTGSIATGKSTVSNFLSSPPYSIPIVDTDLLARQVVEPG TPGYKAIVNYFGPSTPDLLLPQSPDDPTGSKRPLNRPALGRRVFGTTEERKRDRMILN KIVHPAVRWEVYKSLLYYYIRGHWAVVLDVPLLFESGMDFICGTVIVVGVRDPEVQMA RLRARDPHLSAEDAENRVKSQGDVKGKVEKAEFRGTQSARGVIVWNDGDKSDLEREVR KAVGTISGTSPRWWAWCLLLAPPLGVGAAVWNMAVNFVTQKNWEKRATEEKAKL AFLA_025150 MLFCGGVQGPRRRNREKAILVTIFIIGALYFLFFAKTSEHRKFV SQNPVSYEGSQRSSHSGQASPSSVPKSQTLEKDLVVASMMKDDVSWLYDNFPEWHKSI YVVDDKQAKLTVDLNKGRESMVYLTYIIDNYENLPDAMLFIHPQRFQWHNDDPYYDGV PVLRNFQLPYLLKQGYVNLRCAWVLGCPGEIHPLTDTHRNDVHVGEYFKTGFMELFPG VEVPEEVGVSCCAQFGVTRSKVLERPKSDYVRFRKWLAETPLEDDLSGRIMEYSWHMI FGKGPVYCPTAEECYCKVFGLCDLSCPDEGHCAGRYVLPPYSSLPKGWPYKGWNGQDQ DPTKGLPES AFLA_025160 MDVFSSLQNNGRQIHCIDMHTTGEPTRIIYSGFPNLEGSLLSKR DQAKNQYDDIRKRLMLEPRGHDGMYGAIIIPETELVLSGEADVGVLFTHNEGYSTMCG HATIALGRFLVDTHDLNVFPRRETLKFDADSQTVKLNLHAPCGLIRVTVPTTPDGKKS DPSRSVSFLSVPSFAPAVQLRIPIPSEVRWPELGARDSIVLDLSYGGAYYALVDIEEL GFKSLKNADLNATTRCSQKLLPYLRTHPEILSAIQHPEDPRLSFLYSVMIVDSKTGVK PGDAYGTETGLCYFADSQIDRSPTGSCVAARVALAYEKGLRKPGQRWAYNSLVSNKFG TGAFTAEIASEDFITDTKGKSIRSVVAKVGGEAFYTGAMTFTVEDEDLVSSSGFTMAS VVS AFLA_025170 MPPRSPSSAALRLWNARSPSFSTPVYRSIANSGALAAIVSPSSI SPRFFSHRSPWSSRVCTHHSHLLSIRAPFSTRTAAMASIERITENLDKPELDDRSYRV IRLPNKLEALLVHDPDTDKASAAVNVNVGNFSDADDMPGMAHAVEHLLFMGTEKYPKE NAYNQYLASHSGSSNAYTAATETNYFFEVSATSESSDGSSSGNSTPTNGTTPTGQTES SKSPNSSKPSPLYGALDRFAQFFVAPLFLESTLDRELRAVDSENKKNLQSDLWRLMQL NKSLSNPAHPYHHFSTGNLQTLKEEPQKRGLNVRDEFIKFYEKHYSSNRMKLVVLGRE TLDEMEQWVGDLFAGVKNKNLPQNRWDDVQPWLADDMCKQVFAKPVMDTRSLDIYFPF LDEEHMYESQPSRYISHLIGHEGPGSILAYVKAKGWANGLSAGVMPICPGSAFFTVSI RLTKEGLRQYREVAKAVFEYIALIKEREPEQWIFDEMKNLAEVEFRFKQKTPASRFTS RLSSVMQKPLPRDWLLSGSLLRSYNPELIKKALSYLRADNFRMVVVAQDYPGDWDLKE KWYGTEYKVEDVPKDFLGEIQEALKSTPETRHSDLHMPHKNEFVPTRLSVEKKEVSEP AKTPKLIRHDDQVRLWFKKDDRFWVPKATLHVTLRNPLVWATPANLVKSKFYCELVRD ALVEYSYDAELAGLDYNLSASIFGLDVSVGGYNDKMAVLLEKVLTSMRDLVVNPDRFH VIKERLSRGYKNAEYQQPFYQVGDYTRYLTAEKAWLNEQYASELEHIEPNDISCFFPQ LLRQNHIEVLAHGNLYKEDALRMTDSVESILQSRPLPQSQWHVRRNVIIPPGSDFVYE RALKDPANVNHCIEYYLFVGNMTDDALRAKLLLFAQMTDEPAFDQLRSKEQLGYVVWS GARYSATTIGYRVIIQSERTAQYLESRINAFLSNFGKALEEMSDEEFEGHKRSVVNKR LEKLKNLGSETTRFWTHVGSEYFDFLQNESDAANVRTLSKSDLIEFYQQYIAPESTTR GKLSVHLKAQAGADTTEPNEQNSTLSSLLAKQLEAAGFAVDNDRLKIAIGKLDISAGN EGQILASLKTFLASEVNLSEEQIKPVLEQAEQNIGLHLKQLGLESDKEGFVANGVGKL AEKQHPTFITNVSEFKARLAVSAGPSPVTDLSEYEDFDAKL AFLA_025180 MSTTTATAMQSSRPPIIPKDFSAQQPQTIRLYPLSNYTFGTKET QPEEDPSVLARLKRLEEHYDQHGMRRTCEGVLVCHEHNHPHVLMLQIANAFFKLPGDY LHFEDDEVEGFKKRLNERLAPVGSQFSGEGVNEDWEIGDTLAQWWRPNFETFMYPFLP GHVTRPKECKKLYFIQLPKKKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLS RYNFEFVDENDNVVAATPGTPLPEGQIPKTKVLAGDNEGQDEGMADYTGDTTENGGQ AFLA_025190 MHHLGKVLTAFAACAGLGVAAPSSAQITPREVSPQFLQQLTLYA QYAAAAYCSSNTNSPNTKLTCSVGNCPLVEGANTKTLAEFEDNKAFGDVAGFLAVDES NQQIVLSFRGTRSIETWAANVQLIKEDVDELCDGCKVHTGFWKSWESVATATLDGVKK AHQAYPGFKLAVTGHSFGGAVGTLAATVLRNSGSEVALYTYGSPRVGNQEFADHVSGQ GSNFRVTHSNDIVPRLPPRLLGYHQTSPEYWIPSGNNETVGTADIEMINEADSDMGNA GQKTQSIEAHKWYTDHIYECK AFLA_025200 MAGSPAAGSKPEKTMSSRLLTMKFMQRAAATAAAKETSQPPSTE GSNTPTPKRQRFAPGEQSQSPAATPSKDLEAISVALAAEEEKRREAIARQAAESGETE WVLDFGAENPVNQYTPPPFIVTNDSVDADDDDDLVYGGRQAYGNFKRKKKIETRNAGG DESGSEEDEDEDEDDVDSMINKAKAKASKQPPKVKLSKLTSISGGRQGSVGGNKSQKK RKHK AFLA_025210 MLPLARSRIAAFSAAWTPRVAARASYSTTVPRFSENSIQANDPN PPQPPKPNVSATNATPVDAMGSRDAPLREDVEAGERNRQLQAPNRARTWAASQQPREK AMTGPRFEQTIMEMQPQPYAAIELIHKQPVRWTKKRVVSCDGGGGPLGHPRIYINTDK PEIATCGYCGLPFAHEQHRAYLESLPATSYPLKPTGDAAEVNETQRVTEGGLEQR AFLA_025220 MSWFQKTFSLPPQARGSYLITDYVETQLPEIKNYKVGILHLFIK HTSCALSLNENWDDDVQADMSDALERIVPYDKKGNLYRHSAEGEDDMPAHVKSALIGA SVSIPISNGQLATGTWQGIWYLEFRAQKHRRNVVATIQGEKNE AFLA_025230 MRLRKVHILAAILAAGQTAAVTQITDDNMASLLSQGGAELADRY APMWFFGQALNQPPCYPTWAFGGSPTSNDVYDSSHKTPAAAHDTEVRVAYNLFYEKDG AKVIGVIDTGHDYHSDWERVIIIHSRDGNNMWAPSRALLSSHSGYHNLAWGDIQNTLT TEEIEAGDANDPNGVRNNDHPKVYISWSKHAHFDTRNTGWVDPISQSTDNAFRSNDWW HYVDKKYYVSILKHGSPGCFDSYERRSYRIILPTPAKLWEVLTGAVPPVTPLMCRNLF VLPKILDCVTDMFGIVHVNRLDRRFT AFLA_025240 MRLLNTKPSDTGNFIIEEFFGEPPSYAILSHTWQEMEVTFQDIT TGVIDKKGFKKVKDCCTFARADGYEYAWIDTCCIDKTSSAELSESLNSMYRWYQEADV CYAYLADVPSKPFAESRWFKRGWTLQELIAPSRVIFLDHEWNELGTRESLRDVLSDIT SIPVGILVGDDDVETASVAQRMSWAARRETTRIEDRAYCLMGIFGINMPPIYGEGKNA FIRLQEEIMKVLDDHSIFAWRSDSEEENHGGLLATSPDAFRESSNVVPYSPFTTIEGP LTVSSKGISLELRFIGVGHPGLGLAILHCTEGMSEDNRLIAIYLQDSFLTMQRFERKQ CGKFEFINLGDLKPSQYPLRRLCVQQRRPVSRKINKAGKPKDTGTVLDNLHLLVSENP LQACRDTETNWINTNGISGNGQTLLSHAAGRGDVDMLWLLLTRSDVSAGGRDLSGRTP LSRAAECGQEAIVRLLLCRNDVDPDYEDKNGRSPLSHAAASGHLAIVKLLLQSGRVYV ESEDNRGRTPLSWAAEGGHEVVLELLLEKGARLDSKDEQSRTPLSWAAAENTHGAALA LLLERGAAIESRDKFGRTSLSWAALKGREEAVSVLLQKGADIESEDSNSQTPLLNASK KCQAGTVKILLDNGASMESQEAGRQTPLSCTAERGYEAIVRILLERGADTESENPLGQ TPLSLAAQRGQEATMKLLLEYGAKIESPDHQGHTPLIYAVDNGHTAAISLLLENGADI DSSDSGGQTPLIYAIENGHEAIVKLLLENGADIELPDSRGQTPLSYAAEHGLEATVKL LLEKGANITSHDWRGKTPLSYATQYKHEVIAKLLEAKLVQAALDKP AFLA_025250 MAEDMPTGGDPCPNPISGTTDEASWKHRPPYKVQTDEEFGPVKW TGRCQCGQVEYKINREKPLKSKYCHCRGCQVLHGAPFQWATIFHKSDITFTKGADGLA FYSSTEKSREYMNPTKVSCSFCRTPIMDEGRNVCLLFPASIDYGETHEKREKWIKAFE VECHIFYSRRAVEIPDGKPKWSELDDSSELLDDSGNHKKGES AFLA_025260 MGPQLFLIVGLFLQLLSAHELHPRAGPDCQFSVAASYLGETCDT FTRRWHIDRATFLSLNPGADCSGLADDRTYCVQPSPSVSATSMATTSSTTTATSTASM STTTDISTVTSSTSTSSSTATSSTSTGGSTATSSTTTDSSTATTESATPTASSESSAT SSTIPLQITMILTLLLSLCFI AFLA_025270 MQTRSKFCNFVSSYFGSLIFNLGAFALPALYSTLSKLWIANIDS SQVVTTDIYTYIGVIVQVLNDGFPRSAWLVIGDKSARSVTSRLSLSYTIIVVQTVLGT VMTGIFLAASNSLAAAFMPVEVRQTSLKYVRISSIAALSSALETAVSCCTRALDHPDV PLFISSTKFIVNIILDLLILSKVHPGSFQPTVNTQAWIRVACDMSSALCGLGYFLHLT FKLQRSQPGTQRVKPSSAIRNAIYLWLVSRIVLLGENYATAWGVFNTIRWGLVMVPVQ ALEQSTLTFVGHNWGVWRDRAGVEIRYPKATKSDIMALSIRGIQGFAYYLSGSTVVAQ ITQRIWKQIDWTYIFYGLNYQLAAILLAASPRWYLYQALGSNFFMDAPLGHLRDRHVR L AFLA_025280 MTDRVDRLLGHICHALREALASAETIIAVKSSKETYQTSGAAYE IEEYDEHFDKDDAHIIVPKWEEVARLHQDLKSYFYHLLSEDPYGVLQPENGDPDDGQV IYHNDWRTRQALAQAAPSVKDRIREAYKNCLGADAHEWHSDDEDEED AFLA_025290 MGFLSRLRKRSKSHSRAGNAASYEHLRTHREDVPPLPIMGTDYT KRLPRRVLIRIFSFVCPHTEDNSYDTSEESMTEDGCMLCDMRDLAHCALVCKRWSIDA DTLLYSNVRIDAVHYCELEVQLSAKRKRRSFFDKNGDPEDAPQARLSLFMRTVRQSHG LGSMVRSLRMPYMTREASKAEIARTVSVLPNLRYVDLPAGFFSDDPACLALKQEIMAR CPDLRRMNYRHGSEGTFSQLPGTHLWANLEILELSGLQVEPHILRFGLGAFPKLRDLT LDDLPWLDDATFAHSQTFPPFPAVERLTLRDTPNVTASGLAAFFSLPENRASLQSLTL SSTQVHPSTLHQILSVAPELRALSVIQEVSRSFPPEKVPPLTSRSLELLHYEISSPAG SYGMPPVASSYYSYLISSLMSNSLPALRDLYVRDAGFPETLLLAPPPRLFGGGESGPQ FGGGILAQPLNVYSKGLDELEWNFTPYEPPSTRGRRDSTTRPVSFHDAQLSRSWGGDA RKSVLVGNGFGGFLAVPVDDGRPKSSGGWRRESRGDLWR AFLA_025300 MQRYISLSVSLSLLSGANALVRPDGVGRLPALGWNTWNAFGCDI DASKVLTAAEETINLGLKDAGYEYINIDDCWSVKSGRDPNTKRIIPDSAKFPDGISGV ASKIHDLGLKVGIYSSAGTETCAGYPASLGYEKIDAESFAEWGIDYLKYDNCGVPTNW TDTYTHCVPDNSNGSKFPNGTCPDISNPAPTAYDWSSSNTAQRYNAMRDALLGVNRTI LYSLCEWGQADVNTWGNGTGNSWRTTGDITPDWSRIVEIANENSFLMNYADFWGYPDP DMLEVGNGNLTLEENRAHFALWAAMKSPLIIGTALDSINEEHLAILKNKPLLSFHQDP VIGRPAYPYKWGYNPDWTFDPAHPAEYWSGPSSTLGGTLVLMFNSEDSAKHRTAVWSE IPELKDSAEKGSGYRVTDIWTGEDLGCVKDQYDVELQSHDIAALVVGESC AFLA_025310 MVCYFLKAIPGTQKQERSITLSPPESFRIQRTIYRREILRLLCE WTYFEGKVRTDIPDPSGEVFWSDLLSVLSPWEKEEVRCFQEYVFRHYEELPGASFPED SCEPCSKKNDPSTLTYGPVINDDYRGISCSEERLAQQIAMTRGRRAYLGDPMLYAFDE GPDNGAYYEDYGDQGTSGRIFVSDEDWNSPNLAWA AFLA_025320 MPVEFIDPDGDIFIECGDDLLQVCSKVLSAASPVLSAMLSPHCK EGTSIVKGSEGPGVIPLSGDDPEALLTFCNIVHFRTDEIPENPSPTFLEDFATLIDKY MCKKAVASQVKLWLMKNLQNLTVTQLCPLLLLAYVMDLPERFAAISKEILFAHAGSYT DLSLLVDHPLIHSNIVVDFERKRRELYRIVRKAITSVLNSLTAFKTDESKYVTLYTWK LQACGLLPGTDAFEAKSFKQVHRDALKLPKCHPGPCNVSGCVCMSIKALKQDAELLRL LSNCRKAKVGMCPDCLRSNGLSFPKCRYTHPSFGDDKAIFTEESVIMVYA AFLA_025330 MPFIPIPEPGFYEHVINRPPPTVVHFWDSAAGPPTQEFQILESG NHPASDRETFGVDVSQFPVPNAPSDVPVTILFSGGQQLDTANGGDIGKFFHLLEQAE AFLA_025340 MGQSKEVIIIGAGISGLGMAIQLKRLLGHDNFTIYEKSDNIGGT WWHNRYPGCACDIPSHFYSYSFALKYDWTTMFPGRDELHQYFFSVAEKYDILPHCRFN AMCVSLVWDNLRSLWNCTFQDTISGETFKKEAPVVVSAIGTLDRPYIPNIEGSESFQG EVFHSARWNDSFKPEGKKIVVLGNGASATQFVPELVKDVGPQGSVTQFVRSAHWWTKR VSNSFITCHRSIRDGNPKYSERFKLVLKHVPFAAKVYRITLAWQLERVFSSFYMNSNG AAMRQKIRDATYSFIESDAPPQYHEILKPRYEPGCKRRVNTASYMVCLHSPQMILTDN SVVKVGPDYVETKSGDRHVADAIIYATGFQTQKWLFPMQIKGINGQDLHQVWDAASGA EAYKGTVVSGFPNFFILYGPNAATGQHSVIFHSECQINYSCRLLRPVLKGKADSIMVK LEAQQQDLSWVHDKLKHLVFNSGCQSWWMDPVTKKNTFIYPDPMYKYWLRTIFPSWSD FDIRKDGKRHSSCGGMLSMGVFILGLAAVTITNSTDIQKLIGKASNWLLANVHWS AFLA_025350 MEFRLIHLVRTGSTSFSHFTLQEQYSPTNRHPYRLVQIRQMIKC AFPRRQELVSSDKIYRLITLAPTWRKNMPRRRYFYSNIWLELRMKQAGRLQIERPILG SSGASN AFLA_025360 MGLKSPIPLKDLKFNTPVPYTLHVDRELLQLTKQKLALSRYPEE QTDFGENNWAQGAKVSRVKQLAEFWRDHYDWEAEERRLNAIFNHFLVKIDVPGYGPLV LHFTHTKSTRPSAIPLLFSHGWPGSFVEAVRVVLPLTEPEDAKDPAFHFIAPSTPGFG FSPAPTKSGVGPNVVARAYKILMTDVLGYPKFVTQGGDFGSFITRSIAIQYPQVVRAQ HLNMFPVPPPTLWSAPCAYLRWCLSALTYSEFEHESLRVRRNFEQDQSGYLEEQKTRP QTLGFALGDSPLGLLAWFVEKFHDWGDVHDALSDTDIITLVMMHWIQGATPGLRFYRE AFGRGMREAEKTFETYVSVPCGVSMYKKEQLHVSQISCDMYRVNGRVCTHEFKCPRDW AAQVANIHYWREYDRGGHFSSLERPDLFVHDLRSFFSSPVVMQAYAR AFLA_025370 MRFYGVTGLSLGEITMNLSGGLGIRFERDEAANADVSMDVISVT GAGSHAVETWNIDGLTINQVIARDVGECGLLLQTTTNAQIGTVDGDNVAAGNGYATFR MANNNGQLADGSYTTNVFIDNVISRGGGRGIFCVSQSGGAEIANVDLADNENNAILIE NCYGLSILGGTVNGGGEVRISARDEFPITSDISISLEVNSNSVTENPCGENISWNISG DATLNIC AFLA_025380 MGKHSPQATQRAPTLNEALDFIEQTYKESKLIIVGCLASWTLCR LNFRFVWLLIILAFCRTHYQVSVRRIERAIRDELRRYHSQKVLQRGESVEWVNEVLGR AWHLYQRQICKRIVQYVNAGLAQRSEDSSPQKLVIHSLAVVEQPLRFTKVMAYSKPQS RNLIFEGHFCIDLRPPDDQRMHLLDLVHSDEPLIDLAIVHEKPDRKNHDLVVHVRQVT GTGIVRLEIDLESLEPHILQPQIELQDHPHIDCTIKTVSQHHFPFHFAHHVDWRRAVE KQIREGLGWAFHRPLPLPFHFFGEKFLIRMMTWWWQLSRAVHD AFLA_025390 MSSFDAHNVDLDTASQADILCYLALSENDYNGHLGARISSIFVI FITSTFFTVFPVIAKRAPGLKIPYHVYLFARYFGTGVIVATAFIHLLDPAYSSIGPNS CIGVSGHWGDYSWCAAIVLVSVVTIFLLDLGAEVYVEYKYGVQRNDDATEAFITHSCA SDSDSTSHAVESGTPIRKSTDIHTEVASVRSERAFRQEIAAFLILEFGIIFHSVIIGL NLGVTGEEFTTLYPVLVFHQAFEGLGIGARMSALHFGSRRWLPWVLCLLYGLTTPISI AIGLGVRTSYNPGSKTAMIVQGVLDAISAGVLIYSGLVELLARDFLFDPDRTKRRSHL FVMVGCMLLGAGIMALLGKWA AFLA_025400 MVLLHPLLTAAALLGASARAQSVVGTPFGFASGTTGGGNAAPAA PKDTNELKEWLADPNPRVIVIDKEFNFIGTEDTCTDCECCIPDSNTCGDAGQNAIKTE GSDWCGSYPATTCTYDNAGLEGMEVASDKTIIGVGDAGVIRGKGLRLVNGVSNIIIQN VHITELNPQYIWGGDAISLDGTDKIWVDHVKVSLVGRQMFVTGYESSGGVTVSNSEFD GQTKWSASCDGHHYWSVLGYGKGDQITFANNYIHHTSGRSPKIEFDSHWHAYNNFWEN NSGHAFDVGEGANVLIEGNVFSNVKTPMNPEDTPGSTFAVNAQDASSCTSALGRPCIA NELTSSGELSGNDEAVLSGWPKGEGDTKAMTTDKVPSYVKANAGVGKLGSGGSGAASS SASITPSPTSSAIPSSSATPSSSAYARRHYARHHHY AFLA_025410 MSSEYGDRQSNDQPNPNLTAKLGARKKIRPTYSCLNCHKRKVKC DRVKPCGACCLRGTPSECEYGTSKKDRHYIEQSALIENLMQTCESLKRQLAEARQLAH LPPVKAEETGSSSLYQIKPNDSDDAEGQGDQAAHPRHTRYSPDPSGVSTGDRTGSQRE SSPTSLSRQKAILNDPNLASTVMELFVDRLIRNFSPDDHRKYGGTIALREASEMRMIS PMLCNAFEATALTFAGRRDGNRSVELAGHAKYVRTLRQLQAALYDADGNKSTEVLVVV LLSTITEAFKQTSKDSIFRHQLGGLELLRTRSAYRHRYGIERSLFVDLRLYWVTAALV HRKPSFLASKDWLTVPWAGDAPAKDILHHLLDVAVDIPGYLSRIDEFGAMVDKAAACT FELTGMQSSIWQQATELQARLDMWKAVYADTYPSGTAWEVHDTESKDDFPVFRYRNTS SMSVTVAKVLHYPDILLATSMCFYWALSLVVSASDSGLVSVLGPQQRYQFACDICRSM KYYINNIPGYLMSRIMFVLRTAFDAFSPGMIEKEFIAELFQYIGRKLEFPVFSNKCTS SAVKSEST AFLA_025420 MTTHDQQPLFTFPNYTNEIPFPDLDLDLNLNDVFAPGILESQAP WDLNLLDMPGKPSGDFPVPNDFGFNYPVDLVELGGLDLGFEGEEITASHPQDPIHTQN TINTLLRTTTYQATQRALYMTTPTPQTTTNSPHNALYSPCSPTSSHSNPSDTISISTS DTDSISFGPRTPPPHTPIYNNYDYNDPTARKRKASTEIDLEGIHTYHYTIPSRPGGGE GEFSVGVLAEEDSFYPKRRRSSVPDRDQEQSQSQSRSESQGEEEEDQDIFTPLEMPDG STRFTSNWLPVDSSGGFTICPDPLAGVMGEAFVRVGG AFLA_025430 MLTPHSEEDSSPLLFHPTDHHQCLPDMDPAQSGDAPQGNTQGTT DDPQNSSAKRKWRRNRIACDACHARRVRCDRAFPCSRCLRSEIQCEFTRERRKRGRIA RSKQTNTNGGTEKLSAALSNGRVPAPSTADAGGDAVATPAPNGSPSSSFPHRSPGTNE MTASAPSVDERRSQAELPLAPRKLAPGGNVTEEWLAAAHVSPGSYDFLGGGLGEGPFP RMFDVWSGVDLSNNSGPTPQGAKVSGAGQTPGVPPASLKYPVLQPLMPFLDANLPRRL VFDLLELYFTSAFSTQMHPVCHHIHSYILRKASFLSRDAPRPSTPALLASMLWVAALD DRAFALSISPQQRKNICQFLCALTIRLLRPLIHVSFKDQGASLSEPVGQELPPTTVHH PFEGGGDDRGLVGPAGSLDDVITYIHVASIISSSEQKAASMRWWHAAFTLARELKLNQ EIEVLPNVDTQTEGSSPSFDYALPGWNGVETRPFFDFSNPTRPSLNCVCDRHDMHNTI TEEHREERRRAWWLLYIMDRHLALCYNRPLALLDAESEDLLLPLDEGSWQSGNIHSNS PRPDGPQCLLSGDKYKRRVFPNFVCHDHSIFGFFLPLMTITGELIDLNQSRNHPMLGV RLNGKDAWDVHVNAVLGQLEIYKASLTTFAATASDPEAPLSYAYPPPKSDTNGVDPAL TQAYSWHTQTVISYASYLVHVLHILLVGKWDPVSLIEDKDFWTSSPAFASTISHALDA ADSVDQILRFDPDISFMPYFFGIQLLQGSFLLLLIVERLQKEAGEGILNACEVMIRAT ESCVVTLNTEYQRNFRQVMRSAVAQARGRPVNHSEIRHRRKAVLALYRWTRKGTGLAL AFLA_025440 MRLPWSAWLLSPGLLAVACYGVPYNEYILAPASRHLVPFEVLEV NGSVTDPSSLTQSTGGNATFNGPASVTFDFGRNIAGIVSLDIGSSSTRDAFIGVTFTE SSLWISSQACDATADSGLDSPLWFPVGRGAGTYTADKKHNRGAFRYMTLVTNTTAVVS VRNVQINYTAAPSQDLRAYTGYFHSNDELLNRVWYAGAYTNQICTIDPSTGDALPFLG VISSDSNITLPETNPWYSNYTISNGSSTLTDGAKRDRLIWPGDMSIALESVSVSTADL YSVRTALETLLSQQRSDGRLPYASEPFLDLVSYTYHLHSLIGVSYYYRHSGDRAWLSK YWGQYQKGLQWALSSVDNTGLANITASFDWLRFGMGGHNIEANAILYFVLNEAQELSQ AINNHTNANWTKIASGIKSATNKNLWDANNGLYKDNETTTLHPQDGNAWAIKANLTLS TNQSSTISSALSSRWGNYGAPAPEAADAVSPFIGGFEIQAHFLANQPQKALDLIRLQW GFMLDDPRMTNSTFIEGYSTDGTLHYAPYTNDARVSHAHGWSTGPTAALSFFAAGLHL TGPAGATWRFAPQPGDLTSVDAGYTTALGLFSTTFKRSENGDYQELTFTTPQGTTGDV DLAGAEGTLVSADGERVSLVKGTATGITGGSWNLEVASQ AFLA_025450 MAPSAVPENTTTVAPESIQNPAVARTGDLFSLRNRTVVITGGGR GLGIVLAAAVIEAGGDVACLDLLPTPSEEEWATVQKLASARGLQATYTQCDITNEELT KDVLEKIAAEGLKRNMPLRGAITCAGIQQMVPALDYPIDGWRKMLDVNVIGTFIPAKH CARIFKEQNTPGSIVMIASMSGQIANRGLTCTAYNSSKAAVHQMCRSVAQEWGQYGIR VNTLSAGYIRTAMTDALLKEKPEVEETWMRGALLGRLGVPEDFKAPTVYMLADGSGWM TGTDLRVDGGHCASA AFLA_025460 MSGLLSGDAFVRTFPEINTNTGANGSATLQGTVITEDDAIINQD VAEITQAIREEQAATEESSFMMVFKNGPQKFLHRTLLGMGGQMMQQLSGVNLITYYNT VIFEKSVGMSHNLALLLAGFNGVAYFVSTLVPIWTIDRLGRRKLMLFAVIGQCACMAI LAGTVWDGGHAAGLVATVMLFLFNFFFGVGLLAIPWLLFNFCFIPLIYFFYPETRNLT LEQIDHLFTGEKVKLHWHASMGVAGDAERRVGEKDAELAGVQHVE AFLA_025470 MLPGSVRAPDVSIAVDADENVGQSFPPGSTFPEAHDSPGDESKP TCTGCRRRGEKCQWRVLGSFREANIKVLEPGHPSMNQSVGRNNRQSKFKILNVDPPSA RKERARKEQTLPERAPSAQPQQESQQEASAPSPLPVGYPDQTQAQLPQSEFHPCDDQG LSPSLSSNASFYPAAEVRNHERDGGCNEEATTEVPNHIPHTASPNHPYMHSSPEFVID ELTALRNFSNNAASFPQAAQEAYQGIASPLFDHSVFSDPVNDVFLPGSAYEALHTTLR NRQLWTARPDIPSRCSSPASVSESGAVTPNGLHPSEVNNYSRPSRPFELSPTRENVLW QNYLNEICLWLDMFDSHRHFASTYPQMAKSSPHLRYSILALSARQIERKQNQKSQSES LSLYQEAIHLLLPELESKTTPVIASCVILCVLEMLSCNPKEWRRHLDGCAYLIQAAEI NGFSGKEEQALFWCFARMDVCGGLISEEETIIPIHHWIPKDMSPAEASQHFLASNISA FDTYANYTVYLCAQTLGVLFNPSSQLPPSCVTCRYLGSPDDRDTYVHRWKRLFDSVEE WYDNRPNQMKSIFVIPATAGPGQERPFPTVLYGNGAAISGNQLYHACALLLLQRKPKT LSLSRRPVCFLIFRACLKSVLWHARQICAISASNTHHGCWTNALQPLWLAGKAMSHHS EHTAIIETLARIERETGWATAWRVEDLKDFWGEDDDMDEMEPMRIDVEGDVSLQTPRS IRSL AFLA_025480 MSSLLKADVWVSSRLPIAIQRDGQSSAFSPISCTLIHGTYEAVL VDTPISTTQTTDLTKWIEETAPTKTLKYIYITHGHGDHWFGIPLLLKRWPSARAIATP ATVAHSQGQLEPEKFIDIWTRFFPGQIYQPQKTAEPWPSDTFTMEGHEFRIIEVGHTD TLDSTAFSFGEANTTSKRREWLRALDTIESLKPHIVVAGHKRAGVVDGVFKIESTRQH NLAFEEAITTTSSPEKLYRRMQELFPSRINPHAILAGAKAASGLNAYEFMETL AFLA_025490 MPRWTFYLSPKTLTQEEKATIAQKVTDLYIGYGIPAFWINVFFH ETGEGNFYSGGKYPPNAVFFHMDHAAGKFDSEEVRDEFIRKVNDIVIWGGRV AFLA_025500 MAPSALAALGTWKEEKRVTSSKGLNDGPETEALVSPPSPAVPDY DPTIGAKPCSPFYRHATQNFTGQTPNSTLKVPEAIDLETGGVSAYRPSGESDNRRSSK LWTEKKRHCDWLRALPKKQRIAVKAVIAIALLGTMVAIALGITAAVGGGVWKSNHQQG AIGS AFLA_025510 MKGLQNGIPIAMLAAMASAQGLGGGPRVDTGNDVGFGFSNKFTN KVNNFNKDDHSVDVHSQTNVLKAPPHPPHGGEHGQPHGGQDGHEEGARHQPRAGPASS DGVDVGSASELNYASEATHKVHTANVDDHHVDINEKHTITVLPPPPKHHPHGGEHEGH GKEHQGGHEQGEEARPHEKRWNPLDEEGAVDTGNSASYDFENEFYSKTNNANLDNHSL KVDDNTNIVTPPPHPNGHGGHGPEHDGGHGSEHERRDEHEPHRGPKYIDTGNDIDFTF ENDFESEVNNYNEDNHGVDIKKNTNIQAAPPHGGPPHGRERRQERGDVDIGNAAGFSA KNEFSSKVNSFNADDHSVHVDKYTHVKVLPPPHPPHRGPHGDEGQEGHHKRAYRPDAD GAAGPGRVDTGNTANIQASNSVNTETNSANVDDHSTTVHSNVDETVGAPPQPEHHEDG HEDNGEHHKEEPTKPAEHHDDGHEETGEHHQKEPTKPAEHHEDGHEETGEHHQKEPSK PAEHHEDGHEEAGEHHQKEPSKPAEHHEDGHEETGGHHQEEPTKPAEHHEQEPAQPVE HHEEQPAEPSPTCSTLTREVVHTVVRTVQAHSEPTHAPQQQEQVNTPAPQPEQPKDHE ESGHDNQSHEIESPKPTGADSSPHEDPSREDSHGPSSTPVHGQPEPTGVDGIHNQEEP SSHEDSHGPSSTPVHGQPEPTGVDGTHNQEEFSSHEDSHGPSSTPVHGQPEPTGVDST HNQEESSSHEDSYGPSSTPVHGQHKPTGVDGTHNQEESSSHEDSHGPSSTPVHGQPQH AGDDGSHFDVPSSTTLAHIAMTPAPTHAPSHQHAVLTSTQTISRSSLHMVPIYVPQAS SNGAHASETPAATPSAHVPVGVDAEYSSHVASGPATPSPSSHNVMFTGAAASLSPSAG VISLACGVIGLLAFVL AFLA_025520 MSSHQGGTLSEMAQKGTTIPNDAGKQNTIPSVPRPEQRSENPGF DNQGLGQPSSAFAADNEATLPRGPSDPGMTGEVVTGTGNTLPAEGESKFNQVGTDRPG VRGDTRNLKHGTVKGGVFGDE AFLA_025530 MVSGGADPSIHFWDLETRGSELGHVHRSVASVTKSSHKDAHTHA ITSVSIYPFDPVPSTILTTSRDGTLKLSALEPDTITPVHTFKLDCTPYAHSMSSHPAS PLLIAVGTSESPVRLLDLRSGLSTHGLPGHSSSVLSVSWAPHRPHILASASADHKVIL FDIRRGGHNSAIAALDMDDAVGLIPPRNAPSNYQSRPAFSPHARAHNGAVTGVRWTSN GSHLVTSGQDARIRVWNASTGANTLVHFGPRVRNALTSHLAERAPLVLPKGVMGPGQE TLLWANFSENDDRGEILMFELREGTFVKRLKVPGLMGGQQQFRGRSSALSAARINSLV WRGNGASGEGMEMFSAHGDGTIRSWVSREPEGEPDEAEEAEQADRKRKRDVLDEIYRG FIGQA AFLA_025540 MMAPRKIQVRSENDKDLLLEYSWCLLDTQSTGNPWQSVDIVFRH VFSVKTDDLSHSPEDVYILESDQNAAPQRFGPEAFGSHNAFRFFLQCLRAATMSSPRV AKLIVPLQKGYIVRSDIIPLRLRDSQYIETAVSFAEPFQNYTGCAITPTDVNNLPALF SVAAAGLLVHHEVQSDSDPRLEAVSLAVESDLENRLSFPWILPEPIHRKTLVLVDANS SHPEDGVGLYHAARVLGIDIVVLDNAGHWLEGPDYAQWREAFIPTRLTNPPEEDVADR IIESVKAYGKPVDGIITFADSFWPYIAQAAPQVGLQTASQEALRIATNKYLTSVYAGH QAYRASALDEALDIMKNVDIPYPLIVKPCDGWSSEGVSRVDNLDALMTAVNSIDTSRH GTEFVIEKYCDGPEVDVNFVLLDGEVLFFEVCDDLPKSADINGPSVGSLHNFHELNSV YPSALPSQEIDLLRNSFLDTLLKLGLKNGVMHLEGRVEYSSVEYKRQNDIIDLSPREI KSTTSQSTPWLIEINPRPLGMTGSQITESTYGIDYWGLALLIAVGEKARVRALSQPFK YGPQYTCIMVFIPADYPIYYQGIFDSEDICTDLMARRPDLAKQISRCACLVKRGQKVA HPSSGRHTFLAYFNVFSRKGRKEALDLARQVREEVRYSFV AFLA_025550 MTIMWTVASTRIPGFLHGTTVPLFDPICRSFGVCIVEDRLAEPV TAFATLMVYPRILFISRVHSYGDGNLLAGPSSGFTGSIVASSVAVDFMEVEREECVVQ AFLA_025560 MSHGKSLDLSQNSFQPPQDEDDHSEGTSFRRQKRQATVYDAVAG RINAHGFLPLLPFTSRYRDTASSNFRPVRPEEVLFRRQNAPIRYEENDFYFAHESLPS DRPLPSSDLLEAIHAYSADYYDYATPDRGQDDYQSMDETALIAMGILMEEMAKESLGQ TGDLVLVEGEEIQSEEDQSHSQTARRVGRKRANTGRSSILASSGDELGTVVRRQKTKR TKKPRLVRTASTTDAE AFLA_025570 MGSDTFELAGRQFPKVTWWKNPGMRKTYLCLGMVVLTAATNGYD GSMMNGLQTLEPWQEYFDHPSGSLLGILSAIMSLGSLAALPAVPYTADLLGRRMGILI GCLIMILGVVLQTISANYGMFLAARFLIGFGVAIAHGASPLLITELVHTQHRAIFTTI YNTTWYLGAIVAAWLTFGTNNIPNNWSWRAPTIVQAASSILQVIFIWFVPESPRFLIY KGKHEQALKVLADCHANGDQEDEVVQLEMHEIKETIRLEKEFESNSWKELIRTKGNRH RLIICITAGLFSQWSGNGLVSYYIAKILDSVGYTSSVEQNLINGCLQILNMIVALTMC FFVDKIGRRKLFLISTAGMLVAFIVWTICSARYDISKASGAANAVVAMIYIYYVFYNI AWSGLLVGYTVEILPYSIRAKGMTVVWFCIDAALFFNQYINPIALDNIGWKYYIFYCV WLGFELVVVWFFYIETRNTPLEEIARYFDGETAMVGGAAATEKARELADTLHVEEVVT TSDTRKDGGRVSESKA AFLA_025580 MPPKLTKTEKQARIVSHLRSTGTCHTLKELEKMLPSIASINGMQ VKEYLHELADEGQIRVEKIGSGNWYWCFGGDEKREREEKVEQLQKEVDRVRSSKEELD RQLAVRKREKEEDEKALGPGERERLMREKAELEKECQRLRKEWLAVSASMDGDKGIQE MKDEVQEFQREAEMWTDNIYILEGFVRKVVGDDREAMEMLQRECYGEDYVEGEGLREW E AFLA_025590 MSVNSPPAQSSSSPLGRNRSSLLHKFRSSLGQRNRSLTDFYIEP DDPWRSYFPGDVIKGTVVLTVARPVRITHLVVCLHGYVKVFKNTVPSGETAPDVGFLG PGRGRRGAEYLGNGLATLFEDEVVLCGEGRLKEGIYKFRFEMVFPPYALPSSISFERG TITYMLTSTLTKPTTINPTVSCRRRVNLMENIDIAPFPAPKARVVTLEPVSKRTRSKA KTKSTSSDAAPDSTSVDVSVSGAAGSDHRPPLSPAPSNVSSSSRMSNSSQSFQIASDP SSSAGTGLRNSEARSLTPSLGDKTITAKTEVLRAGVLPGDTLPIKVTINHCKQVRSAH GIIITLYRQGRIDLHPSIPIGSSANGKKPVYEDIYPRSRTGLGGLTIGTSRTSSVFRK DLAQTFAPLVVDPTTLTAIVKTSIRIPEDTFPTITRTPGSMINFRYYVEVVVDLRGKL TSPERFLPRFNLVTSGSNYSPSGMVLNPTDANSNAITANWAGNILDTDQIRREKGVVA VAFEVVIGTRDSHRRKNQPRRTSSAAASSDLQSSGGHAQGDGEPWPVDQHSVPNGESE HPSPEDYGPQELFWPEYGEESQPQYQSLGEIVSTPQSEEPTDEKARMRHAEQMLLPSQ PPNEVEAGPSTDVPTAPVLPEDDHINGYHHLPSPTENTVPQALTSAESVQTVVPGSSS MSQRSVPGDDKQELERQRLLMEASAPDENGPSHNSIADGPSAPVFHDEGDHQLVGGNA HGDESLPRYQR AFLA_025600 MLKALETSPQSRIPRFRKSQDRIEPQAQGFDHGSPNILPSSSIF ADDGLAEAIGDSLVSMLDVYDDGICGGGIHSRPGAGHVTDVFSCCPRYVTMNLLTMVE MPRETAQADQTLDAF AFLA_025610 MAITPWSRESSMLYFSEHQGCTVCLFLEQLKNINVGIEVLSDAW FLRLTYFDI AFLA_025620 MAFRNGSFATFLIVCPTAFFLGIIFSLLPYDYPILWSNHPTPPT HYDYFEAHLRFLHASPPLIPRILHIVIFLGLLGLILKLYKPSESNMLFDGASLVLYMC GITVYIANIVKGLRLVSAGKYGEDLATSEEDKGQILGREDSLKVLAASNTILALVLIG VLVLQAGQWYAERKDAQEVEDMDGKKGGSSSSSSAGVEAEGVSSGVKGKGGAKKRN AFLA_025630 MSQAPPFTPDSEFAALETPRVPTGSLSITALARFEFEAGKANEG TKILMIEWEDDDLTRSSAGGAWHVSWEGKQAVLPADERTNDHTRRVYFLLPPHVTIPP VVTLSYEPPTSESAAKKHSLQLNPLPAIFPPELGADGRSAGKKGVLHTIWAKKRLQSL DKEIREECLTNAEGIALHMALQEKEWIETNFGVGSNADSQRNSIQSNPDPRYPMGPTT PVSPSTGGKLGEKLKGLRLQTGQRELSPKSDAATHHLLSPQSPDVAVSSFTSFHTVQQ PTPKAEAPEPVRTVAHYPPESIQAQQNRDENNNGFASLGTIARTSSADSGEDLFAKAL SPRSPDLPRSPFSFAPERVM AFLA_025640 MTSRHRYIGVVTSSHWFRFTAASPMAGSLGRVEQRTPARPGDLV TAAIGLPLRTGMRLRLRGAEKPPKGTMGEDISETGAVTCILACSYPTTRLNGRISPCC CPTLCTMASSIKDIRHLGESIQRRPTED AFLA_025650 MPSIKPTLHPLQTPRTMVFPSELQEDSGSSSLSAGNGRGDEPLS TPITPPAAYTEFLKKFQPILSPITGEPDFSKIHTLREGHSTVSNSPTSQPASRPTSAV SGTFSFNGDSSRSAAASLPPPTPYTAPPVRRDPRSLRALRIPPPPKYSPIIEAPRSAN TLYSPYSASPSDWRLRYWEGPHSAVPSGRFSVRHVITHTITFKRTQLDDPPKGKRRKR EDSNEP AFLA_025660 MRFTPSSAALLLGAIGAATAQTVYFCGDSTMAAKGANDGDTDGW GTYIGDLLTVPAVNKAIGGRSARSYTDEGRFQAVADLVVDGDIVVIEFGHNDGGSPES NDNGRSDCPGAGTETCISDATGETVYTFVFYVIQAAKLMLSKGATVILSSQTPNNQWE GGSYGGSPSRFVGYQKVAADALASDDVTFVDHFQAVSNMYLQLGSDAVNALYPNDHTH TSPEGAKLSAQAFAQAIYQAMNGTTSLQGYIAEPVTIVYE AFLA_025670 MVRWASAISRNHLRSALLNGGRGSTVRSTVHPSRWLWTHRRGGN HPSDDRQLLHANLLSSCLNRHTTGDPASGVAATQNFLVLVNYKIQYCLACQWQFHKLN RVDECTPFFHKLEQDVSQDGFPFFRMYKQPIIIQRSRS AFLA_025680 MTWRGFDLPTTARPTAHERSAEAAKSTLVTSRMCYTSILLQCPK GLTDGGHHGIRTGATGPDGCSQGSPQASALRARPGKVPSVKASQEG AFLA_025690 MLDATEVPFDASQFAFRTNFDGLSTDNPALTHHLENAKKSYRDS LLTFASQDEDAREEYKAAKDDGLTTAPFGHWAPENYPSWSHAKQSLQAAGAQLTQIAM QAFGPAYQQKIGQEQSNFSQDAFQAGHYPEFF AFLA_025700 MDSDPEAMRARNVMSPLTRGPLTGAYENYLLVRPYPSLRTLFTS PSLRIPGMLQSSFLSRIGSSKVVREELVQAMMSGRSVTARIKWVSRYNAEGRNRWIHY QVVLSDLIGGDRRI AFLA_025710 MSQTAVLTQTAASPPDVHDSPHFLVQSQLDHDSRRGPGSQSSLS REYGSSEDIDDDQRTNLSRTRAVILITILTGITHLPSSVYSLANGCCLLLAGSLADFM GNRMINLIGCFLLGTFTLACGVAQNGIQLILFRTFQGIATSMCLPTAFSILTDSMPVG KRRNIGFACLGLGQPFGFSVGLVFGGLFQETSLKWRFGYYLCAGVTMGLAVVNFFKLP KDSAREPFTFGRLRREIDWMGIFLSSSSLGMILMCLRWMNWREKIGKPALIPNSLWQN TAFSSICIMVLFSWAVLNGMETILSLFFQEVQDLPAIQAALRFLPSIISGITLNLGTG LLVHRLHANYLVLVASVLSAGSPLLMAIIDPEWSWWYCAFWAMLLGPLSADVIFTVAN LIITEAFTPKTQGLAGAVFNVVAQFGTSIGLTIFAIISAGVTQGSLYENKKSPEALML GYRAVFWTCFGLMMAVCCFGAWGLRKVGKVGLKRE AFLA_025720 MPLTSRKKTSSIKPANKAATDSAQSSSSEGPSTGTTGKTNNKSH KRSRSGCFTCRLRRKKCDEQHPSCGACINLCVKCEYKRPIWWGNPEHRRVQKERIKNK IKQTKMNERNGTLTVDQSGRSRSLAVTSPTTPEIEFNRPVFAEQQDIFASHLPTPAMT QPIYEPHPGFEIDVKTERHTFVNDVPLRHDSMSSTFSTFAPPQLNAPLPTFPQEEWFP DEYFPQAPALPGIDPALCDQRIQQTYAILQSNIPVSDHDRPLLDHFINNVLRIIFPVL EAHQRGHLRAQAILQALETNKTYLHCCLSVAAIHLKTTEGLVGEQIDHDIMRHRFEAV SQLCLALGEDTKHEEILDATLAMIFFHCSVGPADDYLPDIPWYDHFQAASNLVSRLGL STTIPPCGNPYMLPPFSMTLTSWIDILGSAMHGRTPEFAHTYRSKHLSGTSLGLRELM GCDDRVMYLISEIACLDALKKEGRVDAMAVCSHVSALGRQLEFTEPADQTLESPYTPT GVLRPDILTKNMTAVFRIAARIYLCSLVPGFDRSQPSNINLVQAVANTLQYIPAGQDG YDRSLVWPLLVTGAFSQPNSQFRTILADRCANLGHHADLGSFGRMYRVLQEVWRATDD PIDTFCQVEDTPVEASPSSSSQPIKLEAPESPEQIGADWALKDTKRPQIHWREVLQQN GWNYLLI AFLA_025730 MLRLPMRHTIFRSFSRLSPVSSPSSLRRIPPSTSNPTLLPLPRS ISTCLLPRSRSAHSIPFSHTFPRPSSSFRSRPFSSSAKRGYQYGGNQYRRFNDPRREP LFIRLVKDAKPHHFVIIGLGISGLYIYNSDTVEMTGRRRFNCVSAQRELEMGRQSYQE VLNENRGRILPEYHPLTMQVNRVLQRLIPQAPIEGADWKVHVIKDDNMLNAFVLPGGK VFVYTGILPICKDEDGLAAVLGHEIAHVVAHHPAERMSNSFITLGAAFLVSMLFDISG QLPSLLMNLAYSLPNSRTQEAEADEIGLMMMSKACFNHEAAVELWARMQEAEKGAPPQ FLSTHPSVSPP AFLA_025750 MSLSMPGPSQAGLFKPGYQSHDAEDGAVIRNIEACQAISGTVQT SLGPYGRNKIVINHLQKMVLTSDAATILRELDVVHPAAKLLVMASQQQDVEMGDGTNL VIILAGELLKKAEELLRLGLKTSDIVQGYEKAQNFALKVLEDLEVDRLQELRSKEELS KALRTVVASKQSGTEDILASLVAEAVLAVLPRNPVNFNVDNVRVVKIMGGSLEQSRVV KGMVLGREPDGTIKKATKAKVGVFSCPIDISQTETKGTVLLKTADEMLNFTKGEEERL ETAIKELYDSGVRVVVAGSTVGDLAMHYLNRFNILVIKILSKFELRRLCRVVGATPLA RLGAPMPDEMGSVDVVETTEIGGDRVTVFRQEEANAVTRTATIVLRGATQNHLDDVER AIDDGVNAVKAITKDPRLVPGAGATEIQLVEKISAFADRTPGLPQHAIRKYAEAFEVI PRTLAESAGLDATEVLSRLYTAHHRASTGAEASSEEGSGSSEDEEPYWTTGVDLESST SAGTLDAVEEGILDLLASKSWAIRLASESARTVLSVDQIIVARQAGGPKPPGPNANWD ED AFLA_025760 MSISSQVAARCCRQIVRPSAASLRLSSSTYLSQRTRRWQSTEAE AAAPVNPKISQIVDQISTLTLLETADLVATLKTRLNIPDLPVGGFAMAGGAAPAAAPA EEEEAAPAAQEKTLFNLKLESIDAASKAKVIKEIKSLLGLSLVDSKKFVESVPKVLKE SVPKEDAEKIIETLKAVGAKAIME AFLA_025770 MSLAKPPVPLRKCSRLLPLPILPSTRLSITTTNQYNHQPPTTRT TRTPPSKQFHTTPKPNAARSPSVRRAEAAQKRPQQPRIAATYTEDGLLKTPAQGDLPQ RLRFLDTASKTLHQEARRYGGVTVDYPTFVRIAKELFNVAYTYPPAAGLVLRIDGGKT DINEIFNIGYQIGTNDMSFKEWVLAACSLAGARGPVLYQTARYLAISARRGTEIRQTA VIDKLEEIGLTSNDPRALQLLAQVQGRRGKYTQALELMEAVLARIHPSKNTPRGPEHS YLISEVMETPWRVYAGLKEKVGDPMGADEVMRRAALEYEDPAALQDYASLLMREMDLE GYEGCMSKAASSGDVMACLKLANFYYLTSKGWFPRRGVKVSDGDNAKAIPKPTRTVDP AKSVEEKKPGALGRFFSFLSADVKSHAEYRKLAVDWYELAVKQGNSRAALLLAIIERE NGNHEAAWELFQSSRTDDAKEFMPTSQDELVKVWRDEEFRPEVPLQLLDL AFLA_025780 MERGGAIRESRLGSTASPGQLTPLLSGKTFVSPFYVDADPDPAT APAHPTSEDSVTQRTPGGLERTKQPATFFIFSDLSISKAGVYRLQFRLMNWGHVEDTG QQMPILAEAWSNPFHVYPAKDFPGMKDSSPLAEGLKELGFVELKTRGEGKGKGRKR AFLA_025790 MSNTPLPQWTHSLSDSLDTSTRAGDDAGRGIATIPNPAYSTQEP PRFLFPDSQGYDPTASTSSHSRFVFEGAKRDSAEHRYSVSSSTSGPLAPYAWQRSSIS KNVDYGGPSSSYYEASSQGRGSIGSTGRANDIESL AFLA_025800 MTKRLRPESPHTFHSAYGCVKALVDVGNHRKAVTYLRQISKCAN GNLPSLSDFEGLKGLLAAERVAYAIPQLAGKEYPSILEAQLEDMEKRLGITWNRRKSV HTSISNPLYISSNQPILTVDGDSAGYGSNMRLIAEIEALGCSKSMLELGKVANLLDEF EGDYIRIFIPNEDAAPYDFAWFPQRSPIELPNDSLLVGIDRNEAWSPSTLGLLRLGYL VARPKSAQGAFLEAAQSWEETGHIVTWDRAFGRFIVVFVGKSREPLDAEKQLFAPNLS FGLDAVMRTQGQISYSKQRADPTHSSVLDSVLYVLYEIPWDMRNAQASTTAHGPSKIW KTNELANPYLSQPVSGDLDLLRHLRAQLRLRLGNRTERRGNIMSF AFLA_025810 MPTERSTEQPASKVSADDEPVSVTAKEQNNAAKDSTASQKGPEG AKASGNSSATVEPVKGDDTAAKARQRQERFKALQARAKSATERNLKETAAETQRLATD PSLLSSLSRKHAFASHNLLKADTEAAGEDFERKRAWDWTVDESEKWDKRMEKKQRHRD DVAFQDYTQDARKVYKRQLREIQPDLEAYEREKLAAIERAAANGDLEIVETNDGEMIA VDKNGSFYSTADTVGFTDNKPDRAAVDKLVGDLRKAEEVRLKKRRERRGEEDADVTYI NEKNKQFNQKLARFYNKYTTEIRDSFERGTMI AFLA_025820 MATKRVVVAGGSGFLGSRICRSAVARGWSVTSLSRTGEPRWDAI SSSPERPSWASSVEWARADMLKPESYKPFLSGATAVVHTMGIILEADYKGVVQGREPI ISGLQRAFSSSKMGSQNPLQRREGEPLKAKERDGQLTYELMNRDSAIALAQETSNEHV PTFVFISAAAGAPVLPSRYITTKREAETTISTTIPELRSIFIRAPFMYDSSRKFTLPI ALGGFIGSQFNELLGNRLDFLGTMVTKPFQVDMVGEAVVEAMEDESVRGAVGTKKIEA LATSAWRKSML AFLA_025830 MPSATGTKRVRGVSIFRPFVFGSEAQPFDPAKKPPNAPADHTHQ WRVYVKGVNDEDISYWLKKVQFKLHETYAQNIRTIEQPPFEVTETGWGEFEIQIKLYF VPESMEKPQTLWHSLKLHPYGPDAEAMKERRDQVVSQNYEEIVFNEPVEQFHDLLTGG GAPAQPQKGKGGKNTKQSGQRNVRTAEIPQNDSPGNPYSKATENKELDRLGEASRTVE QLIKEEKERLIDREKRLAELRESEGVPANTKKR AFLA_025840 MRYTPEVSLDEYGVSLQNGFLPHSPPLKTMENPYYWPWEHIVSD LPDHIRFRTIRQAVETLPVLSTSKLQGEPEWRRAYLLLAYLTHAYIWGGGKPKDVLPP AISSPFLEVSSHLELPPCATYAALNLWNYATSDPNADLTDPDLLSVTASFTGTKDEEW FLMISVALEAKGAQLIPLMLDTIHAVSIDDAQLACDSLLALSEGLKELRKLLERMYEK NRPSVFYHQLRPLLAGSKNMASAGLPNGVFYDIGDGQGQWHQYSGGSNAQSSLIQTFD IFLGVEHIATGGMKTNDAVQPRAKNGFMQEMRNYMPGPHRRFLEMLTRVSNVRAYALS HKANSPIRDAYNAAVMSLGVFRDSHIQIVTRYIIMAAKAKPPTNESTQVNLATSTTTH MRDKNEKLTGGIHGTGGTDLIPFLKQTRDTTKATASYD AFLA_025850 MSNVKSSKPVFPENAGSKEYAASLDAADPLASFRDKFIIPSKAN INSKKLAKPGLSSDPCIYFCGNSLGIQPKATAKYLEAQLDTWSSIGVSGHFVDLEGSP LKQWQLLSEQAAASMSKIVGAQAEEVAAMGTLTANLHLLLASFYKPTPTKHKILLDWK AFPSDHYAIESHLAWHNLDPKQSMVLIGPDEGEYEISTEKILSYIDEHAESAALILLP GIQYYTGQLFDIQKITAYAQSRDLTVGWDLAHAYGNVELKLHDWDVDFAAWCTYKYGN AGPGAMGGLFVHERHGRVDYSEGEDAPKFRHRLTGWYGGDRSVRFKMDNNFKPIPGAG GWQLSNPSAIDLACLCASLSVFDETSMAELRKKAVMLTAYLEHLLLKDTTDETRPFRI ITPADPEARGAQLSVLLKPGLLQNVSQKLQEGGIVCDKREPGVVRVAPTPLYNTFTDV WKFVSYFKAALDEPELKN AFLA_025860 MFRTAPRMAGFVFRENRVPYYQRLFQQHDGKRQWWKTERSKYVM YPYLLSVYGLGAGKYQAQEGKVSRRAASLLTALVRTNPLENLKAGLVTKAQEDRLGSL ETKMNEILDALGRNSQRPEQQTTTSFMPPTLGGSVTSSLSLSQLTPLPTWENVLAIAE LDSFLSSLGNRDPEVIYAILALSVRFSEDQYRDANDLAARTSGYTEVARSLVMRRVSE GPVELSTLQCLCLLSLVDFTNGNTHRSSIHSSLAMNLAKCANLASESHAVLSPVAREE RRRCFWSICLLKRLHGMEFDYLDLPDGSFPPYPESPSMPQSRSPNDMTDGARTTDLKD QGIVAYVIMLSEVFAKTARYVRRHGKPTNVPPWSSQSEYSKIITLQMDLETFVPYIHR FKPASLSERTLEDLQANRNYWGPFFLNQCLYHTILCLLNHPLLLSLSLRNFRSTIPEI FLQHTSDLISTHTTWIIHFIKYFEEKSFMVSDPFLGYSAAVVATIELQLSFTENSTIR QEKRDRFLKCVSFVQKLGEKWPYMARLADRLQRLEDAVSASYQPESGAQNKSLLIDLS RFWEILEYSSNSDSDSARRMFGDSLYSEPPTFAGEVSQTSPLPEPFRLGPQQGLSPSP MPQYGLQTPFPAGPPYAIEPGNSPRPDVLDDEFSILAANFFSQGQEFLRTCDNRAGIG NF AFLA_025870 MRPALSRLLKRPSALSVLDSLISAPIGIEQLESRYQCECLRRYR QTSRHSRSAQPVEAEQPASADFGTRPARPTLSFRVYEIQAPQTLSRNNGQTNDATTDI HAAGSPRSLLLQPEKLEFESDIGHTDDIGTRLVDDPSRRHDFTLWEELLRYRQRHYGD RGTLDIWEGLMTRVEGVQLPVSGDTADFFWQSFVDLGLKQEVILKELADYAFELWVKT GRRWDRFYESIVGGFLERGLTQQAVEWHKRLRDPHLSCPNDILSVLKAAINSSNTNTS HTTSAIPWKPQRHLIPAGLRAFENICRATYGHKIYGLAISTVLQSNCIDHVPRIHKLL VGRGDHPQSYEEIQPLIEHINEYGSPQFIQKLHRYASLRFPDQVEAISEDESDSRDGL AGQSEDQQPEPRGKAWLEEKPFKDDFGARLFATKALRFEMILSGLRMFGVTTIGPQSL REMATRAHGCQDIVDKLQELQNAGISVGDSVFARLLRKFATEKRDILLSDLLHSDQHP DVLEDAQLQESLLLSYYVARDWRPYNLTLAIIGQIFEDKSDLANVHFRKFLAAGEWKM AIQVVDNMALRGATLSQESIDFIAERVLIPRAPGKPPRRDDIPATKEIKFVSHALRHG TSNGDLAVTELWVEVLKRLGMLNLWDELRKCCLWLARQYSSSSNTTRSIVSHPKKSPR DTGALSELHGDPLLRKIFSRNMQAAIVAWGFRTRVSQQRKWMVTQGGPYESMIPWVRG LVLLRELEKNGVHLSVAWIRRACRHRLAVLYGRHGLVNRRMNRMLRHENPYSVVRVLE DINLAWGEPSLFGDREIRDTKGLVNPPNRTRRRRIKKMRSAYLQRTGYSHMRELYNSS SAKRD AFLA_025880 MLGFGLLALLPFAAAGTVLPRQTACNNSPDLCSKSYGEITHLGA HDSPFVRDASTGYSTSGNQYFNTTVQLDAGVRLISAQVHKKDSEWHLCHSSCELMDAG KLSTWLSEIKSWLDSNKNDVVTLLLVNSDDASASDLHAQFQTANLVDYAYTPTSQTTA PSSWPTLESLINNGTRLMTFVASLDASKNTVAPYLMDEFTYIWENPYDVTSPSNFSCN PDRPSSLQNDLSSALSSNRLPFMNHFLYQTVLSLEYPNSSYVSTTNAPSGGTGNLGDA ATKCKEAYSRQPAFILVDFFDKGPAIKTVDNLNGVTNAVGRTNLTAATQTSGASTYSN VFKGLVELVQSAKLGSNPSMGEWVWVGGDWGSLLGGGITL AFLA_025890 MIWWSGQHVAWSGCMYTVWSLPEVLSGLMEGFWKGDSDLTGIRQ KSAVQWAGVGMEEISEEAIGSVVLLELPIGTQLVYLAEGGANVIYRIVSAKSAIASKK ELPLAVNGAGPGVVDSSSVPPEFKGKLLRLRKDTPSGIPYQEIARNFDKNIRPLFKPE ELVDQELVCLPRGLIQHCNDQLRVAEMDGKRSKRRQGVYLATTEPFGLLITDMTTFND TGMVLAELKPKWLLQSPSAPATARRCRTCAMRDMKNHEAWTRGGKPERSFCPLDLVSD KFENVLRATRSVKGCKDPKRLAKVLYWNGTMQKLLAHQRAHRDVGLHGPPAQSRDKSL GMTLRDCTMFIKMPSDEVGTVEIRLGDLDLKTGAGGKAKYWLDVEKQLISEGWYLGSK TCSHISDCALQGSRGHSQPSI AFLA_025900 MYTFFTLSLLMTLTPHVLSAPLNNAITTVPTGQIIRSCTTPNTV ALTFDDGPSGYTPQLLDLLNEYGAKATFFMIGEGSQEYPDTIRRMRSEGHQVGSHTLE HASLPSLSYEQIVQQMTKLEGILQSAMGDIPTYMRPPYFEVNEQVLAAMNELGYKVIQ SSIDTKDYENNDVSRIDISYEKFVNELNAGGSIVLAHDIHEQTVVSLARRMMEEIKAR GFKMTTVGECLGEAEAEWYRKGR AFLA_025910 MFKNMFGGSQPSGSAKVEDDFADFVKAPEPSPASIIAAVSSGVP ASSTPGVSAVPYTKWYRVWERTSPKDFLQEAMVMPFILLIVVFHLWGTRKNRRKAREW AQAHAPSLQNEFAVVGFNGIQRSEDEAAAVLSSPDSIIKEKSPQEFVSYATGRQNVAF VDVSIKLPKRYNPITYWMDVVFGFLFESWTSPTETYEAIAYTFDGKEKDVVPVPAKDT SSLKVNNSTYDGFIWAIVHKNHMRQFRQDRYDASMTFTKENPKLPPWVTVMTESAEIT ETLLTPELIQAVEKAGDNFKYLIVTDQPVDKPLKIEETVPRKRVHICLSLPSSTSGYT SSIPLFNQFLRFADRLVAVAHFRAEVMRKVRHAREEEIKKLRRADEEEKAEERKLAAE KIKKEERERILRGMNAEEQRKFLERERERGQKRSMKKYTKR AFLA_025920 MAFNFNWSPLMADASFYTRAQDLLTAALNKSPKPPIIVDDIIVT ELNLGSIPPDLEILEIGDLAEDRFRGIFKMSYTGDAFLTLKTRVQANPLNTYLLTRPS FASPLPLAAATPLTIPLQITLSDFKLSGFVILVFSKQKGITVVFRNDPLESLKVSSTF DSIPFVRDFLQREIEAQLRILFMDELPAIIHRLSLRLWVPEYRAGEDIQTQPEQTAGE GPGQDPLASPPQDPVDSLGNALDESEIASLSLDSSVEAHSLFSQKNLLRLGALTDSQR TLSLFTPSIQEVVYRAWTSPSEQGDANGISTAPLSPMLSRTHSQVGSMSSFQDSASIV SSQSRSSASTHTFSGYGLNLGAGRHSKAHSRKRKKRVVDLRRPKTTSDTASVSDESAY TETASNPSVCSAPLPVVNEQPDPITPPLSPESDFRLPAIPERRRASLSRPVPRRDIAT EMLRETGGPSAEPPRHRPQPADVDATPRGSLRAHITAQHDNEKQETGPSRQLPSTILP FTDEKSSSSTVDQALVERLAGEIARRMRDEKLMPTSSCGGAFWGRPDHEEYPPPAYGQ AFLA_025930 MATVLRLALLLSIAGVFLDGLWSNPNAPGSSISLAAVKFTAGII LSFTNVSFSRRPEVYLGCKPVDRQRTVSFLSRYTFSWPVPILSKAARNKQLEPEDFPA IGYGVRTQTLYGRWISCTHTKIWRKLLNIHRTAWIAQVAVQMLTAVAHFLPQALLFLT LRLLEERDAHADNQKQLWITTVGLGGSLFISSWLESLLQWVVSMELELPIREQLSAAI FSKSLRIKEVVNVNSPDCAESKTSSGECSDDEDEDDGEVGPPKTKQSMTNLLGVDAVT IATFVKFSHTLLDAIIKFILAIAFLTNLLGWVPVLWACTVPAILSPVNYLLAQQYSKA EDALMAASDRRMAVLSEMLQGIRQIKYDAQEDQWNRKVQTLRSNEIKKQGQVFQFDLS LIAVWSCGPICMSLIALATYFLANKSLSPSVAFTALSIFQSLSATLSDFPETISDLMN AKVAARRIEQYLDLPEYNNCHSDGEAIAFSQTTISWPSNTMDEDNSDFKMRNISLQFP LGELTVISGPAGAGKSLLLLATIGEADLLEGQIFFPQRQSPLAYNGPSNEWTIDTSIA FVPQNPWIENGTVRENILFGLPLNPERYRDVLHACCLEDDLNSMQDGDQTDLGANGVN LSGGQKWRVALARALYSPAGVLVLDDIFSAVDARVGHHLFEKALTGSLAEGRTRIVAT HHTALCWSEMKYYVVLENGRVSFAGKPENYCPDLCPSNAGSIQRIGNADSAAQQGHIL APVSNSLTAPQQNPSEEDVKGKAFYEAETRATGAVKLSIYSIYMQACGGYLYWIPIAL SFGFTLVAELAIPYWVSVWTRDLANTQPDIEVNIQSVSDGLSARSDPQPADNRLWLYL GVYIGLFLMSILTEIVRYQLVFMASIRGSLAIFEKFLLRVLHAPLSFLDTTPVGQILN RFSADFSTLDSDLALDLPNMLHGVLMLLSVIIAALFISPLMVGFGFISLFLSWYIASL YVTAARDAKRIESNARSPIFEQFGSIIDGLVTIRAFDKVGQYMYRMYNAMDAHCQALW HLRLFNCWMMFRLNMIGALYVTMTAALIATIRGVDASVAGFALSFALQMSEVVAWVLS EYAEIELDFNAVERIVEYTQIETEHQDGMDAPAEWPTKGEIEANDLVVGYAPELPPVL RGLSFLIKSNEHVGIVGRTGSGKSSLTLALLRFLEARSGSLHIDGMDISRLRLSALRS KIAIIPQDPVIFSGTLRSVLDPFDQYTDSELLAALAKVHLRPSMDDEENSELEGTDED CNNAALSLSSPISERGKNLSQGQRQLVCLAQALLSRPKILIMDEATSSIDMSTDALIQ QTIRQEFRDSTLMVIAHRLSTVVDFDRIMVLGEGRIAEFDTPAALLRNEGGPFWTLVD KSGERDSLRRSILQGERQA AFLA_025940 MASTFSPAFPGDRTPKPRTKTRTAAPKRVYGKRRADAPRAVFEQ RSPAKPVEETTSKVIEEAVDSIQAQLAEVKISDAIPSQKVEDKTDEQKTLVKQADLTK TAPPVHTPEVVPIDLKNEEEPTEESPADSVPKPRKKYETMVEVRICSKTAAPKPQDIQ EEQEQHVDQKEPQEDKRSAESERQGERRARRNKVPPRLSSGCVMDDKVNAYVRRILNE ALSPVAAQGVQKFGSWAARAGNLLEVVKIAEGSYGEVYKLRLREELCKKEMSRSKLAR LKAYGDNVFKVVPLRAQSGPGSKKFTSIDEIVSEVKMLKYLDPIPGFARFREIHVVQG RFPESFQNAWDHYKRTKDDCLNPNPSSKRAYPDSQLWAIVEMDDAGCELEKFAWSSTF QIYDIFWGVAMALARAEEYAQFEHRDLHLGNVCIRSTRPNGCMNPPTDLEVTSQSYSS GFGLSTLETTIIDYSLSRAELRVEESGEVVEVASSDLDKKQIFDAIGRDEDEAMLRDT YRYMRSQLYNGNPLETDKTPDIPGIWAEKPEVSLPAPRQPLAPCSSNKGLKKQTNKSA KMDNVNTVKSAEDTRKQVSQLKTTLEDRLRAVLDLLHLEHGHEDMCCAADLVAYAIDS QWLDEKDFF AFLA_025950 MDTHLREIGQREIYLHEEIKALTSLDHGPLSTEQRAQLAKWEME LEDLARKYWHLEREFYRREASVPPGPLQRAYATWRSNPEWYLLGYLRDDCAGRGGCCG RSCGCCERERDTEKRIRLGHCTIECGCCRRARGFDLNHEDRVRYQKLFDCDLKENEAL WDSLKLAYVFGLV AFLA_025960 MAGQGLAGVLPCIVQILSVLVVPEQTGEQKVPQESAKSAFLYFI TSTFVSLSALVAFGSLSKRRSNAMSEFAQSSPDTASDHTGRKTVSLWGLFKKLRFMAL ALFLCFAVTMMFPVFTAKIESVRDPQGSSRLFQPAVFIPLAFLFWNVGDLAGRMSVLI PQLSLTHRPFALFTFAIARIGFLPLYLLCNIRGREAVVKSDFFYLFVVQLLFGISNGY LGSSCMMGAGQWVPEGDRESAGGFMSLMLVGGLAAGSLLSFFLSGV AFLA_025970 MRGVRVLGAWTPVVTARQFVQSRGSFFRELYPGVQTHTCVTGVS SSQSRQFSRVPASLCNSTSNYRLQHPTSFLQNNVSRRVFPQSRPSHILRFFSSTDRYL ESKSTARSTNTPQVNSTPTEKEDEEVDKGFELSERAAQAAKINLSAKLAKDGASGKKS GFKEIWRLLSIARPEAKKLGFAFLFLLVSSSITMSIPFSIGKIMDAATKSATEGGGEL FGLSLPMFYGALAGVLTLGAAANYGRIIILRIVGERIVARLRSKLFRQTFIQDAEFFD ANRVGDLISRLSSDTIIVGKSITQNLSDGLRAAVSGAAGFGLMAYVSLKLSSILALLL PPIGLGAFFYGRAIRNLSRKIQRNLGDLTKIAEERLGNVKTSQSFAGEVIEVNRYNKQ VRKIFELGKRESVISATFFSSTGFMGNMTILALLYVGGGMVRSGAISIGELTSFLMYT AYAGSSMFGLSSFYSELMKGVGAASRLFELQDRKPTISPTKGTKVVSARGPIRFENVS FSYPTRPAVPIFTDLNFEIPQGTNVAIVGPSGGGKSTIASILLRFYLPTKGRVLIDGK DIGEMNAKSLRRKIGIVSQEPVLFSGSIAENISYGSPQATRSAIIAAARKANCQFISD FPDGLDTQVGPRGAQLSGGQKQRIAIARALIKDPDILILDEATSALDAESETLVNSAL AALLRGNNTTISIAHRLSTIKRSDTIIVLGPDGKVAEQGSYEELSSRPDGAFTKLMEW QMSGGDVSQPPKDAPISPLTQEKLWQMEEEQEVGAEEAASRQGEQQKNE AFLA_025980 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQAQIHQQRMQ RRHEIETLKYERIINDGLLSRIDQLLKSLQQHEGSSKNPEEVVFQVLMESASNPSEDQ PPAPPAGVYTHEKEQPKYSQMMSSLVDQVKKEMGEVSSDNLLKEYIKGVQGHKDKVQG LQKELLAKLAELEKEESSKITSDSIHTGFDTSFVSKNDSKGKGTETKKAESVELLNPT AVSGSSSQKATADEEEEDPENMKASDLAKRLAKINRNDYRALLQFISEHPEIVAEKET DGLLVEAFNSQMEGKEDYARTCVHHGLLLQYCRSLGRDGISLFFKRITTKDHQASTLF RNDVNETYNKIKTRAAELAKDGSASNDPAGVEQIQLHAVDPNTKITINIPAAESSEPV EVEARKIFESFSKELQQALSSESLDEVNKVLGKMSVEEAEDVVEKLGESGMLSLEEGI VDATTEEGRKKLEEIEAESKRENRIEEVGEPGGDITELD AFLA_025990 MADRFPSLEDFSAGQTEVVETNGTDADDFLARERAVLGDDAEQF ATPQDHVATTDDVNAGDDDLLGGAEDAPAGAAPEISGFESSFPAIETQNEQVAPGGMI TGTGAPFPPTGFSSYQAPEEEPEPVREWRERRDADIARRAEISEEKKQATIKKAQEDI DDFYVSYNNKTDKLHAQTRADAEQFLANREDTSSGGTSWDRIAKLVDVSGKGAKGGAN GSGKERFRQLLVDLKKDENAPGASGI AFLA_026000 MAYTDDAVKAKLSALNETQEGIVTVAQWVMFHRRHAERTAQLWL QKLRDSPAPKRLNLIYLANEVAQQSRARRKEDFLIAFSPIIAEATATAYKGASNDIQQ KLRRVVEVWRQRAIFEPPIQDAVEARVDEIDKSRSIGKKPPLGGSLFSSSSGSTPSEL QPLVPLQVALSKATVASGASATTANAEYDKMHDPSTPLPTPPVHAARLSQLLKALANA ESSVSEVIKSRIALIDGLEKLLETNRAALAKEQSLATQLSERRSETEAKKREVEDGIM RGLSAENSPAVHLGESEGEPVARPEVEALTPPTRGGSYTRWIAKK AFLA_026010 MWRDRTNLYISYRQSFAHHPAKKPRYIGASNGFSDSLSQPEESR RLISDSGGLDDDGDAIIEMDVLPPRWVDVQEEVTELLADIAQKSAQLDKLHQKHLLPG FGDEEVRKQDESVIERLTQEITRSFHECQKAVQKVELMVREAKQQGGVSSGDETMAKN IQISLAARVQEASARFRKKQSTYLKKLRGLEGAANPFERSPTPVQNPYTDPSLMESDA DKSFSQTTLMQTSQRLRGENDAAIMQREREINDIAKGIIELSDIFRELQAMVIDQGTM LDRIDYNVEKMNTEVKAADKELKVATNYQRRTTKRKILLLLVILVAGLFIVLLVKPKR HASSSPATAPETPQQPPQSNEHPSDAFPRTVETVYRRKRRPSLAPAARSKWVDPDIHR AFLA_026020 MADSLTEEQVSEYKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK AFLA_026030 MPITGVFFIPSNPNASTAFATITERLHSSLADEPIPVGRWALEH KLMRDTPSCLPTSASQRPAQPRYMQFLSLTYFPNHGFIYTSPPPGKVSHAHHGAGSPG TVAPGASSPASPTPVPQQTATPTQAPAPNSQDHSSMVMTTVPLPACSTLFQHFVYACQ PFWCHRHTVTVPGGVVYDVGDFRVRIGDVRQTQPAARVRGTVVEIEWKGPSLVTSIAS LFSQSKKAFGGPRTSDLPDDDGDSGIDMAFPEGLEEADIDGEYAATATLIREFWARLG IQGAREAILVQDLGREAKEQLRKLKQLGDQKARQPSTNVTGSGQQDEDPDPEAGVDVA RQFMEIFRFNR AFLA_026040 MLFVRKSYFLPTFILVFLTYILFSSLPPGAEIPYIDDPAEYGPP PPRPPPKGNDLIHYRNPTEKHPVEEYIPLPTAAPSPIPRVQYEFPVESWFTRRRRVKK QNAVRNAFKHAWKGYKEHAWLRDELSPLSAEYRESFGGWAATLVDSLDTLIIMGLMDE FEDALQAIEHIDFSTTGATQINVFETNIRYLGGFLGAYDLTNGSYPILLKKAVEVADL IYGSFDTRNRMPQSRWEWTRSALGMGIQPSRNTILAELGSLNLEFTRMSQLTKDPKYF DAIQRITDKLESAQSRTQVPGLWPMMVDAHDMQFTDPRFTVGGMADSTYEYLPKEHIL LGAQTDQYRKMYDAAINSIKKRLLFRGMTKDGKDIMFAGNIRSTSKSVIEPQFEHLKC FLGGTVGIGAKVFDRPEELSIARKLTDGCIWAYDIMPTGIMPEALYISPCKNTDDCEW DEQKWHTDVLRRFAKYSQGEEVAQQIISSNKLPPGVTEIPDASYNLRPEAIESVFIMY RITGDKELQDAAWRMFRSIEKMTLTRYGHAAISDVRNPQSSQLDYMESFWLAETLKYF YLIFSEPDVVNLDEYVLYVSPISQPDNHPMTGCLGSSNQSTNDNHIGTPRHTHSDVQL LDHDTEPFRLHFLFH AFLA_026050 MGVPPFAYDDFVLLGATLVTVSDILYLITIYVSKCCVVGIHLRL TPQKLHNRISWATLALCTAWIITSVFIIAINCELNRPWKGAGGQCVNLLKRWQFIVAM DILTEILLFGLAVTLLSGLFMPLKRKLPIGFAFFFRLPLVIFSILHIDALNQNVSSAD PTLAVVEPILWSQVELNYALVACSVFCLRPFMAAVSTNYGTAGDTNLESGSTSRTAKD QSSSSKSGTRGLPSVVDGRERWRMSRSGTWSKTHERLGSDESGAPKAGPMDSSIELVE RDGSDAAECSGGRMFIRKDVQYTIEFDRRS AFLA_026060 MAHAAGLKHETEAFGISEGGYATKGLVEPKYMGTIADQKDMNVL GRVQVLRRNFRFVSIVGFGCTLISTWEVILTLLSAGLTDGGTAGLIWGFIIVSLGFTL VFASIAEMASMAPTSGGQYHWVSEFAPRRYQKFLSYITGWLCAMGWQCAIVSIAFLAG TIIQGLIVLNDSTYDFQRWHGTLFIIAITTFSILFNTFLAKNLPMVEGLILILHVIGL FAIIIPLWVLAPRNNPKAVFTEFNNGGGWKSPGTATLVGLSTTITSMIGYDCSVHMSE EIKDASRTLPKAMMASIGVNGVLGLIMIITLCFTMGDVNSILASPTGFPFIQIFYNTT NSYTAANTMTAILIVTLTASTITEVATASRQLWSFARDGGLPFSSFFSYVTPGWHIPL NSVMVSLAVTILLSLINIGSTVALQAIVSLTITSLMSAYILSIGCVVLQRIRGEPLPP RRWSLGSFGMAINIASLLFLFPIFVFSFFPLTASVDSKSMNWSVVMYVGVIAFASVYY LVRGKHQFIAPVALVKREE AFLA_026070 MLYISIPSDKSAMLQKTELLKLVTGYYWRLTILIFGHGGYEICR FGIVFHHNILDGWWFSVWRGIGINKPGRSSSAPFAY AFLA_026080 MSHWAAIRTDTKSDTQNLWMALRTGQRQGCSKLATAKIAHSLVI LEIPPRMNSLPWKRSISLPIFTILLAKSSARVRNPSLVRPRTPY AFLA_026090 MTSHREVLLAPGYPGARWTTPEWHDGHHWEYKRFLTPPWTSAVV KERHALSRNQGETAGKEKKWAHNLATLIFIRGE AFLA_026100 MTSNPRPLSGDFGHFQPQLARNTPQYPSRGPSPILPPIRDLQQG LNASTAPFPDARGLSRPDPFGPATQDFRGTPAGAPGFSSANRPRPMGERGGADAYSGS AVMHTQMSYHFPMAYHSDSEQTSPQGLSHTQPSNFGILGDPIDSKNKRRRGNLPKPVT DILRAWFHEHLDHPYPSEEDKQMFMTRTGLTISQISNWFINARRRQLPALRNQMRTGG SDLDSQRQSPFSDVDHASSESMPSPSQLASAR AFLA_026110 MPVMNCFAAGIMRQRQPLPNKSSAPAPPAFLQILPSPWVYFRLC SHSCSGPLLCDKSYLLPYACRLHWADNSFTIKPFMLGMRA AFLA_026120 MTRTTVEPIKYEAPSWEHKSVHVSDDHRRIIPNVGDDATRPKGR IRRSMTACNTCRKLKTRCDLDPRGHACRRCLSLRIDCQLPETSERFQDSTPMWSDATT AIPSIEERLTSLERSMREMTGMLRQILNQSPSVSNISVPPLARSVHTEETASIEGNSF GPFLPKPVRLIQDLQSEFFGETNRIPVESPFLGNSFEKGILDSKLSLKLVQLYGHSSC PSASIAANA AFLA_026130 MNLQVRHKAVNLLWEKPPLKYESLQALALLCLWPAAGQKEFPID GWLLSGTAINHALVSFDFLNHVPSELLIDNDIAAQLRLWNAFCLTQLHFAVGNARPFH LPQRYLDYCPRLLEHPAATVEDGKVVAEIQLYLITLRLQANEQRMRFAEVEYEEIERW KVEWAHLLAGDENSTFELSLWFCQILLHRTAMRFQAESERLTSEILQGSRLIISKFLQ LRFVTALRVVDQAYFIVGYAALNLCDFNFLDPLIDQIQMFLLHLSPNEDHIAYRFSCM IAEFKRRCAECNDPCSAVDGSQCSFGDARKMSMEQVQFVPPLVDSMIEGYGALEQLIP EVMPHSFPESVISGMAVTEAIPVGSAPY AFLA_026140 MMVAWWSLFLYGLQVAAPALAATPADWRSQSIYFLLTDRFARTD GSTTATCNTADRKYCGGTWQGIIDKLDYIQGMGFTAIWITPVTAQLPQTTAYGDAYHG YWQQDIYSLNENYGTADDLKALSSALHERGMYLMVDVVANHMGYDGAGSSVDYSVFKP FSSQDYFHPFCLIQNYEDQTQVEDCWLGDNTVSLPDLDTTKDVVKNEWYDWVGSLVSN YSIDGLRIDTVKHVQKDFWPGYNKAAGVYCIGEVLDGDPAYTCPYQNVMDGVLNYPIY YPLLNAFKSTSGSMNDLYNMINTVKSDCPDSTLLGTFVENHDNPRFASYTNDIALAKN VAAFIILNDGIPIIYAGQEQHYAGGNDPANREATWLSGYPTDSELYKLIASANAIRNY AISKDTGFVTYKNWPIYKDDTTIAMRKGTDGSQIVTILSNKGASGDSYTLSLSGAGYT AGQQLTEVIGCTTVTVGSDGNVPVPMAGGLPRVLYPTEKLAGSKICSSS AFLA_026150 MAGLKSFLASSWLLPVAYGASQSIVPSTSATAAYSQFTIPASAD VGANLIANIDDPQAVNAQSVCPGYKASDVKHSSQGFTASLELAGDPCNVYGTDVDSLT LTVEYQAKDRLNIQIVPTYFDASNASWYILSEELVPRPKASQNASVPQSDFVVSWSNE PSFNFKVIRKATGDVLFNTKGSTLVYENQFIEFVTLLPEEYNLYGLGERMNQLRLLEN ANLTLYAADIADPIDDNIYGHHAFYLDTRYYKVGGQNKSHTIVKSSEAEPSQEYVSYS HGVFLRNAHGQEILLRDQKLIWRTLGGSVDLTFYSGPTQAEVTKQYQLSTVGLPAMQQ YNTLGFHQCRWGYNNWSEFEDVLANFERFEIPLEYLWADIDYMHGYRNFDNDQHRFSY EEGEKFLNKLHAGGRRWVPIVDGALYIPNPENASDAYETYDRGAKDDVFIKNPDGSLY IGAVWPGYTVYPDWHHPKASDFWANELVTWWNKLHYDGVWYDMAEVSSFCVGSCGTGN LSMNPAHPPFALPGEPGNVVYDYPEGFNITNATEAASASAGAASQSAAASSTTTSAPY LRTTPTPGVRNVDHPPYVINHVQPGHDLSVHAISPNSTHSDGVQEYDVHSLYGHQGIN ATYHGLLKVWENKRPFIIARSTFSGSGKWAGHWGGDNFSKWGSMFFSISQALQFSLFG IPMFGVDTCGFNGNTDEELCNRWMQLSAFFPFYRNHNVLSAIPQEPYRWASVIDATKA AMNIRYAILPYFYTLFHLAHTTGSTVMRALAWEFPNDPSLAAVGTQFLVGPSVMVIPV LEPQVDTVQGVFPGVGHGEVWYDWYSQTAVDAKPGVNTTISAPLGHIPVFVRGGSILP MQEVALTTRDARKTPWSLLASLSSNGTASGQLYLDDGESVYPEDTLSVDFLASRSTLR ASARGTWKEANPLANVSVLGVTEKPSSVTLNGETLSSDSVKYNATSHVLHVGGLQKHT ADGAWAKDWVLKW AFLA_026160 MESTPEKPPKQACDNCRRRKIKCSRELPCDKCQRLLLSCSYSDV LRRKGPKFRTLYPLAPIHPLASRPRPLTKEWLPPNPGACHLASPTSPPSTVADAQYLH PDFSESFTRLPPPDLVSSPDSTNSLFDSSTIGALPAPRRLSTPNLLAHVNVFLKYLFP IMPVVRQDQLQQDCHQPERLSPQRYAFIAALCAATHIQLKLDGAAPGPEAASARASLD GHPMLSGEELLAEAVRARKEYNVVDEINMENLLTSFFLFAAYGNLDRQDQAWFYLCQT TSMVFTLGLQRESTYSKLSVEEAEEKRRVFWLLFVTERGYALQQAKPVMLRNSIHKPQ VLCSDDPILAYGFINLINVFEKLSPNLYDWVSAGGSSGSSADGDPPPTSSIQSSLAKQ ISLEGVSEIQKVDILITQQWLQTMMWKLSMTHVTQPGSRDDAVLPFHLPVLVGKAVMG VIAAASQGAVDAHGIGMEQKLYDLGTSVADVSRSLSTKAAHHLAESTIDPRELLWGIL TTLSRIRGSQSYLFPALVEQSRGIISFDCSLSISDFLPSFGGPPAIMWRTGESGFDLL GIADDLQERENEGGEGIVVAGEEISF AFLA_026170 MLAKVGEKPPAMGASRVWKKKILRFTVVLCLVSAFAFFLWPEIE PQEPTADTVSNITITSSVQCEPDFDALRRLDVHKLSEYTRREVIAVPSSNDALPVRQN LQTPLFERKSSDDVDRLSTQQLQDNCMIPEPVTVQVLQPPRNVDASHIDFGVATTLGR LNESLDAFSHWAGYTKARIFALIEPDKDKRTHEVQAKADSLGINLYITENDEEYQRRY FTLVSHLGKHMRPQTRWSCIIDDDTFFLSMSELVKALAEYDDTQPTYVGGLSESIPQI GVFGLMGFGGAGVFLSRPLVEEISKPEVFEACLNTDHTGDRRISLCIYQHTYTHLTIN HRLHQLDVQGDVSGFFESGRQPPLSVHHWKSWFHMDMAKLSVVSELCGDSCLLRQWKF ADGWILTNGFSVMKYSKELDPNDKTMELTWEGQNGAVHESFLHEFGPLREKDWDKFSY VLEDSVVDGNKVRQWYVHRDAEKGDQILELIWRAQ AFLA_026180 MQNKNRKREEMLQTGYGGQYGVGMTATGATVVIKKEAKKVKIQP INGDPVAMDLTANESLALSFRLWRGTHGKKDWQRKQSFPGGEGPDDRPWDWPLWVLWR EKWRSSFIAIE AFLA_026190 MATQSLSSLLQRTSIDDHEEVLRSSNAALAKSKSDIQAQHVKVV ALLKLDRYEDALRVFEEGGDALKKRAALEYAYTLYKTANLDEAIEVVSQVANDRGARH LEAQATYRAEKFRRAADLYEELTKDEDALANEVNDLRINAWAVDAQLQWKGYPDYVRH NRPTRDDLEAFETVYNAACLSIAKGEFGQGEMLLKRAKELCRTSEDLTPEDKAAELLP IAVQQLYVLIRQGKSEEAESVLEEISVNDISELSTKRVALNNITLVRDTTTNPYALYK SLHATPVSIDNDKLFDYQDNIVTGNVHAADLLVQKYDGIIRSTSKALSQAPYPSAKPN VNLLSVYNAAAHARGQAGTPALKAILPALERRPKDIGLALTAVQLYVTEGNTTSAITT LEKSLQLLEDSISEQDKEVRFNPGLLGILVSLYKLEGRRVQIRSELAKAAAYWQEHVE APPSLLRAAAQSLLHSSDRADLTTAGDLFKSLYQKDRNDSFAIAGYVASQATLDYAKI ESQVDTLPPIDDLISDVDVNALESAGISPPSSAAAAAAAAIAGARKRTSGDKQGRATK RVRKSRLPKDYDASKTPDPERWLPLRDRSNYRPKGRKGKQRAAERTQGGIVNEKAEES PAPVAQQQKSQGGGANKKKKKGKR AFLA_026200 MTQTILRVGILTDGGQAIQNLYLPVLRTLHDSYRVAAIYDSSTL SPKDSTADDLPKVASSPERVLNDPSVDLVLNFMPNEYHETYTVAALEAGKHVMVETPV SLSIPSALRIIETERRAPNQAKVFVASARRYAPCFEVFKKEAASLDRIYYARCRNIAG PHVAHTATAPKNKHLRIKGSIRDGLSLDDQHGERLRHCLLQEVFLGEDLTEERLSLSR FLASLGCHDLGLMRDTLGHPDAVSNITVNEPFYSAVFYYNGSVSRGHHPFTLIYETGT DSVPRCDAHLALYGNTKTVSMHYDLPYAQGKPSRVVVETADGKGNLKRTESVSSWEDA FNAELKALHAYLVEGKPARTTAKDSLQDLKLFQTIFEQYDRQCGTIRTPLG AFLA_026210 MNKNHGLRQMNSTGKSIVVAKLPYYHWNLPSPFPNQYVATDVTP QKRNMFRGRIMLRVLAL AFLA_026220 MSGNNSGPSGGAPPTGYTGGPPAALQPGGAAPGGYNFTAALYPY LKPSNTPHYHWQSPFYHIFLSHC AFLA_026230 MSRPYYNQQGYPPQLRTGSASPYPPSPAPPQQYQAYAPSVPPNK PAPSPSPVSPTYSGYPSQSPAPQSYSPAPYGSAPPPPQGYPQVPPYGSDPYGPPQGYG QPPQGYGRPPPPSPHGSSTRSAPGPEYPSQPSSHGPGYPPQNAPYPGGPARPPQQGTP GPGPQGAPYGPPGGASPAPRATEQQVAAYRQLLISTIQEKNLQNFYPPEKLNLLVQSL ADDAPAKINKIVQEWRVPTEVAMDIIKLSLFDIILYVDDSGSIEFEERGVRKEQLRQI IGIVATAASTFDEDGISVRFMNSMERGDGIRNADDVNMLVSRVRFQGLTPLGTGLKNK VLDPQVVGPARAGRLQKPVLIITITDGQPAGEPLDCVANSIRYAVDEVSRTPFGRGAV SFQFSQVGNDSKARDFLSSLDEDPSIGNLIDCTSNFEVEQDEMSRAVPPVYLTRELWC AKLMLGAIDSSYDTKDEKAAGRAGPGGPQGPGGPGGFGGPQGPGGPGGFGGPQGPGAP GGFGGPQGPGGPGGFRGPGGPPPGPQGPPPGQYGGYNPGPGYGQRGNPNQPPPPGPYG PGPGYGQAPYPPSQGQGPPPGYGQPQPYGGYGTPPPSAGYGQPPAGATRGYPPPQSPY GYAPPPPPRY AFLA_026240 MPNQDARAEANRDAQGATSDEGHIVVEEISGQDSSRGSALPRSH SISVPSRHSISQERPSIAPSRTLSDSGRRNSHQPRVRFSADLERPLVEEPRISNHNRR SSRGLTIDTSITRDDRLSVRSPEHRTISPLSPRSALSPPSPQSPDSGRSRSRNRGYSL RRTIFAKNIVSATSPGAVSPNDIELAQPVSPQQETSTENEQISRKSSDGAGQGALTGG GQYERKSTEDEKQEVIVTQTSAPEHSPVHIDDTSTAYFSSDPSEDLKGGRHMSTSIAY EKWLKRRAAITGIKARYEAFMESARKTILRIKDIPPSKDGRHIVLDPTRTEELIDERT GRPYCGNWIRSSRYSLWSFFPRQLFAQFTKLANFYFLVVAILQMIPGLSTTGSFTTLV PLLIFVGISMGKEGFDDWRRYRLDKEENNRYAPVLRPGAGIMSQSSSSDNISVASDAQ DWSLVKWKDIKVGDVVKLERDQPVPADMVLLHADGPNDIAYIETMALDGETNLKNKQP CQPVAKVCATVDGICSNAMHFAVEDPNLDLYKFDGNVTVAEGEKMPLTNNEVIYRGSI MRNTEQAIGMVIYTGEECKIRMNANKNPRIKSPALQARVNRVVMLIVLLVVVLAVACT VAYIYWSDDVEQNSWYLDKANVSYGPIFTSFLIMFNTMIPISLYVSMEIVKVAQMLML NADIDMYDPESDTPIEARTSTINEELGQVSYIFSDKTGTLTNNSMRFRKMSVAGTAWY HDFDLREEEAREGGREKLIHKKRSFKGKKSMTRKSNVSEARSHLARPSSTSVADKTRK PGRPVLDYRTADMVKYIQRKPYTLFARKAKMFVLAIALCHTCIPESDELGNTSFQAAS PDELALVMAAQELGYLVIDRQPNTLTVRTYPNGPDGPASEETYEILDVIEFSSARKRM SVVVRMPDQRICLFCKGADSILMQLLKRAALAQEKAVEIERRASKRKAAEASEIIRRN SEYQARNSGVRTSLSRPSMTHRRSSVSGRHMSTLRESIDVWLRDRETDGGILNRGNDA EYYSPRPSAQIGRPSTTMSDSGSSVNDDEEEDLVEEALVVNEEAVFERCFQHLNDFAT EGLRTLLYGHRFLDEASYNTWKTAYREACTSLDDRQEKIEKVGEQLEQQLELTGATAI EDKLQKGVPEAIDKLRRANIKLWMLTGDKRETAINVGHSCRLVKDYSTLVILDQETGE VERSIVKFTSDLTQGTVAHSVVVVDGQTLSMIEADETLRARFFKLAILVDSVICCRAS PKQKAFLVHSIRKQVKDSITLAIGDGANDIAMIQEAHVGIGITGKEGLQAARISDYSI AQFRFLLKLLLVHGRWNYMRACKYTLGTFWKEMLFYLTQALYQRWNGYTGTSLYEPWS LSMFNTLFTSLAVIFLGIFTKDLAASTLLAVPELYTKGQQHGGFNIKLYLGWTFMATC EAMIVYFTMFGLWANVMFTHTGSDIFSSGLLTYTACVIIINTKLQALEVHNKTYLSLA VFIISVGGWFVWDMILSRQYNFGSGDGIYHVPGNFIFQSGHDLAFWVILLLTVVAVIV FEVTVSAVRANLFPTDVDIFQEYEQDLEIRKRFEEAAASELQQGWDHGSKKSSLELAR EAEMEAREKQVQELLSRPRVMTKTGSGPMGTETEVELAGYNSSADHVADRSNPMTEDE VMNTPRRSVEIHELFTKGFGAIRKGQL AFLA_026250 MSSTDSPDQSTMSTTPTTAPTTSSSADSTPSPSISNATTAPSSA ARRPQRKSTLTQQQKNNKRQRATQDQLVTLELEFNKNPTPTAATRERIAQEINMTERS VQIWFQNRRAKIKMLAKKSIETGEGCDSIPESMRQYLAMQFDPSKPGARDPFGRTGGY GTSGAYPSESTPSGKVVIHHFTCRSLTIGSWRRIGQNAMDLVVFYSPEKACMTYYINN DSAGYKIEYPFAYIKNITLESGDQGPQPNGAPPRPGGLVVELNRPPLFYMDSSNSGGF YQCGDFTEDQQASQILVHHLGGHPKVLSVQLAKLVSLESFQNRLAYNNFAVNAPMSPP FIQRPASQPNQFAPAFMNMIPETQTHLNLQVPRGHRRQRSRSVPVAVDFSALQTPLAT YNLPQTPVHFNHADSNIFAPVPQSAHPLAANLRIDTSAAYGFDPRTHPMSATTATASP SDFASPGLFSNGGHGDSTPVATTMGTSFNLPFVSPQVDSSNMGPQSASPYSTVSHADP MIADHSPPLSNMHASQEMYSMSNEHQPSFAEEGMQMNVMYPKQNMNFTVPTSMGFEGN TFDLPMQTLSGHTSPGVQGDYQMTSMENADPNAFATGS AFLA_026260 MGKLTSTIGIPIKLLNEAQGHVVTLEITSGVVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRSRGQRGRGVGLAR GKATVQRARGQRRG AFLA_026270 MPEPSPHPRKQDPTIIPNPRRLLILTPSQHSHTTIPPFLHSLTG TPVVDPPTSTIDQKPDTDSKGDTTTTTTTTTFAGYTTHPPLKLENRYYKAEVPIWVDE IPLDNSPGDVNANVDIDTQHERSGLNPEDKEGQGEGNLLTPKTWQKEFSGPEAKVVRD AIGGVVICLRNLDLLSPSTSTSTSTAPSTEQDMEERPEWKGLKTFLEAVGFVKGVMDE ERGGLGDVLGLVVLVGRGRESGVGVSTISGDPDEVNDLGEEEVFSVPWWEDKLFDLGL VGFEVVNWDPREVGLSEERDRFGEYQGMRRVREILETHDWASTPSEESGGVDDVEDEL EGHLLEDGFDLEVNELEREMVGLRFAIENGGDDLGGIDGDDEIKVESMEALMLRMKAI KDMSDELPESERKRFAAKAVRDIMMEL AFLA_026280 MSSKPASPRGPKGLTKLYLLGYNAASLTLWATCTLRGFYLLTTN TPENIPAIFNDIFWPLLATTQTLAVLEIFHSLLGIVRAPVTTTAMQVASRLLLIWGVM FLFHEQGDGTGIVGAPTETVKVGDYAFLGCLSAWGVTECIRYGFFALQVMGAGVPGWL TWLRYNTFYVLYPLGITSECVMVVKALEPAAEFNPLYRWFLIVVLGIYVPGSYILYTH MIAQRKKVLKKRAE AFLA_026290 MAPTTTTKTQTVQTPPKTEGPATLDDMKEHIDKATFDQILEMDD DPDDRDFSMGIVVGFFEQAEGTFKKMEKALEKKDLKELSSLGHFLKGSSATLGLTKVK DACEEIQNYGAGKDKTGTNPITEEDSLKKIDESLATCQADYKVVEKSLRKFFGVENDS EKNVDK AFLA_026300 MAPVGPRASKEEFMQALALDSQDPQHEQIYRAMRDEAIIVYNRL NEDTSHLLDSVANDPSTRPPFFWHHIRPERQRWGIIEIAQNAGPLTRPFFTRGATAGE YGPNWVSGWLLYSVFRSRDVRNNRNRRKGDDQGQSSKTKKQEEDAPPKKYYDPVRNG AFLA_026310 MRAAVRRVSAFGALAKRPIGVHGSRWYTISPVAGQSRVACGVLA GRQGQGTLGFGLPVSMQVRRFAHFDAIQEDKRETREELERLEIKEKDRQRSAESEDGE QGSQSKLANAMSKGKLLTTPSRLFKVLIPLPTASHNSKHDDIEPIAILIHPQQPLSYL ERLIQDEIPPISIDGAKPRAPAVSFIALQMDDNPVKPKKSMYETTDTEVHRLGGIGAG HEAKQRRRRPDEEDDTYSYLRRGEPGKSNREERFVRWSQSTEIGDFVRDASRAREFIV SIEGGPAGVRQIQVTVPSFDQRTHFLRMRLRKISGRIQSIAEIKHECDALAHRGAQRV AVGGLGILGMWWYLVYKLTFETDLGWDTMEPVTYLVSLSTLMGGYLWFLYHNREISYK SALDFTINARQKKLYERKGVDLHEWESLIDEGNLLRREIKTIAAEYDVVWDEKKDERD ERVTEALRKERNQKNGSSRTKENEDDD AFLA_026320 MYYVLYLASLCRRRRWPEPQFEAYEGPTGYTCIVRVNNREYQTD TVYQNETLARENAAMRAYLICRNFSVNDGMYPAGHDHGGIVQGMPVAIGTGRKARYDD TDTSTSGGSRSGGSSPESYEGGRFVQDRPAVPSRALAFSSRGM AFLA_026330 MSETQVHPAVPALFKELPIIQDALTTETTNLQEETVNKCLPFLK GIHSSQKGPFNQFGVPALNRDDHIAYLYDSLEDYPGSFVALDASRPWMVYWALAGLAL LGEDISQFRERVITSFRPMQNPTGGFGGGHGQLSHCAPTYAAVLSLAMVGGEEAFQLI DRKAMWRWLGRLKQPDGGFRVSEGGEEDVRGAYCAMVLLSLLDLPLTLPPDAEARKHG FETFTSGLSDYLSRCQTFEGGISGNPGSEAHGAYAFCALACLCILGEPEATISSFWVG GCWPLIQSAINGTQPATAPKQTSTGNLYSREGLTRYILACCQGKHGGLRDKPGKHPDS YHTCYTLTGLSTTQYHHYHTDASASSRGDFSSAFSWKSLPVTSSDNQGSDLSVFDEKD RLAALHPLYVIPHQAAENMRIWIDVEYFRGGGQFTQETFSDHLPSR AFLA_026340 MVDWQFQHMQPHLQYSHEEASSAPQFTTASYGMPIHSSPIDLIS GTPQGPLSGSLLDGPYLPLSAPVDMVPFPYQDLQSDLMAFPSDGLAHGLPEIPSYAAP QNVIDSSSPADTYLEVRSLTSSSSDNGWSTIEPRRSHEYFPDQGFFINPTQTLHDRSL SESSYSTSYGSFVEISNPVNSPSSDTNFDAAFNNTMTRRVSFDHTSHGSQSPTAVSPV AIVRPIPVPMKKPSSPTRSTGSSSSTSPPTRKPSRKSPIAAKTAETKVRKQSQNGKPE TEKRVGKRKGPLKPDQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQV PCTRIDIKEIGYFMKDWKADYERHISLGFSVGNIKGFSEHERTLFITHGYGQILPINA REVYVHNDQCFNVDWVETYNRGPTKYEVETAKLSAGMEGISHAMLSDYLDRHIDGNGT FEKFVDDYFEGTPFLTQMLKTAFRFYYRTKMPVIRKALKLIVAYNLTLHITLVEGLGE EDGLLGKVDVEGSKFKGKTLAPVMINFQIKCAMANMWRELQKDVLEELSSLYSSVYSG EKLKNWPTIFILASILLAVWEEMQFDCHYRTRDPAAVEKFCNDMETTPVGVIVGLFQA ISQKLPAFTEWETQKHHHLLHSNPDVCSAMTEVRQHVTQYESYLRSRSSSKFNPKDFD CLSNKFVSRLVVRAN AFLA_026350 MASTLQLLHLPTEILIRILEEVPSQASLANLARTCRQLQILVEP YLYRSVYLGNHAGESFTYAMDRKAVRAEYIQELVIHYHYVEDVPNEDEYYPILVESLV PTIGRLINLRQLVVKGLEYDICRSYDDPDFGPRPRLDALSEIWSWLFQQSSHAMAGVL PSLTTCELIMNDLTPIENETGDMWYFSSRETVLLHPTLQNLSIVAAIISDLRSETLSY IKKPWFNPTSLETLNLLCCDVSPQSLREMLQFPKALKNFTLRGSPWTTRWEFFLTDRV GIVDVLKTQAHSLLNLELDFYLRTNCPALDFRDFKCLQQLTIDPKVLRGDHYTQSPET KEHLRKHCHLPRSLRCLRFREYKERSRPDLLTLSIVLDWVISGGLPNLENITIQSATF FSEAILDASAPDGKSFQQAFGDVGVEVVVERVRSALDDEHLTIDCRCCSFYWRYLNQW DD AFLA_026360 MGDVKYELSDMDKMGITKTVKVLLFYELQPTIDLEKLIISLAEG VKNATSQLPFMAGNLEFNEHGKLCIVIPPGSQVKLSTRRFESKEQKSLSALVQDSFSP DHIDFTELLPEESAAPKQVCALQLSLVEGGLILGLWMNHAAGDWSSIDTFMSLICQSC KAYQEGLEMPTYIPDLNRAPYNAPETGTTSSREEHLEKLPMFYVMEKSQFKLKPPPTF RSSIYRISEASIQKLKARCTPYLTEVDYITSYDCISALAWTSITRARLNLHPEKSSSP SRFVHPIDVRTRDPEKKTSERYFGNAVIGTQAGPTTAQALISDGDRGFAAAATLIRQS INSTSLSTISRMTSLMKSLAPTETLGSQADFSDMDVFMNTWYSGNAEKYDIGGDLRPV AFRVPSSFPGAFAVILPNFSSGATRVFEVLVQVEVEEHEVLRKDQDFLRYFEIVA AFLA_026370 MDKRRLNLRILASPGTFFSFSGQSEGDPLFLRSAISQLGLGKLL EVFRRMTQSTRYRLLLVGFYMRLWYCESTTGFKVFTRMVAVDDENRRNCVIHTLGKSH YQFKDGVGTIPSVPATDATSRYLQTEIFHFPSLPIMETKTMSKICYVCGKR AFLA_026380 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGN AFLA_026390 MSPSDFLGFVGEASMDDVSHFRMIRTARANRYMVLLKFRSGKKA KEWQKEWNGKVFNSMEPETCHVVFVKSVEVQVVDSQAQHGGTATHQNTLLSHSATSPQ RATISSTGQSSSIPSATLSTRPLAPPTPALVELPTCPVCLERMDETTGLLTIICQHVF HCTCLQKWKGSGCPVCRYTQDEFRRSSQGALYEDEPAECSVCHSDINLWICLICGVVG CGRYDGAHAFDHYKETSHAFAMDLATQRVWDYVGDAYVHRIIQSKTDGKLVELPAADN SALDPPDWTDAVPREKLENMSVEYTHLLTSQLESQRAYFEEIVERAVDKASQASAAAA SAQEAAEKATANFRSLHTQYDKLENETLPNLERDKTRAEKRAEKFEGMARKMEKEWRE EKTMNESLMQRIEHLTSEVESLKATNADLTEQNRDLTFFISGSERLKNESEDIVQGTD VNLLSLLKSPPA AFLA_026400 MTNLRPTIRKATSLLRHLNSPHRAHSTSVSADELSHFSSLANSW WDPMGPSRVLHLMNPLRHDFIASCLFDSLPPPRASAPETSTPVNNLRYLDVGCGGGIF AESLARTIPTNANSPSQTVTQAASITAIDPTSALIKIARDHARMDPKVEAHLRDGKFT YKNCTLEDIVASQRETPEDEKFDIVTLFEVLEHVDSNAASSPLAFLTNCLRVLRPGGW LVGSTIARTFPSFLVNQVIAEAPWPIGVVPRGTHEWSKFVNPDELYAWAQEGLMRSQD GASLRAGGDALQGMRWKCSGVMYFPGIGWKLVPGSENWGNYFWAIRKGL AFLA_026410 MDITTILNRKGSAAMVAAEFDHQQFIQNPHLDTSSPKMKPEPGV SEAGDQPVLAYPPHAPLGQVPNMHPDMRYQPQTHPNPALPLLQNPYMPGGYTSAPPMP NGGAPQGRTDPPPKTFHCGTCSKGFARRSDLARHERIHSGIRPHACDWPGCGKQFIQR SALTVHSRVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYANCQKTFTRR TTLTRHQNHHTGTIEEAAAETEANLRQNKERVRAPGEGMYSEHGSVHSTPSPAHHPAL SPAGELPPLNMPRSSGEYYSMGNGSIPPHVRGDFPQASPRASPTATSPSLSSFGSAPH TRPSMTSHPSGYGPPQPLEPPANNDHRPNSVSGSPHMTSLGWASPSHGSIPSPGSATD FGYSDPNGPAYPNSMPPHMYFPNSTIRRPTSTEPENYEMKPKIGESAWSTPV AFLA_026420 MTPARGRVPGQRSPHVLVTDSREQQTSAQPRRRRSPSTRFITVD NVLQYTSDIPSMQQRHPPQPSRARPRSRIASATGGLIGAGTAGASGGGTSAASTAATM GRLAAQPRLPPRSTKVSEKLVLLPESDEVDNKLSEDEEEEDVDEEAVDEELVQRLARD KNLDAEIVRQRLLTQKRLGGDFGVDNDIAPLLAEEELLRRRKVAPEKAKSYAERLPKA RRAEKLARVTAYCTAQAYKMSSLATFVKERHGGKTKLYDDCLYTAYHLPLLPGHGGYR LRSSPVVKKPGGKSLLDEEIERNELRDHHEDYMPEAEEHSVLGGRGEHGSQHSDSETP GHDQEGYHEGSGTRAEATDGTAYEHHSQAPVGPPEPTRLLYNVAEMFVFSYGVVVFWN FTDRQEKDLLADLAFATSSVTGTPIPLATMPLQEEDFETEEFHFEYSTEISRPRVYND MITLRSGDHMIKLAISHGIAQSTKLCFFEEVMARQMAEAKDIPRRLAMTGKLGMKREE VFRILGSLFKSRVEVNLSSNMLDVPNFFWESEPTLYPLYIAVREYLEIKPRIQVLNER CRVFLDLAEILSDSIADSKTSRKYPYSTLSKQTTNPPRPNLDHHRPNHHLHSRHHLRS LPPIRPPLLRQRRLIHLLRRSPLLASPKPDFPVLFLSSPCPEHRLKHNSNGPVKFIII PFPWS AFLA_026430 MFERRTWIAPFTEAVAFQHMVIVPKKAKEATAATDQALANLEQS LNAFERGKESKKTHIITSIDEVRALRAGDKRQAALLARKSSSKVELEKDRLLKNATNR SVSSSPALGVSLSPTSAHPLTPTSAPLSQNKDRVRLDALKVPFIHLLAVRAVSAKFLA RQTRSSIEDCTALARKYGAENRINPEKFDLKDKAYRELDVWKFPYPSQEERQEAIENA ISAFDRMRISRTDKLWQMLLPKEERGKGKCLSRLNLRTGPVKKPQTPRIQVQNSDENG KDGDTTGPDTDRVSGNALTPKAQPTSAPRSGANAQKKRIGNSAAKQSTTKGKNTTNST LTGRVTKKPERKPVPKPDGKFKSAEFVHDSDEDDTDMPDVSASEQPLSEKTKPQPKAP PKPVESSTPRESSHVPTPKIDQPERPAPKAEPSPPNPPKPTTSSKRPPSSRPPAQKSP QKPSPLGSSPPTNASDLQSRSRSSSQNNSSSSSSSPLITQISKPNKATGTSNVKKQMK ANGVVKATPALNPLKRKAELDRPSATPAQGRTTGDLEHKRRRAVSTSSGSTGSASPPL SREILLQQLREKSQRFKHYYAKYRSLHDTMAAHPDPPRAELEKLRRQHFRLQQMKEEI WDEDRRLREGL AFLA_026440 MNQLIMSNTVPNRDIQTRPTLRLRGKGANELKEPRISEHPRDGP WMPDPSGLENELAVQPPDTKPATGVTMDEYRASFKVDRQEASKKMRELKAENRLDEVE LQWAVIMWNSVDMMRTDGWSEDEIESALERYYAGPQYYLTPNNIASPRMIERYGADWG LNARGVYYRHRYSQGWVTAGHDLWLPSLDGIDWNGPGESSRRSRAKAFLKCILNNERW RKSEYAWEADAWTHVFGQMRDDPVLAVLSESSDKHEYNTIKLKRDPVSCLLVGEPKFI KRIPDATFGLATFKPKDYQNPLAEWNLDHDRLEALLLHRHCGLISDPRWGDADLAFPF AVYEAKGWSGDAREARRQGCSAGAVYLDMLDNLACQPGKAGKGKRAYQSAEARSNNQV FVFTSFGAHWHILVGYKRPRLERECAGHEGFSESVYIFQRIWSGRVVTQRKAWELLSL VDQIHLWGVTDFRNSIINRLNDWHEFGRRCYANDVKFMFRKVGADRFTRDGKEYRPIP GVCLQLADWAKHLSEEARDKLRERVISYFHQACPRDLPDLTDNWPAAITCLLDDCGPV GTPGYPIQCKEEMAAHYREVHGKDDDVIADLKRLWDEPEEIDNDNNPVQVRKRERLES GEPESCAKRYKGSTPTDVGNKQVDELIDLTKEH AFLA_026450 MMRLLCLLVFVLQDATHAANPLVMNWSSQAYGPDGPWQAVRIAV GSNKQSVDLYPGANGATTIFADSICSNTTLSTTCYAARAGTYNQSESTTAVALNRSAW ETSYWSVQGGSIQGFVGDQVEVGSVIPNVSFKTVYQTYQTYPNGKSYPVPVGNLALGG LQLKDVASGLSLNTIAAWLYTSGGDSSIPSYSYGLHIGSVEPAIPGSLVLGGFDKSRV LAEVSSQSVSLSSYSSGIWQIVLKDIGLGVATGGSPFGFTSKNGLFMQSNGAALPKSV TIDPTKPYMYLPEATCDAITSSFPVSFNSGLGLYIWDTTNSKYANITSSATYLSFVFN KDGLSDQTITVKVPLRLLTLTLQDPLVDRNATYFPCFHSTDTPVLGRPFLQAAFVGVN WFEGNNTGTWFLGQAPGPGLPEADITTINVADATLAASNTSWEESWAKYWRPLPEYGS NSSTSSNSGLSTGAKAGIGVGVGVAGVLLIAGGVWVAVLRRRGRQPVAVEERRAVFRG FAELPASKKPDAYPRELGTKEDVQPQEMVGSQEPRHELE AFLA_026460 MRTAYKNQLPTSLQLQRRRTKSGKQKKETYNSISVVVATRSCVG LLPYLVFHQGKIFGLERSRWLYEVVTGGKNKPFVSSEGDVTVAYLFEHGITLVAWDIE ASSVDSSVPFTKLPRSITRNYRPETDIPFSNYIPPEDRSDTEDNRWWSAQIRSGNAVR FFYEWALASENRRHAYLMDSCGVHPVNFPNPFLCRCPIVGWHPPTGGRWDVSFFLEPE KQNTSPFPHIAVGASQPVDATDNSILYGELAVIITVMNSRAKQPQAQSEEEMESLFDM VEEEVEKTFQKSPAFANEQTFPVLLFSFVGPQHARILCASMNQRQLIVRMSRLYSFER KEEAPLDLFTSWLFSRPVVET AFLA_026470 MLSTLRVASRKAASRDANLRTVVVGARHASAWSNVPQGPPDAIL GITEAFKADSFKEKINLGVGAYRDDKGKPYVLPSVRAAEDKVVASRFDKEYAGITGVP SFTKAAAELAYGKDSPAIKEDRLVITQSISGTGALRIGGAFLQRFYPHAKKIYLPNPS WANHNAVFKDSGLEVEKYRYYNKDTIGLDFEGLIADIKAAPENSIILLHACAHNPTGV DPTQEQWRQISDVMKQKGHFAFFDMAYQGFASGNADKDAFAPRHFVSEGHNIALCQSF AKNMGLYGERVGAFSLVCENAEEKKRVDSQVKILIRPFYSNPPIHGARVASTIMNDPE LNQQWLGEVKGMADRIIEMRSLLRKNLEELGSKHDWSHITSQIGMFAYTGLKPEQMDA LAKEHSVYATKDGRISVAGITSDNVKRLAESIFKVTG AFLA_026480 MADALSIEQNNKIRVALGLKPLPVPGADATSGPTFKESEHDGSS SSTEDDEPGSTLESREALASSNWKKMQDEAEAKRKREERNAAIRKARDEAQRNTKLQG ATLGEAADADMDTKTWLQQTKKRQKKIEKERVRKLAEELEERARAAEYTAEDLAGVKV GHAVGDFDGGEDHILTLKDTTIDENEEEGDELENLELKEKERTMEKLELKKRKPVYDP TEENTGILAQYDEEIEGKKRKRFTLDAQGSTVEEREAKRQEVSEKLKKNVISLDFAEE TPTSDYMDVSEVKVKKPKKKKAKTTKRRAVMDEDDLFPTAESTGTPNGNSMEVDASNG EPVPAPAPRKSVSEDISFVDDDDLQASLTRQRRAAFKKRQKARPEDIARQLREEASQT PMEVEGENEEEPGLVIDETSEFVSNLQKPTLPERRERRTTTPAEEPRASSEGPGIKDE PVEDGDVDMERSYNDIEDEEDLKARIKSEEATVNQQISGTGLEEESTLDQGLGATLSM LKQRGLVKSSDAADHNSLIRDRQRFLQEKHRLETEAEKRARLQRERDRASGKLNQMSA REREEYARWENKQRDQQDARHMAEVFNKEYKPDVQLKYVDEFGRAMNQKEAFKHLSHQ FHGKGSGKMKTEKRLKKIEEEKKREAMSALDSSQHTGMNNAMGATARKNRQAGVRLG AFLA_026490 MADLDRIPPSTTAYVVATAIIAGVTGYFLGQGSSLGLFSSQEKE GWPNSYNVKVHRDSSDEEEEEESDSEDEGDGSELANFDKNAEEVKLVLVVRTDLGMTK GKIAAQCSHATLACYKYLTAHSPNSSMLRRWESQGQAKIALQTKSEEEMETLQAQAIS LGLCARVITDAGRTQIASGSRTVLGILGPKSVVDGVTGHLKLL AFLA_026500 MRFIQLLPILPALAAAQEQVPIADRVQGWFNKAKSYLPTATPVI PAAVEKVVEQKIQEKTVTPFNLSNWQSLLAPSDEPKDWFVFITGGNKTCFGRCHQAEK SFNESVLLFSADPTSPNLGYLDCESNRVLCSAWAAGSPSVSYFKVPAQVGEERPATAQ YNVYFNATTVTPESLYKIHSEKTYEKRGAYEGSFHATDSWLAQRGLLIPAGYVIYAFS AIPSWLFMIFISFFSRTMMGRRMGNTGAPAAR AFLA_026510 MRSLVPFPKVIALVTTTTALYSHCLGAAVQGTDELGPSPAVGWI EESKTTAHLQTNNASPDDFPVCTDIDGPFAPFCLPQDGADVIVDATYYVTWNADFYPL NASITIEMRYSNSTVGDSAFTSEKTDNSYGYLPLHMRKEWLQEKAHNDLTFYLIELNP ASGTRASIRKGPRITLHPKPVEHYKPSPPMTFNKRALFIGLPVSLSVIIVVVAGLFFG MRESRRIGLGSVMGSRGKGYGIGKSKSQRLRKSRSEFYHSNAASALKKYTDDTDSGLS EVADPDLHSEIERTARFAFRQDSMRLKSWRRQ AFLA_026520 MKNFLKALATSAYISTPLPQLTCSTVMTTPTFTGFVDVMPSDTA LDRLGNGVLMGVLRCLVGLAHRTNRTEYYYW AFLA_026530 MCPGADNEPNGHAAPANGNGEHPGFTGIETRQNPHPSASRNPYG HNVGVTDFLSNVSRFKIIESTLREGEQFANAFFDTEKKIEIAKALDDFGVDYIELTSP CASEQSRLDCEAICKLGLKAKILTHIRCHMDDARVAVETGVDGVDVVIGTSSYLREHS HGKDMTYIKNTAIEVIEYVKSKGIEIRFSSEDSFRSDLVDLLSIYSAVDKVGVNRVGI ADTVGCASPRQVYELVRVLRGVVGCDIETHFHNDTGCAIANAYCALEAGATHIDTSVL GIGERNGITPLGGLMARMMVADPAYVKGKYKLEKLKDIEDLVAEAVEVNIPFNNYITG FCAFTHKAGIHAKAILNNPSTYEIINPADFGMTRYVHFASRLTGWNAIKSRAQQLKIE MTDSQYKECTAKIKALADIRPIAVDDADSIIRAYYRNLKSGENKPLLDLTADEQAQFA AKEKELAASGVVA AFLA_026540 MEHTRWEDGRVSGAVYHGGQDLLKLQAEAFGQFGVANPIHPDVF PGVRKMEAEIVAMVLALFNAPSDGAGVTTAGGTESILMACLAARQKAYAERRVTEPEM IIPDTAHAAFYKASEYFGIKLHRVPCPAPEYKVDIPSVRRLINPNTVLLVGSAPNFPH GIVDDIPALSRLATAYKIPLHVDCCLGSFVVAFLKKAGFPSPYEEEGGFDFRLPGVTS ISVDTHKYGFAPKGNSVLLYRNRTYRSYQYFVYPDWSGGVYASPSVAGSRPGALIAGC WASLMSVGESGYIKSCLDIVGAAKKFEASIREHPLLSKNLDVVGKPMISVVAFQSKNG AVDIYDMADALSAKGWHLNALQSPAAIHVAFTIPTASALDKLTADLVEVVEKELDKAE ERKRQGKSYIIKRGDTAALYGVAGSMPDKSIVSRLAEGFLDTLYKA AFLA_026550 MSYFRVTLVRSAIGLPRRTTDVLKALGLKKRMATVFHPVSPSVA GQIMKVKELVDVREVDRRLTKQEVHLERKPDPGYYIEQTSGAEWKAKRSQ AFLA_026560 MARGGDSEGTVALPRLEDILRHPEDLDKIAGLRAEYSRKKAAVD SQLREGLRDQLETVQRSISALTEGQRQVSKTKDELQGIDKLCAESQSSVEDFSQIDRL AKVQRNFEAVLMMKKGLENFSENLAEVESLLREDDDDLENQPNLLRAHMQISKLRDFR DEAMDQIRKAQDPSSEATLEDYFQGLDSVIDWFDDHLGTACMNLIPLVQSDNPSMVVR LAVVVMNEEKKDETVRALQEAQKDHQDLAGRFKSMNVGPKTVRGYKEKFLQAIEFYAQ NQFENTKEEFLGDPDTLEKSFRWFFNDLFSVKQGMQTLMPKKWKIYKTYTDIYHRMMH DFFVDLINDPELPPDNLLSIIHWSEKYYKKMNKLGWKQTDLRPNILDDREPELIRQWQ SIIIKAVEEWMERITETDRKGLVERIPDSLDTNAEGYFRTKTLPDMWRMIHEQIQAAK ASSRTDLVEGIIDAMFRVLKGRQAAWQSLIEEECAKYKAPGGDQLDGLQLLQDWLIAV ANDQIACIDDNDESGQLGYLSRFKREFEALVDPKYMAARAIPELDALRDGYVDLSTYC LTQFVEVVFAVDFRATIPDFFTQKWYGDFAIKRITSTFEDYMADYSPVIHPSLIDILV EELSDELLVRYLSSVRNRGVKFRRHADPYTDKFKDDVLTVFAFFQNYPDSFASTIKQK WRLVDWLVRLLESEKGPAVVAVYEDFKMEYWDLQLTWVEAVLRTRDDFERSMISAVKA KAAELSVERGMETLMSRMR AFLA_026570 MSDAKKKEKRPPLTHFLCLPLVNSTSLPQLESSLAVFKASIPRR TLRYGAPEPPLIPDGALRPVGTLHLTLGVMSLPTKERLNEAIEFFQSLDLVTMVREAE KIASARAQGKKRNAPSASATEQSSSSSAGEVAKSHDESRPSPFTVSLESLHALPRART ATVLHATPVDPTARLYPFCELLRDKFLEAGFLLGEQKKEKDNKQTNYKSTEEMAAEEP SLLEEMPANIAEETARMSDKPISTQPVSKKSTASKPKIRPLLLHATVANTIYVRGRAR GGGPQKGQNRKNQYTFDARDFLSHYRNYYVDSDRTTPRATVVTTSGDASEQDQINGED LSENEASRSESEGDKLPNNRRTSNDATDGSRQQYPFVWAKDFPLETVCICEMGAKKLD PEADEDGMNARLQEKYLPIVERSLVFSLAKTETVTSCDGSVGGVNIC AFLA_026580 MLSRFPRALPAAIRPTLRVGRISAPVASHYQPQSSPAPRSSRRA FHCTPAARKGIFPGSSDPPAPNPQSNNVAGAASHVTEPSPLKDGEYHEYAEHYLNVLQ SEIEKAQEEGADIEAEYSAGVLNIILPSVGTYVLNKQPPNKQIWLSSPISGPKRYDWV VEGDYMHEKQDSRPFANGQWIYLRDGSNLTDLLNTELTLNIPRDVYTEEQ AFLA_026590 MADEAGSIYDEIEIEDMTFDPITQLYHYPCPCGDRFEIMIDDLR DGEEIAVCPSCSLRIRVIFDLDDLHKDDQQQGPSAVAVQA AFLA_026600 MDGADPLSLLAPDIDRFYSDLPALIRPFSNAISSSLDDLRLIAN AGDASDPSSVELSRPRTRARQSMMRAPVSLSPQLEDRIRKLRQKQLSELPAARTRMAA TAGEVLATRAAVLERTVVLLERAKHGALARATKAKAEHLATVARGVEGKLSVTKLDIC ATIHTPETIAALSRYRQHLQDTRERLEERKTSALEELKAYEVDDSRANDRAGSRSRSA TGPMRDITRQYGDLIREIEDVRSEIERL AFLA_026610 MATPPPEAAPVLKEEKPQLPPSPNGISSVDVSRKDVQGLVTDSK PDAASNEKKADSTKPAPVNGHENTATNGVNTTSPPKSPTAPPEERKAPTTESSAIDEK TTGDSLTNSSGKPLADNTSTDNGTPQTSQPTPDSLKPAKEVGGSLQAQGSAAKTDLPH HPPTSSKAEGSSNNDLTNKAPSLPPLQSVDQEMRDAPDVPVSPTKLSRGREPDSRDEP AAKRTKIGGEGSAPSGFKPPGTPVAERRADAATNGDATMTRVQHKFLLKGIQSLKRMH DSRFYREPVDPEKMNIPHYPQIIRQPMDLGTIERRLKNNEYKSVKAVVDDFNLMVQNS LTFNGPDHIVAQEGQKLKSTFEKQMINCPRPDDIEERKPKKSSPKTSAARREPRTSIG QAPPRPTGGSPQATTFALGPEGLPVIRRDSTNADGRPKRSIHPPKRDLPYSTKPKKKK YQWELKFCQEVLDELHKPKHFNYAVPFYQPVDPVALNIPTYHSIIKKPMDLSTMQTKL KTGQYENAKEFELDMRLIFKNCFKFNIPGDPTYLAGQKFEEIFNSKWSQKARYLEAHE PHPEHHSGKSESESDESDEDADDSDDDEELQRLQQKIAEMTRQVEAIAQKKKKKTPPG SKKAGKLKSSTKEIKKPGSMNLPKKDKKSSIKPSKPEKQRWVTYQEKQIISNGISSLP DKKMQEALKIIQSNVPSLKGTQETEIELDIDELPNDVLLMLLRFVKKNAPQVMEDEDV ATPTAMMNTAAAPKPKKNKPMSKFEQEAQINMLESNLSRFQGGGRSPDPVPSVEANES SDDSEDDSEESEEE AFLA_026620 MGKKTSRPPASKTSSAVSPAISGMTYTGSKSSILKASFAPSEYQ LALFASVIQGLGAQHLRIHDTNTGRLQCEHVLGPKETVTSLDWGYYPGRQKDRDQQSK KKRKRHSDVNGSAGGFDQGDVVVAFGTSASDIRMYSPTEDKIVGTLAGAHDEGVKDFK FTLGKPAQEGWSVGGDNKLVQWDLRTGKSTKIVNLPPSSVVTSLARPLPSNPPVICAS QTPYIIDIDNNEAPIAFPAMRNSIHTLLTSSTESASAGLFLGSDNDRYINVFDPESRK LVMNLVAEKEVSSLSLYTGVGGKAGDSLSLEKQALAAVTDDGTIELFMRPFVQPKDLQ GGKAATSLKAKGRQMTRRAECSIKITRHEGSDALVPVVTASFQGRDLVIAYAEGGVIP IFERVSCLDEETDELAFTGTKNIVKSKSDSVLSSVTTNGARKTGESQVDESKVVVQQG DLADDDVEMQDSKQDVVSDSDEYSDDDDQDRKQSGEVKETEKQKGTDSDVEMDNVGDS DPEDEEDETGEPSFGELMRANAAEIDVEAELEDDVRIGSLVPGKPNKAVQQIPSGVSL STVLTQSLKTNDNDMLESCFHTGELSIIRTTIQRLESSLAATLLQKLAERLAARPGRY GHLLVWIQWTCVAHGGALAGQPDLLKRMATLYKVMDQRSSSLSSLLLLKGKLDMLDAQ LGLRQSIRSGAEGMESEDEDNVIYVEGEEELEEEDSDAETAKHMATPRTKLIRDQTFD EDESMLNGVQSGIDESEDEEEGSEEDDDENEDVFDVEAEESAGSSDAEESLEEDEDDE DDDAESAGSVADFIADTEDESEDEALSAPQPPPKKTKLGSGGKGKNKARK AFLA_026630 MIGSKCHVLQKRDPFMESRPSRPELISDTSSDDDSNQSNDHAIT PPPLIGPVTHYGVNLSPSSPFSGEYKDSRTIPLHVPVASKRRHTVCNSKNPRVEVDSV AKQPLSPARSRPKARRYTTTNTNGDFSKSPASPDRFIPVRQFMNPPSTLFHIGKSPQE LSPEERFLRHRSQKEDPFMPTRPRRSASTPGIPSGRPLQLYYGPRFINLPAITGIDSS LNPEAGPRQVSNGAVWHVGGASTAVGRRSRTTSSRSGGPLTSGTAAPMYNASFLPSVE SAEKQSKYESRVALALDIDPARTLLNSHHCWPLLESTPAPFSPLYERFSPLVWKDNAW KKAEKNRWSITPLPREDSARIVPTLPFRILDAPNLRDDFYCSTLAYSITSGILAVGLG HHVYLWSEAFGVQYPPFADQHPSNFVTSLSFSSESGEKDILAVGRHSGTLSLWSVFDS EIRFEVNHPYTITCVAFKHVNSRRMSERFKHVEVDTEDLAVGDDLVPPNYQRHRLVHS AAVKAIAFAPWQPSLLATGGGSNDRAIHFYHTPSGACLATINVYAQVTGLIWSKTRRE IVTTFGFAQPEHPFRIAVFAWPSCEQIAAIPWGPNGTLCAVSYPCRPPTYVLDKLDSP DGSSISSLVNQLRSNRKGGSVHYRRAVVRPRAKEGGLWCPRTVEEGCIIVASSDQSVK FHEVWSSGRKQKAAASGPYGGSEILEGIEGLEAPGKEVIR AFLA_026640 MPLLRSFPKCYWIWNYRLWLLDEAKRLLPLSISRRIWEEELALV GKMLRLDSRNFHGWGYRRVVVDTLETLTSEEQGESMAQAEFEYAKKMIGTNLSNFSAW HYRTKLIQRLLNEKSATDAERRKMLNDELELIHRALCDPYDQSLWFYHQNLMCTFDPA TSGQTMAPNLSQSERLDYVRQEIEEIQDMLDGAEDCKYIYQALIDCTLLASKIQGTMS SDDQQKVLSWISELKKMDPLRRGRWLDFERSLCA AFLA_026650 MSRRNSRDYEGDTFDDALEAPTSQNNLTVSIPQSSSTRSLTDSP PSASATTPQLSDAPSLPTAPQDEVKTNGETSDAHSDTRSEAEETPRKQKLQKSPLLTA HRLSTTSLDEVNLASNNKDDEPFENHDITSVASGRASISQSAGSPPPSIPEERENDSA AITATSPPNVPPTVNPNLAPGTVSGFSASATDAAAPVDWELWQQLVNRGPQALKGTNS EELNAAIKRGIPQTIRGVIWQVLADSRNPELEEVYRELVARGTEKEKSRTSNGTTNGE KESASSSRSSIRSEHSGSAAHSVNGSPSPSHEVDPEKLAKEQSASEAARKKKEKEDGV ALQKLEKTIRRDLGARTSYSRYFVSQGNQEGLFGLCKAYALYDEAVGYAQGMNFIVMP LLFNMDEAEAFTLLVKLMNHYGLREMFIHDMPGLHRSLFLFERLLEDMEPALYCHLRR RGVHPQLYATQWFLTLFAYRFPLQLVLRIYDLILDEGLENTILKFAIAIMRRNSEALL GMKDMTPLTTFLKERLFDVYIDKQPSASSILESGFFGSSGAADKEVYRADLLVQDACA VPLDGETIRAYTAEWEEKVRTEKEREQELENLKHTVATQSARIRLLEEQAEASDKEHV QLASELVHLKVENEELTDLNDALNMQVKNLKIVVDKQPAEVEEKLQTEMDRIMKRNME VQNENRSMVEQMAEMEKELVEAKMKWAEVSRTAYLPFRK AFLA_026660 MAPIERITLFNIPKEEDRNKVLEQYKVLAKTATKDGKPYILAAT AGHSFPDPRNKGYTLSVKTTFASLEDMKYYDTECEAHKALKAVAGPVKEDVLTTYYES VL AFLA_026670 MPTIPLHPRVSSPTSLPNPLPQVLQTPTGLAILELQGTINLPSQ EAEDESTTSTNDTHDPSIPTFETPVGKLMFPDYSPHRTAPDDTKWMKRVYLYVGRYQR MTGEVKKLAQPLALVQRRQKEMTSDSDGEELEIVEIIKYKLFFKNRPEPVNDI AFLA_026680 MAPSEASILSNFLLSPASLPTIISLRQFTELFPKRLRSHPHIRA LYRELQQLREQDMDLVNGNIDQELHQGESQKAELRKSILNTGVDGMSANDQREIDMDV QLFGQTSTAAPSDYHSVSSLLSAMETACANIEHEISGVDKDANTLISELNLIATMGIG FILLASHASTPCALTPESVVNSLYPCGQQAHKMSSFSRQFSSASAIKRYMYLELRRIF EWLINFFQVARDN AFLA_026690 MAPAGQTTYQKDERVLCFHHEILYEAKILDVRHVDPDDRKSPYE YLVHYKGWKNTYEAPLDTMGVEDKTSFICIGGCTWIWLTWLSATFSWDDWVPQDRLRK FTEENRELATTLRREAEAAFRQKSTKASAKKRGGSDRSSARGSEERQMSVPGRGTKRA RDNDIEKEDSFYVRPSVRIVMPDNLKSLLVDDWENVTKNQQVVALPAKRSVNQILEDY SEAEKPKRTSSADLDVLEEVIMGIKEYFDKALDKILLYSFEREQYRNLRKKWESGSGD FADKGPLDIYGAEHLTRLFATMPELIAQTNMDLQSTNRLREELSKFTLWLSKHSSQYF ATRYMTASNEYVEKSKGVANPNPGTATSRLV AFLA_026700 MESPLTQQTRPETFKPKVVQLYENLFQTSDYNEPSEGFWREFFL LPPDRSQLSSILDQLSPDETLNLQVQTQQLFIRAIREAASGASPVDSYALETLMVFLA CVLKKKYTNPSSDVITVLAGLDHVDQVISNFVAVLDGIIRNGSSCEFHGIFLSYCWNG PMLTVSSVDLRIKAIKTAIAMTSGAYKTSLVSYFTHRDLFPSLMKFVQESETPIQVFD PFLLLGLLANYNKFEFQNPYQLRLDDFVNETSIQKIVKGVGVSCAAVRNGYVAVQDDA PEGWTLFSTLVYFGLGALSPSKKDKASPPNAEEAKEMFATLPAQQAAILLATYDFTNA NKLFGHNLISQAPEKDNEESPFASFLSLTSYLLHHAYRSPRIAHYAELNLFTLRILAE DSTLCKHLCGEENKRKIRLCRQRQPYLPVVTGDRVLATVIFDIIIDTISHNLRRRLDV NIYSHSIAILLRLLTYLSMNKIRLAYHWSELWRNLLSLMRFLTTYVSDLSSNPNITTL TTTLVDLVAFCVSAGDTFLPDPSSYDDLFYKLVETGPIITKYRDVYSLKQSSSKPTDP NPSKDVHVAAVDTLISVSTHFYTLLFNPEQTDAKPDADGQGVAPIPAHRKKNLGPREV HRIIKQGYDTLSIQPPEGLSAWTRWRETDAKTELKQAARCVVEDARQLVV AFLA_026710 MSFSRVTVRSPVTSSATMLRCVRSPYKTPAHRLFSSYGNAHRSS KRDMQTATAYRPHSLPTAFPPPRSGGSYDTSISADFPPLRETATQQQGIFPNVSLREN EAQKSKPVESTSPAPKPAEKPRRKLRARKAAMKLTPEAIVQLRKLLSQPDPKLIRVGV KNRGCSGLAYHLEYVEKPGTFDEVVEQDGVKVLIDSKALFSIIGSEMDWQEDKLSRRF IFKNPNIKESCGCGESFMV AFLA_026720 MTTPTFLSPNHNPEEDSTSDPLLLTIRFSASIPDLPFDILYPET TTSAGLKQLVRTRLPQNLSSHRLRLIYAGRGLEDTVPLSVSLKLPPSPTRSPRLPSDD EDTDLSKGKGKGKAPVREQPRLYIHCSIGDIVLSATDLATEASLASTLQQEDETQKDG NQSSMQSQQQQQQHQTSSTTPAPVL AFLA_026730 MSNPLDTDAGSELFSSYEAELKLIQADLNQTLDQIAESSGEQRK STIRKAEQVLDEATELLDQMRMEKQNIPSAARSKVNARFRNYATDLDESKRKLKSLSD DRKALFGDRYTDDPQDVHLEQRQQLLSGTDRLERSSARLQESQRIALETEDIGRNTLA DLNTQRETIMNTRSNLQQSEGYVDTSIKTLRGMARRMATNRIITIAIITVLVLLIIAV IYSKFH AFLA_026740 MARGFSPENVHLNTSVREIVRMGKKQYSLFTADGRELHFDHIIF AVDNDEILKVLGSNIDTKETEIIQGLKTTNNIAVLHSDPFLAPSTNGSWPPSNYTLDP TDYTQHEPSAWAPRKSSLTYNVSSLQDIPTCLFDRLYITLNPFTPPHPRFAHSIWEYT DLELSTATLQAQSRLPLIQNKRGLSYGFRWTGRGFLEDAVTAGLEIAIEHFGAQVPFE VQYHPDPLCSSKSPSIELGFKDHLVRTALCLARVYALVFQISWILLGALGFPVSRVET MFKWMLGGDKMRKS AFLA_026750 MVQISEVKGNSRENRTAAHTHIKGLGLRSDGTAEASSDGFVGQT TAREACGVVVDLIKAKKMAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEV YSAEVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEETENPLGGYGRTISHLII GLKSAKGTKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPVPKGEVHKKKEIVQDVTLHDLDMANARPQGGQDVMSMMGQLMKPKKTEITDKL RQEIDKVVSRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESSISPIVILASNR GHTVIRGTDDISAAHGIPSDLLARLLIIPTHPYSSDEIKTIIRLRAKTEGLNITDPAL DKISEHGSNVSLRYALQLLTPASILARVNGRPGGIEEADVAECEDLFLDAKRSATIVS QDSDKFL AFLA_026760 MAGLQPRSALSVPFSLSTAFRNLSLTASKRSFSTTLASQKTKQL PDYIPPYPYGPNHVYKQSNSGLYGGVMIQFGNKISKGRNEGKTRRFWKPNVRRKKIYS EALDEWLFIKVTRKALRTIRKSGGLDNYLLDDRPGRVKELGVFGWQLRWKVMQTPKIQ EQFRQERKRLGLPEPPSFEEWVAQKEAEIKAQAEDLTNIKEITKPTYNEKQY AFLA_026770 MQFALPPRRNVNAPLYSRSSRLSLQRRKQLKAVAILVFALAVIY FLLSQLFYSSTGTPAAPAGTSSLVIVTVLDRARWSADYIQKITKNREEYAKQHGYTNF FANLSDYETTLESAPRSWGVVPAVRHAMATHPYSKHFFYLDANALIMNPSKSLESHLL EKSRLDSLMLKDVPVVPPDSIIKTFSHLQPQDVDLILSTDSESLSSGSFVIKQGEFAR TFLDIWFDPLYRSYNFAKAEAHALDHILQWHPTILAKLALVPQRTINAYSKDSSGAGA DGNYKDGDLVIRFFGCDTDTKRNCEKEMEPYYRLWSKKLKND AFLA_026780 MAPASITLIVEPRGKPIKKLPKEIQINPNAPAQDIYAALAAASG SSIHRLRITKGSDRSVVPNSKETKVDDTGLKERSVVHVKDLGPQIGWRTVFIIEYFGP LVIPALFLYPLRPYIYYNFDKPLPEPSYLQQLVCALLSIHFLKREFETIFIHRFSNAT MPARNIFKNSAHYWVLAGLNIAYWVFRPDASAVNEPNPALLYAGLGLFVFGELGQLEL AFGATWPAPSGYHRQGYSLRIWI AFLA_026790 MAESESGGVINGLGKTVSQLEKVVSASLRPLPTETGDGSYVKES NSTGIVRDLGRMDLSDVKTIVELTKNAATGEPLNDKHYIMERLIQLTSALPSNSRVGK ELTNAFLNQLWKDLEHPPVSYLGREYSYREADGSGNNVLWPHIGAAGSHYARSVRPKT LQSPALPDPETLFDSLLVRKDFKEHPNKISSILFYIASIIIHDLFQTDRNDATISLTS SYLDLSPLYGNNQDEQNFVRTFKDGKLKPDCFSTKRVLGFPPGIGVILIMFNRFHNYV VEQLASINEGGRFTKPDESDTKAYARYDNDLFQTGRLVTCGLYVNIILKDYVRTILNV NRTDSLWSLDPRAEMKDGLLGEAAAQATGNQVSAEFNLVYRWHSCISQRDEKWTEDLY KDMFPGRDPSSVSLQEFVRGLGKWEADLPEQPEDRPFAGLQRKPDGSFDDDSLVKIFE DSVEDCAGAFGASNVPTIFKSIEALGIKQARSWNLATLNEFRNYFNLTPYKTFEEINP DPVISDQLKRLYDHPDHVEIYPGVIVEDTKEAVVPGSGLCTNFTISRAILSDAVALVR GDRFYTVDFTPRHLTNWAFSEIEPKDSVDQGQVFYKLVLRAFPNHFKGNSIYAHFPLV IPSENKKILTKLGFAEKYSWDKPGLTPPPEFINSHSACMSILSDQETFKVTWGSKIEF LMHRGKQPFGRDFMLSGDRPPNSASRKMMGAALYRKRWENEVRSFYEDITLKLLHRNS YKIAGINQVDIVRDVANPAQVNFCANVFSLPLKTESNPRGIFTESELYQIMAVVFTSI FYDADPANSFELNQAAREVTQQLGQLAMANVELVNNTGFIANLVSSLHRHDVLSEYGV HMIQRLLGSGLPAEEIVWTHILPTAGGMVANQAQLFSQCLDYYLSEEGSVHLPDIKRL AKVDTPETDELLLRYFMEGARLRSSVGLPRMVTKPTVIEDNGKKLNLKAGQHILCNLV SASMDPTSFPEPEKVRLDRDMDLYAHFGFGPHQCLGIGLCKLALTTMLKVIGRLDNLR RAPGPQGQLKKLSGPGGIAKYMNPNQSGFSPFPTSMKIQWDGELPQVER AFLA_026800 MSMPESPGRPSMGPTPSISSSSSLTTSPSSSGKSSSVEWRKRSG VSSRSSSRSETEVAEDISSSSVSPRVEMSDVSLNITAVCVSLLRGRNMYLMTRLDAGK FRSISAIEDVRAAMKFFSNIVFASCLVEDGKAVSASDINLEYLASKGSFDVLASLYAR SACSRTNLRTKASSGSSSSFEFCSLRRLPVPPNSPVFVHSATSTRIVQADVLARFLNT TDSSCSIVPIGTSAFSSGSALREKLINSTFVRLYDERSSIGWSSALNAGFSAFLELRV ACKSVVETEGEGSSVAISTSVWVSDCSGADVSVASVEGSLSSTSL AFLA_026810 MEIDPEYLEALPEELREEVIMQQLAEQRSQAAAAGEEPSEINPE FLEALPPEIREELLQQEAADRRRRERESARRQGASGGAPPRAEDMDAASFLATLDPSL RQAVLADQPEEILATLGPEFVTEARALPGRRLTQFGDIARVDHRQRNEPTDEQEPKKQ QRRQIVQMLDKAGVATLLRLMFMPLQGNARHQLNDILHNVCENRQNRVEVISLLLSVL QDGSSDVSAIERSFAQLSLRAKSPSVQKTPQSVKRNLAFQTSSSVSNEVTPIMVVQQC LGTLSFLSQYNPHIAWFFLTEHDPSSTLKLKAFRKGKGKENKANKFALNALLTLLDRK LIMESPNCMEQLSSLLSSITQPLTLLSRREKEKQEEEDKGKKPEPAQDDRSTEEQQQQ QQQQEQPSEAAEPTTSAADTTMTDAPLPSVENTEAQSTTAQPEEGTSAEPSKSETGKG SAEDEKHKKKSIEPPVVPDHNLQLVVHILAARECNGKTFRETLSTINNLSAIPKARDV IGNELVHQAQDLSTTILTDLDELLSHIDQARTGTDMQGLALAKFSPASSDQAKLLRVL TALDYLFDPSRSDKAKGGDSEQVAKEDVLQTLYESSTFGPLWTRLSECLTLIRQKENM LNVATILLPLIEALMVVCKNTSLKETPLSRNARELSVSSTSVGAGLNMESLFFKFTEE HRKILNELVRQNPRLMSGTFSLLVKNPKVLEFDNKRNYFTRRIHSRGAEPRHPHPPLQ LSVRRDQVFLDSFKSLYFKSADELKYGKLNVRFHGEEGVDAGGVTREWFQVLARGMFN PNYALFIPVAADRTTFHPNRLSGVNSEHLMFFKFIGRIIGKALYEGRVLDCHFSRAVY KCILGRSVSIKDMETLDLDYYKSLLWMLENDITDIITETFAVETDDFGEKQVIDLVEN GSNIPVTQENKEEYVQRVVDYRLVRSVKEQLDNFLKGFHEIIPADLISIFNEQELELL ISGLPEIDVDDWKANTEYHNYSASSPQIQWFWRAVRSFDKEERAKLLQFVTGTSKVPL NGFKELEGMNGVSRFNIHRDYGNKDRLPSAHTCFNQLDLPEYDSYETLRQRLYTAMTA GSEYFGFA AFLA_026820 MAANSIKLLTGNSHPELANLVADRLGIELTKIMVLQYSNQETSV TIGESVRDEDVFILQSTKPNDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSILKWIRE NLDVSNCIIVSPDAGGAKRATAIADRLDLQFALIHKERPRPNEVSRMVLVGNVQDKVA IIVDDMADTCGTLAKAADTVMQHGAKEVNAIVVHGILSGKAIENINKSCLKRLVVTNT VPHQEKKEQCDKIETIDISPTLAEACRRTHNGESVSFLFSHAVA AFLA_026830 MKLNISYPANGSQKIIEVDDERKLRPFMEKRMGTEVVGDSLGDE FKGYLFKITGGNDKQGFPMKQGVLLPTRTRLLLADGHSCYRPRRTGERKRKSVRGAIT GFDLAVLALSIVKQGEGELPGLTDTVVPKRLGPKRATKIRRFFGLDKKDDVRKFVIRR TVTKEGKPEYTKAPKIQRLVTPQRLQRKRHRIAIKRRRSEAAREAANDYAKLLANRVH EEKAKRDELRKRRASSMRK AFLA_026840 MAQTAKSLRGKYIGSVVDNNELPDSLLNLHKSPLADFKAQVSQW TAAEARAVQEDLAGNKEAISHRQNHTIIVVSFGVWDVWNMLDKDYDTATQSVDRSIGV IIDQLNVLSQILASLFPYLTLARSSNRDLDASMVGSLAFNQASVIFAGGPITEGDDWL GIPAGRMRASGTDKGRLENQTSKQYLPCSKLAVATRVEAMMERGAFSQLVLCSGLVQT RLSHRLSNW AFLA_026850 MSFDRVLPKPAALHYDSPQVTLSRPTSNLLEHKIMNDNVSKVSI LDRHMDGVPVGSSCRYAADGLPQVHLSSLNRAKIALNKIASNAPIEPPKPAAPLPAKS IPFRERSSVSERSSSSSPVKSAASRESVTQFCLCQPDPKIPRPRNAFILYRQHYQAAV VAQNPGLANPDISKIIGEQWRRLPQETKDEWKALAEEEKARHQQQYPEYRYQPRRYGR DGNPRGSSSGISHNPPGSTVCSRCGGRVMNPPVSPETPFNPNGSSNGNGASLQHEAIT GRSYPCRSKDSDRPSNPIKMGSNGESLPPRQRQWEETVNGSPDSKRRRISTQLPSKPN IHRDRSPDGSYPISPYTARPEAPSSRGSFHMLQPPRPYRSVQEYPQPDPSLKLPPLQT TAPVSSSATPATQYVQESSSLEATVMTIPFLNKIKVLAKISPPLLPSFRDGASRRRGA VVSVDGQEPIAVKSVVDFLNNTLEKEGKYHTHIFEGPDIRLREGYSESGQMGDATVDY LNTISAWHRISDEIVSFVKSLYGSLEPKSVDEDNSTPGASPKGSIPKGAEIHISSPAQ SSENGSVSYSSSSGSAPCPVPVALVPRYQLTTADAFACSVPIGDSYAPLDHWQWMASL WRACVGPDITVYVRECEKDELDRYGGNPVEVRLHDARTIVVRRAAGSPRELEEKTLKR VGFEIEDYLTQ AFLA_026860 MFVCRKIIPRSSTPLRRALKVIMAKIDRSPAAWSGCSSLDNMTT NISQHSNEDESLWYIFNTLQNPVPNPDLMRDQYTKKAMQQLLSVSPRKGDYGLDGFDD GAKLPREYSCVPYLRTALYPYQRRSAAVMIQREAQPTPMLDPRLKPYVTPTGQEYYYD KEEGNIIREKRMYSEPRGGTLF AFLA_026870 MGCGKTLICIAVILATQGHFPQVPLEYQDMELPIRPQTGSLLDM AAATAGRLSLPWKSHFDLMRRTGTFFGRCIEACKEHRGAYTIPPPPTRYGSRTGVAYP RPPPRQIRLCSATLIVVPPNLVNHWKDEIAKHAEGVNVLVIQDSSAPVPPPDQLLEYD IVLFSKVRFEKEAGAASNNRRSSTAPDPSPLTKLHWLRIIVDEGHNVAGHGHRTNMVH ILDQLHVERRWIVSGTPSSGLYGVEVSLASHETNVTSDSDLTEATTAVLHGKEKTTII DSELKDLDEIRRIVVGFLDLKPWSNSQANDPANWTKYMKPVGEDGKRRKAQSLRVTLQ SLVVRHRMEVIYSEVPLPRLYNRVAGFWWAGSDGDIQGTIDVASNYMEANREKMARDD IDILTEGIQIAQKAIACQSWNAFKQFHELGVFIQDFPYHARSMWALSPSRSDVEPLLL GISQAHKAQSFVTAHLNEMYPEEGLAGAGIKARLALSGRQGHPDPVPTKKTTPDKLGS CTVKTLPPSSPLAQTKLVATTSAKLTYLLDRVLELHTEEKIIIFYDNNNSAFWIAEGL ELLGVDFRIYASTLKPKVRAAYLELFREQEDVRVLLMDLHQASHGLHIANASRVFIVN PIWQPNVESQAIKRAHRIGQTRPVFVETLVLKGTLEDKMLERRKAMSEKEMQQAERDL LDDSTMSSIIQNEHFLHMADDEDLTGPAYLKQPPGFFDRHGLPIPKLNESPSSAKRTP RSRKRRPVPIDMNVDTAGDSDVGTPKRRRSDGTELHSGGFSETLPSFMDSGDGTDERT NNYVSIFN AFLA_026880 MASQTGVIGISGPSSSGKTTLARLLQRIFSKADESLFTFIVHED DFYFPDDRIPYTTTASGKTVQDWDTIDAIDVKFLSSALSYIRDHGQLPPRLKSIQDLN EKSDSGVDEGTILQLQQEVGGRLRSLFQQQQQPGSGNQGARAPAKRTIAFLEGFLLYS PPESEDKDHVLRSVHKNIDVHLFLPAPYDMVKSRREGRSGYVTSGPAPEPTSLPQRSS VSDEVDLEGEDDRPPQNFWTDPPGYVDDIVWPRYVQDHAWLILPEGESQKSNTLSADS QELVNKVGQGVNLRTNAGVIVAPGEGTKPMVDILKWAVEEVLKYLERNILEVHE AFLA_026890 MSTPELEPVPQGSPQVRNLEIRGTWDGEVNGRAELRISLEASIQ FLSEKWGQNSQPSEVAPQSPLFEFFEPIYPGGEGACMNFEGFPETPDPINDHSLGKAH SETSSTTRVLHPDHEVDSSIESSLLPDGHSPGLRPNFAKRGNQTRMLNLGKRSATDAC LTDVDSNIMDARPNRNSPYNGAIHNLDTPDYRLDVLEQTAQLEIGDTMDNISQQRSYS LRGNHSKPVLLSEIAVGVKQASDAGICLTGAHYMQSPDGSHEKKAGTSLPRDEEMLHT TSATKDLCKIKDGDIDGHDTSLTNLVNLNISQERVSNGPLMPPTSGTGSEGELGTDLG DYPSTRPASLLDIEQEAGSDPDTCERNSIGLYEHNSLDTHEPERRVFPEIEPEINMVD EILFVQHPANVRAGVYKVVVTVSIALLRKTPSDWYDLVIPGLPKLETGKSGFILFLIP DKYGVEFRTTYLRRFRMVEDCLFAEFVDKRDLVIPMRSFDQRNYGIVKDFVVDQEIEA RPFLSSVSENNKHTQPASNANERDKSQLRATFTRLYANASTGEQSYRCILSALEREDE TNGGSSEAVDGGDQSEDLERTFGFQHMNPVIKAMSMPLRAVWTSINDPMPTWIASWED FVVLILGVTFTVCGTLGCLLLSHACSRVFHRPWEPAIGANAGLSKVDGQIPGCGEPSS EYNNFTEELFHETPDNIDSASLFDNNNTSNHISLDQQEAIDLEEDVKEVEEQVQEEEQ AVAASAPDVESGKDFSLRDQIDHLLGWKGPIDRMA AFLA_026900 MSASTFPDASLTRDPQRCVLSSFPCVPTNFNSYPVPQGFPLTML SPSSDFELIIRQQPTRARVAGGKEKGTLFDPSDDVPVPVPPSTALTGTLVSSLHRLKD VDNNGTLTPVGVVAVKLAELTGLDGGFFVFGDLSVKVEGDFRLKFTLFEMRKDMVTHI KSIISDRFTVSPPKSFPGMAESTFLSRSFADQGVKLRIRKEPRTLIKRSVPRPEDYPQ PIPPRSPDRSSIQMPGNTFGGYPAAAAAASRDYGYYAGPVKRQRTSIDFGNRGMYDDG RMRQMEAYPQTAAMYANQPGAYPTPIMQGYPTGHTGVPDYAMSYGIPPSAQVPQMQDP GAHSRSSQQATMQSLGMVNPPGTPTGDSTGAMMPQGYARQQYQASSTILPPLQRSRNF AQGANGATARGYFDQTSQAATPILPSQPLGTNEADRYGSAPGQAAFEHPGSSNGTPR AFLA_026910 MDESKSKPYGGILSEADADTTKTLPTQPDRERFEVARQKAEEEW QRRVMEAESGGEPVQHAAQKVSGPPSRIKYINFGGYEIETWYAAPYPEEYSRNRVLYI CEFCLKYMNSDYVAWRHKLKCPAKHPPGDEIYRDGSISIFEVDGRKNPVYCQNLCLLA KLFLGSKTLYYDVEPFLFYIMTEFDDLGCHFVGYFSKEKRPSSANNVSCILTLPIHQR KGYGNLLIDFSYLLTRIEGKTGSPEKPLSDMGLVSYRNYWRLILSYQLHKQKTPLSIV ELSERTGMTADDIVSGLEALRALVRDPVTKTYALRLDYKYFEECIQSWESKGYVQLNP DALVWTPYIMGRSNQSQFDRAPLHAVAPREGLEDEEVEDVKDSGNEEEQQLSESLGKV NGDDNPQPTINGAAQAVSGELSAEPAGPPSTELLTNGSGLHRTQLAADSKSTESNPVQ DIPAWRFEVYPPVQAPVVKRRPGRPFGSKTTYNKVAVTPTTARTSGRNTPRRSSALAS MTPTANASSVRRGRSAKLLDSPAVESTGTEANGVENDGQLPDHEGDKGGEQDPAQPDG LSETDVQNNHTGDHDAPGQLNGINGVETVDTELHVTEQVTPTKEVSAENTAKLTRSVN RKAVVEKFEFVIPAEDHGTTNHNAVVEEHTDGPNGVDKDGDAIMET AFLA_026920 MGVPFEALIPYGIIVGMFGVTGAGLTAVKWLGNEGKKARWNRDL WDRLEKRIF AFLA_026930 MASPSQIYNSEQLELYLERIGYADSVNATLDNTTGRLDHVLQSI QQDRLATLTKLQRRHLASIPWGNSALHYSQHQSISTHPACIFDKLVVRRLDGYCMENT NLFYMVLRCLGYNVYPTGGRVSQAVAGGNQTPGSELYMSLGHMVLIVIIDDQRYMVDV GFGNFGPTSPLPLKEDGAVAVCMAPAEMRLVKDTPIEFIDRSQKLWIYQIRYNPESNW IPQYSFSEVEFLPQDFAAMNYSTSHRPTSWFVQALVCTRVIMDETGIEPVGIYILSGK EVKKRLRGETETVAIFEKEEDRVNALAKWFDMHFLEHEIEGVRGLVSQIK AFLA_026940 MSTSIEQKLDVLRNYSACDVSDALLKLQKLPEGTTARAGHIADF VPFSPTIGRNENTPKVIAPASTFKFVPKSDPVPSTESVETHGFPAGTHWVDFAEPGTV AVIEQPEGQHCAVLGGIMAVRMKYLGVKGALVNGRVRDLSEIRECQLPVWARATSTVG TAAEAKPGARNVPVSLGGVTVSPGDIIFCDPLEGVVAIPRELLDQVLDLMPKLVAMDD KVKEAVLQGSNVFDAFKKFRTKI AFLA_026950 MSSAQQRLTQVASHFTPGGKKGVAAITEKHPDDIVVTCALRSAL TKGGKGGFKDTAAADILAGIFKGVIEKSGIDPNVVEDVAVGSVLAPGGGATEFRAAAL VAGFPETTAVKSLNRQCSSGLQAIVDIANAIKSGMIEVGIGAGVESMSSQYGPGAVTE FSDLLENHQESANCKVPMGVLSENMAKDRGISRAVQDAFAASSYQKAVKAQKAGLFNE EIYPLQVKWTDPKSGEEKTITVKADDGIRDGITAESLGKIRPAFAKDGSIHAGNASQI SDGAAAVLLMKRSTAERLGQKIIGKYVAASVVGVKPLLMGIGPWKAIPVALEKAGITK DDVDIYEINEAFASQCVWCVNELGLPQEKINPKGGAIAFGHPLGCTGSRQISTLLTEL KRTDKKIGVTSMCVGTGMGMAAVWVRE AFLA_026960 MPYYSPYKPKRQWPPDMSKLSPKHQFRLERKYRRRAALKFARPK WVKATKLVQWGVIGFVIVYAALFMEWDERGSPFDEFRRVFFAGVKGAFSTPPPPGPVK RSDDN AFLA_026970 MAPNMQGPNIFDDASRVPTKPSVFRGILSSKAHKRNPSADDAAS CRSPYQSQPPRSTFWSPVDQAYAALDQQPLTEIAPNRDAAEYGLPRQRSPGKQEKNTL HKKTKSAVSLKSLRSYMERKDNRSESPENESEDLKPKKAKSANSLSAILKRSQRGRKA ESSKNSRDKENRSPTDLVDSMPSPIWNQYATGSYYDQIPAPPSPSNRRTFQEEVSLYT PKGYGPAQQRNFWDYHEPSLTNRADPKPRPKSEFLSGNRKVRELFGTLQNMSSEKLSP SDPSEPSSPSKRRRRPRALSKPESRQSAEPQPEQSPKKVSRVQAAISAFNAKEREAEL QRRLNSKDLESEFEKLLDARNIPHNMREKMRSLDTNIKADFIQKDKAEGAHSAGTSVH TNDSTGRRGRGKEQKEDQHNNDSKGSRSRSRSRGFSFSKGSSPTKKRPESGSSHRRPK SADFSQPGLSKLLTPSTSTTSLSATACQDTAADPSDFVHYLREIQKPEMMEVGKIHKL RLLLRNETISWVDTFIADGGMDEIIQLLYRIMKVEWREEHEDNLLHEALLCLKALCTT SVALQRLTAVEGEIFPALLKMLFDEEKKGPSEYTTRSIIINLLFTQLSTASSAEDARS RASRILSYLRDPSPPEENQPLSFIANIYQSRPYRVWCKEVTNVTKEVFWIFLHHLNVI PIVKSEKPGLETYRERHFPAPRPPVPAAPYVGGVEWDATNYLAAHLDLLNGLVASLPS AEERNKLRAELRASGFEKVMGGNLRTCKEKFYASVHDCLRTWVAAAVEDGWPYTAVRE GPPRPEAGTPAKSPIKAAGGSPKKGLLGEKPPRLELALDVPANNRVSPKSEGLGNWL AFLA_026980 MNCPSRTDDTLEHPGWNQNPSDLNADVTTRNDFNGIANSKVHRK HASGVGAAMGEGIATVEPQLHGRDRNDTESEKKMPGEVVSAASGYQTPERNSRSPRRS LKTSITAPFSHMVQSIVKFARFVGPGFLIAVAYIDPGNYATDVAAGAEYRYALLFIVL VSNLFAIFLQSLCIKLGTVTGLNLAENCREHLPRWLVYILYFLSEAAIVATDIAEVVG SAIALNLLLKIPLVAGCAITLADVLFILIFYKPDGSMLGLRLFEFFVMGLVLGVVVCF CIQLSLIRDQSVGDVFRGYLPSSAIVESTGLYQSCGILGATVMPHSMFLGSGVVQSRL KEFDVTEGYVDPSVCLGSTNGEVEYRPSLHAIRGCLKYSIVELALSLFTFALFVNSSI LIVAGAALYGNPDVGEADLWGIHDLLSTSIAPAAGLIFGLALLLSGISAGIVCTMAGQ MVSEGMLNWSIRPWLRRLITRSVSIIPSIVVAGAVGRKGLDKTLTASQVVLSVILPFV SAPLVYFTCRNRYMTVPSDRVMHGEDQTQTEGVKMKNNLITTVIAIVIWLIIAVMNVA LLVLVGLGKA AFLA_026990 MNRLFGAKSNAPKPTLDGAIANVDNRVASIDVKLAALNSELSTY QTKISKMRDGPGKNALRQKALKVLQRRKQYEAQREQLSQQSWNMEQAGMMQDNLKNVM TTVDAMKTTTKTLKKQYGKIDIDQIERMQDEMADLMDIGNEIQESISRAYDVPEDVDE AELDAELEALGEETMFENSMGESAMPSFLQDEVAPPQFIDEPPEQTKVKEPASGLG AFLA_027000 MFVENRVVPTILGFFFRFPWNNFLHNVVYDVIQQVFNGPMERGY NRVLAVDVFETGRITQEIVEGQKRSDETQRTKQIRLGYMGHLTLIAEEVVKFSERHPP ELLSPTVMENVLNPEWIDYVEQTLSETRERDNAILGGVRPDMSIGHRQGMLNSGQSMT GSSALADAGLNGATGGSTFQGFDMMSQGSVSGGAFGLSGGGSSLLSGFASSSDDEDED MEDQDDRNLADQSAEGGSENVGDDFFSDVDMRDY AFLA_027010 MLDVAVSLTQSRSQTSTNSTSQPIPILPPPPAPLSLGPSRARRQ LAARLAAQKQQAAEGTEENGGEEKAQTNAHESSQWPTNPFVVAGVDDDGDGGGSPSNA FPSTDFPSANKDEPFSSPTFPDSGFSPPDSFSTNSSEDDVDGRSEGLRRKERIPLEVD DDDDDDMGEMVGPSGGTDMMDSDEEDEAIMNESLGYPDLGPGRYKSFRRSRFGVSPFG DDDQNDSSDGEDDGLVEILVPGKKS AFLA_027020 MPVNFPPLLTCPAYMASCLTRYRPNNDSSLEHYTALLDWMVSKG GQLHESVEIAKDERRGVHLQVKNDWKDGVPSNTHIIKTPLTSTMSYFNVIGYSFNTDD GSFISFPEHGVHFPRGFAEAVGQEESSIFFLMGQYLQGKEGFWYPYIRTLPQPGALTT PLYYEGDDLEWLEGTSLSPARQQKANLLKEKYGTVYTELCKAGFDGAEKYTWDLYLWA STIFVSRAFSAKVLSGVIPDTQLPEENVSVLLPFIDILNHRPLAKVEWRAGKGNVAFL VLEDVAAGQEISNNYGPRNNEQLMMNYGFCLPNNPCDYRIVSLRAPPGSPLQMARSQQ LQMFPGLAKETDDPYYVFNVFYPLLAPDTPMEHSIFSPALFDAVSILAANNRELETLE VTEQSIRIPDTYGNSRTTLAALSQIIIELITHIVKLRSSAADLQNPGNLKQTHAKIYR DSQIMLSETALVIAAWTLNRARQHNFGGSWEETKQLLGSHMVRVPPGKFPEEIRSRIQ VRILERQSVLANNGELFVLDDLPEILPVEMQQPCKACLQGVTQNAGRAIPMLRGSLET SPFAFPMFLCFIRAAHTAGESNSETVSLSSRLSKWARCLLENYPAPPEDVLWALEDED DEQLLDMFDNVLEGMKTRNGAIFSDLEKFTGEWQGDNWWLSPNWLRWAWMITEQESVQ VPEEPLALLAAEQPGQGQVMLSTAPCLYIPQ AFLA_027030 MISRAAAPSSSSLANLSSRSLRVQGQAARTFATVQDNAPPVRHH GGLQDQDRIFTNLYGHHGADLKSAMKYGDWHRTKDIVLKGDDWLISELKASGLRGRGG AGFPSGLKYSFMNFKDWDKDPRPRYLVVNADEGEPGTCKDREIMRKDPQKLIEGCLVV GRAMNANAAYIYIRGEFYHEATVLQRAINEAYEAGLIGKNACGTGYDFDVYIHRGMGA YVCGEETSLIESIEGKAGKPRLKPPFPAAVGLFGCPSTVTNVETVAVTPTIMRRGASW FSSFGRERNAGTKLYCISGHVNNPVTVEEEMSIPLRELIDRHCGGVRGGWDNLLAVIP GGSSTPVIPKSVCDDQLMDFDALKDSQSGLGTAAVIVMDKSTDIVRAISRLSSFYRHE SCGQCTPCREGSKWTQQIMQRMETGQAREREIDMLQELTKQVEGHTICALGEAFAWPI QGLIRHFRPELEAKIREHAQELGQAPYAGGWHPNSRAEGKLISPGM AFLA_027040 MSFGFGGFGQNNQSSGFGAGSGFGGTSSGGGRFTIHHIAAIFSA LDLWRRKRRDRSLITVSDSIFHRHLGFGSTTSPFGGGNTSGGGLFGNTSSSFGSGGGF GTGAQNQSNSLFGSQNRTGGFGTGTSATGGSLFGGNAGGTTATTTTTGGGFGGFGSTG NTGGFGSSNNTTGGGLFGNKTATATGTGTGFGSSTGATGGFGTSGGGFGTSGTTGFGS GSGTAFQQAVPPSDGTGSTPFSAFTEKDGNTSVTNHYQSISFMQPYNKYSFEELRLGD YQQGRRFGNGSGQAGAFGTSAFGGSGFGQQQQSTGFGSTSSPFGGTSAPAFGQTQTQT TGGFGSTPSNPLFGSNKPATSLFGGGATSTGTSQPSLFGGATATSGGFGSTANTGTGF GAGGSGGLFGNNNNNQQQQQNKSLFGGGGTSTGTGFGGFGQQNTSTSTPFGGTAATSS PFGGGQQQQQTGGSAFGGFGQQNQQNQTQTQNKGLFGGFGQNNQQQQSSTPSLFGGGG ATTGGSSLFGQNNQQPSTGGSLFGGANQQSGTGSLFGGGTQQQGQKPGLFGSSTTGTP TATNTFGGFGNTQNQQTGTGGLFNAQNQQQQKPSLFGGSTGTGGSLFGGGATTTQNTG SSLFGNTQNQQQPQTGGLGMGTSSLFGNTQQTQAQQQQPQQPVPGSLQASLLDPNPYG NQSIFSGLPAPSAPSPGPLATPLASSIKQKQRTPLPVYKITPSAANRLVTPPKRQGYG FSYSTYGSPSSSTGTPSGLGNSLLGGSLRGSVNGGLGRASFSKSFSTSNLRKTFDPET DSVLSPGALSSGSSRLSSGNLKRLTIDRSLRNDLFSRPASTPAAAITNGEDSAQPTDK AKKKVSFESTSDTTTGGEIVPVQSQTSEPTPEELGFLRSIRKSNTVNGLNGIKENGTR PEMESVRDKDLPAVPEGSEQTTVTDGQSRLAFVPSGDPQPGEYWMKPSRAELNKMGRD QLKHVVGFTVGRQHCGQVTFDEPVDLTNVDLDQIFGGLVDIGVRKITVYPDEAIKPPR GKGLNVPSILRIENSWPRGRDKKSPSPLTSGPLFEKHVDRLRKVHNTDFIDYETETGT WVFKVPHYTTYGLDYDSDDDDEGESLNQSTLSAAPDTPTPKAHTPTNLDNTVASEQMS TFSTDDSFLGSVAGVDDDTFDFKKRKLVPGSFGNQAMETMEDEHSNSGEDEESFLGEG STGSTTEQEGDDVTESQQSGESEVELDEDEEMDMAGTFPSLHHTVERDDTKSIVSLNE NTQPFLKPWSTPPKARLDLSGDWAAQLQRTISPRKQDRDALREIQANAFTDRPLHDDD TQEPVVDTRQKGFATSIDLMNSLFQQPRKQQTQSPSKARNAQPRGFEWPYNKKPKTFA GDSNELSQDDLAFHHSFKPRWGPEDSIICVKNGIGDIHSADGRWEQRFSITSEERDIT LLASNKTPESNVMLDAQKNQTTISRVDDVPLARLTKADFQQFAQTSSSLADSDQERLL WQLANILFNDDVEDDISAGVPPQLRPKFIHRIKKDRLSRLWEGIVRERHAHTLGKANS AEEQAIYLLCSHRVEEACNVLIASQNLHLATLIAQIGRDPTSRADMAKQIEMWRQHNV YSEMTEPIRAMYELLAGNALRSEGKSGGALEDRASTFTLTERFELDWIQAFGLRLWYG VTDDEPIEAAVSKFLNDLSTGNEPSFPHPFRQESAQELSLESPLWVLLKLYSMTLGAA KDIPAMEFPAALLPESVSGDKLSNRLSFQLYQLLATAVGQHDGFQIDTFRVDQMVCDY AWELSRSDQLDRALFVLLHLSRPGDRERAVKETLARFASRLPDQTTAEGAPDTTWHYL TTDLQIPEGWIWVAKALHARDTGDAAREVECLVRGKNWNDAHATFCRIVGPTAVIERD YATLETLVSGFGEAPERKVRGWTNGGGVYEDFLRLATAKSGKRDPTRLNRLVNALVAM GSQISQGSGVEGLEERVAFKEMSRAIASWTAHEDSKVSLHILASRSTSFEGILIIFS AFLA_027050 MHTCYPRFSMHPSVRKLMDAVCARLRTPEDLSCLIFPSADATAR CTARLSAEHPDETCHTVKFQSHQPADEEALRWAAFFVVLFPQACLSTAMHFWKVFGDG IAGRHAEYCLAALPSMDSYAKDTVFESSAPCRDHPTWDLQWVNSAAAEKESIRSLIAR LVSSDQPGYRPVSSHDVFLYPKGMCAIAAVARALVPHSAELSEAVIYGWPYAETPLCV KESDYKRSTLLSAGSKQELDELESSLAAGRRIQVLFCEVPSNPQLRTPDLPRIHALAA QYNFIVAVDDTLGTFVNIDVLPYVDVIMTSLTKIFSGMCNVMGGSVVVNPQSSHYTTI HSALTALYEDTYFPLEAMVMSQNASDFEERVKQCSASALTIANLLAKHPSVAQLYYPS LVPSRQFYDQVRRKNGGYGYLLSIVFHKPETAMRFYDVLDLCKGPSVGTNFSLAIPYS LLAHFREQDWAESEGGIDRHMVRISVGLEREEDLIARIQDALTAATADY AFLA_027060 MVDNNFKKKLRREERHKKRKERKKEKEKEKEKEKEKEKEKEKEK EKEKEKEKERKMNKKRKKGAIFTSAKDWVSGPVEVKHLLRSSARQ AFLA_027070 MLTFLSGTRNPLFPLNPLLKTVVSRRLSSSSSISLTRKRIMADG NVMPPKPSVVLEAHEVDTFHVPKAFYEKHPTGTHLKDLDEYKKLYDESIRSPQTFWAR MARELLSFDKDFQTTHTGSLENGDSAWFVEGRLNAAYNCVDRHALKNPDKVAIIYEAD EPNEGRTITYGELLREVSRVAWVLKQQGVKKGDTVAIYLPMIPEAVVAFLACSRIGAI HSVVFAGFSSDSLRDRVIDAGSKVVITTDEGKRGGKVIGTKRIVDEALKQCPDVTSVL VYKRTGTEVPWTQGRDVWWHEEVEKYPNYFPPESVSSEDPLFLLYTSGSTGKPKGVMH TTAGYLLGAAMTGKYVFDIHDDDRFFCGGDVGWITGHTYVVYAPLLLGCSTVVFESTP AYPNFSRYWDVIEKHQVTQFYVAPTALRLLKRAGDEHIHHKMAHLRILGSVGEPIAAE VWKWYFEKVGKEEAHICDTYWQTETGSNVITPLGGITPTKPGSASLPFFGIEPAIIDP VSGEEISGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYLNVYKGYYFTGDGAGRDHD GYYWIRGRVDDVVNVSGHRLSTAEIEAALLEHHMVAEAAVVGIADELTGQAVNAFVSL KEGNETNEQVRKDLVMQVRKSIGPFAAPKAVFVVDDLPKTRSGKIMRRILRKILSGEE DSLGDTSTLSDPSVVDKIIETVHTARGK AFLA_027080 MTNCNKEYDTWISLYGGRKDHSLLLVRNMVYPQNLPTVVEKYLI TFCYLAEAWNQFRDDQRRAMNHDLLS AFLA_027090 MSSAEESPAQRAARLRRERREAKIKEGGAARLDKITSLSGRTPA STREEVSPSPSPSPQPPAQISSTERPQPTPGPAPTSASASVPAPAPASAPAQPMSNPE PQSPENLQAQQELFRALLRQGGPSSSEQGPQEEDPTMQMLNTLMAGMNGQEQVPGGAA GGPSQAELVSALGFPPFVADLLGAATHKPTDEEKKDVRTWKVLHILFAVAVGIYLLML IGTSVSTYGSQPPPPATAQNPFLYFTTGEVVLTGARLMSKGRTGRAAGIMLGLQLFQD IVRDGSLVVFLLGMGAWWSREWTAY AFLA_027100 MKEYLKAMICVLCERNSRSGEVMASFQPYRTKNYVIVEEPPNVS QCPVELEDLYPSTRQ AFLA_027110 MGPHTTGVDSPKNHRVGEHYGTHNPVPTIQKFLEHLEKDKQDRK AHEEAVTAREKEEDARGEAKPHKPRKRPGKGKTRMVTDPTTGREIEVEDQDADSMEVV KNPKLVVPNANLGKPTDVRTSPSQSLKDYKENQDITAPPDPIAEGTTSDVPLHGEITN VLFHPTPSISYKPMYDQLEKRGTGLCIGIVFGILFVGRMFGGSLWALFPLAACIASGV WLWVQEVIRSGREMEWSSEKLRGQIAIANLLPESVEWMNSFLGVIWGLINPEMLSPIA DTIEDIMQASAPSVVENVRIAEIDQGNNPLRILSLRALPDEHVQHIKDNVREENLKNK DPQEAAAMEEGGSYYNIEASFAYHAKPTGQTASSKARNMHMQLVFYLGIRGLFGVPFP VFVELIEMVGTVRIRFQLMPEAPFMKDMTFSLVGIPHVRAGCMPMFKAGVNILNLPLI SNFVNYAIGTACGLFAAPKSMTMDLSMILKGDDIIKETQALGIMWVRIHRAIGLSKQD RRGSYGGGSDPYINLSFSKYGKPMYCTRVITDDLNPVWEETAALLVNPELISADENLS VELWDSDRNTADDIVGKVELPIREMIQHPARMYPQVSKLQGLNEGSEMPGELHWEVGF FGKPKLRPELRTDGKKKDLPENLRDNPQFQDDKGVITNEEEDAVTHTPPDPLWPSGIL SIVVHQIVNLQLANIKGSRYRKGREYEPAKPYGENTEEEGGDLPTSYCKIILNDQLVY RTRPKAVSSKPIFNAGTERFVRDWRSAIVTVTVRDQRYREHDPILGVVPLKLSEILQT SSQVTRWYPLDGGIGYGRIRISLLLRHVETRLPPNMLGWDVGTFEFASDKIIAKNFNH RAKIKLRTGGSSGKIPRYVASIEGQDTSFNLTNGSLHKSIRLPVKHRYRSPVVFEFYS PGKHGAAAYAVLWLQHLVDNEDTPVDLPLWSTKNGKRLTQNYITEENWEAKREPGLED LQIIGRLQFTCRFTPGIDESHEHYVVDNHSRETYETWEACIAEGVRPRSISLEVPEET EQMHERSLVDGRDVLKHADPKERRQWIDKQGQDWSGAFGNDPSAFMDHDGHKVAEPGR DKPPYSADGHSVEAVHPEEEDDDDEGSSVSSSSRTETSTEQRLSTANGSQLTSTDTPP STTEDSLAGSSKENKHTKKANRRSEQRQQRGMMQWKPARNAVFARDEAKFALRKVRNR FTGNLTGREPDIETETGN AFLA_027120 MLLAPMQPPMSHYPPSEAGRTTSQTTMPTVPQTSPCPSTVSHSN LSCSLSSNVTSNRRPTMRPKLTLQTTSLPMTFGTSSTGLSLSLATGPAASPTVRNTFK NAYEVAVPSSATASPSRSSSHRFSKPSSPYTTNSPYQLPLGVKSILRNSPLEPTCRRR GSVAAKGPNGGPGSRRVFFPAKKQVSYRQPLEEEIQTVRYTARHSDITNQPNPESHEA GSEEDSDSNTSAEPSDASTSDDDTEAKSSNNPLKSVGKKKRKHLSAEKQIRAVALMDG IDKDGASTPQTPCQNRAKRRCEWRWTLGPLEIRDGNAQPSQADEKTTSNPTETISNIS PASIATTISPDNENDSPRSWVSNLTSLSSISEQNPSPDSSIAFEVVANDDCKSNMSHE AERAHANPVQ AFLA_027130 MITYNVDLLNRNDLEHSFFHLNRQDPTLGFAFDATGFHGSTYPA APNVASDSASPCVPAGNDPHSLHIRLLVASHLAAYLRGQLEEQKGYTATVGISTSKIL AKLVGNIYKPNNQTTLLPPYTAAEQGAQSNVLNFLDAHDIRKIPGIGSKLSRKLTSYL KNPAQSSLSQGASDTARDDTVTVRDVRLFPRMGPVLLDKILGGPGSPKGIGTKVWSLI HGVDNSEVLQARDLPTQISIEDSYGGLSTFEEVRRELVSLTASLIRRMRADLTEEEPD VAAAADSRSKGSLSRTTSTMRWIARPRTLRLSTRPRPPPTSSEAQSHSFNRISRSAPL PQYVFYLDASIDALAEQLVHELVTSMFRKLHPEKAGWNIRLLNVAVTNMVDAAGERKQ SSGRDIEKMFQRQDMGRRPDFPVSVTGRSSPETGTQYVRGPRLSSSDTSSSSQIIVGL DRNAYKTGGDSWEESDEDEDMPCVACTSCGALIPHFALVAHEVYHSAPD AFLA_027140 MRLFKQSLWFATSAISLLAAHVEARSQEPNAIQRVSTLDHPKIH TSAHQVDHLSHFDVTFNLRDKNQRIKLELEPNHDILAEDAYVQYLDRHGNIQREEPIE RHEHKVFKGRALLGRGKGMWDPVGWARIYLKNDGSQPLFEGVFSIHDDKHHVELKSTY LQNKRQQDVDIPDRKGEYMVFYRDSDMIRELRTDLKRSFPVSSSCEADKLSFNADPSH PILQVEEDISQWGAMSLNSLFGLTKRQSDTGGVSGNSGGVSLKSTIGDTSGCPNTKKV ALIGIATDCGFTGSFDDKEAAQKWIINTVNSASNVYEKSFNISIGLRNLTITEKDCPE TPPASAEWNMPCSEGNISSRLDKFSKWRGQQKDTNAYWTLMSNCPTGSEVGLAWLGQL CNADVVSDAANAVSGTNVVVRSSGGGWQIFAHESGHTFGAVHDCDTQTCGQNLEASSQ CCPLTASSCDARGQYIMNPTTGTDITEFSKCTIGNICSALGGNSVKSSCLSDNRGVTT YTGHQCGNGIVESGEDCDCGGEESCGDNSCCDAKTCKFKSGAVCDDANDSCCSKCQFS SAGTVCRASRGECDEEETCSGTSSTCPSDSFKKDGTKCGDSSAGLTCASGQCTSRDYQ CRSVMGSLLHSNETYACSAYGSSCEVVCSSNTFGQCYGVNQNFLDGTPCSGGGHCKNG KCDGSSVKGWIDDHKTLVIGVACGVGSLIVLSILWCLINRCRRARPTAKPIPPPAGPY GPWARPMQQPIPMNQWPSGPSRGYQGLADPPPPYPPPAYGNQAPRYA AFLA_027150 MASQGFGSRSFASTRLPDRSMNANPTPFAASTFSRQRLAPGSGD QGADAARSSQQAPQPPVHSQTHGPSQDSNPLSRLTEEQREEINEAFTLFDLDRDQHLD YHELRVAFRALGFTLPKQELISLLTTYGVPRPQVQQQSSAQQQQQAKTAPATNPQHPS NLLMPLSAFQAVTALKILERDPRDEILRAFELFDEGGKGYIDLEDLRRVARELGETGL EEEELRAMIEEFDLEGVGGVTREAFVSICWQ AFLA_027160 MVSCPICGNSVPSLKINDHIDSNCQNFIDEPTPSTGDLTSSQKT QVPSFFQPTSARKASTQSNSHPDSSPSQNATRKRPSAPEAEIVTDGNKKTRNDTEQFV KRPKVSALQKAAPLAERMRPRTLDDVCGQDLVGPHGVLRGLIEHDRVPSMILWGGPGT GKTTIARVIASMVGSRFVEINSTSSGVAECKKIFSDAKSELNLTGRKTIIFCDEIHRF SKSQQDVFLGPVESGQVTLIGATTENPSFKVQNALLSRCRTFTLAKLTDEDVKSILDR ALQVEGPNYSPSALVDDELINYLAKFSDGDARTSLNLLELAMDLSKRPGITKEELKRS LTKTLVYDRAGDQHYDTISAFHKSLRGSDPDAALYYLARMIQSGEDPLYIARRLIVVA SEDIGLADNSMLTLAISTHSAVEKIGLPEARINLAHATVAMALSKKSTRSYRGLNNAF AALAEPGIAGLPIPIHLRNAPTRLMKELGYGKEYKYNPNYLNGEVAQEYLPEELQGRK FLEDLDLGHQVDQDLNRQR AFLA_027170 MSATTARADPFRPAKRVAGQRQDVWSIVNEAAAASPVQPIVNMG QGFFGYNPPQFAIDAAKEALDKVECNQYSPTKGRPRLKQAIADAYSPSFGRKLNPDTE VTITTGANEGMLSAFMGFIEPGDEVIIFEPFFDQYISNIEMPGGTIRYVPLHPPKDGA TRTSPASEWSIDFEELEKTINPKTRMIVSDHNPVGKVFSRDELEKIGELCIKHNLIIL SDEVYDRLYYVPFTRIATLSPELYERTLTVGSAGKAFYATGWRVGYLIGPEHLIKYVA GAHTRICYSSVSPLQEAAAVAFEQADKAGFWDESRTEMKKKMERFCEVFDELNIPYSD PEGGYFVLANMSSVKLPEGYPFPPHVASRPRDFKLCWFLIHEVGVAAIPPTEFYTDAN AHIAEDYLRFAVCKNDDVLETAKERLRGLKKYIVQ AFLA_027180 MELPPVSTDRVTYPGNKTDIEDQEQPLEEESQSGDMDPLEDVIT SRGLQERAGLQLDQVLKVQQLNEASDFTVTDLDKNVTTNTKENQQEPLEKKKIRTEAI AFIRARTQARLARLEALSEEVLLNPTSEQYQYHPNESNRLSIPLQFPAGPNITSTVMM EPVQASKKKKKKKSKKKPKKRLPLDIGNIDSPSNHALNQAGLSVRVPNVQLLSKEAPP SDDPSCSTQSQDLSEPSPSPYSQEADPKTEHPISTKPGKDFTAPYATQYEDRLQAEHK DTIECHEGHSTERVLGTGTREQTYRDALLGTIDRRDKRVSCTDPTTKFDTAEHNAALH AHLAPLAIEEWPSITGDTPAERDTREKMPKASSSIDSGQDEEVSSTMSSACAQPSRLG QSTRKTKASSKEKLPPIQEVTSEALQGYPHVNPHSPHAERGSISSEIQCHLTCTPKSS KSTSTGWTSAEPQGTPQTESSEIEATETKNHHQKISTETRNVVISQTKGSHAHPSSSL SSERLGRCTTTVQKYISTQKPEGFFWQLDSHGFPCAKARCEKRCNLWDGATVICPRCG PFSEIRYCCKEHLLEDIKYHWLYCGQMTFEHPCRENSIPRDVRDGPPLVPCLHPYDTP ERHRQAVYFNVKAREGDYFIFSDWTDLVTAGFPESNVEVRCSSRVIYTIRFEDANEKD RFRRVLATCLFMTIEVTELIDYLFRLIRDKLRSQSAPIELEAALKYQFQQEYCVTIQQ HITGERHACVTDWDGRNRRNCQDAICRAEYRRLLGSLGGKGHCQLIDHLEGSYWILRA ARTTHPDVTDAKARMRGEGFSDVADEDRREFRRGAGWDGAGTGDLEIEGINDD AFLA_027190 MVFSRSGRSIFPLLPPYGAHDPNGGPGRAVPLHPDGITPYLGLR ARLSQVWLNRWTILLLLVLARVLIAATGMQSDMDSAKREAQSACTSVETMGSAMASMP HYLSRGVNELTASGVEAAVNGLISMLLLTITGVEALIIFFIKVMYQTYLCLFTMVVRG STQAALGVIQDTTEFLNKTVQTVGEDIGKAVETFESGLNKFLSGINKVASAFGGEVPT LNISKNIDELKDIHLPGSINDTIDKINSSIPTFDEVDKFVTDVLKFPFEEVKSLINGS LGNYTFDRSALPVPAKEQLTFCDENNGINTFFRKVAETIVAARKIFLAVLIIAATLVC VPVAWQEIRRWRTMKERSQIVRKDAHDPMDVVYIVSRPHTAGAGIKAASRFSNSRRQI LVRWAIAYATSPAALFVLCLALAGLFACLCQYLLLKAIERTVPELTSEVGEFAEKVVT SLQNTSAKWANDTNGVIDGMSNDINKNVLGWVNTSTTAVNDTLNAFVDKTTGVLNDTF GGTILYSPLQDVFNCLIGLKVASVQKGLTWVHDHAHVDFPHVPNDILSKGADSSINNS TSASDSFLANPGDKTSDKITEVVMRVLNKIKEGVRTETIISACVLGIWFINFLFGLIR AMILFWGRDKNRGEGGGAPINNNPDVNGFTEVPLTAIPNTQAASLPAPRYEVALKTPP VVGHFHEDEKMGYAGQRALKVDGTSDLRGSSYIEGYIRERKSSQSDFIQVMTL AFLA_027200 MEKQTGSGGCCKGSSEVATANGDSLKLTAPEFISHRPDTELIFP PTLHKHEFRPLVFGNKRKRWYRPVTLQQLLEIKHVHPDAKVIGGSTETQIETKFKAMR YSASVYVGDIPELRQFSLQDDHLEIGANVSLTDLESICDEALERYGPVRGQPFTAIKK QLRYFAGRQIRNVASPAGNLATASPISDLNPVFVATNTVLVAKSLGGDIEIPMTEFFK GYRTTALPPDAIIGSLRVPTASENGEYMRAYKQSKRKDDDIAIVNAALRVSLSSSHDV TSVNLVFGGMAPMTVSARKAEAFLVGKKFTHPATLEGTMSALEQDFDLQYGVPGGMAS YRRSLALGFFYRFYHDVLSGVELNSTDIDHDVIGEIERAISSGEKDHEASAAYQQRVL GKAGPHVSALKQATGEAQYTDDVPVLQNELFGCMVLSTKPHANIISVDPSAALDIPGV HDYVDHRDLPSPEANWWGAPVADEVFFAVDKVTTAGQPIGMILAKSAKTAEEAARAVK IEYEELPAILTIEEAIEAESFFAHNHYIKNGDTEAAFRHADHVITGVSRMGGQEHFYL ETQACVAIPKPEDGEMEIWSGTQNPTETQTYVAQVTGVAANKIVSRVKRLGGGFGGKE TRSIQLAGLCATAAAKTRRPVRCMLNRDEDIITSGQRHPFYCRWKVGVTKEGKLLALD ADVYANGGHTQDLSAAVVDRSLSHIDGVYNIPNVHVRGRICKTNTVSNSAFRGFGGPQ GMFMAESFMSEIADHLDIPVEKLRMDNMYKHGDKTHFNQELKDWHVPLMYNQVLEESS YMERRKAVEEYNKKHKWSKRGMAIIPTKFGISFTALFLNQAGALVHIYHDGSVLVAHG GVEMGQGLHTKMTMIAAEALGVPQSDVFISETATNTVANTSSTAASASSDLNGYAIFN ACEQLNERLRPYREKMPNAPMKELAHAAYFDRVNLSAQGHYRTPDIGYVWGENTGQMF FYFTQGVTAAEVEIDTLTGDWTPLRADIKMDVGRTINPSIDYGQIEGAFIQGQGLFTT EESLWHRASGQVVTKGPGNYKIPGFRDIPQVFNVSLLKDVEWENLRTIQRSRGVGEPP LFMGSAVFFAIRDALKAARKQYNVHEVLSLRSPATPERIRVSCADPIIERARVLPKEG EKSFFVEI AFLA_027210 MIPHRSTALLTIIVFIALLLVIFSASPRPYSSSEVTGPARYVPR PKLPSLSDLHLPSFHPTVHTPPEPQPNSTSGESKWFSNWAWINPFSSSITLDENRSVL PPLRDRPFIYTYYEPTKGDDEKDTQQADAQILLAWRRAWFAQGFRPVILGRAEAMNNE LYSLMQPMKLTPKLENELFRWLAWGHMGDGLLADWHCFPMARYDDDLLSSLRRGTDPA VITRFDRIDSALFAGEKTRINNAIKEAVKKASETSNSMLDLVPPEFFRNESPPTSLAF YDSATIHSDYPTLSEKSSSTAGRLALAELINAHLHNTFQNAFPAGIAVLKPFTEHTTA LVEPALRLAKALVQCPKSPVPSSCPPNQPKCHPCDAGKPMQVSQPATYRNTTRVFTIG TLPHPYTLISLQQDSAEVTTRHIRRETERDPWLTEVTKEQLGVDIGGSSRAVVFKKAV ADDAMVGTSLWMTVESLPSEAGQSLPSDLLDEFEWQFGFQIPREDNNVDSKNEGDIKE SVQNANPSRQGVAKEYDILKQAREVLKSKETNRIGIKDVAEAWNLADTEVWRFVRAYR ARSVVERKKWQEDEKDFVGAKLKD AFLA_027220 MGVETASLQDRLERWAQRLQNLTVSPLTRDYPDTQNQELPKRAI EAFETFKLSEDTEGKLQKLSGSSSGFTIFLTAFVVLVARLTGDEDIAIGTTSAEDGRP FVLRVPIDASETFLQLYAKVQKGPPTLFLWAVYDPTSSRSPNRNDPRFFSASQPTMHQ PRLRNTLPTHLRPRTWSSMLRLQQEGQHLKRNWAHTTTKDSSQALGSPRY AFLA_027230 MTDDQRALLPDPTADLHWSKFRGAIHDIFAENAEKHPEKLCVVE TKSDRSPHREFTYKQINEASNILAHHLVQSGIERGEVVMVYAYRGVDLVVAVMGILKA GATFSVIDPAYPPERQCIYLDVARPRALINIEKATKDAGELSEKVRSFINENLELRTE VPALALHDDGSLLGGSINGQDVLANQVPLGSKPVGVVVGPDSIPTLSFTSGSEGRPKG VRGRHFSLAYYFDWMSETFKLTPNDRFTMLSGIAHDPIQRDIFTPLFLGAQLLVPARE DIQNERLAEWMREYGASVTHLTPAMGQILVGGASAQFPALHHAFFVGDILIKRDCRSL QGLAPNVNIVNMYGTTETQRAVSYYEIPSYSSNEGFLDTMKDVIPAGRGMVDVQMLVV NRFDPSRICAIGEVGEIYVRAAGLAEGYLGSPELNEKKFLKNWFVDPQFWVQKDQAQS QSANEPWRQFYVGPRDRLYRSGDLGRYTPSGDVECSGRADDQVKIRGFRIELGEIDTH LSRHPLVRENVTLVRRDKFEEPTLVSYFVPDMSKWASWLQERGLEDDTSAEGMVGMLV RFRPLRDDARELLRSKLPTYAVPTVFIPLKRMPLNPNGKIDKPALPFPDTAELSAAAP QRKSSVLEALSETEQALAQIWAQRIPNVTSSMIGPDDSFFDLGGHSILAQQMFFDLRR KWRGVDISMNAIFRSPTLRGFAAEIDRLLNFESFAASDNKAAAEVPDTSSAPDDEYSK DARKLVDVLPKAFPERTEPILSGEPTIFLTGGTGFLGAHILRDLLTRKTPAAKVVALV RGKSEEQALERIRSTCRAYGFWDAAWTNRLQCVCGNLGDPQFGLSQALWDDLTNRVDA VIHNGALVHWVYPYSTLKPANVMGTIDALKLCASGKPKQFSFVSSTSVLDSDHYVEES ERIVAAGGAGISEEDDLEGSSVGLGTGYGQSKWAGEYLVREAGKRGLKGTIIRPGYVL GDSQTGTTNTDDFLIRMIKGCIQLSARPNINNTVNMVPVDHVARVVIAGAFQPPCTPI GVAQVTGHPRLRFNQFLGALQLYGYNVPQVDYVPWAQSLEQYVNDGQHDDPESQHALM PLYHFVTADLPSNTKAPELDDVHAAAALRADAAWSGVDASAGAGVTEELVGLYAAYLT TIGFLPAPPASSTARPLPTIRLSDDQKQALANVGGRGGSS AFLA_027240 MMNLARLLPRSQTLFRPLAAVREFQGCSGSVHLPPVRARTNPVI GQSQRLSLSTSASLSCDKRNMSQAETNYSPDRFTTALQANKEWAARTALEHPDLFPTL ANGQSPEILWIGCSDSRCPETVVLGLKPGDVFVHRNIANILHAGDLSSSAVIEYAVRH LRVNHIVLSGHTKCGGIAAALGNKQLGILDPWLLPLRQIREQNLAELQTLSPEDATVR MAELNVREGVKLLKSKAVVLEAMQERGLQVHGLIYDVACGMLRDIDTKDSEEEIKRRL VAFKTEA AFLA_027250 MANEMEYTRLGTSGLKISKVILGAMSYGTKEWQDWVLNEDEALP LIEHAYKRGINTWDTADVYSHGRSEEIIGKALTKYNIPRNRVVILTKCYFGVDDGGKQ PPISAAGRNDGDWVNRVGLSRKHIFDAVDASVSRLGTYIDVLQIHRLDRDTPREEIMR ALNDVIESGKVRYIGASTMAAWEFQTLQNIAERNGWHKFISMQNYHNLLAREEEREMI PYCVDSGVGLIPWSPMARGVLARPWNSRSTTRESTDAALKLLVRSRESEADKAIVDRV EELAKKKGVSMAQVSIAWSLSHTNENPILGLNSKERIDEAVASIKVKLTDEEIKYLEE PYIPKALSALER AFLA_027260 MLFNLGLTAHAAAAHENDAGLQPESQPSGNLNRHSSPPTVSFAT LPTMGLGSFYGRYKGSETERPQKGPPRAMTASPVASVISSDGEYSTAGTVLSQSRNAR PQTFRKQSSRPKTIYQLAHPASHARHKRLRLRPKLLLQLQRVSQTPRPLPVLDVLPST VFLPRLSRKFPTIFRGKKGLGPNDLIIVTSDLYERSGGDIADKYLSSDEEHGEHREVV ATICQLLKEDALSKGKAEICLNYGPVWEATPLPNGSYEFVANTENGIQILRWVLRGAR NRRVSAPPGTTPQADTKRFTFSVINPNTRRHPVIATMARNHLEIFDEYAMPTASGLPL SPTSTMSVISDDSEMDASLDKKVIETDDNLRTLIIITSIWVAFREGWSHNFTYDDSAV TFNAALSPSRQPSPSAARAENEPIPAGRDNRSERLVSNGSKNRASVPNGSSSQPNAPS DRSIAYGSLTKRSNSTGAAFMERTNRRASGITGRPKRHSLRSSPRELDQNGNSPVEQS IRSPTRQSASPDPPLDSSRGNDGYQLHQLEPSDLKAKQLSRSSSTRRCRRRLSSIFDF LTRKHH AFLA_027270 MSACLMSLSSLGLSNKNLSKGLGDFLSVAIAPYDDHYMIGQGYY HLLGIRDGAATDGREDIPYLNQ AFLA_027280 MAAGLALARPNLLNPNNPIRSLSLAFWLWKAFVFLIIIGCPGPG YDTSTGLLPYQESAASGAKLEAIRHAPFSFPLKLVRWDSIYFVHIVRDDYVFEQEWAF GYGYTRILSFLTSVALSHIAHYFSVLALYRLSINIFGHDNTSGALISFLSATLHIICP AGAFLSAPYGESLFSFLNITGYFLYSSSLLDANAGKRASSDAKLLLAAALFSIATAVR SNGILSGALFAFDALLQLRKIFTQGISGDILLRLGVIVVGGCVIALGLIVPQWIAYTT FCMSDEPLRPWCEQLIPSIYGWVQVHYWNVGFLRYWTLSNLPLFILAFPMLFLMCRSS IWALNTAWPSDTATAVLTRLAAPNGLLAVMAFTSYHVQIINRISSGYPLWYWYIICQL SSHVADSSSVVKRSQTFSIAIQGMVIYAIVQAVLFGSFLPPA AFLA_027290 MAMDQAAGKAILTSENFHNAHEASRATSPGGGPTGMNGNGEPKA RVRPRTYPYFKYLPYSLEDEPEREQSLREILTQLYVAVEAGDFSPGAVHWTRELRGWL SLKFDPSRTDRINLVRLYYELSLAPGIDPNVSERFASMFMLLTKRKHYLRPVKDLILD WKPLYRELKAFVLPTESGLVHSTNLKRNVKTLTKLCAFVQLYIDPCELPAMLEEFLPH YTTSFSEGAFVVVGLINLLLPTSPPPETREDLLPHHYLPTYFHLWALVNRSKTFDTTF LDYLSRLARDSLPAQHIPFSEFGLFTKEQSSLIFTAILRLLEIPVGQSTSPYSALVDI SSGLGIMLDRDTRKHPVAHHIARWVVMSLSPACLDAEESILTQLEGLIQAVETFFHPS NSGSWTKTLSQLVYYLADFFIMRWNREQSGEMEVPAERRLTEPLKRRFVLCLRDVIFM GIYAKSGTAMSFSLSTLQSLAFLEPHLILPGALQRIYPSLQGLVEVHRTTSSLRALQV LSRIISRTKGYRCHMTTLLGLALPGVDANDLEKSLHALSFIQSACYNIPLADLTQGRE DVNCNMLAMQWISGEMERMETEGVEVQLNYDRDLDDETEEMILRSSTCGFGDFIISFL GRVFTLLENLPDVSRVRNGSPEENIVNTLPATFMPLLSSLSPEYYDIALSKVVDFVSN HVIHQARDAMAFICNSVCKVNPEKALKRFIPVLIQAIRTEIDDNGAGSTRTTGTDVLP RDRGLVWNVSMLSMCVVHVGDAVLAHRKELFDIALYMQQKCRGIPTVHISNFVHHLLL NLTGTYTADYSLYEPDVAARGVQPELWSYQPDPNNLTVKWHVPKREELEFAVELFKSQ AESALKQLTDLTHETSSVKRDGSGKAWSDEVSRNLVLLRLIISGISVLFDPKAASQTK TNGVNGSSKSSTDIDMVDGEDSSSQAEDPDSLLDSSDEATVRESFSYPTGYPLKETDA MYTTIHDIRERAGWVLHEVHRFLSDKQEDDVPCFSALYSAYRSWFVDVGIERSAHVLD RVTRLLAADIHPYKMSGIRKDYPRPLLVRRANVYHLQRLRHNAAPRRRSQLDEILLLD IAESCVSLYTETRRNAQSAGESALKAVWGARLLVIPPLIQALQKGIKENDHARIKGAL FSLLLSSVAKTVGRHWKYAPTLVRTFIDASAVDKPSVQKICSGVVYQIMDYGRAMERM AILDRNIVEAIAPKKDVQDEIQHKRKNINSKRAIIEKKKADLAEELVNLARVSHWKVA SRAATIVITMGLRFDYVASENLIDLVTQGSIDDHPGLRGMYSQALIALFTMVDVRAIC NHDYKNYILGHQHFPSKINVATKRHNKGWTEEYLSSFAKPEAEYYVDHDFPGWLVWAE SMPAYKSNVERDIEYDETEWKVRTHMGKLFDRAWFNKFFMYLKQEPRDPTADKFRMPC AMMLLYAFELMLRDGLTAATFKDIQEEIEAVFEDGSDKHQHRATAEILGALISSVADT SVEKRTLVWEYAFPIVRKIFTDGLTPENSGYWTTFLHMILQCRDPRRAWPLVDWLASF RLDMSTNAAFKESSKINLLHQTIIDAGWHFQLEKPIVQDFLSHLDHPYKGVREAMGQT IATIYRTRYHESYADVKTLLAAQEAASSVGTYPYQPTEEFTKMIHDIFGDIEKWRHER IPGQQTPSSYTSGCKTVLLWLDSTLSSYECTQLVPFFPDVFTGQLLHMMDVKEDPELQ SLAYHVFRHLPNIPYPAEQNSGFIQSLIHIGQTSPSWHQRLRVMINIQIIYFRRLFLL SVADRDKLFECVANMLEDPQHEVRAGASATLSGMIRCSPVFLREEMVSRFRQRFSKIL IDNPLPKRPKILRSGLSTPVSSGAGTPTPEHARLIITRHGAVLGLGALIQAFPYNSPP PTWMPEALATLSVRAANDPGVVGSSVKSIISEFKKTRQDTWHIDAKAFTPDQLEDLSG VLWKSYFA AFLA_027300 MAPKDTFFRSSDMSLTQLYIANEIGREVVSALGELGQVQFRDLN PDTNAFQRTFTKEIRRLDNVERQLRYFHSQMDKAGIPMRSSSEFTDTLAAPLASEIDE LAERSESLEQRIASLNDSYETLKKREVELTEWRWVLREAGGFFDRAHTHTEEIRQSFD NDEAPLLRDVEQQSHRGQNGEAQGQQSFLEMNIGFVAGVIPRDRIGAFERILWRTLRG NLYMNQSEIPEAIIDPTTNEESHKNVFVIFAHGKNIIAKIRKISESLGASLYGVDENS ELRRDQIHEVNTRLSDVGNVLRNTKNTLDAELTQIARSLAAWMIIVRKEKAVYDTLNR FSYDQARKTLIAEAWCPTNSLPLIKSTLQDVNDRAGLSVPTIVNQIRTNKTPPTYVRT NKFTEAFQTIVNAYGIPKYSEANPGLYTIVTFPFLFAVMFGDFGHGALMTLCAAAMIF WERKLQKTKLDELTYMAFYGRYIMLMMGLFSMYTGLIYNDIFSKSFTIFSSQWKWPEI IHPGQAVEASLKGDYRFPFGLDWNWHEAENSLLFTNSLKMKMSILLGWSHMTYALCLQ YVNARHFKSKVDIIGNFLPGMIFFQSIFGYLVLTVIYKWSVDWPARGQSPPGLLNMLI FMFLSPGSVEEELYPGQGSVQVILLLLAVAQVPVMLLFKPLYLRWEHNRARAHGYRGL GEQSRVSALEDDGDMDGGLNGGRGSMASEGEGVAMIAQDLGEEEHEEFDFSEIMIHQV IHTIEFCLNCISHTASYLRLWALSLAHQQLSIVLWTMTLGGAFEQENPTLRVIMIVVT FYLWFTLTIAILCVMEGTSAMLHSLRLHWVEAMSKHFMGEGIPFAPFSFKALLEEDPV D AFLA_027310 MSGHENNGAPPVGQEKINTDIVTLTRFLTEEQTKVPEATGDFTL LCHALQFSFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDIFISAMRGSGK CRILVSEEEEEAIIFDEHPYARYAVVCDPIDGSSNLDAGVSVGTIFGIFKLPDSVLGP ENKVSPKDLLLPGTEMVASGFTMYGASAQLVITMRNGGVNGFTLENSLGEFILTHPNM TLPAKRAIYSVNEGNSSYWEEWTNAYFHSLKFPPEGQKPYSARYIGSMVADAYRTLLY GGVFAYPADKKAPKGKLRILYECAPMAMLFENAGGLAVNSRMERLLGVVPEHIHDKSG VFLGSKDEVQKIIDTYNKYKK AFLA_027320 MRYLVVFVIFQFSPAVPAALLLSRLFPVLFLSASDSPRSKEQAS VKLAPVREQLEKKLHLPTQQQQQQSWLMAVHLKWLGCNFHQT AFLA_027330 MGKPGCLTSERPQIPCPKRQQDAGCDELSRLQLANHIHTLLVSG ESCLFQIPPGWRPVAPDSQVLRVLFLSQVDPSRYPRQTADLSWDMQASAATGWPMERV AKNRNSHSSLSFSLTCHVCMNSWWPPNNVGDEPLSWPTPHAGTSNQPSPSRREHALQP PPLTTTLNGPQFHGLGVALGGNYSSTPLSTTSLSSPFTQGQSPATNTPGGVGVGSSSM TSRQYNVPYNPQDWGPVGGSGQSTYPQTTSMTRIISQSRQAGSHSDASLSPPPPPYSP PSQPQQQHHSRDNANHNTSGRSSASPGAVSSYNGVIHGNTDAPVEYRQHPIPRTRPLS MVQMGDTGQNRHVSLPPPPPLSQGVSSSRSSSQHRADVYQEQNSLNAGSRPYIMVSQQ DNLRPSQSSNYNYMAAATQQGDLMRAPTSRRAVSAGPVVNSAGTSRAASQSRGSSPQG RGWEPGMPLPPPPPGPPPTTRSQSVSGLSDTTSLRHSQAQPRTTRTRPPPVLGTGLDS IPPTPAGWVDEGPAVTKPRGQAPLVIDTANITGSRVETDGSRTHAPQSSTSNGLFRSP ALRDPNTKGIRERRIERRNRQSQGFDDFSAVSTNSNPWADSFDQVKPSNLVLEDTNVI PDGARNQTSTRATPKSSHSLGSDGQYVISRPRASSTGLFSNRSSFSTPRGEPSPLGPA RGFAHTPPFSPGGEKSSGYSKTASQALPPRALPTPPLQPGQDTRPPSRPSSREDRPVS RPLHLPNDTLPTVSALAPRRAPSEKGPSLDSVVNHDVEFVQNAIQRHKAFIDKEAGAT NEADALRIFTEFIISESQIRRERYAKLWDSGSLDIEPVRRRLFEKPPKPQASPAPLSR RPSRVAPRLDIPQSRPESAWWNNYQPCLSPIASLSMSNDGMSSRGRAPSRWWESKSSS EGGERRIERSKRESKYMGLPRESLHWPQGRDLAEGNGMSNASESIDQYAAYGPDEYPP EKVGWHEEPASSDYSSTVGHNNYGYTKETHKMDVSRLITLPPPYPRHHPAVNNSHPDL VNYRTLVRSISDLSVVKSTRERHQSEIKSLKQDHQERMQDGRRCFKANIQSQIEQGSI SFAEAAEAEAALIMEENELARELAKQELDGYQESVLKPMHAILTERINKATASIDELQ SKLFDDAQNESPDQTQEEGDEKPELLEKLTQLKWLFEAREQLHREIYDLVSDRDEKYK AVVILPYEQNANEEKVQETSSFFAKDALNRRVNHEASALARLESFLTVIEENVVRGVE IQLSAFWDIAPSLLTLVQQVPVSLAGFQVQIPTNEYEENPSYQNHPLQYLYTLVSHAE KSSYQYIESQTNLFCLLHEVKSAVMRANCKLMVAERVRLGEDEDHVRREMQESRADEE RSLTHDLKDKVATVEGQWAEALGSAIQGLRERVKEQLIDENGWEELEQLQE AFLA_027340 MVLHNPNNWHWVNKDASGWAKDYLKEKLCALSVEENGVTAKISN LLSMDGDVDVSQRKGKVITLFDVKVQLEYEGKTKDEESVSGTITIPEVAHDTEEDEYV FEIDIYSESSSKQPVKDLVRSKLLPQLRQELVKLAPALITEHGKDIQHAPGENPSKGF TAPTYHPQTKKDTPAPKTITTSTSGKVAVNTTTVIASDEFRTTAEELYNTFTDPQRIA AFTRGAPRQFEGAQVGGKFAIFDGNVTGEYTKLEKPTQIVQKWRLAQWPEGHFSSLEI NFDQNDVDGVTQMRVSWTGVPVGQEDVTKQNWEMYYVRSIKQTFGYVPPPCIVP AFLA_027350 MPGQVAGSKVLLLGSGFVTKPTVEVLSKADVHVTVACRTLESAQ KLAEGFKNTTAIALDVNDADALDKALEQVDLAISLIPYTFHALVIKSAIRTKKHVVTT SYVSPAMLELDEECKKAGITVMNEIGLDPGIDHLYAVKTIDEVHREGGKITSFLSYCG GLPAPECSDNPLGYKFSWSSRGVLLALRNAAKFYKDGQEVSVAGPDLMATAKPYYIYP GFAFVAYPNRDSTPYSERYNIPEAKTIVRGTLRYQGFPEMIKVLVDIGFLSDEPADFL NSPISWKDATKQILGATTAAEKDLEWAIASKTSFANNEERNRLIAGLRWIGIFSDEQV IPRGNPLDTLCATLEKKMQYGPEERDMVMLQHKFEIEHKDGSKETRTSTMCEYGVIGG YSAMAKTVGVPCGVAVQLVLDGTINKKGVIAPMTWDICAPLIKTLKEEYGIEMIEKTL AFLA_027360 MATSMHLSKLRKWFLASPPIEMAISKLRELLIGAIRQGPVPQHI AFVMDGNRRFARTHGIETVEGHNLGFEALARILEVCYRSGVKVVTIYAFSIENFKRSK FEVDALMEMARVKLSQMAQHGEILDRYGAKVRVLGRLDLLRPDVLKAVNRAMEMTSNN GDRVLNICFPYTSRDEITSAIRDTVADYSQPLRPRSSSLRTPFSESHIALNIQARNQN TNPEDTSSDIESTSESSAQGEEGAAKHDRPNKVYETGSAFSSSTTLDLAGHQDSTNLK KATQGASAELENPAYLSPETITRQTLSDHLHTKDNPPLDLLIRTSGVERLSDFMLWQC DEDTDIVFLDVLWPEFDLWHFLPVLLGWQRRVSKSRKNPDAEGDFDGDAVGSNGLSDQ VLSPSAKVKDL AFLA_027370 MAKQPYIPPLIGWLYDLVLWLFSVLVDLFFREVHPRGSWKIPRK GPVILVAAPHANQFVDSLILMRVMRNEARRRISWLIAEKSFRRKFIGLLARSIGTVPV ARAMDNMKPGQGTIYMPDPINQPTLIRGIDTDFEGPGFEKDGTIALPTINGTSHNAAI AEIRGPAELIIKKPFKAKDPLYQLTGRKDITDDGQIIGDALDTDPEFKGSKFKVSPHV DQTAVYEAVFARLNAGGCVGIFPEGGSHDRTNLLPLKAGVALMALGTLADNPDCGLKI VPCGMNYFHAHKFRSRAVIEFGNPIEIPREIVEQFKRGEKREAVGALLDTIYQGLLAV TVTSPDYETLMVIQAARRLYNTKGKKLPLPMVVELNRRLVKGYTHFKDDPRIVHLRKS IAEYNRELRLLGIRDHQVGYARFSFIKVIFTLISRLIKLSLLTIGTLPGLLLFTPVFI TTKVISKQKSQEALAASTVKLQGRDVMATWKLLVALAFAPALYAFYTVVFTIWAYHNR IQNTVPEWMPLWLIVPIGMVLFPSITFAALRIGEVGMDILKSLRPLVLSLNPSSANSL VKLRQKRAALAQQVTDAINTLGPELFPDFDAARIVTDPFREINRPADKADGEPAPIPE IRRTTTTDFERGSISQEPLPRNESFHNLANIGFFSTRPSSRSRSRSSSFGGRPGSSGQ QLKPLSQLTSSDGFEQVSSKIRDAMRQRGEQRRRRHSEDSNSWDMASSGPGTPYSEES RKDI AFLA_027380 MIGASRIMYWAGVSRQLIQMNSRHINIPNTSAALSSVFMGHSHL QQYRWKRRGYPSTRDRLDKRLPHICTKKGG AFLA_027390 MGISDFFSDIISSFSLPEAQAEAPAENVEQPSSQETETEEKPAV TEESNSEETAEKTEESAEETPEEPAEEEPEQEEEEEEEEEEEEEEEPEDIKPQLEEEC ANSAQCAPYKHHFDECVERVTQQQEDADYKGPKEDCVEEFFHLAHCASECAAPKLWKS LK AFLA_027400 MTSKSRDAHHTSTPSDFPPSGPGYKVYKRRFWGLTQLVLLNIVV SWDWLTFSSISTTASEYFGVSESAINWMSTGFLFAFCAASPVVVFTLNKGGPKPAIIT TSTLLLVGNWVRYAGTKARGGMFGVAMFGQILIGLAQPFCLSAPTRYSDLWFSDQGRT SATAVATLANPLGAALGQLIDSFWATKPSEVPDMVLYISVIATIAAIPSFFLPSKPPT PPSASSATTRTPLLPAIAQLFKTLEFWLILIPFSVYVGFFNSVSSLLNQILAPYAFTE TEAGIAGGILIIVGLISSAIVSPITDRWKHYLITIRILVPIVAVCYIGLIFAPPSPAG IAPAYVVCALLGASSFALLPVVLEYLVEITYPFSPEIGSTICWTGGQLLGAAFILAQD ALKAGRDANPPENMRDALIFSAVIACVAAPFPISIGLFGRDVRRRRLDVDRGLDLEGR EYTDTGLAGDDTPAPGTESKFSLKFWSRNNS AFLA_027410 MSGFDLRSSQSSYGDPRHFSGASFGAPQPPPTKVLMDGYHGAPI LKNVETPRYNPLNTAHPRSSALLNGNDPVTMYLLTETAMGDSAHYEVLSLEEVEGLKK EFKFLSGRLEAAKRKLALETKLRDAAMSLSRLYNSKSSRSSEEYDVGGSPKSNRSRRS MFGRNGASSTLDKTDGELAVSTRKCEELSQEVWNLESRVQLIQKRLLEHTAGVLQMTH KGIKKYPKNNVPNTPESLSSHNPRGSIDDFDDRSLYKTSDHLNELNGHGPQGPSPLAH SNQPAVGRDMIQNTEKKLEMLSGQMRDMILQSNPDNDFAPIPQLSAEGSMPNPTATIE AYIAYIENGLGALTTHSNSTPGTRSMNHGSDQQLVGVNTRLYQIVNESGLPRSRTLPP PPDISNGNLEEHLSYLNEGIDGLHERLGGLLEQKGILTTQIQQQRELNSKSDAERDAH IADLVEQLASVRKELDLAEREGQQSKDMLDHTMEQLEAARRELSDHQQRAIPEDNSEA LASEKEARTRAEAEVSRLQTVVKELQHEKDALAEAQEARLRAETEITQLQAVVHEHQR EKDMHNETQEAHRHAESEIVRLKNVVQELQLEKDAYAEAHEARLRAEAEVARLQAAIQ EHQREKDAHAETHEARLHAEAEIARLQSVIQEHQSEKDVHAETHEARLQAEAEITRLQ AIMQKLQQENEAQADAHEARVRAETEVARLEVQLEQIRSESNGHTEQLSAVRSEADGE IARLQAVIDQLRGEVDAKAEEVTESRERSEKQISTLEESIQQIRTETDARLKEATDSR TQAEDEITRLQTLIEQIRSDVESQLSEATKARTDAEENAVRLQAELTELEGEVVRVRT ELTMAQAELDGAYGSRSQRAAAVDPALQKELEVLTTRNIELAQELATFKAGKPANSDT QRRVQTLEKELRETIDDYEVMTKASIEFEKEREKFESLIDALRDRCEQLETQLNEERI TWMGMHSASMGRDGTTYETTSTMVLKNEFKKMMRDTRTENMKILKAEQEERRRLEALL RNLKKEQASKAGPNQTVTAL AFLA_027420 MAGETTAANYSPDLTAPSLPAPYRLDEGYSDETRSQVDKELADL PSDDVMPLPDWLLANSEEDRAEIAYSLLRTLPTSTVAAVVDRLAPVLHMDPVVKLPPE ITSEIFSYLEPKTLLTASLASRAWRGRIIDSGLWRGKYIDEGWRVDIDAIRSFEERQS KLSSPSLRKSRLRNADTDDGEPKNKKRVPPCWLDSRSTGYVGSYIIGRQDGQQTSAEA DTEGDHLMSDVANDQSGSTSSEHQLRSSSIRETQTELSRTTPGPMPSSAQSSPKSSIL LRMPNGGVRINWLHLYKQRRRLEENWNRGRLTKFQIPHPEHLAECHKECIYSIQFWGN WLVSGSRDRTVRVWNIDTQRLRYRPLVGHSKSVLCLQFDPRPSEDIIMTGSSDKSVIM WRFSTGEKLKEIAPAHQDSVLNLRFDERYLVTCSKDRLIKVWNRRELMPGDKEYPVVH HGSGVMFPAHIVDISELPSPITETELAKRQIRSLAPYSLLMTIAGHGAAVNAIQISED EIISASGDRLIKIWNIRSGICKKTLVGHEKGIACVQFDGRRIISGSNDDSVRIFDHSS GAEVACLSGHADLVRTVQAGFGDPPGAEEAMKMEALAVDNEFRDARRSDEAVDYGPRA LRRAGHHQNTAGSRNPKDIKALGAHIPPGGGGSKWARIVSGSYDELVLVWKKDRDGAW VVSQPLSHVKTLKQINGEDTDDEAPPRNPRNAFAQQAQRVRPPNPAAGPATNHPFTPQ LQQHPPVPVQIIITTITTITIITMVTTRHPPGSLRSNSTRGKSSVPPRTQG AFLA_027430 MAETAVMTSGPIAEDNIINRRGGESIYQSCVNLKKRLAELPNFE SHLREMDEEDLAQGNTDPVASLWNCLREGYPLLTIYNASSPEEVLEVDPEKVPEAKRP KAATFKFLQASLKELGFPQQDCFLITDLYGESTTGFIKVIKMVNRVLDILEMQGQLKR TSDVSSRAPEKGTVKLTKREHILKELLETERDYVHHLQNLQLLKKELEETGALTGDPS HQIFLNLNNLLDFAQRFLIRIEQHYALPEERQNWGELFIQHEDAFRQYEPFIANQMRC DEVCLKEWDRIHAAPRTVDLRQMVAQPSTLNGFFVKPFQRLTKYPLMLSELRKQTENP ELQTDITRAIDSIQSVLDSANDAIDKEHLASAFTELDERVDDWKSLKIESFGDLLRFG TFTVLKGDNGKDSEREYHIYLFERILLCCKDINPNKQKTKLIVGKDKPATTVKGKPRL QLKGRIYMANVTDIACYQKPGSYRIQIFWKGDPGVVDNFIIRYQNEDAMRKWYRDIDN QRAIQAEHRSARNTGTSETEFTYMRNMANMPNPYLEHDADEQATKEAGFFSEFPMSRN ASSTSLRTRSATGGSGGSGPPLSNKFRFPMPDPNLSVHTQFPGGSMSPAERNGNSYFS PVAETPSTRSSSQSTAGYSYGRQPTPGSTWNEEPNRYTAPALSRGVSRDGSNSNPYFS GAPNGRGAQRPSLPPMSGTSNGMAQRMRSASSPDIHHHNPESRRYMGAHTMQTVDNVP VPPIPAHMASMKAPVNRSQANSPTNTSLPSRNGNVPNGQNTHFHEPQYSESRMTQPVS DQPTSPLSQEPEEEPLMPTQLKAKVNFDDNYVTLVIASNIMFRSLTDRVDAKLARFTN RSIGSKSVRLRYRDEDGDFVTIDSDEAVQLAFMEWREQHRDMLSRGQVGEIQLYCQAV EN AFLA_027440 MSFSGRRVSILRPSNRRFSLGKELSENELRSETHRQFRSAHEGH RPHAGLDASRASTGVVWCTERATEHGYAENPSEWANLGQGAPEADDEIEGSFPRPTSI PITSAAREYGPTAGIKPLRAAVARLYNEHYRQGKESQYTWENVCIVPGGRAGLIRIAA ILGNSYLSFPIPDYSAYSEMLTLFKNIAPIPIPLSQNDHYHIHPDKIAEEIARGTQVL LTSNPRNPTGHFVSSEELAKIQDICRDRATLILDEFYGGYNYTTDCDGTTLSGATNVE DVNQDDVLLIDGLTKRFRLPGWRIAWIVGPKEFVDALGSAGSYLDGGANVPFQEAAIP MLEPSLVRAEMKALQYHFREKRDFVVKRLTEIGFRIKDVPQATFYIWLDLTALEPPLP AEANISDGLNFFNALLSEKVIVVPGIFFDLNPAKRRDLFDSPCHHFVRLSYGPKMDVL KKGLDGIERVIRRARGEALKAQWEDETVEAATSPGCDAQYGALDIMAWNFYRILNYTI FIVLSVILFCLIVLTPADAIYQCYVTSRLTNIFIISGGYIVTFILAALIYATRIYTNR SALAGIPKAWIPIEKEDVGKSVRRLVVEGLARSAIVAYQARPRDTAADGDTFADYPML LIDRDRPPWGSVEHPGWSSPASPDLPDLPYRTVIQELPNLIEAKAVSLAPPDPFLTAT RSFDPSSPGAEQSIPDTRVVDILRRPVSMGLREYLQHLASLNVIQPPEIGAEFIALYE RARFSSRELHETEFRDLMHIFAGLLRGMKSLDTHIMDDIYAEGSRGDSESVIGPSDEE GETDTMDFHDDSEAFSRGRSNSLQPSNASTWEGRSTYAASGNQSHRSPVWSRNVDPHR LATPRTPSMRSLRRVQSNASGSSGGSVIRLVDTHGPTDLPYAINFNRT AFLA_027450 MQALWRRGTSLFSTPSGSPKDSGAAEEFRTASTPDKLFTKVDPA VDGEECLHDCATCTVRYPAKFDVDQEDTLYGNVNGWSTHLLVATGKTDWVRDVADEEG SVMEAIEKGGLEPSNGKLKLSASNMPVPDEYHHHDTGKQPTTVLLLPSFTIVDHVTPA LAPDLIKYIVNSAPTTTTPLGAIPEPVSIPESEDQTQQPPISDLLSQTPLRSRPCPHA AVILLCSQRTRDARCGQSAPLLRKEFERHLRPLGLYRDLDDERPGGVGIYFISHVGGH KYSANVIVYRRRDLEWYRKQDSKEETSAEGEEGAAQGIWLARVRPEDCENIIRYTVLK GKVVKPEQIRGGFDRERGVMSW AFLA_027460 MAPGGGRDFSCSWEHCGKSFNRKSDLCRHYRIHTNERPYHCTVK DCNKSFIQRSALTVHSRTHTGEKPHVCDHEGCHKAFSDSSSLARHRRIHTGKRPYICQ EPTCERSFCRKTTLTKHQHRSHPPGTVTRPPSEDATSEHSYQTPVPASVPNDQYLLAQ QPYYPHTQTPSHDFYPPPSLPITPVPVQDAPPIVTQSVPVTSPVDVQQAQQQYMQLMQ QRYDPSRQGYSIPPEFQQPFPGVPTVEGHPLMVTYPHNFQYKQHQTRILNQPEGTDWG FLGVG AFLA_027470 MDLPSEWLCSIQLFVLYAGSLASMLTGFLIDGQTSLVSLLLYRT LALEPWQIAFDNDHQLSGGPRGQWHTYYDYVAFCSTAKYSP AFLA_027480 MSSQIPRPALNPELAHVHQALPKIGMSTKEELESYRQFLGSSFS LEDAIRGREDMLSYEERDIPGPAGPMRATIFRPKNQTRRIEDRPGVLCLHGGGLVSGN RFVGVIGMLDWVEPLSAILVTAEYRLAPEHPQPAALEDSYAALQWMNNHSTELGFNPH KLVVCGGSAGGNLAAGVTILARDRSGPKICAQVLMYPLLDDSNQGHSVQQFGDLAPWT GSNTFDALRYALGENHEHADIYTVPSRATNLHSLPPTFIDVGEADAFRDEDVSYAANL WKSGVSTELHVWPGCWHGFDAFVPDAPISLQAGAVRLTWLKKVLENS AFLA_027490 MFNYGTDEDLQDKAYILVTGANSGLGFSICCRLVDEFLNSPQRT NQSLTVIFTTRSPKKGNDTLQRLQDHLRKTSATHSPTRRVTFVPESVDLNNLVSVRAL SRRLNDEYPKLDAIMLNAGIGGWSTLNWPRAIWGVLTDLVHEVSWPSYKIAPAGMVTD YQTTTLAAQEPRLGSVFCANVFGHYMLAHNVMPLLRRSGQPNGPGRVIWVSSLEATVK MFDVDDIQGLRTTAPYESSKALTDVLALTADLPSTKPFVKSFYSVDDNRTYNSGFGRP RITNDDNLAPNMYLSHPGICGTGIIPLSWPLFYSMLAICLFARLLGSPWHTVSTYLGA CAPVWLALSAQSVLDTAESLYRQNGGGRAKWGSSTNWVGKDTPASTETDGWGYGGVVG PAIVDEDRLRRRKRGAVDLTAEDKENFEELGRKCWQKMEELRIEWDKILDLDEVSPDD FGLGF AFLA_027500 MKALILVGGFGTRLRPLTLTLPKPLVEFGNRPMILHQVESLAAA GVTDIVLAVNYRPDVMVSALKKYEEQYNVKIEFSVESEPLGTAGPLKLAEKILGKDDS PFFVLNSDVICDYPFKELAEFHKKHGDEGTIVVTKVDEPSKYGVVVHKPNHPSRIDRF VEKPVEFVGNRINAGIYIMNPSVLNRIELRPTSIEQETFPAICKDGQLHSFDLEGFWM DVGQPKDFLSGTCLYLTSLAKRNSKLLAPNSEPYVYGGNVMVDPSAKIGKNCRIGPNV VIGPNVVVGDGVRLQRCVLLENSKVKDHAWVKSTIVGWNSSVGRWARLENVTVLGDDV TIADEVYVNGGSILPHKSIKQNVDVPAIIM AFLA_027510 MGAPTIRSLQWNEDELLTAPISPFHSSDTHNGDELPFTDPGSVK WRLLQDGRSLEALGENLELGKDRGARFLTTQDLVTPSGLLTTSEDSTLTQFYDHSFTV HETFEITAPGIHLGDSMKESDIWPDSTGTSIATEESLVLELPIQGGITDLQNVPSAAY LTSIVPQTMTANLIVGIVTIRPPRRIVTRRWKNELDLVEMVVGDDTRSGFGVTFWLPP MEQSIAPGHHDESHELRQTLETLRPRDIVLLRMVGLSTFRERVYGQSLRKGTTKVDLL HRQRVDVTDAGGMYSARSLINHDTTTKNDELLRVKARKVREWIRRFVSTTTESAGGDA RKPTKRGPTLPPDTQEDSSSL AFLA_027520 MPAIVVERYKSGHFATNSLSEAIYFKALQRVGGTDAAALSAAAS AQVGNSNLNSEQLQAIGQAVAAQNHGGNAGMSTKANGTGAKEAPLYVVVEESLGSAVF RWVKFLLYFGFFTYMSLVLVTILVETTGVLKNIKGPQNNEAQPQQQTVRFTDVHGCDE AKEELQELVEFLLNPERFSSLGGKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFYMS GSEFDEVYVGVGAKRVRELFTQARSKAPAIIFIDELDAIGAKRNERDAAYVKQTLNQL LTELDGFSQTSGVIIIAATNYPQLLDKALTRPGRFDRRVVVDLPDVRGRMDILRHHMK DVQISTDVDVAVIARGTPGFSGADLENLVNQAAIFASRNKQAKVGPRDFDWAKDKIMM GAEARSRVIQDKDKLLTAYHEAGHALVAYFSPSSTPLYKITIVPRGMALGVTHFLPEM DTVSRNYTEYLSDIDVSMGGKAAEELIFGPDKVTSGISADIQQATETAFTLVTRFGYS KKLGNVDLSTNYDSLSSETKQEIEGEVRRLVEEARMRATNILTEKRHELELLTKALIE YETLTKEEMEKVLKGEKLEKLESRASAPLKLPEALQAARLNPSTSAEGPPTSTASDVN AFLA_027530 MRNPFDMSDLDSLVDEQEEQPYIDIHAYQTHDGNRSLLQPNENR HGDSLKDRFIGAIDTGTTSSRFIIFDCTGVPVAKYQMEFRQIHEHSGWHEQDPFDLVD SVYTCIEEAMKSFMALGHSPSEIEAIGVTSQRETTLVWDWETGEPLHNAIAWPDTRTK GLVRELKAQPGADELKNICGFPLSTYPSSVTLVWMLRNLPEVKKAYDEGRLAFGTVDT WLMYNLNGGPEGNVLVTDVTNASRTMFMNLKTLDYDDNLLEFFGIDRKKIRLPKILPS SDPDGYGWVRGGPLDGVPITSCLGDQSAALVGHCAFTPGTAKNTYGTGCFLLYNVGEE PVISKHGLLSTVGFQLGKHRKAVYALEGSVAVAGSGVSFLMNNMGFFRDSRKVSEVAA TVPDSGGCVFVTAFSGLFAPYWIDDAKGTIFGITQHTQRGHIARATMEAACFQTKAIL DAMEMDSGHALSELAVDGGMSNSDICMQTQADIIQIPVERPAMHETTALGAAIAAAFA IDIWKDFSELKNMNRANRTTFQPHISAAQSSKLYKRWSKAVEMSRGWTDPAEEAEEE AFLA_027540 MFPVEYALISQFLAFTFLYYNDARAAAAGRAPAWYGMYRFVLTF IVGASIVASLIGREQIANTATEHSFKDKINALLFLQKKEKEEAEARRKAELGEEDSE AFLA_027550 MFYRNVAARSALRAFSSSNASVARSALANNVFKAPLTSSARYPA RPTTSPSLALAARKPVTTALIRHASTAPKEGKEGEEDTDMMAGIKSEAVCAELSS AFLA_027560 MLEGVVANLLNRFLGIYVKNFDAKQLNIGIWSGDVKLRNLELRR EALDQLHLPLNVVEGHLGELTLSIPWSNLRGKPVKVDIEDVFLLAAPKEDADYDPKEE ERRAHALKMDKIESAELIKERNAEGMSQEEQRRNQSFTQSLVTAVVDNLQISIKNVHF RYEDSIASPGHPFAVGFTLKELSAVSTDSEWNPTFIQSTSSTTHKLAVLGALSVYWNT DAELLGTGRGSDVGAKAQGINHAELMERLKSGIDNEGNNQFMLRPVSGRAGLELDKSG EHDRAAIKARLLFDELSFVLDDDQYRDALMLVDLFHYFIRHQEYKKFQPKCRPKEDPR AWFKFAGNAVLSKIHERNRRWTWDYIKERRDDRIAYIDLFKKQKREGTLSGPDADEFD RLQRKLSYEDIRFWRSLARNQLRKENVGVKKPARQQTWSEWFWGAPKEESEEEAMTEE QRQELYNAIDWDEKKAITESVDEPREWVKLQVNSSLRAGSFTLKRDPHGKANEIMKLV FDNFRAKALQRPDSFFIDVNLGGLRVYDGTTEGTLFPQIVKVKDTLPVPKNRLSQISG NEELDSEGVADGIEDEDSLFHLQLEKNPLESDADSVVKVKLKSIEVIYNPRFLVEVVK FFEPPERHMESIGALMDTAGATVKGIRQQTRAGLEFALQEHKKVDAQFDIHAPLIIVP ESITQESSLCLILDAGHISVNSELVDRQTMRDLQSKQKRQYDEGDYKELEHLLYDRFL LKLDSTQVLIGPGIDITKSQLSSDVSSNNLHIIDRINVDFVLEMCIVPKVTELTRTRI SGHLPELHASMSDTKYKGLMKLIDIAIPRFDEGSQESNVSGKGPEESSIPGTRARSSS FQPSVMRELPAVDEDSDEDSDDENMSKSVEGPTNLHRRDFEFKFTVGRLRGSLFRSDP NDQLHDHLLVELVAEGFALDYYMRPFDMVAEIVLKSLSVDDYIEENPAPEFKRIVSSK GFDADEDKDLFHLKFVRVKPESPEFQSTYEGIAMNLDVSVSTINLVVTRKTLLTLLDF ILLTFTNPEQPSNQDLQSGQTIQNTSTTDQESQQAGKIRIKADLKSIALILNNDGVRL ATLSLNTADIGIFLVGRSMLIQSRIGSLTLIDDVNTGAPESSDLRRLLTIEGDNFADF KYETFDPDSANYPGYDSEVFLRSGSMKINFLEEPYRKIINFLVKFGKMQAIFNAARQA AANQANQLQENASRMRFDVVVMTPILVFPGVVKEDRPRDTVTAHLGEIYAKNEFVSLE DEKDSPAVNVISTGIRNIRLTSKFHFEGGAVEELEMIQKVNLDFSICYLEHQPGNSRP DMEVEGSMSPINLRISQKQLKFLLALSKTVPGAFAPDTEQQELEAMQALPSSVTEPTK EADSRAIQSRNDQDNLLQGAKTDETWVRLDMVFKVDGVGLELILSNDDEPVGRLEDSS LSKFSLNDTKVKLRMLTDGSLESELLIHSLSIRDSRNKDTNKFRKIMSLINNDVQQQF MASVSMSPGPAKHLIAMLTIDSPRIIVALDYLSALQSFANSAFATEESVEEEESDDTP EGSEPRSSTADDTDESVITPSSGNTAAPPAGQMTVSFRLNLVDAQVIMIANPAITHTE AIVLGTKQLLFSHQNVSALQISKVGMFLCRMDKFETSRLRILDDFTLEMSMDSRPQEK GSALTSINVHLEPLVLRLSLRDILMAIQIVNKASEMRAQKPNEGETGEVKRISDGKGT SAKSARRKSVGRPTSTALAPRSHRNSTGVIDTTEQELISHRSAILKKEEMNAQIDGVR VILIGDLHDLPLLDWSVKKFTVDVRDWSSTLKADTSFDTFLNVYNFSKSAWEPLIEPW QLGFHMAKEVNPDVLSIDAYSHKTMELTLTSATIALASKSFQFLSTDEDVLSKPRGAD APYRIRNHTGFDLHVWADVIADEEGPAAKLADGEEYPWRFEDSTAMRETLAPEGHAGL VGIKLEGSGFESVSRIPVVREGEILYNLKPKKDGILHRLLVEVKLGTDNVKYVTIRSP LVVENNTQIPVELGVFDPRDGHLLKIEKILPGDSRPAPVGAAYMHSLLIRPDQGFGYE WSNEQLHWKDLMRRPTRTIKCISEGGQQAPPFYFQMNATFNSRDPLTSTYPYMRVRIF APVEIQNLLPYDFKYRIYDKNTRKDWTNFLRKGGVSPVHVVELSHLLLLSIDLEDTVF KQSDFAIINGNAQDFRREHVLPLKDERGIQLRLKLHYFNVPDSGGAFKVSIYSPYLVL NKTGLSMEIQSKGFLQSARSAAGQGLRADPRHGGRTLPYMYSYPSEDQKNRSILRIGD SAWSKPQSFEAIGSTFEVVLPDRHGRSEFHSGVTVAEGEGKYKMTKVVTIAPRFVLKN KLNEDILVREPGSSNVLDIKSGDLVPLHFLRQVAEKQLCLCFPGVNNQWSSPFNIADI GTVHVKLAKANQRQKLIKVDVIMENATLFLHFNLETRNWPYSMRNESDMEFIFYQANP NVEDYEDDRTNGWRPIRYRLPPRSIMPYAWDYPATKNKSLVLTCNGKERHIKLAEIGN LIPMRIPPTQYGEHQKIVDINIVADGPTQTLVLSNFKASKSMYRQQRGQTSQSSLSTG FEVKELDSDVNFKAQLRLGGIGISLINQNMRELLYLTFREIDIKYRESMVYQTLNTTI KWIQIDNQLYGGIFPILLYPSVVPKTGKEMEAHPIFHAMITRVKDDSYGVLYIKYATV LLQQMTLELDEDFVFAMLDFVKVPGASWSEEQEGKLCDEDLRIPEPQSEGAGQDVYFE LLHLQPMQLDISFMRTERVNAEDTMQPSNPLMFFVNVMTMSMGNINDAPVRLNALMLE NARVSLGVLVGNVQRHYTQEFLRQVHVVLGSADFLGNPVGLFNNDSL AFLA_027570 MDSDSPPASPSAGQKRKIADMSSDEEDFRPTMGFRGFARASSRS ESPPSHGGLGSARRNPWSNNTQSANPRGGASNAGKGMKGGNSFAARMMAKMGYVEGQG LGSTGQGIVNPIEAQARPQGAGLGAVREKTKQAREEEKRAAALRGEVVEDSSDEERKR RQKKKEARKQGSRSGTGTPVPRAKPQFRTAREMEEDMAGLEVPNVLKSLVDATGKEQR VLTSTAGLMTPSEFVKPGEGEALKIAQRARHDLEAFADEWKGLAERKKFIDLEEAQLV GQMDTQQLRMDQLTELVAAIGGLEIFQEDSTRGRFDEVTEKLESLEIKYRNEIDEYRL PETAVAAIHPLFRQAMEEWEPLQDPTYLVPNLRRLQPLLSRKKDDQNAQRQSTSPYES MIYTLWLPRVRSALLNDWDVYDPRPATSLVVAWKEIIPHFVLANVLDQLVVPKLTSAL KEWKPRSSSRRHTSSKHSSRFPWWLFTWLQYLDERHTNPRQPTGLLSDAKRKFRVVLD SWDLRKGLVDGIELWRDALGSEFDVCLRNHLLPRFGRHLREDFEVNPQDQDVSALENI FKWKDFFKPNVFGLLLVAEFFPKWHNILYIWLTNDPNYEEVGEWFSWWRTQIPEDVNE LTIVDDEWKKGLQTMDLASRLGDRAAAELPPPSSTTAEQIHQEKPHVPAEAPSTKARK PKVVEEVAFKDILETWCTEQGLIMLPLREAHPQNGQPLFRITASATGKGGVVAFVQGD VVWVQNKKAKDVWEPMGLEDQLVERAESR AFLA_027580 MAASLIRTSARTALRAGASATPKAAGVAGLTFARGKATLPDLAY DYGALEPSISGKIMELHHKNHHQTYVNSYNTAIEQLQEAVAKEDITTQINLKPLINFH GGGHINHTLFWENLAPKSQGGGEPPSGALAKAIDESFGSLGEFQSKMNAALAGIQGSG WAWLVKDKQTGNIGIKTYAVSSSLTRTLSLVSSSLFSVLMLGSTPTTFNTRTARLSTS APSGTSSTGRRLRSASRKRAKVGWITCSRSIPAGSGKLIFLVWDPLRPLRIFFPHLTS QAINSLEMSAESPGEKRGGFRAFFAGALRPKKSRQVLRKASTPNLKEGLQSKDDVPAM PSLTPLEAHRLKYREVNLQKDTQLGETHDHTAMLHSIGVGELDPSDPHAQLHEFDNRP PGEPMIASLTSDLWAKVTEYLNPAERASLAFSSRTLYARLGREPWITINLPENHDYKA DFLISQDRLLPHHLLCFPCGKYHRRTQEGYEKLQPADIINPLFDCPNARNNALPAPRH RITHGRVLYFTFHQLVMRAYRFGPRYGISADSLSRRWRRDGWSHQTRYHIHQGRLLMR VVSTCFAEPGLSASQQRLLLYSRDDYWPYFSVCAHWRDGELMNVCKCALGHIPVPRTT NGLQGLEHRAKDMYHRREHNPNALASLCGKCRPMRRCPECPSEYLVEVKLTEDRSGSH RNLFRHAIVVTRWSDLGDGRSPRLSKEWAAINGDEAGEGYDSFEKIGKRAISGIFESA ITDDTLPGQRILSMNPKERSWVRLGIIGIEVPYLYFALGVICGGKLGVLSGVIFCIIL YYTRVGVWVGWVGWVGWVGWVGWVGWVGWVGWIGLCGFI AFLA_027590 MSWPHDLSSMTGSPKPSSHTSRSKTPEPGSTSLVNPSSSLLQDL LKEQRATRGSRGTASEDMDDNGPRTPRTPGRSRANSQNQSQEEPGSDRQRKINNALAA GLKQPREMGMREMDQYISKMNKQNFDLKLEIFHRAQQMAAMEKKLERMLEMEEELQRM RDLEDELQELRDAEEDNQRLRESNEQLRQEIDKRDQAVTEAVELICQLEARVEELEAV GDHSRPSTARPPTRDGPGPAIETPNSPTPVDIPERTSSKRGVTPGDQHRRRSSGTRHL KRAPSFLHNENKNTAALRSVYNPADDQSRSEMSILTNSESLHSMNETGEPDSPRLSAL SECSELHIGDITGSCNDFDEIEIPVRKRASTAQSSVFSPLTREDRERDRLRFSSWTPP KPDIDPEDTPRMTIRPVLDVFRDTAKPSFESVSCDNSSSQKTQIDSVFGSSRLPPTPD TMSTAHAFGTNRSNGSATEKSQDTNVSEVMHPPLSPNEGDEAPAIFPLNSISSRTGYM QSQMPVHGPNIGYYGNGVLFNQDDLEHVLSKIDNNYYSSPRRDEAEESTLDTPSTSPP LTPQDWVEAAKSGNHAGKNRALAPAIGTVPTKSRLGGTRAPSQSSFLGRRHSVDSTVP DVPIIPTLDLGSLEPVRQPEPDPEPRRKISFRPRFFGSSKATRRLQPSPIPDATNEQD GAPSPIIPKSRQMGPSKPGRTNQNDNSPTSYDNGRIPAPTYADTKGDDMRRTLPHSFT DSNMLSHSTTSKPPSSPGKGHKRRSSLGIFGWMKGASGFGSSNKKSDPDSSAMSTQSN ASVKDRTPSRLAYEFPIANAEARDTHLASMNIAVEDFASSTKRISWVEEDAARRPRYV DRRRRV AFLA_027600 MGVDTWQSTPTSLALGAQETHAGEVIKAGLDHSIPCGRNLARVL AKNGYKEPLGISSFDNYRDVFGRDFFNYVQDHPEAGGSFQGVMTSLTQYKMIWTDVYD TQHLVAGADLTKPLFVDVGGAQGFDAQRLLDRHPDLPVGVLIVQDLPEVVTTHGKEKL DSRIRKMAHDFFQPQPITGARAYFFHAVPHDWPNADVARMFAEVKKVMTPGYSKLLIY EVVLPAQGATHLMTTLDLALMSCTSGLERTEEAWRALKEGGFKVTSISRHPMAVEGVI EAEIE AFLA_027610 MSPDVISTLDAIQPSQFTAPADRFAAKEAARRLLAALRRPLSKA GPSPSRAPALLPAVSRFKTLASGRNGQRPIDKIQAQQGRLTSF AFLA_027620 MSYYDIDSILTDAQKLPCTFELEVPGLGILEGNPGDNIKAGTRI DLPLWLGEMLSIGARLGTSRLVTLDIPSALSERVMNALKADPRTVDLRALAPHFYSLS ERVLELFEEEEMVDVLINTFKKRASEIADHAHNPKGALGDGVEFLRGLDETERQLFRV AHDSAKETRIWAGEAKKR AFLA_027630 MAYNAVSQADHEVASNATDSDVSQSPSPHKSTFQQLPLDVDHIG GGTYLQPAKTAEDSSMGRLGSLIRSMTSTSYDMVEEDDYDLADPPTSRRSNSLRCVAP LDTRAAYAGSPEPPLRSPTTDTPVPLSHPTPDLQSLQGAYLNNVERLERSAEQLSSSS ADIGSEIRKMDREQKRRSVSSVSNSLRESNPMKGTVPSSQGSIMSASRLAQVSEHMPE ESFTQSMMYVTNDSTLPPQPPVPTEPDFTYHDQYSHIPGEIQRPSSAASGDTFQQARV LFTDFDGVHYVPHDKGQELGRQVPLTRPPLASRPETYKEPRTGEDMVYYPAPVPMMLN LPPRLSQKPNPEREKRRTQLLGSVTAENRKSAPWLSGRALGDATDPKREKQPANLPPQ LRASVFFEQPATPLDVEVKEASAVATLDSILDASTNAPVTAFTDHPYAGPVGSEVYAK HKASSKDLAAQKKKHMSRSTLRVDHPSSDSDARASSIASHPLYHQTEADAADMHEGTS LREGAHDRDDVEHDPQKDEGEETNEQEVPFTGPPSTLLAELEMRKHELRQRSRTAANS AGMHSTLLQLEAVAQKQSEHRKHRPVTLAWEGPDVNKPEDDGDDDVPLGMLFPEKATA ADDNRPLGLMERRELEESEPLSRRRARLRGEPPPPPRTPDHRPTTMYAQNAQEPAGGD SGDEGETLAERLKRLKGKDRRKSVTGSEFADEILAEVDHMNGNDKEKGDEGAAEEETL AQRRARLQKEAESRNSTLKVPRYRRSMADLLHARRPAPGGRPASREAALHRTSTYQPP YDSRTSLQFSAHPGQPVPSRFAQYQAPARTDSFGYGVVHPNTFYSDAILGMNHLSYVA PHKAVRPGVETGQREIIDRWRQSIV AFLA_027640 MPPSTVFSYWRRDHRRSSASPVSSSARVNGVSNVPQLPVIPNST ALPTTFSSEGLGTQVSSDYQAEVDLSKDNIAVSTAAINAPSSSSATLAVPSSSDKDVR PHSSPEERERDLSLTPQSNYSQPSFAGSRLDHVEGDSSKPNSPFRLSFGKGLLNSHSQ SSDSHLKRSSTPDMAQSGHSRFKAPPDESATERIIAPRRDHRYESTISRRQGDRDVSA EPVHHKSGKAMLHLLNPMSLLARRRSSQVAGRTEDANIRARSVIPAIPDDYDPRIRGN IIHDFSAPRPRRNLSAAPVLLHGVTNRHSSHEPSQAANGTQSCTNDWTAPLNDQRKRH SEYSPVFREHFEEDQKALQVENKAYLQSSLLTNPSNHENDAKSVPVFARNLPSSLPDP DREPPTTDNNASTKGQEAEPTNDGAPLDADTVEIVPHQPLGLPKHLKSNASRFSFDMN GVESSTQEKLLEEKHKEKEAARRAKARLEGEFSDAEDDFDNDLIDDMDDLEEKIPGVN VDADEEYDFDDFSGPGDILNKSWLAPGLSPVISSPSSPNAPSMVPSSNAQSGQTLAPE LERSQSSSTYDTAAAHLNNETLSNHKAEAIPQSAAASPSVAPQMTANTHLNTSQLLVD EEDDDLYFDDGEFGDLTADIEGEKFDESIFDDETSHLYERKPAGQRAASVRQEEPNPG IGDAMNERDGLSGHDGGLKHVPSMASDYREVVPRMYGHEEVIPELGPAKSYGGVLSEH NLEALHSALAKAANDSATNDRFGRSVSVSEQSLGHESVTQTVDSHSGLVSDDSHLSQL ADVVGVESVFEDFDYDDNDSALYDDPIIAAANAEALENDDEGFYGQEFGFYAQAYGNC TSELTNGGYFGPRGIEGITRSFSSRGKFREPSLTPITERSEWSTRNSVISLAAHGAAH SNPLSSPGLAQLVDMGSIEDEMTLSALLRLRRGAWGGSNGSLRSSSGSPPPNPHPSSN RGSFTGLSDVSPTVYNAPPDAFGGTSATDSPIDKWGPPHNLSQQQNSIEGE AFLA_027650 MSSAVSSSAVNSRQSVRQTRTNPSRTSKTLGRSSFAYGHGSLTD TPPTPPVAHGFYPALTHFTDAITALPREFRRHNSLLKEVDAKAWALEDNLQQLLKASS ELQADPPLAQAPDNAGVLQEDLATGASQAVEPQENSNRRYLLGRVRQTLQDLMMTADE KNHVISNANDELDRQLLRLDTIFPFIAGEISDEARLGSLTHWAYSNRNAVKTTTNERP RREAASNKDLAHALHEAEVASRSEARREAVLARKQRRTHADSDFDDARASGRKGQSGK ARGAAANDASAVAGQAGASGQTKRRKVERPPTVDTGAAMERSASGAGASGRAGSKDAT DATKKRSRAPNANAAGARKRNNTAASAANSPVLAPSPLVGAATVPRSAASPGPNAATR PQSSRAQQNSTQTANGRQRPSSSASNRVANNNKTTEAKTTPKDTPKMETFVMANQDTH REMENETTEPAASKVLAPVSTKREDMDGKPAESIEPGETPAPPAPNPPAPKGRSSKTS TPVLPTFSEPAPRVRPTRSTDAAPAKRSHKKNGSVPVVQQRAVSEEEESYHEGDDEDE EGEPRYCYCNEISFGEMVACDNDACPREWFHLSCVGLTKPPGKNVASAAAAAASPSNL LLKSSYLSSPPEDPTTLLVLSFSPTTQAGTLHRAPTPGNISIRHANPTNHPTTAGNTI PGNCDSANCAATRYVVDLSEKSNVRDFPVDCAVASSSAGLELDVEIR AFLA_027660 MECIYAFLRHLELQSACRTTPQNPQPRPGLELNATCSRITPSSP TPRSPITPIQYHHNSPPTTQEGIQNPQCNKNSNNAHHKPPTKNLQNPTRTSAKPSPTK RKP AFLA_027670 MAPPSGSAAYKKKDGTLTMSQDRQSISWIPAAGGATGTITLSVS QITNLQQTPASNPKVMLKIFVLPPDAPDNSPEQYVFNFTAGANARAEADAIKDALSAA IQAAKTAQAAPTPSPAPGAGEGMSTAMAFASAVSSKSTWDNDKWLKGDVELQQSLLKS DPNLQRMFMESLHTKPDTLTAGQFMSQFWSTRIHLLRAHAIERSQTRGSYNVLSTLKP RVEDNVTKLNISKEQIQLIFNQHPLVRRVYDENVPKLSEAQFWSRFFQSRLFKKLRGE RLSETDATDAILDKYLQADEHGNLPREAHVPHFLNLEGNEVNNSQRRGNRPDLDMRWS APDKVPIIRTLNSLSEKIMANVAPADGDPHAPVGMDEDTYNELQLRDLRGDEEADRVL LNIRDQSRFFSAQAKDSEDEQNRLFAQQDPEKILSDLRKEIEQNLPDDGTAPLGRLVE PDELGDEKESNQQPGSRVSLQKSSAQIIAAIRDRRAQTDVSSTTGTYGLSSGLYDRLN LTHATTTEFLHQFWQAFLSGNPDRAAELSSLVDSLSRAMERIKAVAQDAEAERQVEVD RKKRHAREVMEATGKKPRLNLSSIQGGEKVVNQLMGPTIQALETAQAKYKAALAEEMK ETSAQ AFLA_027680 MGANTLGWDGLTSRIAVAVATVCLTSFVYKLIKMRLMFYRLRKK GLPTPPWNPILGNLAVMAQLQKKGPSDSREAESFALLSTEAPGCEAGFYVDVWPFSIP MLVVTSPALAVQACQTYDLPKPDVLQPFINPMAGGSDNLFVSNGAHWKQARELFNHGF SMAAAMSHMTYILEEAQVFVQMLKDHARKGDTFSLDALTCRYVMDIIGNVALNTRFRF QEQHNPIAAAMRDTIELECGIETSNFLSRWNPRRLYRQWQNGRTMDYLIGVELDKRYK EWRETAKSSSHPRTQSIMDMVIAEYMKTRPQAQQQQELDPEFKRWATIQIRLFLFVGH DSEATTIIYSLYLLSKNPEVLIKVRAEHDRVFGAGVSSAYDVLTDHPEKINQLSYTHA VIKETLRLFPPANGLRGGLPGVSLRDEQGRIFPTEGCAIWIVHTAVHRNPSSWPQPHA FIPDRWLVEPGHPLYPPAGGWRPFEQGPRNCIGQNISLLGIKASLAMLVRQFDFHDAY AEYDRLHPSTGLKTMFGERAYMIQKGAGHPAQGFPCKVTLR AFLA_027690 MSSAAVPPAIRINKPPSIALPSALSGDTSPSTLSRDSSPHSSAC SSPDGSRSTSRRRPSVGSIKEDVDGIAQSFVDTHIDQPSPEQPKPIPVEMQQTPDFCC PCGGFLGWKQIRLGGKSLSRSYSDLRALGNLHARGWAWETSPPPVKNPPPTKTLQVEQ PKPAAGLSRLETLPSEVLDQIISCLALDVPPNGYTPRNVDLISCLLTSRTLHAATLAV LYRNMTFPHSIIFSKALNHMSHYPALGTLVRRLDFSHFTSVGLGRTKQMNAEIQNLTS KTLLKCLDLLPNLKECLLQEHVEGDLSVEVVQKLLFGLPNLFAVDFCGCSTQSFSAVF QEALLAGPALPSALPNLKRISLHECSSLPASVFEALLPRLVNLTHLDVTHTQISEAAL FSIPKTARITHLNLSRCTRLQGSRVVEFLVTHPAVCESLVSLNLMTDPTRNRLLEEDD VHALLPKLPSTLRSLNIGGAKVTSAHTQALIPLTKHLEELGLGSAELSAQDINLFFKP PPRANMDVDGSAEVKEEDWVPPTLCYLDLTKAPQLSLGTVFNPSSCLLLSQQSYPLQV IEFHEKLIAPLRERTKNARTSLGWTVRELGRRGWYVRDPASMPLQVPDDGSRSWKMGA RWWGARKIPVAIGEVGGIYGHYMFKK AFLA_027700 MEKKKKKEKKLFLPRIPHRLSRWTIKIKAEGGEQEKTIGRGEGK VKLKEARTE AFLA_027710 MSGNTKAYFDVEYDGKTGRINFNLFEKDVPRTARNFRELCTGVN GFGYAGSGFHRVIPQFMLQGGDFTNHNGTGGKSIYGTKFEDENFKYKHNKPGLLSMAN AGPNTNGSQFFITTVVTSWLDGKHVVFGEVADDESMRVVKEIEALGSSSGKPSKPIKI VKAGEL AFLA_027720 MPRFDDADFAVDPAPAAPGTAPAPAARSPRDGRGSMAGSLPIPN DAGATIEIPATRSSISDAAQYMHNLSLAPSTRDRRGSRNSFGTSLPIPRSPRVSRLAS VVTADGNSVSRDLLASQVQDMSKEKVAAAKNMAFAFDIDGVLAHGNHAIEPAKEALKM LNGDNELGIKIPYILLTNGGGKTEAARCEQLTEVLGVPISTDQFIQSHTPMQALAEYY DTVLVLGGEGQKIREVAENYGFKNVVHPKDIVAWDPTVSPWGHFTEEDRAQAKPRDFS KMKFDAILVFADSRDYATDMQLILDLLLAEDGKLLTRAKDPVASRIPIYFSQGDLIMP TDHQGPPRLTQGLFRISIEAQYKALTGVDLERVVYGKPERATYTYADEVLKSWMEQIH NENRLPQNIYMVGDNPASDICGGNMHGWNTCLVRTGVFQGGDNDENNPANFGVFPNVL EAVKAAVRKELGKEFKFKWNPKVNPVTGGDSGSAVE AFLA_027730 MSNGKTFTLSNGVKIPGVGFGTFASEGSKGETYQAVTKALETGY RHLDCAWFYLNEDEVGDGIHDFLKKNPSVKREDIFVCTKVWNHLHRPEDVQWSVDNSL KRLRLDYVDLFLVHWPIASEKEDQEKPKIGPDGKYVILKELTENPEPTWRAMEKIYRD GKAKAIGVSNWTIPGLEKLFKFAEIKPHVNQIEIHPFLPNNELVQFCFKNDILPEAYS PLGSQNQVPTTGERVSENKTLNEIAQKGGNTLAQVLIAWGLRRGYVVLPKSSNPARIE SNFKSIELTDEDFEAVNKVAEGRHFRFVNMKDTFGYDVWPEETAKNLSA AFLA_027740 MNPRLSFTPRALMPIFVRSTLRSRLTSSKRNALSGEGSIHHPIR QYGAIMLVMMNYLQMW AFLA_027750 MKALQRLISAQSGPNDHDDLYTEINTSRNEEYMRGVKRRCLVHN SEEQGEATTSRGRSFLETKWESEASGKRRKFPAYRGLVDGSEPIVADSQPLGASDDDD SDISYPNAHDTATSGDEILPDTVESDESLDRSPDTPTRLAAPAAYPRTLHGLQSGMDG TTADIKECDDLGQPQADLPESSSLEAGPPENIEEQENDAVLDATMTDDLQSGQCESQT SATVSPESVQQTDATEPPAAAPVQDLTAEQESTLVRSALRSSLDGEDAELLNNFLSKA KAKREAKAAAAAMVTEEKADQVYVEIPDIPTPQGRRVLEDLDANSPSPLKAQLSPSKV PGKDIDEKEDQSPSSPRRSTRTRSVKAPPRMTTTTTAARNTLTLRRAKGTEFVFLKKT EAQELALATRRNTRQNKGDAMLPKYTLQTLAQQTTDSEATSDDNKSARDSSRRCASAK RVSWNDERLVEYEGESGEGSSDDATGRGGKSKNPEKRKATSSRTTRSQGPSKTGGDTV PAASTTAATTATPRARRVRRLGTPKPTAAVDTSASPSTSMSPPETRKKLTPKSPRTTI AGSGASKKVSRVSTRSDTRASLDSSSLKSSSLFKAHAGSTPMPRRVRS AFLA_027760 MATDPDGDSQMASSPESSHMHSEDSPVGSRTPTNMRSATSQFPG TSELSPPGSQTQSVSADVGGLAGLGNTNTSGGSAEMTSAQQQQQQPGASWMNKRAEEE YHRAMEYVIDQDFNLDEFGDPFDDRDMEEKLF AFLA_027770 MSAKPSAETPDAWEEDWERQADTLASDPTPPPPEKKVSSKVTKA QRRAQQAEFNRQLWAEAETPQTFHFLESRSNVPLKQEFKPTVTLLSRNPHSSRQSSSV NATAAGLGRLALNSDNDDDSDDDNKPPEPTPEERQAIALRNREEKQRKYEEVRERLFG SPSGTTSGASSPRSGTPPKHEGRGKGRSRGSGRDNNRDKRDSSAASGKSKQLYDPESP KPSYVQRKEKQPTSDQIGNDQQPLRQPIRSPRGPDSSGRGGFRFNRGARST AFLA_027780 MVSFSMFLRSLFQTQRQRLLSYLEFPAICLPPAVYSRSLIQPAQ KVRAMSTQNQPSQKTYHTKATGLAALTVSNHSSENELKLYGSCFCPFVQRVWIALEAK GIPYQYIEVDPYKKPQSLLEVNPRGLVPALRHGDWGSHESTVLLEYLEDLNTGPPLLP PGDAKLRAYCRLWADFINRNIVPTFYRVLQEQDEQKQISNAQELKDAFATLVNAADSQ GPFFLGANISFVDVQVAPWIIRLSRVLKPYRAWPDPDAGSRWGAWVNAIEANEHVKAT TSDDELYIDSYERYARRFP AFLA_027790 MNNPGIHSSDIPHSLHLDALSGVAEDASTLAAAQAQHHQHDIQE HVPSAESTDAQSLHPLQTAVGVLDSYSIQQQEEQNDDRSFRESYNLLARDDSHSLRMQ PQCNTFSEASIFSPKQGGYLRDMPSVLDPPDLDLWRERLFNVDETIVLSEEQYVYSHH YKRKPLISHYWDCRLKGRPPGTPKSDDPNKKKRKRTARQRDLCDVKIKITEYFPGYSP MMIAEGAANDAGAVLGAESMSSGNAVFPPPDDPEPRDRQPFGVLTPNPPLPEGHPGAN GQRFFTIQRVNGNGANGKNDGVSGGHRHTLEESDRVKKNSVQRYLLKEAREKKKASSV S AFLA_027800 MLAAFSASKFIHSTWSIGYFLPPKMNDKVPEIAMSQFETDKGIL EPHLRQYGLEFATDGFHIRWAKGNQRHPRNWSIVRKAYDTSLIIFLELFTTAISASGS TAAKDALREFNIEKEFSIFVFVSIYLLGQGLGSIVFPPYSEAFGRKNLYVLSTALYSI SCAIVAAVPSLSGVVIGRLFSGIVSAIPTTVVIGSIEDMFNARDRVWVLCLWAIVANL GLVTGPILSTFIVADLNWRWLFYVAAIVTGILTFILLTLRESRPSLLLAREVEQLRKV TGIETLQGLNPDRTPDLKTFVRTALFRPVRLFFTELIVFFVSVISAIAVALVYLFTEA LPPVYEDFGFSTRQACLPFIAIGVGLSFGFFTRYIDLHIIDKHRRKGQPLLPEHKLTG FWIGAFILTVGLWGFAWTIPPYRTDLHWIVSVFCLVLVGYSLNEIEYVLGGYLTDSYL SYAASGLAALAIVRALLSAILPLIAPPMFSNLGNNMAISVLAIIATMFCAIPPLFSRF GKQIRAKSKFAKYSLRMYNEHSVDEDGY AFLA_027810 MQSIKRTLLLLGAILPAVLGAPVQETRRAAEKLPGKYIVTFKPG IDEAKIQEHTTWATNIHQRSLERRGATGGDLPVGIERNYKINKFAAYAGSFDDATIEE IRKNEDVAYVEEDQIYYLDGLTTQKSAPWGLGSISHKGQQSTDYIYDTSAGEGTYAYV VDSGVNVDHEEFEGRASKAYNAAGGQHVDSIGHGTHVSGTIAGKTYGIAKKASILSVK VFQGESSSTSVILDGFNWAANDIVSKKRTSKAAINMSLGGGYSKAFNDAVENAFEQGV LSVVAAGNENSDAGQTSPASAPDAITVAAIQKSNNRASFSNFGKVVDVFAPGQDILSA WIGSSSATNTISGTSMATPHIVGLSLYLAALENLDGPAAVTKRIKELATKDVVKDVKG SPNLLAYNGNA AFLA_027820 MDVFYAYTYSTAGWLSLQSISLITVPQIMTTLLLDESRSASELE IYFARCLGFSLLTIAVLTVMLTGSIPLNSTVSEPVTTEDNDPKGPYAIPTLIVTALFQ GFSAFYAYTRYLSSGHTAFAIGMIGYSVVAAIGLWCALFASSNGKISRKTGADKRTTG FPFKNTEAAKKHAWKKSS AFLA_027830 MAQSPVAVVCVGMAGSGKTTFMQRINSYLHSQKKIPYVLNLDPA VYSVPFESNIDIRDSINYKEVMKQYNLGPNGGILTSLNLFATKVDQIIALLEKRTAPN PSNPSAKPIEHILVDTPGQIEVFVWSASGSILLETLASSFPTVIAYVIDTPRASSTST FMSNMLYACSILYKTKLPMILVFNKTDVQDAEFAKEWMTDFDAFQQALREEEESGAFG TEGGAGGFGSGSGYMGSLLNSMSLMLEEFYRHLNIVGVSSMTGDGIDEFFQAVEEKRQ EFERDYKPELERMKKEREEAQAAHREQELGKLMKDMNVSGSSKGKRAENEAETVSEAE EEEEELAAAKKRGDYEEEEDDSEDESGVPPAADNDGLSQRYQDALADSKAAPSDQDLS FARYLRASQMNQ AFLA_027840 MTLEDFEKSLAEDQEKRREKSDKERHRHRDRDRSRDRSRHHRHH HHRRHSSRSRERESDRHRDSRHRDEDRHHHKRSRHSTDHGDDRGHAHKRRHRDESKDD ESSAAKEVIQEEPTHLKRDAWMEAPSALDIDYVHRRDTTRLEEEPKPTMLQADFELKI HGRELNQHLHDLKDGKALEEIQEEQAQHDVDYTFGDSGSQWRMTKLNAVYREAEESGK PVEEIAIERFGDLRSFDDAREEEAELDRRERYGEGYVGKEKPSGELFQERKLQEGVHR DTHEHIRNPEQELEAHGQGKPIATEPPQNTTQPLDLTALNRLKAQMMKAKLKGSPDAG ELEERYNAAAAAMANRKDPGVVVLGVQQNRMLAGKRNEVKAVDTKRGRERGLVEENED MSIEDMLREERRTRDQLGGEGKRLAERIAKDAKFENDLEYMDDNASKLARRVHQSEIN LKNTSISEFQKMNRILDNCPLCHHEDTNTPPIAPVVSLATRVYLTLPTEPELNQGCAT IVPIQHRTNLLECDDDEWEEIRNFMKSLTRMYHDQGRDVIFYENAAQPHRKRHAAMEV VPLPYELGETSPAFFKEAILAADAEWSQHKKLIDTLAKSKQGLGRSAFRRTLVKEMPY FHVWFELDGGLGHVVEDENRWPRGDLFAREIIGGMLDLAPDVIKRQGRWNRGGDRRVD GFKKRWRRFDWTRVLFEGQT AFLA_027850 MALSNTKPKVLLLGKIIHAHTTWQSLSDIAELVEPTATNRAEFI QECKDGKLDGVVAAYRTFDSVSITGLVDEEVVNALPSSLKYLAHCGAGYDQVDVHACS ARSPPIRVSNVPTAVDDATADVNMFLIIGALRNFNTGMLALREGKWRGQPLPALGHDP EGKVLGILGMGGIGRNLKKKADAFGMKVIYHNRRQLTEELAGGADYVTFDELLAKSDV ISLNLPLNKNTRHIIGKPEFDKMKDGVVIVNTARGAVIDEAALVDALDSGKVYSAGLD VFEEEPKIHPGLVRNPNVMLVPHMGTWTVETQTAMEEWAMENVRLSIETGKLKSPVPE QVDL AFLA_027860 MAETADPAGTPGELPKQASEPTDTLAAPTSTSAEYSTFSAVDVS LPPIDKCLPAMDTPIDTTLPPLDPSIPSLPPIDTSLPPIDTTLPATDGGLGTDTNFSF DDTDPKPDDGGLGGPVSTAQVSATPGSNIGEQPGPSPAPDSSWQLPSNGTHSQQLPPL QNQSAQPQSQPQYQQQQPQQQQQQHAPQQQHAPSQQYQPQQPQQQGQMQAQPQSQQYQ QQSSDMYHNHQAGSASMNTPSMQTMDHHSSQGQTSHVPQAPIGSPMPPMASVGQYMTG YPNNVGQMGMNSSAQMRYQLPGDPNKMLSGGRHKKEVKRRTKTGCLTCRKRRIKCDEG HPVCRNCVKSKRECLGYDPVFKQQPTPSAIQPAPNPHPSLVVNPQDPSTSSSTPTYPN AVEGGLQPTVNPANTTTSSDPTSFRVKQVQISDLLALRGIPPPPPHPITTIQPNRLEE IKAVFLATYAPAIDKFFETRWFQDTALTHLLANAQLMAEYSALIEAFNDQNLSDPNVI ARLESFEASVVWSSMTLCRHVMNVSNGSHGQDYDLLAASKRLDVIESMITGEHLDSNP LSQFPPRDPPTNPPGLSDQLAQRSLDFWCAIGHFLTLHDNEASSAKEIDDTLGRCRTL LDTFENRDVIYSIAIARHLGQRWADFPRSFPQPITTNEKDAGAKLYVAQKFLEQEAGG KGTTQVIKRICGMVVRSWFVSRE AFLA_027870 MVDNLHRRQKCCVPVNKCGALAAVLKALVPQHGTTTAHHQVQSP YATLKQKVKG AFLA_027880 MAEHWKSAPRYWCKQCKIFIRDTAFERTQHEATGKHQGNLKRFL RDIHRDNERQQRETQRAKSEVERLRQTVSGNAAGKSGNAASWKPAAPAPQASPRPVSL DERKKQMAQLAEMGVAIPDEYRGDMALAGEWQTVSEKVIGADGEKTGPSLGVRKRKHE GDEEEEEAKREAERFVSKGWGSRTREYPGAQDDADLDALLESTKDVKKAKPSTAEATP KENDKEAADVPSKGEGDSAAADSEQMPQTEKEQSEAAAVPPAPMAKSEPEEASTGVIF KKRKPKVMRK AFLA_027890 MDSTGCVNQRRSYNGELCTIRYAGKVAGTTGEWLGVEWDDPTRG KHSGEHQGVRYFTCKSKHPTAGSFVRPSRAADKPRSFLEALREKYASEFEEEAARRKL GDAASGDSLHKPIAISGKIVEEVGFDKIRKQLAELQELKIVLLDGLRVAGVLAHEGSA EQFQSACKEIEQTCPKIVELDLSRNLLNRWRDVASICDSLKRLKTLKLNGNRMDPPVG EGLRFERITELQLDDTLLSWDEISALTYQFSSLTTLIASANQISYISSPLSSTITTLT LEDNEISSLSSLRQLVSLDSLSCLSLRGNRINKIYESSPDEASPLRFSKNLQSVDLSR NRIDNWLFVNQLSLVFPGLQTLRISGNPLHDQSVGPSIVTGLPEKTMTIDEAYMLTLS RIASLKMLNYGTITEKDRSNAELYYLSLIGKELSAFPESAAREILPKHPRYSELCEIY GEPVIRRATALTGSGVAVNPRSVAARLLRIAFCLRRELPNPGNSVMNQDNALKEEVKV KEIPRSFDTYQVKAIVSRLFDLAPYEFRLIWETDELDPVSKQNIDDEDGWDSEDESIN PSEPRNSSAFVKREVELVDGTRDIGFLFQSDLTEARIRVEVATQSYVEHRNM AFLA_027900 MDFQNRAGSKFGGGGVASQSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQREGKNQDPSTLP GAMGVQVKKQTIKIGRPGYKITKIRDPLTRQLGLLFQLQYQEITPGVQPRVRFMSAFE QKVDDPPDKNFQYLVVAAEPYQTCGFKLQAREIDRRDGRYWTWFDEDSKEFWVQIMFK TEREERFSGVPGLAPMDPKV AFLA_027910 MDPNNNRLHLNFGYNERGFNAAANNRAYPTTPSAFPQPIYQNQG PQDYMDAQNGAYNQGYFMANPYPPQAAYAQQHYGQSNLQSPQPAYQSRMGYSANDGTN GLIQQFSNQDLNSNRTGFFGRSASPAQRPRTAGSSAPGQQQPGHLAPPMPRSPRTPAE NEELQRYPERYSENIHKRGKAAKELVNVFFHENIERARDRNMRSTNLDKMIQDPSISK DAKRQEGETIAKKESNFLRFLRTKETPSNFQTIKVIGKGAFGEVKLVQRKTDGKIYAL KSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDSAYLYLLMEFLPGGDL MTMLIKYEIFSEDITRFYMAEIVMAIEAVHKLGFLHRDIKPDNILLDRGGHVKLTDFG LSTGGKKTHDNSYYQNLLKNSTSKDKNRNSGYFNDAINLTVSNRGQINTWRKSRRAMA YSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECLVGWPPFCAEDTTDTYRKIVNW RECLYFPEELTLSRESEGLIRSFLCDAEHRVGNDGGQFGGATQIKNHPFFRGVVWEQL RNIRAPFEPRLSSNIDVSYFPIDEIPQEDTSAIHRAQARAMPEEQEAEMSLPFIGYTY KAFNAFQNN AFLA_027920 MAESSNSAAASGQTQTAAKPTKAPNPVWKMMGMPNFRLKLPSRN WMIFLTVTGSFTAALVYDRKQKKRAQQKWCDLVAHLSKESLPVDQTRRKLTVFLSAPP GDGLRVAREHFKEYVKPILVAAALDYQVIEGRREGEIRAGLAERIRKFRRKSGEPSTV VEETGIEEVVADAREKIGVVEEPVPKGDLIIGRNTWKEYIRGLHEGWLGPLDPPQPPL STDVPSPSEGAETNGSPDDTPTAENSEKKEEPEKKDEKPSKPTGPTPAYITPADYSSQ SLPRSLPQSLDGSVPIQFPHILGFLNTPIRIYRYLNQRYLADSVGREVAGIVLASTTR PYSDGSFSTDSELTPAGIDGAPASDNLLGGNYEQKTLLEEEEKDWHKSAHKKDEANPD KEREWVDSVVLDPRIAARMQRYVLSPEDEARSQRIAEGAEYILGEERPTPVPFWQRMW IKYGYGEDEETLKRKPIIGNIDGEDDQ AFLA_027930 MPQPLSSKDASLFRQVVRHYENKQHKKGIKTAEQILRKNPNHGD TLAMKALITSNLGQQEEAFAMAKEALKNDMKSHICWHVYGLLYRAEKNYEEAIKAYRF ALRIEPESQPIQRDLALLQMQMRDYQGYIQSRTTMLQARPAFRQNWTALAIAHHLAGD LEEAEKVLTTYEETLKSTPPVSDMEHSEAVLYKNSIMAEAGKLEKALEHLETVGHRIT DVLAVMEMKADYLLRLDRKAEAEVAYTALLDRNPENSMYYDALIRAKGIPDNDHKALK AVYDSWVEKNPRGDAPRRIPLDFLEGEDFKQAADAYLQRMLKKGVPSLFANIKSLYTN TWKRDTVQELVEGYVSVPQTNGSTEGEANGDNKDFLSSSHYFLAQHYNYHLSRNLTKA MEHVDKAIELSPKAVEYQMTKARIWKHYGNLEKAAEEMEKARQLDEKDRYINSKAAKY QLRNNDNDKALENMSKFTRNEAVGGAMGDLHEMQCVWYLTEDGEAYLRQKKLGLALKR FHGIYNIFDTWQEDQFDFHSFSLRKGMVRAYVDMVRWEDRLRQHPFFTRAALSAIRAY LLLHDQPDLAHGPLPNGADGDADSAERKKALKKAKKEQERLEKIEADKRAARKAASTK GGDGEVKKEDPDPLGNKLVQTQDPLKEASKFLTPLLEFSPKSIEAQNLGFEVYLRRGK YALALKCLSAAHAIDASNPTLHIQLLRFRKALDGLSEPLPPQVAEVVNAEFETLLPKA QNLDEWNESFLSTHKDSAPHTQAALTCRQLLKADSKAQCEKDLTATLDSQVDSIETAL AGLQLLDEWKSDQAAKAAYAEKAKSKWPESSVFQLN AFLA_027940 MSRATSTSAPELSPQFCFNEKLLRDFLRLSRSTIDDSITQNLNA LFTPSREGFDPSSTAVRQTDSKAGRTIDPAACQSFKDNVLFPSWQTRSDVLNYCAGVA TSPDPDDPDLVLRQTESARDRERVVDERLDPYSARFFPREARTESLANLVRSQRSVEE IIRARTWGLVTERCNGSSTGWEEALNSWRERKQQ AFLA_027950 MPELAEVSRIVHFIRQHLVGKTLAKVSTQNDDIVYGKAGTSASE FQKAMEGKKIVGAGQQGKYFWIAMASPPHVVMHFGMAGWLKIRNADTYYYRTDKPQDK EWPPKYWKFLLETDEDPKTEAAFVDFRRLGRIRLVDCPAEQIRKFSPLKENGPDPVAD KDTVTEDWLASKLRSKKVPVKALLLDQANISGIGNWMGDEILYHARIHPEQYSNTLTD DQVKELHSALHYVCSISVDLLADSEKFPEDWLFKYRWSKGKKNASPTLPNGDKITFLT VGGRTSAVVPSVQKKTGPVSKDIKTEDDGTQNKRKRGIVPKVESDAEASEEEPKAKKA GSKKGAQPTKKADDEKKPEVDGTSAGRRRSARLKK AFLA_027960 MSSSEPSKNLTGSNRRAVLSLTAKETKALLPQILAVDPHAPPTG IRCSRDTMPVLDSKYSPNLNTQVEVVNGDAFNIAISLTSPTDTKSVCVLNLASDKSAG GGWLRGALAQEEELCYRSSLSFTLKLRYYPLRNHDAIYSPTVIVFRENFTDGHRLMDL QRPESLPIVSVVSMAALRRPDVDRSTQPPRYKHIADRALTKDKMRVILRVAAYNKHRK LVLGAFGCGAFDNPKEEVANC AFLA_027970 MSAILSADDLNDFISPGVACIKPVETLPKNESSNSQNPYEVTTE DKVQPENLPPAQISLTDCLACSGCVTSAEAVLISLQSHAEVLNTLDAYPELPLTQNHN GPYTGSSDALDGESRIFVASVSPQVRASLAATYGISEKEATYMIDQFLSGPHGLRAGG KHGSGFSWVVDTNVMRDAILVLTADEVSETLKEPSARAISKDTLPKRPVLSSACPGWI CYAEKTHPFVLPHLSRLKSPQALTGTFLKTVLSKALGVPPSRVWHLAIMPCFDKKLEA SREELTDVSWSPLDGGVPLTESNKPVRDVDCVITTRELLTLASSRGISLPTLPLKSLA PSYTPHFPDETLNAFLFRKQNGSEQSMEAGTSGGYLHHVLKTFQAKNPGSEIVTQRGR NADVVEYSLMSPGGEPLMKAARYYGFRNIQNLVRKLKPARVSRLPGARVPAASAGGNR RQPISRNSASAGSGTDYAYVEVMACPGGCTNGGGQIRIEDAREASTSTQSVTAVENPS KPTPHEQRAWLARVDEAYFSAESDAEAKWTVRHSPSPSLRGRLGSMKLSSIGLT AFLA_027980 MPQTITTPDPRVGIGAFILNKKGEVLLGKRKGSHGAGTWALAGG HLEFGETFENCAEREVLEETGLTIRNVQFLTATNNVMLDENKHYVTVFVSGDICGDAV EPKLMEPEKCEAWEWVAWEEIVALAKDAMAGKESGERKKLFSPLVNLVEQRPGFRPVV N AFLA_027990 MEHPRMADGCDSRNTGIAGALKASEAITLPRKNWKVSEREHHRT FIMSQAVMLDALMVDVYHNLEGIKDHGLDVNFTALRYKTLYVIGRRQYATKPEGAVTV GPRTEHLPIISYTGWYERQTWNEFLGETLSVMLGQLAQNMTVRTGKAGVQDQEVFVVG FHGPQFHIARTLFAADLVARVHPRGCSNNEVIELQFTRGYDLSLKKDWLEATRALARL FRYLLCGRAKVAAVQGYLNRPAKTAEKSM AFLA_028000 MRFLRFLLAAPLALAASIPRAETPTTFSEVTIFSPPSDYVIPRT LYARNLQLPNGDLLATWENYSPEPPAVYFPIYRSTDSGKTWSELSRVEDTANGLGLRY QPFLYYLEESIGSYEAGTILLAGSSIPTDLATTQIDLYASRDSGVTWEFVSHIAAGGE ALPNNGLTPVWEPFLLAHNGKLICFYSDQRQNETYGQKLVHQTTTDLKNWGDVIDDVT YPTYTDRPGMPVVTKLPTGEFIMTYEYGSFFGTSDYSFPVYYRISADPENFLAAPHQK LVVSSGTQPTGSPYNVWTPFGGDNGTLVVSCGNLGSVFVNQALGEGEWTEISTPESAS YTRSLRILQEKENYLLLNGGGVLQGESNKVTVSVMDLEAALA AFLA_028010 MHTDLTRTGWFQCSHPMVNQLHQNAWWSMRGNFLSIPTDCPQRD ERLGWTGDIQVFCPSANFLYNTAGMLGHWLEDVAAEQLKEGNGCVPPFIVPNVISEEL WPHHVPQAIWDDVVVLTPWILYLSYGDTDILRRQYASMLAWIDRGIQRGPDGLWDPEV WQLGDWLDPTAPPVEPGDARTDGTLVADAYLVHVTSVMAQVSEVLGETADTTRFQADS NRLKATFQDKYIARSGLLAGDTQTALSLALMYELHATTDQAIEAAKRLVRLVRQAKFR VATGFAGTPIIAHALTKTGHQQIAYRMLLEKSRPSWMYPITMGATTIWERWDSMLPDG SINPGEMTSFNHYALGSIINWLHYSVAGVRPIAPGWKQFRVEPIPGGSIDSAEVAYET PYGRIECRWAFDAAEDRFSLDLLVPPNSRALVILPSEERWEKRVALKADDEDGTWIGS GHHQFSCRWSVGSHRGEWPPKPIIPIMRKPGPETIA AFLA_028020 MLSLNLVLITLVVALGGFVYGVDSGIIATTLGHETFKYAMFGPT GENAGLKGAIVSLYNVGQALGTFAAGYSANKFSRRWTICGSAVVAIIGAVLQSAAVNA GMMIAGRFFAGIGCGMLLTVVPIYIAEASPPHQRGFIVGLQGFMIAIGFCIANWIGYG GAFAKDDAQWRIPLAMQIPGPLLLAVGCCFIPYSPRWLIQEERYEEARAVLTMLQGSE RDEDSLSQELAHIREQIQAEATEVTSFHVACAKLFSRRYLHRTLIACFIVVMGQFSGS QVIQNYQTSFYETVGFTGRTSLLISGVYGFMGVIGQIIYLFVVADKWPRTRTLWTGSL FLSILIAICMALSAVYGDASGGDLSGARAAIAMIFLYSCAYAVFFNAMVWVVPSELFP FFLRSTGLGLAVFSKSVAAIVLSQITPTALENVSWKYYSLFIATNFVAAFIYFFFLPE TGGKTLEQIAELFGDPPSALSTGVKTEDADDRQSMVEAKDIKSTHVEAVV AFLA_028030 MPLSWEPDFSEALKTHEVLHELVGLYFRHIHNIAHTMFHEPSFM HHMREGTASMKHVYGMCALAARFSKNPIFHGISPCSRGKVYAFQAVRLCRQCTDTPSL ETIQALLLIGYFFSGEVDHQRKHIYVGLARLHAEALSLWGSATQSSSNAVIQEEYRRT CFSVRIATHWSASDMFMEPEDTCHSSEMVPEIDDVLFHTLTSAELLRKPPLAPTFRCD MWAQMARTLDIFTKINGLLRQLSRGVITLNDYCQEAPILEDRLNQWYENLPEHLTYSY DHLIFFLERHLGRTFLSMHIGYYHFRQMLFFPFLKAGVGREATTTEPKAAKCNESAAT VSEILNYATSLENCELDYFIYGHIAVVSSCVHLHSLLFSDDLSELFMARQRLILNFKF LMRVKSYWPIVDSSVSRLRTFQNSCRNSMSDPFALDNWMARFLTEHSSCLAERQTHDS LSSSFGVERISTQSAEYNAELSGIAQSSGILNTPNPGALEGSQLKEPENAWDGLSSLM NDQSITNEALADNAIDWLLKE AFLA_028040 MASHSAQGTAAQHKDYEENVFLFVPNLIGYARVVLAITSLYYMP LHPRTCSLLYSVSCLLDALDGAAARHLGQSTQFGAVLDMVTDRCTTTCLLVFLASAFP RWSIVFQSLISLDYSSHYIHMYATLAMGGNRQSHKQIDESRPWVMRIYYSNTKVLFMV CALNELFFIALYLLSFSSSPSLMDNASLKVSQFDPSRLWSSSWSAGAMEMAR AFLA_028050 MSREAYQVPSSLGGQNAFDSGAGSMDGPMVAYLCGECNARVSLK RGDQIRCKECGHRVLYKERTKRMVQFEAR AFLA_028060 MSAAPFDLQPGQVSISPLLQKLAYPSEKLPVEAVDIASAFALIF EDRLSAIQTAALLTLLHSTGRDRDAEVIARCSHRMREAATQVDRPTLKKIIKARGKKE GTYNGGLCDIVGTGGDSHSTFNISTTASIIASPLILMAKHGNRAQTSFSGSADVLNAI PPTPPNISAVSAENLAQVYEKTNYAFLFAPNFHPGMMYANAVRRALGLRTIFNLMGPL ANPVDWAIEARVVGVAYQSLGPVFAEALRQNGCKKAMVICGEEDLDEISCAGKTNCWK LTEYPNPAYKGSADDECSSDEDEHEVPRTLVKFETFQLHPSDFGLPTHPLTAVFGRKM PKDNAAKIMSILRNELPRDDPILSFVLMNVAALLVISGVCESETSNMGPGDDGQVITE RGPGGGRWKEGVRRARWAVESGAALKCLEGFIEVTNNLQ AFLA_028070 MPPRIRLSSGRIPQPLRRQRLLCQYELPILTRYASTAATATTPA ASPEQMTHSVAPIARFPPSQPPSHRNPEYRRSQLLRQYTSLIRTTPLMVFLQHDNLQS VEWAAIRRELSKALQKVDEQIASEGRSVPPLAPHVKVQIVQTSIFEVALRIVEYFRPN TSTIEAGQTPSAVDPITQTSAEVSLSGSRDDPTLSHDLSRAAHDAVLHMKGKHELSPV LVGPIAVLSIPQMSPEHLKAALTVLAPKAAGFPVPTRKANPGWHELPVQNGLNKLALL AARVDGKVFDVDQTKWVGSIEGGMDGLRSQLIMALQSMASSVTNTLEGAGKSLYFTLE SRRSVLEEEQKGPSDEKTEA AFLA_028080 MVAEHLTLRNLTSTPITLKRIERFHPHHDHNIQHMARNFTRVFT NVTRTRAPVAAITDDNEPFVHEDLDIHIEPFQTIHTELRTFIDSDKERVRWFFEVEGE RHQVQTPVPTSESATMKALCDEPRFKLTGIYVTPESHLSIYSSANLNAWMGELKDDTL LSSLSIPGTHNSPTCHVAPPSVRCQAVSPREQLENGVRFFDIRVQPQYPEDADKDELA LVHSVFPISLTGSKYFRDLMREVNEFLDQNPSETLIISLKREGPGEHTDQQLSRILSD HYARPDSRWYTNPKIPTLGEVRGKVVLIRRFDILDHLKDIHGGAGWGICASGWADNCS NATCPSGQLCIQDFYEVLETENIGEKIKYVQEHCFRAAETCYPFGVLPDHEATKAHPF YINFLSASNFWKLGTWPEKIAGKLNPAAVDYLCRKHGEKDDCDWSTGILVTDWVGLDG DWDLVRCIVGMNARLKLRQDRHEGDN AFLA_028090 MSILRCNGGLSWALRSCQSRRVLPITRSPSTISFPRRTFHAAPA LWGVKSQILKDVGEGITEVQIIQWYVEEGAHIEEWKPLCQYQSDKAVDDITSRYEGIV KKLHFQADDTVPTGRALCDIEVEDGKYPEDNPPPEPAPAPAQPSPAPAQAETKQPSVE VAATTQKPEAPKNGSRYATLATPAVRGMLKAHNVNILDIPGTGKDGRVLKEDVLRFVT VRDSAPTLQPTTPTIPTTPVSQQSDTAVNLTPIQSQMFKTMTRSLNIPHFLFADELNI NNITALRKKLANDPKDPRRITFLSFVIKAVSLALNEYPILNAKVDTSNPDKPQLIMRP RHNIGVAMDTPQGLIVPNVKDVANRSIEDVAAEISRLSALGKEGKLTPADLSGGTITV SNIGNIGGTYVAPVIVSNEVAILGVGKSKTVPIFDEAGQVTKGELVNFSWSADHRVVD GATMARMANKVRECIESPELMLLKLR AFLA_028100 MSTNQHNPLPYTYETKVYTEGLNDKKPAITFDPFKWEGLAKERL SADSFGYVWGSAGTRETDDNNRKAFRKWGIVPSRLVKSDFPSLKTTLFGEDYEYPIAI APVGVQRIFHRDGEVAVASTAQNEGITYILSSASSTSIEDVAEANGDGSRWFQLYWPS YEHNDITASLLKRAKAANYKVLVVTLDTYILGWRPSDLENGYNPFLRKDNIGVEIGFS DPVFQKKFAEKHGKSIQEDMATAAAEWAHMIFPGMSHGWEDLQFLRQHWDGPIVLKGI QTVEDAKLAVEYGMQGIVVSNHGGRQQDGGVGSLDMLPDIVDAVGKDLEVIFDSGVRC GADVAKALALGAKMVLIGRPYVYGLAIAGREGVRHVLQSTLGDLQLTLHLSGIRSVQP EHLNRSRLRRMD AFLA_028110 MLRVGARAGRPLRQAASSGLTGSRFRSQKISGPLVSRVFMSVGP QGGQESEYHKQGASSYPLRRQWTTGVGIAIASSALLLSGLTGYYLGIAKPPEPAVAST TPLNEFPAPCHDTSSSNIQGAVEEFVGILGKDNVISDKEALAPYSTSEWSSYSPSKTE VSSVVVCPSTTKEVSRVMEVCHRRRLPVTAYAGGTSLEGHFAPTRGGVCIDFQGMDQI LTVHKDDLDVVVQPAVQWEVLNEELAKDGLFFPPDPGPGAMIGGMVGTGCSGTNAYHY GTMRDWVLSLTVVLADGTIIKTRQRPRKSSAGYDLTRIFIGSEGTLGLVTEATLKLAV KPMNEAVAVASFPSVRDAASCVSEVVKKGVNIAAVELLDDVQMKCINTSQTTSRSWDE APTLFFKFSGAPGEVKEKIAIVQELAENANKKTFTFARDSEEVDELWSARKVALWSIL QMKQQPTDHVWTTDVAVPMSRLPDIIEQTREEISASGLLGGIVGHVGDGNFHAMLLFN DDQRQVAESVVHNMVKRAVEMEGTVTGEHGVGMVKRDYLEHEVGKTTVDTMRRFKQAL DPLCLLNCDKVVRVQVPKQGEVPEW AFLA_028120 MPISERFCYHFGRTLDSSKLFKIPNYQYIGFVEDAWGRYIQSMI QRETRHPEVAQFVQISGAQYRCLLPPGYRKLGMYHCFCNGLQAFLRHPQDESHEEWMK TIEYAVHQLSHLEQCPDSVFIVRGRPAIIAFGTRVKLFYYIYHREKAPVVPQNASPAS IQFAASCTDLEPKHRLVQLIPGSEPIDLHHKDDRAKLEHWFKVFCDKEDLETEWDPIT GEYITMAREVGNTE AFLA_028130 MLSAGERPQPAIGKNAAATRAQSSLSHSPAPMWSVQPKPEQVQH IWVVTGPAGCGKSTVGRGLQAALNVPFLEGDDFHSQKNKEKMGSGIPLTDADRWDWLI SLRNAAIKALSPSEANNFHPPSGVVVACSALKQKYRDVMRVAAYGTPSVQIHFVYLKL DENALLQRVAARQAHYMKSTMVQSQLQDLEEPKGEWDALTIDAHVPQEQVMREVLEAV RDKLGEILNERKIQFVTDYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYY YYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYY AFLA_028140 MTSLFFSTPVDIDVVLEDSDERQTVDVKLDKGRRERVPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFYDRGNHYEFLSLVQELAAPGELQHPQ TFPFNFKNIEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDLWVYSYRMPPETNSP IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGSPP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEIATTPQIAQQQLIQQQQLQNQHQQQLPPGSATAGPGR EPLPNQTVAPPVA AFLA_028150 MSSQLLQTELLNLIQESKRKNSDLRHAAEESLNELKALPSTSES QIAADLVRKPKFVDPFILACHTRHAKLAGIGVVCLQRLVASRALPSERLKDVLSGLKE TTNLSLDIQLKILQSLPSLLQHYSKDLGGELLVTTLEICATLQASKTLALSSTAAATL QQLIVSTFERVLIEDSQFSNSRCRPSILSQTPQESRPKVTVKIDGNTVDVGYFAHDAL RVLDDLCRLADGEQLQFLRIKSLSPTFTLELIESVLVNSGRLFVGHAELAHVLRTRLM PMTVRYLSERHSFAQTIRVARILLVLLKRHMSLLPAECEMALGLLTHLLEPDGNLPWK RVLCMEVFRGLYAEPGLVRIIYSLYDGDERRKNILKEHMAALVRLASEKPSLIGVSSR STVPSKAAHSRSITEEQITLEAGGVAGVIGTSVSSADTNVPGISSQWSIVRTPYMDLL DKTDPPPPPDTYIYSLVLNCISSFAEGLAKFILPLTVPDLKQKRRSRIGNPDQSTDSP RPSHDLQRTNSSKSAHRLNTKKSPVPLNPLDLESHSQFPAIKTCAGIIENCWPAVLAA CSTFLYASLDDDFYHNLVRSFQKLAHVAGLLRLSTPRDAFLTTLGKAAMPVDASGMVP AVVASAPHHSHTAEDKRKSREMPLASPVSEAPPDAPSVSISTRNLLCLRALLNLGIAL GPTLDQPAWSIILGTLQDTGLLTNAYSTGAEAPSALDGSKVSLGGEIIAVQTASAKML ESTSDYPSDSFRELLIALLDLSEATEVPQSGEASGKTTGNVPSQSRPGFVRRSTRRVS LVVGKSKTQDEELKFVLDKAGELSRANLERLSSLVESDHEAWQILTQRLMSTAADASI SQNLRLRANNVLNTLVFQTMRQMGSDDDQARNSRQMRNLGTLRDQIQILYDKSACPLG SPSAPVTEIHEQSLETLKNILEQYAETFAGGWAVVFDLISSVFGYCPVEEKGEIGAAG KSRRTTLVADSHRLIRVAYKSLQLIASDFIALLPQPCRLDLVESFSKFALQQQDFNIS LTTTSSFWNVSDFLQGQIENFSIESRVDASVSEEALVELANGDDSSISRNALWLLLLL RIVDLATDNRAEIRNCAVHTLLRIFDAYGQQLSPKAWRLCLNRVLFRMTEAIELGLEE VRGQGTKNDSDELKSWVETSVVTIKGLSDLITNFFETIIRDEEFDRSWERLLAYLKKL TALQILELSEAVYLSLSSVLVRVQAPDMFSKSSLQTTWALWLSGHPADREDLLDLDRS NQAAAIAYLQAFKEVYRLYKDDLTSDDVGKVLYNMRYLAWNSISPRYSPDIDRPSDLQ SSIVDCMRTICSDKEDSQAEIMACLADFADSALTKWSPGSDPRKPTYVAFSKSIVDLL NWYITEFGIKRDIFGNGSLAAVLEHLANPILQRYEWPGKDREPMLWQKSTTTSLNTLQ VAIPYVEEQYGKSNQPEISRFWKCVVDIVNGLVSARGYRTVPIPRETIFADESFDIAS FSRLKTLIIPALGASTIPDAIRQDFARALLGSSFIYLPQRFDLPGKGLEDDPLKGLYD IRPGRTFDPPPTSRTKMAYTVTDTLFELASAPSKEDSKHASSRIALARSITPYLILRC AVSLKGYIADQPLRGLMPQPTPARKVLLHLLKGMVCLRSEPSAIPSPPMGMSTAVLVK ESTSSSEQNYKKHLEWIYPLVVRAVQVAGRERDDGEVLQALGKVLHEVGGF AFLA_028160 MSAKIPRNFRLLEELEKGEKGQGAEACSYGLADGEDMMMSNWNG TILGPPHSVHENRIYSVNIHCGPDYPDNPPEIQFISKVNLPCVDPRTGKVDPTKLPCL AQWKRDYTMETILLELRRYMALPQHKKLPQPPEGSNF AFLA_028170 MPLKLYHFQRYKKLQSPSQTVNALKAGYEALDLLHSASQGNQRN TNRIVELISDIRSIKQKESALQRKLSKKEPKPLSRKQQRTKESRHLQDQTARRHPDAT SILSRPRPVVSGKRRVPVLVNARGVPFLRIKKPQPKNLSGVIRSKLENRWSRIERRDR LDRELLFANDEDNWDALTTGPESDTWAKGVKDALGTLNQQLHDSDKKNMELAEAMWKV VLAERKLAAEEEKQRSTEKPGDT AFLA_028180 MGREDQIEEREVLDSIFPEEITDLSDTSYRISIALDAPDDDVEE AEQPVLLLQVTYPADYPDVAPELEISAPPNAPKHPRLDVQEDRDRLLESLQPTIEENL GMAMVFTLVSALKENAEQLMSERVNAVHAQKEMEAAKAEEEENRKFQGTAVTRETFIE WLEKFKAEMEEEEKRQREEKEAEDKKANKKTPAKEEKKMTGRQLWERGLAGKADLDEE GEDALPAVEKMKIAA AFLA_028190 MNEDPSKLLEQATTLLQTGQPDVALPVAQRALDLTPANSPAQLS ALNIVAEIYVELGEIDVARQHFMRAVELDPTGAIPESQGGGAEKFLWLAQLSELGGKD SVQWFEKGVSCLRQVIQQLEQNPGPAEAIELEEKKRKMANALCAVAEIYMTDLSWEED AEARCETLITEALLVNSNAPEVLQTLASIRISQLRTDDARAALTKSLELWKDLPPEDP IVPDFATRISLARLLMEVTMELEALEVLERLILEDDQSVEAWYLGGWCLYLLAEKKEA PKDEELDSETPEAKRHASLVASREWLKQSLTLYESIQYEDVRLKEHADELVETMNKEL GEDMEDDMEGEEGDEGWEDEIESDGDQEMADS AFLA_028200 MDLVPAPGGESSSLKNPVVEVEAVDMTSAEVEGTVATENPVSAQ GNISPSEEDSDSGESGDEWETQSLYGDAIQVLRDDQLREGVVPDACTLDEAIAFRKRL HEVGKAAFVEETIAQDKVTAKKLCTAFGIMPPAFLEGAPDEAYHPLLAIAISQEFSRR QKLPQYNTIDDAVKLLQESKNIIVLTGAGISTSLGIPDFRSKDTGLYSQLAHLGLSDP QEVFDIQIFREDPGIFYSIAKDILPTEKKYSPTHGFIRLLQDKGKLLTNYTQNIDNIE ANAGILPEKIVQCHGSFATATCVKCQYKVSGDALFEDIKKGNVPECTSCQKDIEEDAL RPQGQKRKRSTNGTHKSRKSDGDESSEEEDYELPTPGVMKPDITFFGEDLPDEFGQRL IRHDRDKVDLVIVIGTSLKVAPVAEVPGVLPRHVPQIYISRTPVSHTGFDIDLLGDCD VVVSELCRRAGWDFKHEMIPPDEKVEITLAEGYESRHVFKVVGA AFLA_028210 MEYVIRFAQVHETFRRPEIESLAALAGIDLEILYYDQFSPYCVI RVPTEADARTLIARSILAKDIFELWGQGTNYEEVHADVRRRTQHRWDEFKNVSFRFTI DSFCGKRKIEAKRAIIQSFSYVGFDGPIRMKNPDEDFWVLEDFVSDVEVATRTPGNTH AYSEALEPRKIYLGRWIANSSRNIVSKYDLKKRRYISTTSMDAELSLVTANMAHAAPG RLFYDPFVGTGSFCVAAAHFGALTCGSDIDPRSFKGREKNDKEPMGLFTNFQQYGIES KFMDAFSSDLTNTPLLNRQFLDGIVCDPPYGVREGLRVLGTRDGSGREEVIIDGVPAH YRPGYIPPKKPYGFEAMQNDILAFASRTLVTDGRLCMWMPTSIDEDVELLIPMHPHLE VVSVSVQPFNQWSRRLITYRRLPEGQVSDISKARQKGDSEGISADDLNAFRRKVCHQY TLSYAP AFLA_028220 MSTPSSCPFCNIASTYPPMSPTAFTPENNCPNSQNTKKPYTAPI SLPDSSDPSQPTAHLILSTKHVLAFLDIMPLTRGHVLVIPRAHYEKLGDVDIKVSREL GQWLPILSRVVMRTIFREDDSSDWNWNVVQNNGIRAAQQVPHAHFHIIPRPSSNPAAN AARASFVMFGRGQREELDDDEGEELAGLLRGELASEVLRVREMGVDLECEVHGDDSRR VKGKL AFLA_028230 MNENDENRPSTRLTRAKAAALSAGDVSTAATKKPLESKKAATST ATAGTTRRRAALGDVSNVTKGENGAAKEGKKPAGAKVGLTSKATMQAGGVAKLTRTNS SRTTALTNKTTNTKKPTEDKEKRSGPGSIKDSAQKRQKTTKDNALVEEPPRKKVEVEK KLTEKKLVAEEAPAKENVEAPVEPKTLQKPSQDLVEDLDTEDLDDPLMVAEYVVEIFE YMKDLELETLPNPHYIDHQPDLEWKMRGILVDWLIEVHTRFRLLPETLFLAVNIIDRF LSAEVVALDRLQLVGVAAMFIASKYEEVLSPHVANFSHVADETFTDKEILDAERHILA TLEYNMSYPNPMNFLRRISKADNYDIQTRTLGKYLMEISLLDHRFMAYRQSHVSAAAM YLARLILERGPWDATLAYYAGYDEEQIDPVFRLMIDYLHRPVCHEAFFKKYASKKFLK GKHISCLYGFAAPDMFHSVHLDPPMGQEVSPPVHRQLPLRTVQLYQGSRINQKGEFAA PMMSQDLATWRDGCKGRSWLIPYMAFLRL AFLA_028240 MLQPRLALTGLRLPFRCLPSVSSRSYSSVVHEKETPPTESSTST FDPSIAFAPPPTRDDAGVLIRSYKPRTPGIRHLRRPINDHLWKGRPVQKLTFPKRGQS KGGRNNTGRVTIRHRGGGHKRRIRIVDFARTAPGPHLVERIEHDPGRSAHIALVRSQE TQRLSYILAAEGMRAGDVVQSYMSGIPEDLWKSMGGVVDPGVLAARTAWRGNCLPLHM IPVGTLIFNVGLRPNKGGQLCRSAGTFATVIAKGSNTQAAQNEQAQEDGAEKKPLSQR EQQKQERVAQHITIRLQSGEVRLIHKDCCATVGVASNPNYQYSQLGKAGRSRWLNIRP TVRGLAMNAMDHPHGGGRGKSKGNVDPKSPWGLPAKSGYKTRPKWKVNKAVVVPRVRN QGKRRRGYS AFLA_028250 MADSLDGHFFHPTTQSSSTQPLHTSMDRDPAATHTGHIDPDSNY VPRPKRIACVVCRRRKLRCDGRKPSCGTCSRLGHECAYDEVRKKSGPKRGYVKQLEAR LAQVETLLKTQEVNPSHNSQGNGTNVAAPQEFVSIPETAPFTNSIDAPMSSPEGEINN IQSSQTFLTPGLDRTGNFGWDMISLGLEEPLPDREIIDELNQIYFEKIHPYMPILHRP RHLAAMDLAPSVRPPVCLQYITWCQAASVSEKYSNLHALFYQRARKYAELDEMKGLGE NILSLPYCQTWLLIGTYEFRMMFFPRAWLSVGKAARLALMLGLNRLDGMGLEVKQSLP PARDWTEKEERRRVFWMAFCIDRFASVGTGWPVLIDERDVKTNLPATEEAFVKSKPQR TLRVEDILAGDGLSTLSSFGSVSFMAYMFGRNLSHLHRPDPQDNDHDLNGLYWQRHRS HDNVLLHFALAMPNHLRLPAGMSDPNVIFCNMAIHTSTICLHQAAIFKAEKNRMPEQI ITESKRRCIVAADQISNIMKMISHMDLTALNPFMSYSVYVAARVFVQYLKSRPDDSAA RSSLGFVFTALDAMKNKNPLTESFLVQLDVDIEGTPFRDIRQAKRPRITNNVQKKVSL RTERSPIITISNDQQGRGCTPLVPVEQHQNHQSAIEEVPARPSAGPQQHYYTPSSLPS REGQSLPQLQNRNPPGSDPFAKSPFNLSSGTESGPGTDKGLSPEFTDKSSNNSPVSND TSILNQTTIHDPSSTTYISLDPSSSLKQQQPSTSSSLNQTNHQQSHTSLDTSSAVNMS MGAGPFGAFDMGFSSQLYQTGMVTPLDSTGSEGSIPMPTWDFGAPQTSNGENVDMITT GMESLEAQWAQLLGSAPGTGTGTGWDSWRNQV AFLA_028260 MLPLLLCIVPYCWSSRLDPRASSFDYNGEKVRGVNLGGWLVLEP WITPSIFDAAGAEAVDEWSLTKILGKEEAEARLSAHWKSFVSAGDFQRMADAGLNHVR IPIGYWALGPLEGDPYVDGQLEYLDKAVEWAGAAGLKVLIDLHGAPGSQNGFDNSGRR GAIQWQQGDTVEQTLDAFDLLAERYLGSDTVAAIEAINEPNIPGGVDQGKLQEYYGSV YGIVNKYNAGTSVVYGDGFLPVESWNGFKTEGSKVVMDTHHYHMFDNGLIAMDIDSHI DAVCQFAHQHLEASDKPVIVGEWTGAVTDCAKYLNGKGNGARYDGSYAADKAIGDCSS LATGFVSKLSDEERSDMRRFIEAQLDAFELKSGWVFWTWKTEGAPGWDMSDLLEAGVF PTSPDDREFPKQC AFLA_028270 MAAFAMLLLALSLLMGSFGSCALSSNANQSLDYTISPQGENLAR REPMKDPTDMTWIQKWGAIGDSFTAGIGAGQLWDKDKKCARYDRSYVTILDRAIGLSP LTFQYLACSGAKSKEILEQAKKLGGGMDLVVLTAGGNDLCLIDVLKDCIFLAYNEKSC ESSIRRAKLNAEYILEPALEEILVELEKHMRPSAGIVVFFFHDKDTDSDSCVAGQFCW PGSTGAYPDPHQVNLQFFKPDTKKKSIHDEIKKRDTTVGKGQLEPEVNYGVNESLYGM LGDRSPNPAVEAIHMLNGRDSVPRDDAHGCSAHLDTRSWGFRLPARWGKWFHPNEKGH ITIASFVLNEIISARAQFLGRDNPICKDEDRDQFHCRGNKGDQLSHYVQSHIADETYK TYCNEVQPPEGQVNWHDERVFYEDTPDEHKYMITLTKGATQFSKQECLTTFMRIIHGC DLYRPENPLNLKHGGTWKKGRYRYELKTGHIRRPWPVARPSGTCEGWYKVFFSRYTIR GRLWASLDHGEELRKSVKDCIGGGLTSWSFKYFNRADSHDMEWEAEFSTPIWVMKRCF QNNKVFNNMGGPNIGCEGNDNPWPFNY AFLA_028280 MGSVVRTLVPWIVSAVAKGRLQEPRKSFAVTNRYYLPNAMGKAR RPRRLDTTKHGTPREELVDDIPLGIYSHLNFAFALIDPKTFRIAPMTDATAKRYKSLT SLKSRQNGLQVYIAIGGWDFNDPGPTRTTFSDLAGSQSAQDTFFESLVSFMLHNDFDG VDLDWEYPTADDRGGRPEDFANYVTLVKRLRERLDQLPRHFGLTITLVDGLWDAHGKE VGPHALAHTNLTEINMGLELLWRNNINPARVVMGLGFYGRSFTMADPNCMEPGCLFKE GEAPSGECTNVPGVISATEIHGIIKKGATVTFYKDAAVKVATWNTNQWVSWDDVETLK LKIDFANKRCLGGTMVWAVDLDDGTLVEALGNASGKKKQWTSDGKFKPMPCFGKNWPK GSNKTWIGKKEKPKKG AFLA_028290 MTHNYSLRWLSMGIMATGFVLNLLFLLSLFFASLSNAGHSAWET GATTVASEWIHMDPSPEYRTWEDQTIRYAFNSPETKENVEYDVKAAWSLWLAAGLPET YRFVETTKARCDATPDNCLLIIQDDDPPSLFTSLGRSRIEPWDRIAMYLNFEGQDEEY IRALMITHEIGHAWGLIHEHQNPLLWQFAYRETRTDSLVQFYCANVQGYDEVARQFSD DTLELWSENGPCRNQDRAIDLDFLAADILPWAARKQQPHRLWPLDSDVDWESIMIYAS HTFGRKDEHDVPKLTLVRTHELQEIPEPLIPTQLDVKGLQHIYHPVYGKFREVFHNDP DSPWYGLFKEKITSCLIRS AFLA_028300 MYRQRMPFYKYRLSSSPLSPRLCLDISFPSRLMAMAPFQLTLSL LLAVITASANAVSTTWEAHPSHPTLPGTAPNCNKWYTAKKDDDCSTVQRDYGISADDF FRWNPSVSKDCKKNFWVDTSYCVGVGPAITTETPTPTVPTADGSSTTTTSIQTTKTTP IISTPGDNGTSTGKATYTFNHPTTTWTPPPPPSETAWPPTKTQPGQPTSCTKWHEVMI GDTCDIITSLYSSWMSKEDLLEWNPGLQEDCDAPLVGYYLCVMVRPAGYSITYPTGST PVVIPDPTPYTSPPPVCPNTTDIELPPSPTQSGMPSKCQVYYHATAGDSCSKILSQYN MPEKLLHEWNPALGPDCKGLLPNYYYCLLPSGFVPMPLTVTTTPAPIQTGITSNCKAW WWRNQTETCSDIVLSFGTFSEEDFKAWNPAVGQKCTGLIILTRLVCSSEDDCYDVARK NGITVDDFLAWNPDVRVGEHDCRVLPVDYEVCVHIRPKPPIPGCAPISTNTTTSSNIT LYPPTRTSWHKTLTTTTLVTKCEDPSSSTCATATVTTTRVVTLSPPPTTVPTNPTFMT TTTAPTQGGNSSSSGSGESTLPPPPFTNSSTPITPSTKGPVESSSRPTGGHGTVTGPP PPEASSTITVTTTITTQCSTTSTVNTSEPDDTTVVTATMTTWCSTSGSTSQSQTASEP SMTIMRV AFLA_028310 MEGLYFDSSRPIKHVDRKAIYTRLEARINYLQDFLDFNSADVEA LTTGSKYIKALIPAVVNIVYKKLLEQDITARAFHTRDTSDERPIEEFYNEESPQIMRR KMFLRWYLTKLCSDPTQTDFWRYLNKVGMMHAAQERMHPLNIEYIHMGACLGFIQDIF TEALMSHPRLQLQRKVALVRAIGKIIWIQNDLIAKWRIRDGEEYAEEMSQMTLDEREG FLGDKKILGDSSSTSASSSDDDRSSVHSNPSIAPSIAPSTISACPFADMVMSNSAAST SETKIWAGK AFLA_028320 MSANGTDSKGVIPLIINNESLVTETVFDVHNPATGEVIDRCASA SVDDVNRAVEAAKAAFPAWSKTKPYDRRDILMRAADIMLSRREELIKYQMEETGAGRM FVEKTFELGAGFIKDFAARIPSIEGSVPSVSEEGECAMVFKEPYGVVLGIAPWNAPYI LGTRAIALPLAAGNTAILKGSELSPKCFWAIGDIFREAGLPAGCLNVVYHRPSDAAPV TTALIAHPAVRKISFTGSTQVGSIIASTAGKYVKPVVLELGGKASAIVLDDANLERAA MCCSLGAFMHSGQICMSTERIIVQQSVADRFRTMLADASEKVFGKHTPAPVLVASPAV KKNKQLVSDALSKGAELVYGERNDSEASGNSLRPVIVGNVTKDMDLYSAESFGPTVSL MVVDTEEDAVALANDTEYGLTSAVFTDNLFRGLRVAKQIESGAVHINSLTVHDEPVLP HGGWKSSGYGRFGGPAAYDEWLQTKTVTWVQ AFLA_028330 MSARWNDVSGRRSVGRLHRPSQEQEMSDKANEHPSREKTRDDFI QFIPSCDLLCLRGSVMIISIGLSQGSGSESGALSSLKHKICETN AFLA_028340 MRFSIFGALTALAALATAHTDPDYSQGPTGNPIYTPGLNEAVPV GKPYAITWGPTTEGTVSLVLLRGESTNMQTLETIAEQIPNNGKFEWTPSTSLEADVTH YGLLLVVEGTGQYQYSTQFGISNPNGASSSSSAAPEVPTSTAANPGASSSATSYVTYE ITTTICPETETAPATAAPTASTSAPVIPPPAQSHSWGTGGVSVPVVSPTNTPYLPTTL RSSSAPSGTASSTTPGVPLFTSGADRNAISFGAAAAGVLAVLAF AFLA_028350 MVQGSLKKKAGTGPKRPRALGPKPGPRQIAPKKQSLIKQQKMTK KLTAGLISKTERNLAQKAGHLELLAGGKKDKKKENGNKGGK AFLA_028360 MDCGSTGTAAGIDPKILDNWQTDATFSISPWEHPPYEQPLEWDN SQDSTQDDADIVELNALHGSLAKNHSNAAYLDQSCGFRSGESSKTTSPEKFSIPDGPI EGTVSGTVSPRTLPSSADDNFQLDESWPHYQLFNAMTAGIPMEAPPLLYPYSKEPATS NTVIVDDVGELAQGHGMPDIPSEHFLAFQGMPQPFTFDTPDVWTEPSTNPSPESMANH TTTASISLGSELPNKETNPVRDFQTPLRSLESRWLDSLESQLPSNMTSPASLSTIPQG PGFFKEEKPGQDGFQYKSESSSVSGDFSTGVYECSYSATSDDAPAFAERQLDEEDPWK GVQKDEPEMAQPLQSATAFMVSETPSESFFVSVPSRSRASSSAAQRSTARPQALALQS VATVRKRKQRGSNHSLDLGQPKPLQIVQEDGQGGSIASADFVSPPRGARRKGPLSMVG RANAGLRRKNKDTCVQCRLNKRKCDGNAPCDACRPTLHEQPCARACFANIVEYGTCNY VSQRAVNHPTLDRTGRVRMDIPVEFDLNDLISFLGERQGRFNIRASQAWGSLYVLDLG ETYKFLRSLSDYNGNSRSNFLEFIDRRIVESKDKSKNWLTCVKDCDPVNNFYTLLSRW NNMPSRASYSFVPLHPGAQERPMDIHNTEDRREILLAAQLSRIVCRMLEVEGFRKLER DFYNIKWKQISQETHLRFLNELGNILLTLRWRVSWWKRLGDGGQEPDPSKQHYVDRVD LLCRILYVYYTCVLAKLPSWCAADVPKGVWSTYADTDNAVWDDFPVDPTDEGFQRWID RGKELVEQAGAPNGTSKIVH AFLA_028370 MKNGPPGSILIQQSLPYKYYAHANAEPEEEDPKLFKNCSSKKKL RRNPDFITTFFLPPPSPIDRQTKLPSTCISWYGTFNLRLSPYIRPFVNALQDAGHLVS VAIPAASRSWIGKAHLIEASLKATYVPPSAFRDDGTWDETADSADTETQPEWVVIRNG TPASCVQLGLFNLFQDRPPVDLVISGPNHGRNASTVYNLSSGTVGGALEAATCSKRAI AISFGSKDPQPDEIIRAAARHAVKVVNYLYEHWHADVELYNLNVPMREDVESRPVRWT EALPYYWPRGCMYGEVTADKKVNGHTETAVNGTSGSHFKEIDFTWAAELSEMKKTLQS SREGTDAHTVLNGDTSVTALRANFWHVPDLEGPINLDD AFLA_028380 MPPKSAKPTSDELLAQFDDLGVDSTADQPTSKPATTATTAQTED DILAELDNLASQRPSSGPGTPRLSTNEPRPAIKSPKPAATTPSTGRSSEDKPAPRKST ESVRVSFAVNKDTDVQPSKTEKPAAKEPASSGGGGGWWGGLFATATATASAAMKQAEA AVKEIQQNEEAQKWAQQVKGNVGALKDFGGELRSMAIPTFTNLIHTLAPPISSHERLQ IHVTHDISGYPAIDPLVYAVFARVMSQVEGGDLLVIQRGQESAPKRGLDITGSQSTPG WRDGPWWRTVLPGTPRSISAVRGSVEASKLARASAESYATEFFSAKGGVEEAAKQASQ VLSESNPVRSSDIFLAIQAISQSSSTEQFQAGPTTDQTPPGVVDVPSATEEEVTFALY LHDPIHGIAFHTISQAVPQKWIDWLDAAVPATEDTTADDMWHRAPVPEDIAEIIESGG VDPREWAAEWIEEALTLAIGVVAQRYVARRMGVGEAGAGKGKMRAEQASVVESGAGEV ARAL AFLA_028390 MRLPYVPNPPPTTTPEEADILNRVQTRRGEKGLIPLDLALLHSF PVADGWNSFIGAIRTRTSLSQAIRELIICRIAVINGAWFEWDQHSPLLMEGGCSAEAV EVVRDAQADIPQKVQEKVLSPEEAAVLKYTDAMTKTVTVPEDVFQELKGLYNDREVVE ITATAAAYNCVSRFLVALDVGEKNH AFLA_028400 MAPVAQSDVDMDSHTSDMASHDSQLRRQFSEPLHAHSTSTHSPS PSPMTTPRAKCAWCGKFFESSDDDPYSQNEALEEHIAMMHPHIAKFSLYDGVADEEKE ETYDVDEQHGDDTVLTAPASEADEAPEAEEDGLMEAGDDSGHEGGEAMEGFDNENDQN DIDGPEAEGDGEGHEGESDLALFNQLHEFSRQEDSVSLDKRIHNLWNLHEISKFSGGF EETSTNISGTWMKVFDDPKRVKKRDTSELLVRPEPYKSSKSSRGEFLEISPLENFLVQ LRDPELRSSDELYAITENIANVLKVWQDEYLAIEKLCKHATRQNVKPTSDPRKLERPE VYEDKKEAMLYGYKHETKEDKVRNQNPFVQGGFKPTPAQFRKMTAKAGPNNPNPDGWP TIMKFGVEHVPKFQNPPREEFVGKATRKRKAAELEAANKANETDEALNGSPAPIEEEP FAKRRTRTRRAGELDTQPAGSPAPSRGSFRGRGRGRGRGAARGMSRAGSEAPQPVAPV APVRSFGRGHGREVAASAGPLQSRPATAQLAPIEPAPRGDSATATPVSTQAGVAQDEL LDPAEKARREKIANSKNPKRTEAMLNHWARFNREGRTRNPKRSKAQIEADRAAEAARK AAEPPKTVGKKKKSDSPVFGGPTRADGLAPAPGIPGPGALAPGPPGPHPQLAPMPPAR SMAPYAPIDPRAVASFPPAPHGPHGLQPPPPPQPYRTPYPELYFPYGAAAAGLPPPGH TRPA AFLA_028410 MSATPSTPGEPGILQQPAPTQQLNRSCESCRGLKVRCLPDPKSP SQCLRCAKAKRACVFVAPQRRRPRKRTDSRVAQLEREMRQMRSLLKDRFRIEEESSSE SSGSEVEESEEPDFGADPQETLSIVPDAPSSASGSFRNMELSPGVPASSSYPNTLNSG SDAFASATPIPPCYGLEGPSGGDIIDRGLISLEFANELVSFYISELTAFAPMVLLPPN TTASHLRRSKPMLFLSVIAAAAIAIDDSLAAVLNRELVRLYAERFFINGDKSFELVQA LLLMIVFYYPPESPLKLQNYQYTHIAATMALEIGLASKRKVPNNASRKASKRGSYDEH MAEQARAILGCYHLASNVAMKTRRPNLLLFNDWMQECVKHLERSPNMIDRHMATWFEL QKIVDQAMASFGLDDTSSTTPLTESRVQVILRWFDNQMQNWKKNISADMLTGNFPRYL EDRNGSGLKADRFSFLLVTMTFEYHFTNLAIYELGVGEGYRDPDAIKQQYYALPAPED GNQPAKAPLSAIRVDITIKWMNAAQEMLDFFLSCDTDLMRKLPNLIYTRVGVAVMSLL KVYFSVKSGALGEFVSPQSINMEMYLEAMTRRLTEASGNMKYKVPSRWLYIVGVKARN WYDRFQQRQMQTEVGLAPPLSASPQRSASPAPMQTAQFSSADSSQPVGTLAMNAEVPQ IAVMHPMDGAYGASAATNTIWQADQANRQVFLMNQYAGYHSPVVPTQYPYGEFSQQGV AMDPPQQGQPPIPPRTGMELDGWLPDGSICGMPPLPGM AFLA_028420 MSGLPDLSHKEPSAQTLMEQARSRADNAREDLSNPHAEPVAGVQ NDQSRNARQDLDKGGTAKTSVEGGHSSRMVERMTGPSGIH AFLA_028430 MTSSATVDYSRDPAVDHSQAVSHEPEHSQTAAAENSTGSPDIKS QKENASPVGGNAGSEPTAESTTGEVDEFGLPIRIRARPVRSSESSDNEEFHDVEEAAS GPADKQPTSQDSKPVMNEIKLEAPQEESTKPVDKGKDEEKGTESLPAVEPHSVESPRE KDSSVPVGNEKHATSSGSLPDQEDCPPPPPYTEKPNAGNPSTSTQSDAVQKKRSSLKA SEWSHQRLNESKDSEDEDEAESDGGWQDMPAIDEFDVYDDYGRLVARGAKPEDNDAVY KGLGGAGKGYTRVQLDEDAQSATSLDEDTSYLFREAAHNSTGVEGEELRDAVSQLQAT KDLLTESQRIAYVGVTRLAIFQMTRDLDRVPSTKGTRKAKQKTIDSMRKWGQTIMARI YAHMDIDAAEQVMIEQLAEHGVQPADLVRPLMQNARVKNPLAEELDTPKKSLSSPTTP SLKDEYRSSLSSDFDRSTSPPPYETHEGEDLPEVRTPSQLPTSTKIDIDLRWTVLCDL FLVLIADSAYDARSRSLLEKVGESMEVSWLQIARFEKRVVDALEMQEEADKETWDESD HMERRRKMALKRKYIVMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTVGISGTGAF LGGVGGTAIIASGGTLTGGTIGLRASNRRTGAVQTFEYRPLHNNKRVNLIVTVSGWMT GKVDDVRLPFSTVDPIMGDLYSVLWEPEMLRSMGDTINILATEALTQGLQQVLGSTVL VALMSSLQLPLVLTKLSYLIDNPWIVSLARANAAGLIMADSLMERNLGKRPVTLLGFS LGSRLIFSCLKELADRGAHGLVQNVYLFGSPIVANKDEYLKARSVVSGRFVNGYSSND WILGYLFRATSGGIMRVAGLAPVEGIPGLENFDVTKLVNGHMDYRAAMPRLLKEVGWE VLGDEFAELEDPDPENHAERQRELIREIDEARREAEAKPEKKRFGLFKRGKLAQKKGW ETYDVERNNATPRDSSDSNGTGSVLFDIDAIRAELASESIEVKQLESTLPPMKLDLNS PSSSTPVTPTPSDSKDTTKAVNLTKSAPQSPPEPYSVPSASHDQQPSTHKEEEEIEMT FDTSYHEPLQRSHSFFEPTTATSYDAHSTRPELRSSSTTPAGLGIGAAAGVGVGASAL GALALEPNAWADHDIGDGEEGEIHMSFE AFLA_028440 MVTPQPKPDSSYASNRLTRQNYRGGHLLSTFQNNEAPEPVTKPE PATDDEPLSSSEEETQSNAGLDDDIGNERTPRRSGPTLEEKLAETSRENGTPSRTPRS KRGIDSPRAQRSTRKRTLKDTVTVNENDNGDPFSECGWSSQPVKKSRADYGKKRTSEV YVRKPSTPLQTDSPPSSRPKPKSAGNSPNAEKKTKDVDTESQKDDGFKVPKDIDIRSP PSKSRSSPKFKAPPPLPNDIVSSSSFAPSSAREPAIFDTDDEDASPLSSPLSELSETG LQDILLDEAGDVPNPKESLCPWCKEPVDPELLLRFQSQPKQRIREQQRFCDSHKKTAA EKEWHDKGYPAIDWDTFDNRIHSYFDDLENLLVPDSRSYYRNILDSTLKAGKAKNFRL TLEGDGLETISCGYYGTRGSGKMLQALTTRFSRKLRRLAASDHIVKSAGVVGYAQAVL VPELAVRLVKEDMGVSDEDARQILRDSIDLGEKLNFALNDNVPIPEALVGHSVDV AFLA_028450 MPPEMREKSMPHTMAPSESTPLLQVVSVGPQRHRYPHHKLRQFC SFTLGTLLVVSLFLFLLPSAIFPREHGSIWSYFPGARPFPHKAWPQGHGLKYEELQAI LQTTPSAEKAREWSSYYTAGPHLAGKNLSQAIWTQERWQEFGIHDTDIVAYDVYINYP LDHRLALLKKSKDTTEVTFEATLEEDVLEEDGTSGLPDRVPTFHGYSATGNVTASFVY VNYGSYQDYQDLVDANVSLSGKIAIAKYGHIFRGLKVKRAQELGMVGVILYDDPEDDG EITEENGYKPYPEGPARNPSAVQRGSTQFLSFAPGDPTTPGWPSKPGCDRKDPSDAIP SIPSIPISYKEAIPFLKALNGHGPKAADFPKRWQGGKLGSKGVEYNIGPSPDDVVINL DNQQEYVTTPLWNVIGTIKGAIPDEVVILGNHRDAWIAGGAGDPNSGSAVLNEVIRSF GEALKAGWKPLRTIVFASWDGEEYGLLGSTEWVEDKLPWLKKANVAYLNVDVAASGTV LGPRAAPLLNSLIYEVTSLVQSPNQTIEGQTVRDVWDGYIATMGSGSDFTAFQDFAGV ASLDLGFGRGPKDPVYHYHSNYDSFDWMDRFGDPGWLYHEACTKLWSLAAAKLVEAPV LSFSASDYSTGLGQYLEKIKPGAKKLRGGEFDFGSLDRAVAEFQATAKKFDAYAADLT SQLGEDLPWYLWWKKVRLYFQIRVVNDKYKALERAFLYEPGLDGRNWFKHVVFAPGLW TGYSGATYPGLVESFDAGDSANAQKWRSIIVERLDAATKVLQ AFLA_028460 MIEYVGVPKNEVAKWVGISSAVTSISQAIMAVTWGTASDRFGRK PIILTGLTCTMIISLLFGFSQTLTWVVVTRALLGLMNGNVGIIRTMVAEMVPEKELQP HAFSIMPLVWTIGTIFGPAFGGALAHPAEKHPEIFGNSEFLKRNPFILPNIASAILFI IGITTGFLFLHETLATKKDSRDYGLVLGKMLTSCSTSREKKAQYTVKDDENTPLLGGS PLQQKKAPVKRPSWKDVFSPQSRLVLIAYALMALHTMAFDSLLPVFLHTPVQQLHGNP DVHLPFKFIGGFGVGSQTIGIYYTLIGIIGMFLQFLVFPIAAKRYGVLNCLKAMILVF PVIYFVTPFTALVPESLRHVTIFLLMLSKLAASIFGFPCITILLTNSATSLTVLGTLN GVATSVSAVGRAAGPAICGAAFSFGVKKGYIILPWWMLSIFGALSALPIYWTVEPDGF QGNDAEEEQDEPQESDYGAADHRRSSGARTGN AFLA_028470 MNLPTSVQAYSTPNQLPSGAFPQSSLTPIPYHHTITSSHLPLPH TISPTTTPTNQQKNPSIQTTQNGLSHNPNPLHPKPATKLTQEPQKQTLEINSALSLSI LTSLGGVIGYARTGSVPSIAAGLSVGALYLYSFQRLRTGQTYGDELGLLASIVLSGSS IPRAIKTRKPVPIGLSLVAIYGLLVFGKAVLGKN AFLA_028480 MGKKRRGPTLDELLARPWCYYCERDFDDLKILISHQKAKHFKCE RCGRRLNTAGGLSVHMSQVHKEQLSAVDNALPNRQSLDVEIFGMEGVPEDIIQSHNQR VVTQFHQAEAERQAQTGNPPPGAGAGGQPAKRPKLENVSDLKKRLAEHKAKKAEAMTG GSSGDVTPVGAGQTQNAGAFSQSPSTAAPNSQYTYPQPYGGAGSPYQQTASPVYQNFS PGGQSQFPPSAQYTPAGYSPQSFQGTPGQTGAAYGTPPPFPPQQPQQPTPQTNTPPHA TAFAPRSGSLPAAPGLPQRPAVGAPQVNAYQLQQMHMGHPVPATGAPVAAANGEKPPG AEATPISSSIDDLISGAAKEADQAAASAAPPTEEKPTKKDKSKQSRLVYSDNETSPEE KMAKLPRYAIVPDRLGETALQEQVPAAVTGAERGPDTVFDATD AFLA_028490 MDDLGAETWIMHGTLLAWWWNQKIFPWDNDLDVQISEPTIHFLD EYYNMTEHHFDIPGVEGGRTYLLEINPNYVFRSIEDKKNVIDARWIDTSSGLFIDITA VRPDDAKRKKGDTGALMCKDKHHFDENDIFPLRNSHFEDFPVKIPFEYVKLLEDEYGS KALTATDFQDHHFNEETLVWDPVSKRKRSLRRRGAVAFPVRTTPLKYKMT AFLA_028500 MSFPPAESTQSANHSHYGSDPLLRTSPQAISHASQDNGQRSCIT CRRRKVRCNKKCPCVNCVKAGIECVFPPPGRAPRKSKRPHDAELLLRLKRLEGVIEHL SEKNASHSTVPSPTQQRSGSAPTAECPGSAEPQSAEPDGCPFDPKRKPRNLEHEFGRL VIEEGRSRYVSNRLWASLGDEIEELQDLLDPSSSEDEDHPSPASSSTHSTNHDGFLFG FYSLSHSLRSFHPPPMKVPLLWQAYLENVDPLLPIVHKPSAKQLFTNATDHPDSLDKN SEALLLSMYFVTIVSLTPEQCLTLLGEERDTAVSRYRFAVEQALSKANLLNTQSLMLL QAAVIFLVAVRREDDTKFVWSMTSLVIRLAQGLGLHRDGTNFGLKPFETEMRRRLWWH IGLLDIRSSEDHGTETQITERMYDTRLPLNINDDDIYPEMQEPPEERNSFTEMSFSLM RFEITVALRRVSYTCPNTNIPGPQQPSPEKCGNLIQIVNKRIEERYIQHCDMNVPIQW VTATVARLILTKLWLVVHHPMTRPYQGINLTNSSRESLFLTSVEVAEFACLLTSDKNT QKWDWMFAINMQWHAIAFVLAELCVRPINPLTERAWSAVSTLYGRWLQTAKHKKGMLW RPLARLMKRAADHRAKLQQQMHTQPGPGPATSSSTASLSIPEGPKIMDPPVLPRIPDF QFSPSTLPVTTSSNPSLGDIDFRKGGPMGVMSDLFPEVDWLSLPTPGDSLGQQPAANP APAISIPEGTTLPPEFQQDSSNLQLNWDEWDQVMRDFQMDMQDVQPTNPMGNIPNNVS GWFT AFLA_028510 MPVKRTTAATATPTGTKSLSSGPKTLTANSSVSDIIHTVWQQYL ATTPQRTMLLDVFMAFLVLVGGIQFVYCVVAGNYPFNAFLSGFCAAVGQFVLTASLRM QTSSSPSQGSGKTPSKGKDGKSVDKGGVSHERAFADYIFGSLILHFFCINFIN AFLA_028520 MASNDMPPAKRLKSSNLPPPLRDAKRKDIDNWETNRMLTSGVAQ RRDFDGDFMPEDDEGTRVHLLVHDLRPPFLDGRTIFTKQLEPISAVRDPQSDMAVFSR KGSKVVRERRQQRERQKQAQEATTMAGTALGNLMGVKEDEGDSAVALPVEETYKHGNK FAKHLKKDEGGQSSFSKSKTLREQREYLPAFAVREDLLRVIRDNQVIVVVGETGSGKT TQLTQFLHEDGYSKFGMIGCTQPRRVAAMSVAKRVSEEMEVDLGDLVGYAIRFEDCTT DQTVIKYMTDGVLLRESLAQPDLDKYSCIIMDEAHERALNTDVLMGLLKKVLARRRDL KLIVTSATMNAERFSRFFGGAPEFIIPGRTFPVDVHFSRTPCEDYVDSAVKQVLAIHV SQGPGDILVFMTGQEDIEATCELVDERLKLLNDPPKLSILPIYSQMPAEQQAKIFEKA APGVRKVIVATNIAETSLTVDGIMYVVDAGFSKLKVYNPRMGMDTLQITPISQANANQ RSGRAGRTGPGKAYRLYTEVAYKNELYIQTIPEIQRTSLSNTVLLLKSLGVKDLLDFD FMDPPPQETISTSLFELWSLGALDNLGDLTPLGRQMTPFPMDPPLAKLLITAAENYEC SEEMLTIVSMLSVPSVFYRPKERMEESDAAREKFFVPESDHLTLLHVYTQWRTNGYSD GWCIKHFLHSKALRRAKEVREQLHDIMTVQKMPLVSCGTDWDVIRKCICSGFYHQAAK VKGIGEFINLRTSVTMQLHPTSALYGLGYVPEYVVYHELILTSKEYMSTVTAVDPHWL AELGGVFYSVKEKGYSQRERRVTELEFNRRMEIETQIAADRERAAAEKQREIERNDPS RRKKEVEVGGSAVRRPVITGKKVGGVTASSTSRNGSGGGGSVVKKPQVKRRPGRAF AFLA_028530 MASQQQVNPKRQQELQLQYSNYKNTLQQMAQKIGDIEQEAEEHK LVIETLEPLPEERKCFRMVNGVLVERTIKDVLPTLKTNSDGLKQVLEELLKQYKSKQT ELDNWKVSLFSIAVRDLTEVSIGE AFLA_028540 MQKESVASEEERERETVTVTGDGQGQKKWMASGFHLSLRNQYHP HTENSGINLLLWLWLHPGCRGVCIRNVTHVMYDDTGFICGAVD AFLA_028550 MSSTSPSKEPEVEPEVQSGEEQEQMDKEQQDQAQNQGEFEVKEQ DRWLPIANVARIMKLALPDNAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTV NGEDILFAMTSLGFENYAEALKIYLSKYREVRPVQRSEFPALPPAVGSVLGQDTQSAR GEHQNRPTSSGYASGGPVGGVSSAPGGRPATAGGFPDAADNTNSIMNPSLDPTEQDPS AYGYPPMVGQPHNGTAGDSY AFLA_028560 MILPCVCVNMLTHRDLGSAACIHCHRRKVRCDARIVGLPCSNCR SAGKADCRIHEKKKRLAVRSILDPVPIRCRPPPDSDSTPKLLPSTPIQPNAFTTAFRG VQPDVTSPVAAGAQIIQSPHSSYTNGNHLSNNRGSQPITETQTFTRQPGADRSMELEN NADLEKRLVKLIDEEESGSREIQRGVRAIYVGHELSNMSFLIRQQRDKDDDVYHFAGN EIPRRQLRTGHDQLLMDALTLPEPALADELVEAYFMHVNPGYPIIEEDLFMTQYRNRD PADPPPILLLQAILLVGAHVTRPKAERDALKEIFFRRVKWLFDSRIERNRDIMVQAAL LMTWHSDSADDDVAANAHYWVGVAARIATGLGMHRNPVSSKFVPRDRRMWRRLWYILV QFDVMVSLSYGRPQAINLEDSDVSPLTPSDFEGCGSRVQAEYVIHFSELCTMISYIVR ERFGLRVSAERRKAALQEADEALANWSLKLPDSLRLRASDMDPWSAMLHLTYNNFLIL LHRPHPRASAYSDDYGPHDAEICSAAAGVIASIFEELRLNDRLKFLWYSGVHTLFTAM IQVRVELRFSNPVLAINALRRFDSASYSLRELAEYWSHANTILRLFQDSKRLQEDLRM ATSERPRRFSTHDQNKNTTNPSNPHPTPTPNLNSNTTIQSAQTEPRPPYEVPTPESPR MPPTTMSPHQNQPFDSWIPSSHLASVDPIDQPREFLDWRQLFSFTDPDQSVLPVPMEG LPELEDEWRQIYWQETPMSDLLQDGGWMHG AFLA_028570 MSSIAEIPRMRATTLTVMATRGEDQELVHQTAATLTGGQLPSLP GQSIGRVLPCFGWHPWFSHQILDDMGKTETEVTSASDKKQAHYSNVLTPPPADTFISA LPNPKPLSQLISETRERLLNHPAALVGEIGLDRAFRLPQAWTQHEKEGRDPQMTPGSR EGRALSPHRVQLEHQKAVLEAQLRLAGELQRPVSVHSVQCHGAVFDLFKKLWTGHERK KPSRRERARRHSAADAHTQSDAEEEQQNLTMAKESPLPFPPRICMHSYSGPVEPLKQF LNPSNPSDVYFSFSAVINFNGPSPQKIVEVIKALPDDRILIESDLHTAGQQMDDLLEE VARQICELRGWGLRQGVQQLAENWKKFVFG AFLA_028580 MASQSLESSKDDIASHQGGTPHAARGASATPPPSAWFPLGYREG FSQWWSSLPAAAAEHKVLSYLPYLQHEPPTHLQTGKTSNLPSGEPGSLQSADHSQEGE VAANSLNDPYGPRRWRSSMVELSGKNRALNEFSVERIGEEANQHLVMVHGYGAGLGFF YKNFEPLSRLKGWQLHALDLLGMGRSTRPPFRIKAKDREDAIREAEAWFVDALEEWRV KRKIDRFTLLGHSLGGYMAVAYALKYPGRLNKLILASPVGIPEDPYAMSADMPSETTM AKEFSQDQRNIAESASSVPPETIQKGDNNILLKGPPTSTAAPDQPPRRMIPKWFAYLW EANISPFSLIRWAGPLGPRLVSGWTSRRFSHLPADEAKALHDYSYSIFSQRGSGEYAL AYILAPGAFARSPLIRRIQGVGRQVLQTPSSSTQEPPQAIQSSSQNLSQSDSTMALGS SLSTPVKRENGLPIIFMYGDHDWMDVKGGMAAKAKLEEEKRRVLQNATPEERQADQGS AKVVVIKNSGHHVYLDGWEEFNSLVLSEMEDVGRKDTK AFLA_028590 MASASLDHLVKGSPAPANHLSSSSTSSDTLNSAPRPYPQNSSDP SSPPRPLTPDPLSTLPSSPPQIYLNLLILESSLRAQYLALRERRRQNTFFLLLLAAWI TYFAYALFLRPREDGRGVGGSVYWVVEMWEKVALLGGVVTALLVWGTGQWERGVRWPR RWLAVANRGLRTMNTKIVVIRGPWWQELLSYLSFLFPFSIPLFPSPVGEFHYIERSAS EKRAGGRQHHQPYYNMDMESGLVEEDLSPGGDYIRLLLLPKSFSPEFRGNWDDYRTEF WEKENERRAQLRQKLRQKERQLAQQDGGWFWWFGIGWKASQRRRAAAATTTKSADEKA HHRHSHHSSISRLSHEPKSPARRSTRSESHSRTPSRSTTPVDTDDRPPSRSSASGRPR RGSLTPNSTASGSEQGHQHRKKKSKTLSRGVSPLTQAQISEGVRTSSFSSDDSGFLAE SDKVKDEQTSV AFLA_028600 MDGVQLRDEAAQDRVRAAIEFLDPRKSPWAGLCGLVKVASDLQN SYRADIVLMLNRGLRRLIVSIDEIRAHNRELADGLLTSPFDYSQAFDRALKDVIKTIP HRPSRETADEVLTNGQNYYCAYVGAFGEFSCNPRTLGSTHLNRMISLEGIVTKCSLVR PKIIQSVHYSERKDRFLSRKYRDQTMTASGATSLNVYPQEDDEKNPLITEYGYSTYMD HQTISIQEMPERAPAGQLPRSVDVILDDDLVDRAKPGDRIQLVGIYRSLGNRNANSGS STFRTLVLANNIIQLSSKSGGGIAQATITDTDIRNINKVSKKKNVFELMSHSLAPSIY GHDYIKKAILLMLLGGMEKNLDNGTHLRGDINIMMVGDPSTAKSQLLRFVLNTAPLAI ATTGRGSSGVGLTAAVTSDKETGERRLEAGAMVLGDRGVVCIDEFDKMSDVDRVAIHE VMEQQTVTIAKAGIHTSLNARCSVLAAANPIYGQYDPHKDPHKNIALPDSLLSRFDLL FVVTDDIEDSKDRMVSEHVLRMHRYRQPGTEEGAPVREQLNQTLGVGLEDNQDSNQPT EVFEKFNAMLHAGMANTGRGKKKDVEILSIPFIKKYIQYAKSRVKPVLTKGAADHIVT TYSALRNDELSGNQRRTSPITARTLETLIRLATAHAKSRLSNRVEERDAKVAESLLRF AMFKEVLEDERRKRRKVTTFDEDSESESEEDSDEEDTPAQTASATPRSSRRSGTLRTR AAANRSTTDEMDADGDDASEDGDGLYSASPRGQRLRSSQGTRTQTQSQSQMSVASSQP ASQLIDSQTDTSQPQASSASASQPIQPARLTVFRQALGPLMGTRLFTTGDTADVEELI GAVNTAVRGIPSLGESHVFQRPEAIQALRAMNERNELM AFLA_028610 MLERAAGCLESAGRRFFRDSNGAIRSPRPLYPSFGQASGTNSDS LHWLPISPTSTRGVSNTVNGTPTAGRVAPEPRTPFLDFLYPPRTQEFAASCLIRSPRR LTARRRKRAITTLSRTYTSGAASLYQPASADRSGPVITSRKDREDERKRAKHDLLSLL GDGQLGEYDKAWSLYVTAGHPLDTNPALLAILSNSEEDVNHRRVKRLFEGIPADSRSA EDYLHMAKSYLATERPLEMNRICQVALEKGQGIPCWAYSLAHFVNTKQWDYAQQVWLS KPTSDEQGLWDHVTSSLTFSNVPNALIALATWLQDEVNNSSARDLARFLLGHAFSTPG IIVKTATEPLLLLLKEYNALSILTPKHYFSLMKTLQSSEIRSIFIRAIVVYRNFRWQM ESEVPPARLLNQLLRRLSTFEITIGIRYLLDEFSLFYGKPSIDAYKHALIAFSRAGDV VNVNEIFERFLSDHGKPLSRRLLTPLLYVHARYGNVQETLRQFARISEEFGLVPNAVC WNILLTAYANAGDLSGSFTTFKKMIQQGVEPSSHSFGTLMGLCANRGDIDTVRQLLAL AKHRHVQITAPFLDTIVEAYCNNQQLNMAESVAETCLGLDVKGSRVRMWNVLLWNYAF RMDLESISRVRSRMDAAGLQPDGMTYAALMLSLVLIGQTDSARRILRTLHRSHRMYAT EFHYAIILYGYVKDRNRDMVHIIFREIKERFNRPGFSSSLLVLKSQLQRDLQLIKSGN SQVDSASVRLENAEKFLAETIAEFDTTKLATKLPMPGVGKQTISDAFPAMYYEYIITA YGKRGAFAKARELFDQFIKDRQASTTSENVYDKAPLRLLSALMLTYLKADQHKKVEEC WKMAFSRAKKLARRPTVDEWLESQLPSTEIIEPPRPTLPNSVSHHKDILLDLDGCIAE RPKKSSILPAYQFLLSRPLSLYMRSLAYRNETSRIPQAVADVEKAGFMLTTYNWSTFV QMLASSERISDQTEAFTVFEQKFMPNFPGWKSLRRGYGLKPPGVPPSIDIIENPTRGK HPNLLGKEGRKYWSKIQPEFMQPTYVSMVYLASALLGARERSIFDGGAELGSLHQAAP RTIEAIADMPYLREKFQGVLLRRRQEQGDKKEDMDGREHFVWTGGILGVGGQRRPFLG IDETTGEPTKPYDMAEERAQRDVKSQGASEDQTEVHAADGVQIAEGASTPPVKTIDYE DEYDIEAESLLETRRNAQQANDDLLDNEHRENIDSNLANDSSTLTEDLLAMDEDVSDD DYDQWLKEVIDEEAMSFEELEERN AFLA_028620 MDITDYIFNQREEVLLAGDYNAYRAHTTRKLHKLRKKLGQTTPK GRKYTAKPPVSAENVNGNVAYVHTLLLSSERAWAQALQMKSAHSADPSAKGITGAARR HIISRLHKSAGYANELVLLLQDQASGATDNDLLEARAYHATLSGALSLEKRKWEQCMQ DFSVSRVIYAALGQNNKKDAFRDLLSGTVDPSLRYAAYQMKLPRSKPLPSLAISFFPS DANLRSEVEKVDPNCLKEDAAGTRRTADGEVQQLPETITWRSRTVALEDAAISQALAA AAAAESRLASWLAEASGKSASSKDKAAAYDNVIIASQDAVDATKTAIDDLVSEGVDPG DKRMQSLQITRTAVNFALVGWRVGRNRVLCGEHDGIHGETDQAKATKGSKGSAKREET KGKKLARLRERVVLYDSTLQSIEFILELPGVAADSAFVQELGAKRSYFRALRCLTIGR SHSILGKYKNALALFSQALALSQESASPVQSTAEAEEPPKLDITRNQIQTLESTLRAL VSQYRGLVTLEKISEQQSKSASERPMVERLHEYPGDGLDLKNLVPYPPQMQPVPVKPL FLDVAWNYIDYPRENANTQSATPASAEPATEEKKGGRRGWFGFGR AFLA_028630 MSTIHNAIEHEPPAIVYAENLNTCTGQGETNARDLDNRITFSLI TPYSSLEAGFSNKFPKQGLN AFLA_028640 MANVTGSFVSPSADATVVPQLFQPSGLLGSLLGDFNVWKGLLTL FIAAVIYDQFRYFYQKGSIVGPRWKLPFMGPFLQSVNPKFHEYKAKWDSGELSCVSVF HKFVVIASTRDMSRKIFNSPAYVKPCVVDSAHKLLGEDNWVFLDGKDHVEFRKGLNGL FTRSALSCYLPRQEETFNQYFKHFLEKSKANGYKPTPWMPEFRELMTAISCRTFVGHY MTDEVIQKINDDYYLITAALELVNFPIILPFTKTWYGKKAADMVMEEFAKCAAKSKAR MAAGGEVSCIMDAWVKAQQVSAKYREDVAKGIPAEKPPQLLRDFTDEEIAKTVFTFLF ASQDATSAASTWLFQLMADRPEVLEKVREENVRLRNGDINAPITMELLDQMEYTRAVV KETLRYRPPVIMVPYLVKKDFPITEKITVLKGSMIIPSVWPATHDEEAYPNPDTFDPD RWITGTAEQNPKNWLVFGTGPHYCLGQTYAQLNLMAMIGKASMEMTWEHTTTPKSEDI KVFATIFPQDDCLLTFRPRA AFLA_028650 MASIQSIRRPLATLTSRTTKQISAPATIRSAFRTVPEITRAFGT APSPCLARGIPSVRRMKVALAQQSFRRWKSDGEPTFRQWGFEEINAALPNSPGTKPQK NLILVDVREPAELSSTGIIPSAVSVPLASQPDAFFLTPEEFETRFGYPKPGAGEEGDI VFYCKAGVRAKAAAQLAVQAGYDAERIGVYDGSWLDWADRKGKVERWEGESE AFLA_028660 MAPKKKGNKRQEADWEAELGEAAPGVEPTPQEDAAPAEEETGGG LLAALRKNKAKKGKKGKQQNDFVEGEDAPAANEPEDFASKQPEEGTFEEEDDVFSKKN QKAAQAAAKAAAAPKGAEGEGEFRVKSKKEKEREKKEREKQRKKEQAAKKKTEKPQQP AKAEPEKKQESTPAAAATPAPAAPEPTGGKKKKVPAHLAALQKQQEALRKQREEEARL LAEQQALEEERRRAEEEEEKKREEARQRKKEKEKEKKEQLRKEGKLLTKAQKEAKERN ELRLKQMLAAGAGKVAGLEEQGAEKKKPVYDNKKRQKKKGPAKQEEDLEAAAARAKAQ REAEEERRKKEAEEKAKAEAEAAAAASSAAGGEESELDDWEKAADAEDDVKDSWDAPT DEEDNTEKPATNGANKTELPERPAAAQKKTDTKEEDESSEEESSEDESSDEEQSAAQR AIAQRKAEAAERRKKQHEEAMAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQE GEAGGITQQIGATYFPVDALRQKTAPVNKDGSFDFKIPGLLVIDTPGHESFSNLRSRG SSLCNIAILVVDIMHGLEPQTLESMRLLRDRRTPFIVALNKIDRLYGWKKIDNNGFQE SLAMQNKGVQNEFRTRLERTKLLFAEQGFNSELYCENKSMARNVSLVPTSAHTGEGIP DMLKLLTTLTQERMTNSLMYLSEVECTVLEVKVIEGLGTTIDVVLSNGILREGDRIVL CGLNGPISTNIRALLTPAPLKELRLKSQYVHNKEVKAALGVKIAANDLEHAIAGSRLM VVGPDDDEEDIEDEVMSDLENLLSKVSKDQRGVSVQASTLGSLEALLEFLRVSKIPVA NISIGPVYKRDVMMAGTMLEKAKEYAVMLCFDVKVDKEAAAYAEEVGVKIFTADIIYH LFDDFTKHIAELTEKRKEESKMLAVFPCVLSPVAVFNKKDPIVIGVDVIEGSLRMHTP LAAVKANPTTGAKEIIEIGRVVSIERDHKAVSVVKKGQPSVAVKIEGANQPMYGRQLE EKDTLYSKISRASIDTLKEFYRPDVSMEEWGLIKKLKPVFDIP AFLA_028670 MGLLSRTLIPSPIIQWILPARLRSEHQNDVVFIGERSLQIKEAV SGFHLEDVTSKSDFDSNIMAAKVINVGTELPWEAQMKLGASAAPVNSEPQIGLPPQIL LLSLASRELVFLYYSMSSDQFIHHHRPLPSDVSTFERFGRNIAVEPRSRAIAVSASSD YFGVFTLKQPPVLQSQMAHHQLDPISEERFFRVDGDIIFMEFLYPRPEDDGKILLLLL VAHDQITHAICYEWDASEHLRQASPIVTKRLLPPEDRLPTMLIPLTKTSSFMLVTTST MAVYKNRLDPRRQPSRYPLGLPDRESRKSPLWTRWARPLRNWLYNQKHDDIYLCREDG QISYLGIGNEGEVENQAHLGQLCCDVDAAFDILHFGNEGGDLLLAAGNTGDGGLFVQK ARDHPRCVQKFMNWSPVTDSVIVKSSNQQFPLSADIASDRLFVCSTSSFAQGAIVELR HGIEAQIGLVVSLEGLSGTRDIWTMSTGTNGGVIVLTSDPMSSVLLDLPADFDEEICA IDEADSGLDCGSQTLAAGSTDIGVIVQVTERAIHLGATFESMRSSRFEYGYDQSITVA AVNGSASLIVAAVRDQDGLHLHAKRLTPSGDQLSLTDVGEPLRMHFEPVCISVLTFDF GSLICVGAGNGKIFLYVIENESITFMSEKTMDVAYNEDISKAIDSLAVINKVENGPLK KFVLLCGLRSGILVPFGVTLDSVNAVSSIDGQLMVCTIQREEKTVPRRIGLPGSATKL AYSQYLKSLVVAYSRTEFDTDADPIKRFTRSHIEFVDPDSQQAICGPEDKLRPWRPHG AAGEKISCILEWTPKKGDEEYHFIVIGTARKNQQDRGRVIFLQTSRMSSDPSQIECNV KYVHKFEGPVYSIAPYGNFTLMVSTGHEIVPLEPKFSQTRWLRAARYPVPSPAVSMSS HEPYLYMSTSRESLMILNASEEKLVLHAYDRQKHDGLSHVHIGGDMQLTLASSRGGRV SLLTGRRVTENDKMMPVAFCEAHLSTSVTKLSPGTRHSTMPTSSQVIYGTAMNGTVYR FLTLKEKEWRLLHLLQNLCIRDTVICPFTSKRKRQRNPAGYESLEFQPSQMHINGDIL NRLSIRGPSYLMYMLVTEEFYSPSTPETGSPQAIYERFLELSKDLLGETSNPVEDVMK WLKRTLHVGF AFLA_028680 MPLQKVVFFAMTLDDKLMAASAVTRLSLFRIRDYMLPSLSPTVK HLQLIPAIMERADPVLRRHLADIKPFFALAATLTLYAHDIQEYSDIARLFDFLLAREP VVSIYLFVAIILSRKKELLEIPEDEPEMLHFTLSKLPCPLDLEGLISNAVQLFNDYPP ESLPLGAWKKIPQTSVLKSTRDIFAKQAIGEAIFLFDRQVRQLRYEERKKKAVDFLWQ HRRTIGTVAVTILVGALSVWMRKKGFDTTIWSYFNRFKLAFQSHDLS AFLA_028690 MANRGYDVIVDADTEGDLGHTDLQEDLEFHPSNFENDQRNAKVQ QDSAPFLGGGSSRGRDRSPGGTPSKHTWWSIHYYAQFFDVDTNEVLRRCVAAVYPRSN FLDVLEGNADLYGPFWIATTVVVILFLTGTISQWLSNNDEEHFAYDFTLLSGAAGLVY GYTFVLPIALWGALRWFGSSTADLIECWALYGYSNLVWIAVALVSWSPLTALNWALVG VGFGWTVFFLLRNLYPVLSATDAKASKILLILVVVLHAGFAIAIKILFFAHGSPVSKK NKDDNEKDHDDDKHDDDDKRRMLGM AFLA_028700 MVKLSVIASSDQPDLSAIVSQQQRKIATMQIFVKTLTGKTITLD VESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLR GGIIEPSLKALASKYNCEKNICRKCYARLPPRATNCRKKKCGHTNQLRPKKKLK AFLA_028710 MASSNKVYVVTGGNRGLGLGLVKSLLARPATTVFASVRNEEAAA SLQAETQAVSVGEQSSLHILQLDFSSAVAPEKVAETFNAAAGIDHIDVLICNAGYAAP MVPAALISAQDLRASFETNTIAPLLVFQGLWPLLQKSTATPKLINISSSVGSIGDQEP VPGGAYGPSRAAGNWITRALHLQHPGLIVVALHPGWVQTRAGDFVAQQWGYESGPPET IDNSVKGMLEVIDGATREATSGKFITYQGQELSW AFLA_028720 MDRYLSTHVGHRPDAIAIEDDTQKLTYKDLDREVDRLASVLKNY HLSPEEPICIIEGINSNLVIAQLAVIRARLTCVPIEPSTPKLRLSDMLTDIGAKYILS DKEDVADGIEHIVIPITGQSPHGASEAQKDNQVNGSVHDPDHEYRSHILFTSGSSGRP KAVQIPERAIIHLVTKTACTPLEPSDRVALINNPGFDISLFEVFAPLVAGATMVPVPR MVVTDPFAFREFIAEKNISVIFLTAALLSITGHTCPTAFRGVRIVLSAGDVPSVAAVK AILKSSAPPKHLWNTYGPTETTTYSTMHEIKAEEFQHDFLGIGGPAGDTQLCLVDENL KLVTKPGKVGEILLGGPGMTTGYINRPEENKNSFVTLHGIKYYRTGDLARYRVATPDV LEFVGRIDHQVKQGGFRVELGEIEQTLYASGWLTGAVVQQIASQDEGKESFLVAFVIP AVANTVRARTLSEFLGQRLPSYMIPSDFIFCSEYPMTAHDKVDRKALEQQYQESRESQ GAANGEQSNDHGNDTESVVKSLWSSLLNKDNIDNDDDFLALGGTSLQCATLISKLRQH LGKTISMGSLHDNSRLGDLVNYLDGFAEGGNAPDEADKWIADSKIADYLHAVPDWQAE NEGKVFISGVTGFVGVNFLSRFLRMPTVKEIVCVARSKNGINPRDRVEATLEQYDLWD QSKEHMHKLRVLSGDISLDLLGLPAEQFDWLANWASVVFHLAAKVNFCDPYQAHVDSN TLGTKNMLDLAASGRRKAFHFMSSIDAWGPTGLVFGTRKCLEDEPLERHVRGLPFDIG YAQSKWVAEMMVRRARDRGLPTAIYRPGFTIGDSRNGAGNPDDFFARLIVGSIRLGAF PYLPRQRLEYVTVDYVLDATLHIASHNENLGRSYSLVAPDPKDSVNLEQTVGVIRDLG YPLKHIPYKDWVRMLQRTSDMDNPLLPVMPLLQEPVLNGLTRFETSRNTPHYDSSNTV AALKDAPDIRYVPFDSKMLDKFFDFWESKGFYKMPRINN AFLA_028730 MKLQSNQDRYERHQDFEGLTARKVSSSKVKSEKYRRRIGYFTPK SFINSDHLKRDFLVLHRQSGFQTLDSEKSAKRQWIGVSGAADERRRQLMHDVIWTEET LAWQDGQA AFLA_028740 MSRPFLSMFRPVGRRNFSVSTSRRAIDKLCESAQEAVKDLKGSS TLLVGGFGFSGVPNTLINAVRDRPEVTDLTVVSNNAGMPGAGLGQLLESGQIGKMIAS FIGENKVFEKMYLNGDLALELTPQGTIAEKCAAGAAGVPAFYTPAAYGTIVQTGELPV RYNKDGTVAETSKPKETREFNGKNYILEEAIFGDYALVKVHKADKLGNCQFRKAMNNF NEAMAKNAKYTIVEADHIVEVGEIDPEDIHLQGIYVNKVIQSQGEKQIEKLTFAKDPS EMLKAGESNLISHNHLGSGEATARRERIVKRAAKEFRDGMYVNLGIGMPLIAPSYLPE GVEVVLQAENGILGLGGYPKPGEEDPDLINPGKETVTLASGASVFGSHESFGMIRSGR IDLTMLGALQVSQYGDLANFMLPGKVKGVGGAMDLVANPEKTKVVVTMEHVDKKGNPK ILPECSFPLTGPRCVWKIITDLAVFEVSPTEGLTLAEHAEGVSVEEIRSKTAAPFKVA DDLKPML AFLA_028750 MGLVHHIYSLLLERKLHLAPLSESPKRVLDLGTGTGLWAIDFAE VPSNCQFEIDDFESDWMYKAPFDYIHARELSGCIGNIDKLFRQVFDHTSSGGYFELQA VSAHFLSDDDTAEKAVTAQEWMKNIREGGRKFGKPLDDACEWKQKLEDIGFADVTETL LKVSERTVYRCGNLTRHGIQVPLGTWPKDARMKEIGKFGFVGELQAIEAYTPALFTRV LGWSEEEMQVMMDKVKEELFDRSLHLYLPVHVVYGRKP AFLA_028760 MVIKKCNICDRRFKKTEHFKRHERSHTKEKPYECNVCHKRFSRS DVLSRHAKGHNGPAAHSTTKALDQQPPASSAQDSHYQPSNIPDNGQQFFPNVDAGVYS NSIHTQAVGPRDIPTTAAAGLPSSLDFLADVSSHHARTEPDANSMLVADQQTYFEEPT VYQPSYRGPVFDPMPNDSLQLWLNPSDATSYPGSLDFGHDSNIGLIEENVGTSTTQRP QRHDGPSTDTDDTKAGATIPNDRFAKVQNCWLGPSNSTGRLINGIWREVAYGSIDNIF AAHSGQSSSSPPVLLQKSRYGLDEDCRRHLHATFGYMRLYSQRNRTSSAPPAYDSALI ALAQFPPAEILDMALDLYFRNFHPLVPFIHVPTFSARNTSPLVLYVMCLIGMTFLGTQ GTASFVSKNFTVAQRHGLFSATEGQLLDKMLFEAKDPTQWVQLIRGGQCMLMPSVLSP SENVEIAPLDSRVDNLGLYAVLSMVQLKLSESYHRLLSNRASYPFAPCHTYAMDGRAR CLSTLQIQIASKYGYIQGRLDPNASILWHNMCMNLTADIQIFELAAGRAGPGPARMAL NDIAAWSQTPAARRACLHAAHVYKIMIHRKASDHITFHSVFSLFTAALVLGLYIYMVP SSTEPQTGGTPIDILDDIDWNSLCGEGFTSFMEPNGAQSCTESDEAAVNFIRNGGTVY FRGVPFQSGYNSARRILLDYAGLLKDTGKWSVRKFSHVLYIMSDVLMDMD AFLA_028770 MPHSTQASNHHVSKHSYRHQPYSQTKSSIESKSQPKSKQSSTYN RCWDWIVTGGNCHYAKNRATFRSYRRAPGKVGGSRVLGVGSVELRVRRRSGDGEINTL VLDNVLHMPNARCNGLSLPKYRETHPLTGVDDEGDHIEARSDDGSEPEWYAEGYHGLS RVVLAGEPQGESHLSDDEHYMLSVMASNEEMEKLWQRVKNRSWVA AFLA_028780 MAMRTSLSISRKFLHPRRGFSSSRPANADVTHAVIGAGVVGLAV ARELASREGTSTILLERHDAPGTETSSRNSEVIHAGLYYGVDTLKTKLCIKGKEMVYD LCARNGLPHRNTKKWIVAQTEEQWAAALKTHEHAQKIGVPTRLIGRAEAQALEPEVQA LAGIVESPTTGIVDSHSLMTYLQGDFEDRGGDCAFLTNVTGIEALNGGKNGYRITAVT SDGTETSITAETLVNSAGNYACYINNMVLPPERHRTPYYAKGTYFSYAASFPKTSVLV YPATLPGHGGLGTHLTLDLGGRIRFGPDVEWVDDPNDLVPSPARLQQALREIKTYLPN VDPEAISLDYCGIRPKLERGGAVNTGKGFQDFIIQEEEGFPGFINLLGIESPGLTSSL AIGEMVKGLLYGRK AFLA_028790 MWLDRMSGHSTPSGPQIDSRSNSPLPRRPTSRLSPYAQNSRSQP SRPGSSLSNLLTPSDSTTSLSATQRADDTALKQFPAKARPSNVADPLDVLNDIIGKRK EKLAGAESPVLSSTLKTKPSQLVAGIDFGGLSLEEFVTKPDEPRRAKYSDVGAQTIQQ FEKERDKFQDLHSAITGCDDVSRSVEKYLNDFQTELGAVSAEIETLQTRSIQLNAMLA NRRNVEQLLGPAVEEISISPNAVRLIVEGPIDENWVKALNEIESRAATIEAKVTSPSS AKSVEDVRPLLSDIKKKAVERIRDYLVSQIRALRSPNINAQIIQQQRLVKFKDLYSYL CKAHPTLAGEITQAYINTMRWYYLSHFTRYLQALEKIKVYPSDRNEVLGGDPSAPKSG NFVPGGRAGAAAHDPFSLGRRIEILRTGNHMAISSYVAEEDTSFHGIEVPFRNFNLAL MDNVSAEYSFMTEMFSTLSFQQISRKATEIFDPVFALGQGLTKRLVEHTTDSLGVLIC VRLNQQAAFELQRRKVPVADAYINGVNIRLWPRFQVIMDLHCESLKRVASHTGRSAVS ALSLAGGDDLNQSSAPHFLTQRFGQLLHGILVLSSEAGDDEPVSNSLSRLAAEFDSLL AKLSRIGGDAKRRERFLFNNYSLILTIISDTHGKLATEQKRHLDDMLKSVGKRG AFLA_028800 MSDEQHGFETDAAGDAGASTTYPQQCSALRKNGHVVIKGRPCKI VDMSTSKTGKHGHAKVHLVALDIFTGKKLEDLSPSTHNMDVPVVKRTEYQLLDITDDG FLSLMKDDGDTKDDVKVPDSDVGTRIQNMFKEGKDVNVIVLTAMGEEVAMDCKEAPK AFLA_028810 MGSGSAAGVINKRQDESGEEGSDRKGCKDVSWPTGLQALSAGVS VDPLEAVPCPGTKPRFSGATRGAPSLVQSYPTLSADD AFLA_028820 MTPSQLDEYMNWYENNWLDNSKASNPLSDLFPVGPTGTDSREVA GPPDEDDEEAIGSMIRTVTQQLKPRKVITEDNSDVPRPGSSYVRYKMESDLPENARPF YETAAKVVGVSLSTLVRAVSHAEYKIMRWLEDQRRIELYGDASGIEFAKFDSSDDMGE QDMTDSDDSPSY AFLA_028830 MEAFNLHNFLSSLYILLPFVILANPVHAAPYQNRILETGSTFGA PDPYGPWQMIPSIPTEDLTYIKTTNTGTGRVEVHIASGSSGFRTRSLEVGTTFVSEDN GTWQLIDADGDGRPDLVYIKTRNTGTGRVEVHIASASSNYQTRILETGTTFYPEDNGV WQMADFDHDGKLDLVYIKTRNTGTGKVEVHVASGASNYQRRIQEVGTTFYPEDNGVWQ MVDFDRDGKLDLAYIKTRNTGTGKVEVHIASGSSTYNTRVQEVGTTFYPESNGFWELS DFNHDGVLDLVYIKTQNTGTGSVEVHVASGKS AFLA_028840 MAPPTKAGKASRITIACNACRFRKQKCSGKKPVCTQCLQHNRVC DWPEQLKRGPAKGYIESLEHRLHETETVLLKVLSRISDAQLSASINPDKQHRTRSSIG DLLYSPYPRLGKRGADYWKRFPLDTAHNVRQWQDDCLSHQDLRPSTPGSSDRNTTLLS PGTGVTEETILGPHGQREPSDYQSSDISCTDMPTDRREEKNGSLSPASASPSTHNAAA GSGAPRLEKSIRSFQKDTGRQAMGSVAQTQLEIAIPQEPNIWSGAPSIHFQRQFLW AFLA_028850 MRPKDRSGFSIAIICALTVEADAVILLFDEVYDRYGDEYGRHPG DSNSYTVGTIGKHNVVLCYMPEMGKASAAGVATKMKFSYPGVSLALVVGVCGGVPLLP FSNTPIFLGDVIISNAVVRYDYGRQYPDGFKRKKGIPENLGRQNQEIRTLFHRLQTSM ARSDLQNDIAQYLEVLRRSEPRWQYPGKACDVLFDASYHHKHHQQATSLKCLCFNSES PGQICQEAQESSCDSLECDENRIIRRRPSDAAGASIHFGKIASSDTVMKSGEHRDKLA KDEDVAGFDMEGAGVWDELPCIIIKGVCDYADSHKDKKWQAYAAATGASSAKAFLRYW NPASSEGQPTILDIGFEYPAKYSKV AFLA_028860 MRNQYLCPGLIDCHVHLTATPGDTSLKDMFAASPNTIAYRTTYV ARNMLLRGFTTARDTGGADAALRDAIAEGLLSGPRLFIAGKALSQTGGHGDLRAPYQG DEHKCCGGHSPALARICNGVPACLEAVRDELRQGANFIKIMCGGGVATPTDALDMLQF TAEEIQAITTTAAYSKTYVTAHAYNAEAIRHAVDNGVRGIEHGNFIDPETARYCKEKG VVFTPTLITYQGMTEPPFDNFLDEFSQAKNLEVLASGLQALEILRDAGITMCYGSDLL AGLHTLQNREFSIRSAVLSPLEILQSATINAATLVGMEGKLGCIKEGAIADFLILNAN PLEDITILDHVDKSLMAIAKEGRIVSSKIADLSVDPLYDPYRIRSN AFLA_028870 MLLKIKKHLSMATQPATTETEASASFFEAASYIPPDAIFALTAE YNADTFAQKVNLGQGTYRDENGQPWVLPSVQKARGTLLSKGLNHEYLPILGLQTFRNE ATKLALGSEIYDKKQSQLAICQSLSGTGALHLAGLLLRSVRSPLPKVYIPSPTWSNHG QVFSSLGFQCETFQYYDAEKRDIDIEAYYSALRAAEPNSVVIIHACAHNPTGCDPSKE QWKQIGLIMKERKLFPLFDAAYLGFNSGNVDDDAFAMRYFINELQMEAGGCLSFAKNM GLYGERVGCLFFAAGTEKAATNTQSVLEMLQRSEVSNPPAYGAKIASAILGDETLKEM WYADLITMSGRIRSMRQALYDGLIKFAPGTWDHLIRQSGMFGFLGLSPAVVQKLKDKY HIYMASNSRVSIAGLNPSNVEYVARSIAECLNES AFLA_028880 MRATLPLLALFTVLPAALAAGRCREGLNYCGSTLLSLGWTQSEL LAIADGDANIEKALFYCEIDGIVEYSGPCTVCQRAPEGQSDACRLFEN AFLA_028890 MLSFIHVMKIKDTNIIYSRCRVSDLTSSARSLESRILYKMASQK PTYRIASIPGDGIGIEVVDAAIQVVEKLAKTLGTFNIDFTHIPWGTAYYKEHGRYVSE DCLDTLRKFDAGLFGAVGAPDVPDHISLWGLLLALRGPLQLYANVRPVRTFPGTKCPL NTATEGIDWMLVRENSEGEYSGQGGRSHVGQPWEAATEVAMFTRVGIERIMRFAFEVA QSRPRKLLTVVTKSNSMRNGMVLWDQVAAEVAKDFPDVTWDKMLVDAMTVRMVAKPQS IDTIVGTNLHMDILSDLAAALAGSIGVAPSSNLDPTRKNPSIFEPVHGSAFDITGKGV ANPVATFWSAAEMLSWLGEKEAAKQLMDCVEKVCAAGILTPDLGGTSNTQGVVDAVKK EIERLV AFLA_028900 MSPQWETAKNCHRALTLLSRNIQHSSTVPTLHRPSEPPLSRTRP EDDNDFDTRKKRRLNSHPVTRTSRLGSSSFEMTLDGTVHPSDNDEDTNDPGLGVQNMS YSNDIQVSNNPSELVQGGTRLLLSPDTIQSPEDRLFFSEGGSHSMMDFDLNMVDLLQG ANFDNLFDMFGQQYPSF AFLA_028910 MSADASTTPAADSNVTSTPETSTTPAAPAPEVTAVESTTAPNAS QPHSASLYVGELDPSVTEAMLYELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNNTAD GERALEDLNYTLIKGKPCRIMWSQRDPALRKTGQGNVFIKNLDSAIDNKALHDTFAAF GNILSCKVAQDEFGNSKGYGFVHYETAEAANNAIKHVNGMLLNDKKVFVGHHISKKDR QSKFEEMKANFTNVYIKNIDQDVTEEEFRELFEKFGEITSATLSRDQEGKSRGFGFVN FSTHESAQAAVDEMNEKEIRTQKLYVGRAQKKHEREEELRKQYEAARLEKASKYQGVN LYVKNLTDDVDDEKLRELFGPYGTITSAKVMRDTNIERTQTPESDKEKENKEATKENE KESSEAEKAEKTEEKPADSGDEKKEDKESKKADKKGLGKSKGFGFVCFSSPDEASKAV TEMNQRMVNGKPLYVALAQRKDVRRSQLEASIQARNTIRQQQAAAAAGMPQPYMQPAV FYGPGQQGFIPGQRGGIAFPPQPGMVMAGIPGGRPGQYPGPFPGQQGGRGMGPNQQLP PNFQGIPMGAMQGPVPNGMGYPQGMAQVQFGRGAGGRGQVPGMPNMGQGMRGPGYGQG RGGVPVQQGQMRPGQGGRGQNAAQAPAGRPEEAVAGGLTAQALSAAPPPQQKQMLGEA LYPKIQAQQPELAGKITGMLLEMENTELLSL AFLA_028920 MFQSFTGNSRRPRQVNLGGRNTNPFAAFPSGRQHPHGTGPQNTL AVAQQERLLRQQERERLGATRIVQRTWRGYRSRKITCSAWRAEWDATEQQRSELLLSF DEIAHDAVQTSPHYVTAAKCLSQLRLLVQFLEPRNSGDILRLAYFSKAFQKTLHKVPT IATEGEWITPLKRLARLTLRVLHSATAPTVPAIALWPLLELLMFLTDLIPKQMARLAQ EYYSVMVSLTKDIDAISSRCLLSRDNLVQTVLTLLRPITAETLTAYEWFARSYLTIPD LQTYLGTLDGLANNINYKLLTSAFEPLQSHFKDSPQNSDDVDARLWILSYFIFFHRYA LGSQAGYKAPESGFVKVVSDLLNSTAVHISRRLESGEVTDFDDTPDRAPLHPFIKEQL FSLVNQGSITGLLSDLQSSHLSQRDLANSQSDASREAKILATYALTLLRVFPRRGDDI RMWLYLGSAVSDDRLAGQPGSRIPAIKYFWQASRSSKIFNTISEDSTKVLTLLKPASD TNEARLPSISHKERDEEWMIILLFLELYTFVLKVMDDEEFFSSGSSFTASSNTRVSWT KESALPLADIKDMTIFLKNLAFTLYWNSADLNEDETVHDSGGIRSYFSSSVTPSDTVS SVRDLEMKNKEKGLSGVTGIPLDYFKGLVTGLLRMIHERDSRRKFLPDGHWLMTNRFD MEGFIPAVVAEEENRHQLQDEEEGEGQDDLMDDAYYESSLGLIGTGRAQQTRRIEALR RRQQQAARRKQLEAVAPRLEILRNMPFFIPFHTRVQIFREFIYRDQIRRRQGYIDPDA WRMSVAQASMGRMIDGRPAVQDILGRHHANIRRESVFKDAFDQFYELGEGLKEPIQIT FIDKFNTPEAGIDGGGVTKEFLTSVTNEAFKSISDLNLFEENEQHLLYPNPAAVEHRR ELLRQVGLAENSPDWNDNIRDLLRRYEFLGRVIGKCLYEGILVDVNFAPFFLLKWALT GGTGSAQKETAYRANLNDLKDLDQGLYQGLLQLKNYPGDVEDFSLNFTVTDIIPLSDG RSRTITRDLKPHGSDIPVTNQNRLVYISYIARYRLQAQPALQTNAFLQGLGQIIQPSW LSMFNQSELQTLVSGESGDIDVSDLRRNTQYGGVYTIGDDREEHPTIQLFWEVMHKMT NEERQKVLRFVTSTPRAPLLGFSHLNPRFSIRDSSEDQERLPSTSTCVNLLKLPRYTN ANTLREKLLYAINSGAGFDLS AFLA_028930 MFKPSQPMMARLRLTTKQVNGGYYKGNRTGAMGYFAKNGSYVID WKKVRTFVVPENLGEFKLTPFVTQRMAPTKSKYTRDMEKDSKLITVERAFGGKDYLDM WASDNGQEVLEQERLEQETSRQ AFLA_028940 MPRKQTQLRGPRDQAPKKQPQKKKSSKALNALAIAEAQFPIKPK IRRNRLGDDDDLSKRKRHSGRDMDDSDEPDNKRRRTGAESSDLSENGGSDGEGHKWRL GEVDSDDDSEVDSDEAMGSSDEERFEGFSFRGSSSAKPIRKGSEPKKSGRQINLSEDV EDSEDGEMDEDEDDLGEDAVDLTTAWDMNTAEEEEAEKRKSSKAKKAAEEFDDDDHSE EGGSESDEDDDDSSFGDESELELSDNEDTGNEHGLSKLQDFVNSMETDSAKKSTRKTN GGQEHGNPTEFGLSSTRKLTVADLLPSITDSRLKSSLKHVDSAISTHKSSGVPGKLDA PLAKRQQDRLDRAAAYEKSKETLDRWLETVKANRRAEHLMFPLPDPEGNQTHRLGAAE PRTDLESTIQNILIESGLAEANGKSAEDQVQEFEELQARKLPIEEIRARRAELRKRRD LLFREEVRAKRIKKIKSKSYRRVHRKEREKLEQQERQALLEAGVDIDEQEREQNERRR AEARMGSKHKESKWAKSLKQTGRTAWDEEARLGTADLALKEEELRRRIEGKRISHGDE DYLGSSSSESEDDDPWNEEDSSDAEKRKLREKLDKLEHGSDVESELKGPHAKLLSMKF MQNAEAARKAQNDAEIRRLNRELHGEESHSEAESEVGRRKFGHSKDSKSAPESKSKSH ARNEFEEAPGSDDEDARASEVDQDVDIVVNRPDKRKPAGSDKKSRTRGTSASSSQKED AAEDENPWLIQTSRNNRRTTADDSQQGLDIAVDGGKPDNTKSKSIPHNQKEKPVIPPK KQHMDEGDDSDNDGNVPVLLKNHDLVKRAFAGDEVVQDFEQEKHDTIKEEDDQVIDNT LPGWGNWAGDGISKKQQKRQKRFLTTVEGVKPENRKDAKLSRVIINEKRVKKNNKYLA TQLPHPFESRQQYERSLRLPIGPEWSTKETLQNATKPRVMIKQGIIKPMEKPMI AFLA_028950 MQSRSRWQSAFILTGLLVAETVYSAPTPTQEATTSFKTQYPPSA ANARLLLPETQGYYPTSLEREGHQLQKPTPDPKEVPYRFGGPINDLYGPVSLLEGLLP GLLPATSDAPSTAPAETPVPSVTLPSQETINGPAEATSSPTTAPTSISVPVSTSSSST SSLTSHSAKPQDTSTSVESIPATSTIMATMANGQDVFLPVSTGPIPGTVKSRNDHPVP RASIMNNTDPIETNKFYAGLFLGTQTNTTFTHPYGIAWAKGNGNAKSYGMAISHIEAD KLALGPKNDKIPGSPVQYYVNPIGIQSIILSATELGGSTVLMTENPLPFSANAVLQPQ SGSSERITFPVVQGMGFVTGIYSNLQPVIQSSVFFSKVVSAGSPRPGIFKYTVDLADG TNWLLYLTSNDGKDPNLHLESTTNLRGPPGWSGTVQVAKNPAGTLGEKLFDNSSGVYA TQGWVKGAVSGQTGTYSLTWGKEGKDKDGTPLMMYALPHHVESFDKTTHDRLTNITMR TTTKGNATAVIGETWSMVEQDLPVGMGFAPWSVSAGSVDTISPAAQKVIIDVAPTELQ QDVGNQSNLNSMYYSGKALSKFATLVYAVDKLGGKPDLAAPALKDLKTAFARFIDNKQ QFPLVYDNVWKGVVSSASYDGGDSGADFGNTYYNDHHFHYGYFIHAAAIIGSLDPSWI QGNKDWVNMLVRDAGNAATNDPLFPFSRGFDWFHGHSWAKGLFESFDGKDEESTSEDA MFAYALKMWGKTIGDASMEARGNLMLGILRRSLHNYFLLEADNKNHPPVFVPNKVTGI LFENKVDHTTYFGANLEYIHGIHMLPLLPVSPYTRSQKFVKEEWDALFATNAAAPAEQ VQAGWKGVLYANLALIDPVSAWNFFAQPNFDYSVIDGGATRIWYLALAAGLGGA AFLA_028960 MSPKNFRTSFRSHTWGSRRSMTGGSQNGSREPNERDALIGDTIR ANSGLVPRHKRRPWAYWPVRVVHLTWATLVRDYVNLLLVFVPFGIIAGALGWDSTAVF TLNFLAIVPLASLLSFATEELAAAMGQALGGLMNATFGNAVELIVSIIALKDGQIRVV QASMLGSILSNILLVLGCCFFVGGIRYSEQSFNTTVASTMSSLMTVASASLIIPATLY ASLSSTGDEKTRTENILILSHGTAIILLILYVMYLYFQLKSHASFFEEATPDPENSGD DVAEEEEEHILSPWAACVVLVVVTILVAVCADYLVGSIDPIVEKTGMSRTFIGLILIP IVGNAAEHVTAVVVAWKGKMDLAIGVAIGSSLQIALFVTPFLVILGWILDVDMTLHFH IFETVAFFISGLVVTFLIQDGKSNYLEGGLCLGMYVILALAFYVYPDQATDDALFHI AFLA_028970 MPPRLNLFNARTAVPVFRQTSINVSRPSIATTINSNRSTRHGLK TGLSSSTPMQKRYNSSASGGDNSERPKAPTEDPLPHVSEEAAEISKIMDKKCDGTPAS PELEQGTPISEILQRDKEAQKHMPKVMQDQIKSSTGTRSFSTSTRRSQAELHGQGKSF DEQTAAVMASMISQVNQQAEEFHDGIKFDPVETLPKTENFRTRYDSLLEQFTKLLMTD GKLSRAQKDMAFILDHLRTAPPPQPNPKRPLLPGPPAPQLPLNPVLYLTLIVDSVAPL IKIRQQKGIAGGGASVQIPVPLALRQRRRTAIKWIIDGSDKRRDSKFAQRVANELVAV AEGRSGVWDKREQQHKLGIAGRSNLGMVGGRR AFLA_028980 MYVLSGPPDIAILLLLVPPNTSSGLTPAQHWTANPIPSPCSVPL YLSTVSSHAGGPKVARIASATSASPDDIDVTTHPRLSGQDIELVEYPVPPSTRACQSH TGSQGFYSRPIGQRQLLDRTSQPGTLEHSNPNSRQTLRHVQWDKPIRLKYWVEALHRR SRFPEPQSKMKFSHSIQFNAVPEWSSYYLAYSNLKKLIYSLEQQVRKAGGQAQADVES APLLDSTPNTDSIFRKALDAELEKICTFYQDKELEILKEVEDVIRDAEEYASEADGIN VDPMSEHMTKTRTMSSGSRRRSGNGYHDFPLNPDRRRSSVTESAVDDDDDDADSDDEH LSVHSGRRLSHGAGSTNPDDGRTDYMGESGYMGDSRGWRSNRAQVEHFGDPKVLDLYN SGLSLKKRAVDAYVSLCGLKSYIQLNKTGFSKALKKYDKILDRSLRREYMNSTVSLAY PFTESTMSKVEADIRKIEKVYADVVTTGDLSLARRELRLHLREHVVWERNTVWREMIG IERKAQAANVGIRRTILGGDEDPAAARRQGDEQEISLTEFKTPLGVFHPPQWLCSLSF GTLVLVLAIFVTLLAVPIMDKPEQQNCLAMLIFVSLLWATEVIPLFVTSLLIPFLVVL LRIMKSEEKPYKRLGPKEATSAAFSAMWTPVIMLLLGGFTIAAALSKYDIARRMAMFV LSKAGSSPRVVLLTNMFVSMFLSMWISNVASPVLCYSIIQPLLRNLPPDSNFAKALVL GIALAANVGGAASPIASPQNIIALQNMHPSISWGTWFFVSLPVCIISILLIWGLLVFT FHPGRGTTLVPIRPVKDKFSGVQWFISIVTLSTIALWCGSHQLEHVFGDMGVIAIIPM VLFFGTGILNKEDFNNFLWTIIILAAGGLCLGKAVTSSGLLHTIANGITARVEHLSLY GVLIVFSVLILIMATFISHTVAALIILPLVRQIGVGMEDPHPNLLVMASALMCSVAMA LPTSGFPNMSMISFQFKVPQTGQRYLQVHHFFTRGIPASLMAWAVIITLGYALMYIAG L AFLA_028990 MSDKEDNPQTPQQENNNPQEENNQGSPQPKEEQDVEPKQESNSD DAEPKQEPKSDDESKQDPQPDSKPQEKEFKPEAEPKQEPQSEAEPEPQPQPQKEEPRR RPRRPRPQKYQQDSDTENIDRGDMDNTAVEKPRRQRRSRRQQQDGGPLGGLGGIDQAG DLVQNTAGNAVNGVTNTAGKAVGGILGGNKGEGQDDSGGKDEQLRLRLDLNLDIEVQL KAKIHGDLTLGLLN AFLA_029000 MATAEKKKEEQPAIQPDEYSDGDYDTDDYSLSEDEQDQKPQRPK QQQARRRQQQQRKRQNDEYEDESDYFSDEYDSDEYDDDDDAGQGNAMQPYKRGTQSLT QGTITNGAMTDAPGQGKNDEEQDGLKLKLELNLDIEVELKAHIHGDLTLSLLT AFLA_029010 MAAINDDKSASSKSFIINHMNADHQKSLAMYLRVYCNVADGEAK AARLEDITLSDLLISAKGTRYSVPLDPPMKTFSDTRQRVVAMHKECLERLGLSDIIIK EYRAPRGWEAINFAVVVATLIVFSRGSNFQPGSLLYETAGLDRFPAFTRFCHTVQPIP GTLLLGIHAIEVVLLAVKRLKPHGVPFLSGVWIAWVATIMIEGVFAFRRFDRMVKEEQ VKKEHRK AFLA_029020 MGISRDSRHKRSATGAKRATYRKKRAFEKGRQPSNTRIGTKRIH LVRTRGGNRKFRALRLESGNFSWGSEGISRKTRVIVVAYHPSNNELVRTNTLTKSAVV QIDAAPFRQWYEAHYGQPIGRRRQQKTETTEEKKSNSVVKKQAERFAESGKVESAIER QFEAGRLYAVIASRPGQSGRVDGYILEGEELAFYQKAIRK AFLA_029030 MTRPTTKTRICIISDTHTLTPNPAQNTTNPYRHPLPSSDILLLA GDITKVGLKDEHEVILDMLKVAPAELKLVVAGNHDITLDEEYYTRIGHYRHRYRTDHT TASATAGKENVGASSEEEGRVESVREIKALWTSEEAVNAGIRYMEEGVQTFTLGNGAR FTVYASPYTPEFCQWAFAYDRDTDRFNPPQSMSEGVFVPPNPVPDDGVDIMLTHGPPY GILDKVVGTHASVGCENLFRAVERAKPRLHVFGHIHEAYGAARLEWSTRNQSIIQCDK ETTLEDRCAYTDVSGQSMSPLRVGDETLFVNASVVTVQYQAVNPPWLVDLELPSE AFLA_029040 MPWRPLSRIAFAVAIYPFQPSTPADLPLELGDELYIIEQGGANG EWCRGYLVAPPSLLAGLTSTKGQTLEARVFSGIFPRNCVEIREVLGDADGQRTLTNGD RRSIEQGDGPDGDVRNSVVSLHDDEFMTGEVSNVVIAKKGKPSQIFIHKLDDDQQSPR SLCMDEIASCLREWHSTNLHQLLLNRQYDVIEEMSAIVQELDLARRQLLYNVLTAQEK EGLRQEVVWKLVRANKMLSGDVIVRDPEQRGRLLTGEDSAVQLTKLQSEMSMLESSPT NPSDAAAIHHLLLEVNAVSGNSPGPVTLAVNLCSQSETGGLNPLSETYILDIPSPEKF ASMGQSSKLKTLFTELCATDIGDGSANGPKLYLVVAVRAPETASTGAPSQPRSSVSRE GSSASKTSTGANQQGKSSLKTRRSMMWTSKPRGIPSAEQGKENSKGPPESAESTSSNS KEPANSQPAKETTQIRTIGVGILEISQIVGQDKDTEQVINIWSSSEENENGDEHSDGF DEVIRTLLPSPSGKYVRSPRAARLHLHLYPFVGSDSDALVRKNPTIMHNVVQTRRIGF SQAPTKPRSDIYVTISHATFPPEALLSHPQAGQVPVPTNSGLRNLQLTLEVRASSGAR IERCVFPSSNNTAHTAWRTTIAARGVPWNQTIRLNIPTDQIPGSHLIMSIADAPEFPF ALAWMPLWDNQAFMRDGPHSLLLHAYDKVTSSIENGKGAYLSLPWSSLGKNESAKDEA ITGPLATLRLETSLCSTEYSQDQVILSLLNWRERPVDEVLDTLKRVLFVPEIEIVKQL SSVFDALFGILVENAGNEEYEDLIFKNLVTVLGIVHDRRFNLGPLVDHYAENQFNFPF ATPCLIRSYQRLLQGASDGQQSRNLRATFKVGRHVLKFIINARQQQKVKEEGIGITRV QSTFNRDLHMMFKSLEALMKNPSPAMVGSKTLVVQHFHTWLPELTKVLPKDEIIMIAL SFMDSCKDVKGMLILYKLVLIQHYTRLEIFSTGPERKSLVSSCIGWLAPYWGAIGPVS DLYRDQVRLNCAIVAELAKEPDPYVYDFMPKIVSSYYTIIPDGVEDTSYLSLLFSKSF PFQVKPSKQTQKFDEALVELSAIMAATAAIPNPKRPRLKGLELATFLSHALEVHTSIL NCEAYPESWLSVHIAGDVREQGAELLHSSWEAIGWDTTEEEREQYGLKKLGGYQVQYV PGLVAPIIELCLSVHEGLRHVAVEILRTMILSEWSLNQDLSIIETEIISSLDNLFKTK NMNEGVVQKLFIAELTEHFENCSSFDESLSNAVKALIATVDELLDLFVASQSGSMAES LHSLRLMEYMKDMGREDIFIRYVHELAQLQAAAGNFTEAGLALQFHADLYEWDPRRSL PELLNPTFPEQTSFERKESLYFSIIQFFEDAKSWAHALVCYKELAQQYEDTIMDFAKL SRAQSSMAKIYEIIAKEEKQFPRYFRVLYKGLGFPATLRDKEFIFECSPTDRMASFVD RMQREHPAAQIVSPGEVHDYEGQFLHISPVTVHRDMTHPVYQRSKVPSSVRDHLLISE PCRFSSTLKRHIRGADVQEQWVEKAIYTTAEPFPNILRRSEVVAVEELALTPMQTALE RTWRKTQELTLLQRRAASGEDMSLSNLTEALEQLLELNSSSAGCVALYRQFLSHPQGD AEHDDTPTPVDPLNQALAVALIDHALAIKQALALFYRPAHQATQAELMRRFEEAFAPE LASLSPVVQETPHSAPRQSPRSLQDRKQQAALRSNTPEQELIRSSRQSHTRKHSQRPS VISIMNPFKRVNHAASGSVTNGNGDSKSPLDGNDNGPVENGRVEDTDREDAATINSRT TSQSRDTQSRRRSFFGEKLHKHTSSLSITKPVPEDTQAQISQRSRSASRDAGAKPQKS RETLSRTSSQNKGVSGNDRPSVVSPRSGWSTIPSISDYPRPVTQSSRTSMRSPDLGSP KIPQQTHFPTGGVRDSVMKRFSLLKGVGRKSSRLDFRSDTPGMAVREE AFLA_029050 MALSRKAKEYGTCSNMPEGWKDVVRSGFQFAGNPAISTGAEHAW MEKINIEAATPAGVWITPLPYIGIT AFLA_029060 MNGRDRSRGPHPGEIPPQRDPRRIGDGRNAGHTGHGEASMSRAE RFEDEKRRIIQSCFSRKDGDGSYDLSAIQSFNAWIPSSPLEQQQKQWASNVGFVVTIG KPYYWQARTSKEKDFFIGSLVKIYKKYTGGKVPELIGFDDRERQLLAGMPPPGPKHPG QGPPRPEVTLPPPGPPYSSHGSRPQSPYSSRAPSRDGPRRLPSEEQSLRAQRSRDQMG RPSTAQSGQSGRSIPTPPVPPQHPPPVPPDQRDQPPPRSTERLASENRMPKSPVSPES RGLDIPTSLLAASASSQRDRPSGESERNVVTRPEVQPPPSRDGKGVPEPKPRTQDLAF SSPRHNNDGSRPTTAQSVPSESPNVNPSPASNPGPNSAFNEGSIKASSPEVPTEPYDN IASERTPDTQPVEVPPALKLATSNAANAQMRAVVTETAAPVSTMESQPSELVREGGPI SPPASPPEVPTSPAENEADAHRPGLGPMIKKKQSKEVVGALRKAATAHGAFKPRPGGA GERLLAAARKQKAEEHNGPDGITGVVPAPSLRTAIEPVVSPETPDKEIPALPSPAKEV PSPAKSPILEPPTVEVTQAAAEEVTVTSVETQEEPRDTSRATVKVGADERARSVSPSP DGRRRRRHEDNTIKYCQALGVDPSSIGGRGADFDDILTDLGWNGRLNDEKKIEDLEAD IRREIGRVEATSWLGNLEQQEGKIDQLAKLIDKTIEECEELDNLLTLYSHELNTLHDD VSYIETQSQGLQVQTANQKLLHNELQNLLKTLSISSVELRSLKEASLSNPDGLRDTET ALSTLYKAMLMIDSDILQNKRRLADAAGDHGSVGVYADTEIGQMRAIKEKKEEYRSQS RLFLQRLKQFMAIAYKVAEQKRVDTATNSSKDPMKLDSRAREYFRRELWMYNALMLFA KEVSGAEWHGLINLYEQQAKQPYQNEFRDNSLAWKKASRKPSGEEHELLFTHQEKEKE NEGITMAARKLTVKRGKTMRAAAGLRLPSGDKQHGHLEPYESFAGALHETLSMISEEQ NFLVQFFHLSSLSHVDFPDLVASVHPEERRLPDFGVKQLHDTDRGMARKVEQIMDELF SFWPNDMQSLVDSSIQADPLQGIGILFALEKAVLDFEDTNQDFIVHSLQKLHSRLIGL FNRFVDEQIRGIEDTKVKVNKRKGVISFMRVFPHFSTAVENMLSQPAQEFCDIRISVN EAYNRINRAMWESLKFIAKEAPGQPNGAAATSGDPEDKEALNYHILLIENMNHYIEEV DVRGLPVLEKWRERAYQDYHEHMKLYLDSVIHRPLGKLLEFVESAEGLLATTSNPADI SSRPSHSRSVAKKVLATYDAKEMRRGIEALKKRVEKHFGDADDPGLSRSLVLKVLREC ESRYEGAYDRTRRILNTVYEGQLDLEWRKEDAIAMFKK AFLA_029070 MAGKKSSPAKLAQDKKGGGAPSFPPQLIASIEAFLTESGFTSTG KAFAKEQASKSVSKSLSDSKNAPSLLEIFQNWADNEKATEKSSESSSSESSSSSSSSE ESSSSSDESSDSDVEMNDAPKAQSKKQSKNSSSSSSSSSSSSSSSSGSDSDADDESEE ETAAPAPAKPQGTKRKAESDSSSSESESDSDKAPQNKKTKIAAKKESSSSDSSASSDS SSSESSSSASDSSDSSSDSNSDSDSSDSDSSDSSSSSSDSDEEDGKENKTSSSSQSSS GSETVQNSDSGVQKSTNISTPVTGSSSASPAPRNGPAQKKHTGARPTPLALLSEQPTD HLLSNDYVPYAYADRAFKDLSVTRGKGFTKEKNKKKRGSYRGGPIDISGGKSFKFED AFLA_029080 MAPLCGQQVTTGSPGSTFLAAWGDRRIRLHDVRSNRASESTYKD PTDQSQIYSLHPFGHDRFLAGAGADAVVKIFDLRMPKTYSYTDSRASSIYQHNVPRRK ASGGEIAPPVESIRYPRKDFSMFLFYAPPLYPNAPRRRQRESTPYRGAIYSLCSPSPL SPTVYAGITDGVVRLDFMSTDDLTGSCGDWYREMIDIDLEIQEDRTTYNPERVLDLSG YERPEPDDTTTTSPLRTQKRFAYIDETNIKNEQLTGWDRRWNPMERFAAWRRRD AFLA_029090 MAGSPIVAISAIVLTITLLYKCIVYPVFLSPLSKIPNAHWTAPI SPAWMLWKRFRSQNNRTIQAAHERLGPIVRLSPSEISINCVEGGIKTVYTGGFEKHEW YPRVFGSLGTVSMFTMISSKPHSVRKRMLSNIYSKSYLQSSPHMRLISESILFDRLLP IIQEAVSSDAPIEVHDLNQGLTIDFVSAYLFGLANGTNWLQDAPFRRRMLHYYQGRKP YEFYHQEVPNLVSWTKSLGIRLIPRWCDEANEMLDAWCLDLCDKAEQCLQSTEVSVEP VVYKQLQQAMIKQSSQKENTDESLKQQRLDIACELYDQLTAGFETSAVALTYLFWELS RHPDVQEELRAELLTLEPKILFPRSSASRGLPQAKAVDSLPLLEAIVTETLRLHAPIP GIQPRVTPYPSCTLAGYSDIPANIRVNAQAYSLHRNPDVYPDPETWQPKRWLKGVNSD SDLEERRRWFWAFGSGGRMCVGSNLALQEIKLVTAAIYSNYRTSIVDDDNIEPIDAYT VKPRGDKLVLKFEAA AFLA_029100 MPPKGATTRLNPVRLQTIPHLRVRRPNQHEQNPCVTVMSSMLSC WASAGYTAEGCAALEQQLRQCMDAPKPKTNKKNTINYHLMRMYPKVVGPKKREGTLG AFLA_029110 MSKRHVGAFKFNNISSLSTTKSPRSRCFSTISQRRNADSKANES NETTQSQDESKEESAMARRLSEMTEQAMLEGGRSARKNIEHAGFSDDLKKQLEERITA TAFKSDHATAHSIVNMPSSAGQGTQDIAAAEPWSGTESLQDVTLRMLDSSKKPMRVPY KIPQPNPVDMRISPKPSKSPGLRLAQAKDRTSTYTLSQSPGVTDEEREAMRREMRERF TPGGRPMPMTIQGLASLANERIEDAMSRGQFERIKRGKGVNTQTDHNANSAFIDTTEY FMNKMIQKQELVPPWIEKQQELAREVDRFRQRLRTEWRRQAARLIASEGGSLEAQMRR AQAYAAAEARLAEKVKIERSFQELYNVEPSAANPNNDENIASTSPEVETKENPSLPYV SPLRDPQYLSTERSFYELAVKNLNNLTRSYNLQAPPVAQKPYINLERELSTCYADVAP TLAEEIKRRATERTSPKSVGSKAPGIIESLSTSTTTRVYEEDKTKGYGFKEFWRDLFA KKD AFLA_029120 MLPVHIILAGLLSPVVTAGSVSQEQSNLLIHISNLNRRADGHPT TYTLDQSLLAIQIGGIVGAYVIFVAILLTLLLFVGRRLRRTVQSSNFTLQVEMMKPAK PLPSMDPSPVTPISANLPSPGMPNGFNRSWSSLGKGPRSHIANNSSVSTIDESVVALD RRRAQEEMEMLYAAVMEHDERRAAEKEATREESEIHSPDSAQTNPFTDPSLRLSEAPP PPPQAKMPTSPRASSRLSRLSSLSLFNSNSRPEVNTGKPRTPRTPRIPLRKLPISSPM GSPDVTVSRSYGEDQPPLTPRFYNPPPPPTPPVATIQSPREKRVSKGSRAPAPAPLSM SVAGHGSSSLPFRDAYPQQSAPATKTTVLERPLKPMNGPRTGMPTPYSPYMPFTPVTP LTPSRMVTKRQRKREAKESGLRVLNEDDVVRDNEDMWGL AFLA_029130 MPLTILSQAQLRSLLLSLTRDEIISLQQNLAQALREYSTGNQEQ GCSATYQPQRTAITRKNGSTTLFMPASTGRTIGMKMISLQDGGTAGCAVETGLDISEG EKTSSRSRHGSRNSMSSLSSDMSDLTVSSQEDESSSVSSSSKSLPAGCVNKQPVNPGL SNTMGAWPSAGSRDTSPQGSVTLLDEQSMPFGLINAHELTPFRTALTSTMIFNKRKRV RTVVVFGAGKQAYWHIRLALTLRGSEIKRVFIINRSFDRAAELLRDIYSPENSSWRGD VKFSAVSSDFVEYSRILQDALNKADAIFCCTPSIQPLFPGEILTSHEARRKGRLISAI GSYKPHMTELPPEIIRDEVKAHGSHRHFHKHVKRSGVVVVDSLEAALKEAGELIQAEI KPNQVVELGELLMVRCAAQDKDQETDDGKSLREWAERGNVIFKGVGLGLMDLVTGGDL VQLARQRNIGTMVEEF AFLA_029140 MRHNACHLPNGQLISVSPVFGGLTFRIHDAHLDRSLLPPGWTIV LYTRQHNQEKEKSDGAPDRAREAGKGDDQSHSSRFTAPTLHDDCLYLSAIISPPNSEN KPAISASRQIAMMLWVTLWWYFHEPEPDLHMETEASSRTPAAGRPKGEWRVNIKREGI FKGRNVLQKLERMGLIATEDSSVGPDAFDNESWESMFVSRRSFWQIDPRLFVFSLSPL NPGQNVPGSSHHTRQLSSPTRETMYLADSGPPPSDTGHYAPNEGPFASSTNLPTYYPP PATQYIFTNGVRHPIRPKPPHQGDVFYIRYIPSVGQYLSFRVPYLPSQKTQAPGGAPS KAHTSSASTSDPMVSTTPSDLDYLHKWMNDPRVSAAWGEAGPISHQEEFLRNNLKSRH SFPVIGCWDGKPFGYFEIYWVKEDRLGQLIGGAGNYDRGIHLLVGEQEYRGAHRVQIW LSALVHYCWLSDQRTETVMLEPRVDNTKVLEYLQKAGFYKEGEVSFPHKQSNLMKIKR DSWEAPAI AFLA_029150 MHTMTYPPFYTTTTMEQVSQPDQMNHFQHLNRIALHEFVRLPVS REMVAHLAMQASQVIRCEPHVTTACAHGQPTPPSTPPLDAVDSQLPPLPSVEMFIASL VTRSQVQVPTLMTSLVYLARLRARLPPVAKGMRCTVHRIFLASLILAAKNLNDSSPKN KHWARYTTVKGYDGFAFSLPEVNLMERQLLFLLDWDTRVTEEDLLCHLEPFLAPIRYR YQVQEREAELRQPREWRRLQASAELLACRLRRQKLEARLEARRSDSIHRRQRLPASPA SSVSLSSMSSMSSNASPASLADTDRHKPYRPRRRPSTRSGASVSPPSAQDVPSLTRVD TVPSLSSRASSIAPSSRNATPASLRPSSSITSMEADIRVVDGARSPSLSCGYVPVSSM MAPNPKMEETQQPTKKVRTNGHAGHTGFVARFLASAAGSYMGGRMRSHV AFLA_029160 MKFLTPLVLSSLASAAALNRRADMCGQWDTTTTDKFTLYNNLWG EGNADSGSQCTGLDSDDGNTIAWHTSWTWTGGAGQVKSFANVAYNFEATQLSQLSSIP STWKWENTGSDIVADVAYDLFTSSSADGDEEYEIMIWLAALGGAGPISSTGSAIATPT VGGQSWSLYSGPNGQMTVFSFVASSTTEDFSADLNDFLKYLQEEQGMPSSQYLTHVQA GTEPFSGSNVKFTTSSYSVSVA AFLA_029170 MRTLTLLATLLAVLAGLYNYLNARLEQFYIFEPGQLHDLSQRAI AAHGNDTRAVVNYIVSELDEKVPGSHLNKEEEWVFNNAGGAMGAMYIIHASITEYLII FGTAIGTEGHTGRHTADDYFNILQGTQLAYVPGSYEPEVYPQGSVHHLKRGEVKQYKM DASCFALEYARGWIPPMLFFGYADTFSSTLDFPTLWATSRITGREMIANLFKGKL AFLA_029180 MAPSPCFHCQTNRAVIIRPKNREKLCRTCFINVFETEVHETITS TSLFYPGERVAIGASGGKDSTVLASVLKTLNERYNYGLDLCLLSIDEGIKGYRDDSLE TVKRNAEQYEMPLEIVGYDELYGWTMDQVVAQVGKKGNCTYCGVFRRQALDRGAARLG IKHVVTGHNADDVAETVMMNLLRGDLPRLSRGTSIVTDSAASDIKRSKPLKYAYEKEI VLYAHHKQLDYFSTECIYSPEAFRGSARTLIKDLEKIRPSSILDIVKSGEDMAELVPA EIKGKACARAADDESTGGCGSQNGRTRGGEMAEMEKKLADDEAAESREVEIKLPAQSV PLPRKKQNKGIKGSTQKTIKTQTMGTCERCGYISSQKICKACTLLEGLNKNRPKTAIE VGVGLEDEESSSTLMRQMERVQLGG AFLA_029190 MSLQAQARSTYRALLRELPRRSLSNPTPLHNRIRELYRDQTKSA DEETLNAHIQEADQLAQYARAQRQYLKLVERYNPGMTMDEQEKIRLTARRVGMDLPIE AKDRKEE AFLA_029200 MNSQTPRRERSSSDKAQAMFVPQRPGLPGRTISAPAGGLHKLDS SKVAIETGNKIEPSVIEEEEIMVSSRKNGVPSTRAGDESALDMKQPLSSRATTKKMSL DGTVYLVRLLEMNTNEITIGNDGLLEWPRVGNESPPVDGALVVHDVTRSVGLPELTRL LDTLAAFAFPSVLVASKCDIQAPDGPLEPALKNYQIHKTSPESPRSQKMCISMVLREV INSKYDSIENPYSFKTPSTQDWHHSRANSENPTAALTGAAGGPITSTFDPGVDGYGED RQPTDPSNSSNLASTAQGLRFSRSNTYPVRPHTPPSATRLNPHKITTAEESPGKDDSR QQQLHATWRNSGGSDAFNSFLDMDNGMEGPASAPSSPESKEKASSEGSSNGSSNDTGF TFDELVDRLVAQPMSKQDSKFASIFLCLYRKFAAPSTLLNALINRFERNEKNFTDQLT RIADQLRLLNIMSTWVSEYPGDLAYPKTRKRITDFVSTLEKSHFYMFAAKEVGSYLEN HAEDDDVGWPFRDGDVDEFDGHETFLNNSGRSSPSLFLGGSTIDEGEDNEEEEDPIYN MSALDLSEGASDPSSKLSNSATFEKPGTVSSQSFTFLSMEAAQKESQNLELTPRLSLS KMQWRQFIEIPDEDFARELTRIDWIMFNSFRPRDLVRHVSISGPDKDKIKSLKHVNRM IKQFNHLAFFVASVILFRDKPKHRAKALEKFMNVAQKLRRLNNYNSLGAVIAGINGTP VHRLSQTRDSVPVQTQKDFMRLVILMGTQKSHFAYRLAWDNTFSERIPFLPLHRRDLV SAEEGNKTFVGDTKSRINWRKFEVMGEVVLGIQRSQKTPYPHLHRYEEAARLVLDIKL SGDDEDLYARSSQVEPSAGGETGRKKFGWLRS AFLA_029210 MPNTCEVCASEPSKYRCPTCGLLSCSLACTQSHKIYCAPKEPSS KTSEEVNGTGHQPEEVNGHTGENTHESQNDTDPHALGSSPQLKELFDRYPALRDQLRD IYKATLEEEWVETQVHGGRRPFGRGKGAPRSRGPWTREKGFNRGLGKVRKLREMCEEG SEVGKNAEGYMRFVALVNGERFPQEST AFLA_029220 MFESSRAPNAVTVRKRWPEMSTNHDTTSPVWRLIEELFLFSIGI FDRFQPIPGV AFLA_029230 MGGIPFKSTGCNTCRRRKVKCDEAKPECLRCVKNGHVCTGYERS RVFIHKSSNAMEDGAQKFTRRPKALLNDLITHQVEQVEPGVPQLNTNVELINCSPPGF GAWIAHVQGSSAIIKQCSGQDDETAASRLFRRQLKFVTLCDAIGKRKAPDFYNPSAWQ DNSLQDRDSPEPIDELIDRLADCSALMEQVDGFLKKGAEVHDQIQNSGRELLFTCFSL EEKLHRICCRMQKELGKPSVSPANVTCRKDFRSSLFTDLLPKPFQFPSLTCAEAHLIY WTALVLLYPLIDQLLEVLQVSAGHVSLAGCYSPSGEHANSDFTADFTTLAEHYADQVC RSVLYCLQPDLKTLGAQFLLAPLSQSAQFYSVHELAEKYRWCQEVFVLLPQLGLGIGY FLKDMVWPKYRSSQRRYLTPKSISPE AFLA_029240 MSVSSVSGTMLRRTVLNSRVTAQRLGVVEPSSRTVIPNVFASYR TIHRSARLPAITASEARHRPTLRPHQQSAVTRVNGPSPVSKRSIFIQTENTPNPDALK FIPNHRVLPEDFPTSFLEYLSPRSTLAPPHPSPLAANLFNVDGVTSIFFGPEFITVTK ASDANWAHIKPEIFSLITQAVTSGEPIVNTVAKSGENAQEGGEEESLSYNEEDDEVVS MIKELLETRIRPAIQEDGGDIELRGFENGIVMLKLRGACRTCDSSTVTLKNGIESMLM HYIEEVQGVEQVMDEEEEISMHEFAKFEEKLRQQKGAAATASTGGKGTLDSAP AFLA_029250 MAAQANKPVQATKSSTSSTPNATASVRLEKTHPGVRRSTPDSEA LASSDDDVEHTQVTSTSTIAPIPKPARRTSWLNEVPVNIHRKASLTSSGPLSSGASNP SSPATDQSGWPSTSPVMSSSINWNHVGSGSFPWGTGIWNSESRKEPPPRLAEIVPSPT MSNPSTASNYFNDELLSPTTRTTSGESAIPFSIPLHPTPKTYRSQSYSVGQLDPEFLN LMANKPGATYSGVRSRNGGQFSALQHRSSRPSLLELGHDPATLGQVREDDDGEDGSPN SSDHSLSNYASNQARTIEQLSRENALLRKAAGQMDSSFRDRAMSSASATGGYVVGAGA HNLHRIRGGVPEEADLAVEDLDEVGDIPGYNSIHGSARRRFSEHSANLEKQFPPFTSL ENRALDNVRKAHWQTSLGFGSMVDIPQSRRHSFADIPIRHGSISGESQVAATSRPGIG DREDSYVGVTEPALSNVQGQNREYYRVHMAPRPEEHEMETEYLRARQFAESYFARDQA LRAAEAPSAVPTSLHQAYTMPTAYARHQAGLAHSHQNQLLYIVTFKCHRADVFYIQED TGLQVKPGDLVIVEADRGTDLGTIQHANVSMQRARELKQQYAEEHYKWLMMFSRQGQS GAANVISPSGGLNSRSAIGGMGPHGPHGVQESAADIKPKLIKRLAQNHEILTLRDKEG NEAKAKRVCQQKVAEHRLNMEILDAEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYK TRIWMSAINPASFVTPPTAGLQPPNPLGYNTESQTDRSHHPESRAYGHARDGVDREAI TNQMGALRNAYTESYQPFGQGLRQPESGLGALATGDPFSPYPPTAYGGLESSYVDYAT SPGTAAGPRIHQSPADWAGRFQGLSLGS AFLA_029260 MGALKYVEEIQKKKQSDVVRFLLRVRCWERVLLGGSIRFFRRRM DVAHSFLRQLNAIHRASRPSRPDKARRLGYKAKQGYVVYRIRVRRGGRKRPAPKGATY GKPTNQGINQLKYQRALRATAEERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQH KAIRRDARINWICNAVHKVRFSCPVLPGGLLLIWLSANSTARPVVLPPLARSPVVSTR ATATTTPRLAAATPGSSTTPRATGDTVKRSLVDSWGCVSWKKSHSEDVFISIKTRFYD YILEMGARIMQQIFRIGC AFLA_029270 MVANPATSPPHHFQRRGHSRSISHPFPSPFSSRRRNKSISKHDF LDSDDDDDEVTYLPDPLSSSPRKGAPRLSPGEELTTGKCMTCNCSVRWPRNLKVFRCT ECLTVNDLEPHRGSPESSGHAHPGKDDKPLPTIPRKGAL AFLA_029280 MTAGTSWVEQWSGLKPLDVRDGDDCTRNKRWDAADMSLIEREFM ESRLHLHRTLMKATENLLKRPRRPLKRPEDVRFLLMLLVNPLIYSSSQHVALHPGATS AARSDRRPSNTKDTGHRPVPPDIKSPSRHRSGGPGHHSGIVKRILGLLANLPNDCHHY LVSWFSRFSAGQFEKLVDLVGSFVTYRLTRQHGRKRSESAQHDDDLIPSFSSAAGNTP AELHAAINGRSTSKQATEKRDQPVVYSDDWQLRAAARVMSLLFTANNANVARKPDGIL GQEAGSAAKPQGYRRGQIVPVSAFYNTLLDYSDLVADFEAWESKSTKFSFCQYPFFLS IWAKIHILEHDARRQMEVKAREAFFNSILSRKAISQYLLLRVRRDCLVDDSLRSVSEV VGSSQEEIKKGLRIEFVGEEGVDAGGLRKEWFLLLVREIFDPHHGLFIYDEDSQFCYF NPYCFESSEQFFLVGVLLGLAIYNSTILDINLPPFAFKKLLAAAPQTTGPQPATTRST YKCNLDDLAEYRPPLAKGLRALLDFEGDVAETFCYDFVAQMDRYGEVVAVPLCTGGDK RPVTNANRREFVDLYVHYLLDTAVTRQFEPFKRGFFTVCGGNALSLFRPEEIELLVRG SDEPLDVASLRAVATYDNWSDPRPEMVPVVQWFWDFFEHTQPQAQRKILSFITGSDRI PAMGATSLIIRVACLGDDSSRFPTARTCFNMLGLYRYTTREQLEQRLWGAVLNSEGFG LK AFLA_029290 MSYVSVPKEEHDSPDAEQLAIKTRDPSGRLCDINIVLALVAVSL CFGMGVFMFFDLAYDQMKPAETTSAPQFQFNCGSSTSEARAAGCRFDSTTFTWVPPAC FGEPLMEEFLGSKNWTWSLDELEYSR AFLA_029300 MQIVTVHLAYTNLSEEPKGWPDYWKTSRFASLWRLWSTFRPRTV TSAVIEMSSTSPPGQRWSFGTTTIKNDLHTIFAARAAHEKAIGSLRRVKGLIWTIVMQ PLLPSWAAKGDTNVLGIHEETDDALVILSFSVYWQQAGDDRHVYATIRETIEAIEAVA TANGKGHRFRYLNYCAQWQRPLEGYGEENLRFLTEIQDVARGRERKGAPSRSGEPR AFLA_029310 MSLPQTMKALRYEQPEKHAVVDVPLPKLRDNDVLVKVKACGVCG TDLHIHEGQFIAKFPLVPGHETVGVVAAVGPKVKGFEVGERVVADNSELCGECFYCRR GDELFCEHFEAHGVTMNGGFAEYCAYPAGRVFKIKNLSDVDATLLEPASCAAHGLDKI APKMGSSVLLFGAGPTGLILAQLLRQCGGCRVVVAAPEGLKMDLAKSLEAGDEYVALS RQDPSAQFEKLKKENPYGFDIVVEATGSVKILEDSINYVRRGGKLVVYGVYNNEARVS WPPSKIFGDEITILGSFSETYKFPAAIDYLDSGKVKVKGIVNKVFKLEEWEQCLESMR NKSAIKAAITFD AFLA_029320 MVKPLTFKGDKPKKTKKRSAPYPPSKPTTTKLTQEETAEQENTA EDQSWVSADAPSDIAGPVIIVLPSDPPTCIASDANGKVFASEIENLIEGDPGTAEPHD VRQVWVATRVAGTEGISFKGQHGKYLSCDNYGILSAASSAISHQESFVVIPSEMPGSF CLQTGGGDKETFVSVTEGKSSKAASGRVVEVRGDATSLSFETTMRIRMQARFKPRIKA SKETKAKEKISRKELEEIVGRRLDDDEVRRLKRARKEGNFHEEVLDVRVRGKHDKFA AFLA_029330 MKGNSYIERQDSASFRFVVDGTVRTLSSQSTPGNAPIRGLLFVP SLDVEDSCNNLTAPFIPPNVTRHPDVDRFRFQTIGLAPWVNAKCAESFIDASQRVGSD ALVFYLPASNNTKPPPAEDPAWSLRDGGDWKNRNILPVYAIPGPAGVTLMNQLAWYSG NTSHAYNGHNASASVTERDQGKMPSLWGFILAILGTILVLSMIVLLCYQLVQKRRRER LQRRIESGEADMEMLGLHLMKVPQEILDTLPIYTYPDWSALGDTPESNDKSSLRSKEV QEYTEEKEKTDTNPDAITADGVRDDKQESQEKEEPGDEQSSGDANNSPSAPSRSSSIS TCAKGRPPKLKRLSHSQTTCAICLEDFVPHESTVRELTCSHIFHVECIDASLTRNSCL CPMCKKSVFPPGYYPVPITNRVVHRDFMMRRANSA AFLA_029340 MPSASKGKGKGREARPSRSRNTTPNSSFSAPTAAPVSSYLDNDV SKLLVPVAAQYGEILDRMGGVGPIPDSKSLETLMEHLKTLSQLAEARSDACDAGIREL SQKRKEVVEEPEHDTDRPKMKREADDEEEESKVPKGGKLKKRKERGSSSKEDRPLAHG AHELSRQDGAETKVEGAASPISKKSKSTSSLSPPEPNSPKVKADTEAQAPGSPMSDDS SDSHQPEPAPAVPQIQVFGPNPVKFDDPTIYHIRDVTPDMTDDERKEIYSVTRFPASD LSHMMAGVAPDKDYSNSKPTNQVSANTFLAYVEPYVRPLMEEDIAFLKEKGDRATPFI MPRRGKRHYNEIWAEEDGLMNVDQNGNKERLPLNQGRGNIDQVTDETMETDKVSVGPL VSRLYSLLRYEHRAPDETPGTNGTVNGELPNGSSLGDAMDLDHPIGGGDSESKPQPSA TSFPDASPSGFKVPAAKLDHAQLDERLKAELRHVGFLGAEDNPDYDAHYDDDIAQRLR LLQSELKKQMIVNSARKARLLEIARERMAYQEYMTIHDDLDSQVQQAYLKRTRTLGKS KKGSQAKHRPGGAGGGSHVVSAAGVGRPAIGDVARTLMDRRKRWRDCIGPIFKDCKTS VPRNNESVFDPSLMAEYEKAEVEGWDEEQE AFLA_029350 MIKAIFYSKFDTQEGPKVVHQVPDGAIVPSATAPSQPLFLTFSD ISFFVIPRQELCGNLMQVCTNGYRILGYPICMKSLRYDRNEFIFNFCIVLAEEEDFST YKSVVQKLADLMHGLEEQGQFLSRDHSKSGEGKVYSLCETLMEDLNNYCECMIPIDEL NTLNIKLFPIYPSPPSVKAWHVPLFTVRYQTFMDENWDLTMQRIVPHINGVNSIRIIS ILADTDFSLTCRAIRHLLYYNCLFLLDIFSFSAIYAPTAQFSSTIASNEDMQRECARY VNTLFASPAAVSTFANPTRSYDPDAVWPPLGEIVTGTTANVDIASSIGSASSRSPSPA PTITAPGSTAATIEDQPEREVVDGVGLVELYASLKQGQSVKQWYMHHSRQLAHIDIRR FITFGIIKGFLYRVHKYAYATGFPAPPSKYHHHHHYHSQVTSGPSSRGPGTGTNSPYA SSVGDEPAPIAAHQHDGPSTSVHSGSRPGTVIEDEDDEDYIDDKTLSKYLDGMHCFDQ ICTELEISEKEFTARLKRYPGEVLIIHR AFLA_029360 MHKPSLAQIVHNATFPRPRTSDPATFSAHITRNLVPEVRIETST FYGSLDTVEAQYPGLDYFYGPHRMRLSRFPWHRRLFRVFDELGLTEAEISSLCRWEGT KSARERYEKEEGVKVQDTTANGVRPASPSPLPSVEVHFEDGPERVREPEVKVEAREHF VPVTDTIDDRGVDCDVPQKVEEESSDEEMESCGVELNHRLLAASAARERGANVPLDED WEQWLKEAGERGSYVDIINAIRRGQPLDFLYDMPYLSSRTGARRSVSLSERTSTLLAA STSIRRPPHSPSGTAR AFLA_029370 MNFSRGILESRRLHYKHLSKLLRPIPLNTPTEIELTPRLSIRVT LLDANHCTGAVMFLIEGSGKSILYTGDIRAESWWVDSLIRHPVLIPYTLGGRRLDKIY LDSTFARHSSIYRTFPSKANGLAELLQKVASYSEDTTFYFRAWTFGYEEVWMALSAAL NSKIHVDRYQIGLYRSLVSAQQGRSGEASALCGFELGNRFVPGCLSEDEGCRIHSCEP GVQCSAISSKNPVYIIPIVSRTNDGSEIPEVGAGGGGGDLYQIHELEIPNELALEQLE KLCLERIHDSQTLSETREALFKAFKSKSKALQLDSYGMKDVHDITLENLVNILSRGRF HDKDRSDNNQGSTGRHYKSGSRLPRVIQHFPYSRHSSYAELCELVSAFKPKDVYPCTV DPLTWDEDVSMQSLFGHLCSGTEFTHDHHMRDMLENDEDLRSRKRARYEEPASQPSQL SSTLDSIPRTTMNFATSNPGDDTSPDRRAPDHPNPQRDPTNSQEHPEPQPRLHKAHFA KAIIPSSSHSSSDRIPMPSSLETIQDNNQALNSPLPTPESARAAEKARRKEIRQAWHF LNNARSDETPFHLGSLPSSWSTEEDKDHEYQGKTTTEEETSHHIVGQLNDNVDITDNN IEEPDPEPESHFSQSHSISSSAFASQEQPLEPASDMSFDGAYYEHIEAREQPEAQAAA SSSTDAQVANTLKRSSSSSRVRRAAYLAAKADSYEAWASMGLVSAGDNHTEEEIEL AFLA_029380 MHLIPKELDKLAISQLGFLAQRRLARGVRLNHAEAVALISSNLQ ELIRDGLYSVADLMSIGKTMLGRRHVLPSVVSTLVELQVEGTFPTGTYLVTVHHPISS DEGDLEKALYGSFLPIPPADAFPDPDPNDFEPEKMPGAIIPVKNERITLNEGRRRIRL KVMSKGDRPIQVGSHYHFIEVNPQLHFDRLRAYGYRLDIPAGTSVRFEPGDTKTVTLV EIAGHQVIKGGNFIASGKVDLSRVDEIMLRLQADGFAHIAEPTADAALVTPFTMDREA YARMFGPTTGDLVRLGLTNLWVRVEKDYTSYGDECSFGGGKTIRDGMGQSSEKSHQHC LDTVITNALIIDWSGIYKADIGIKNGIIVGIGKAGNPDIMDGVHPDMVVGSSTDVVAG ENKIITAGGFDTHIHFICPQQVDEALASGITTFLGGGTGPSTGSNATTCTPGPTHMRQ MIQACDHLPINVGITGKGNDCGGISTEEQIVAGAAGLKLHEDWGSTPAAIDTCLDMCD KYDVQCMIHTDTLNESGFVEQTIEAFKNRTIHTYHTEGAGGGHAPDIISVVEHPNVLP SSTNPTRPFTMNTLDEHLDMLMVCHHLSKNIAEDVAFAESRIRAETIAAEDVLHDLGA ISMMSSDSQAMGRCGEVILRTWNTAHKNKEQRGPLKEDEGTGADNFRVKRYISKYTIN PAIAQGMSHMIGSVEVGKIADLVFWHPSSFGTKPTQIMKSGMITAAQMGDPNGSIPTI EPVIMRPMFGVSPLHSAYVPSTSIMFVSQASIDAGTVQSYGIKKRIEAVKNCRNIGKA DMKYNDTMPKMHVDAESYAVEADGVLCDAQPAVSLPLTQDFFVY AFLA_029390 MSRKDDTVTVNVDDFTRTRDSVIVSLAQLQAAVSKLSEAYINHA NTVLNRGPTVDIGNIASITNSLYESGLLGALGGGARATSPGAKSEVGEKKKRKRAPPD PNAPKRALTPFFLYMQHNRTKISEEMGPSAKPKDVSDEGTRRWAEMPEEEKEHWKKMY ADNLAVYKEKMAAYKAGLPYTDDAKAANQLQQEADRAETTPAEESEEEEEEVEEEEEE EPEPVREPTPPRAGKRRRSEAAKAAKDVASPADTKKASPEKKRTRTPASREKKVQEET PASTRKSAATENKRGKKKRKSEAAAEE AFLA_029400 MSVAGISMERCTVHLSYALWSVIKASFSKISVAKFYFPEDHPND IILRIRNDTMQACPGSPGGTSILLSDRQSNDRDAKAHMFVVGAREMHHIVCILFDRKD PDSRNRAYKLIQVLIAEAADRAGFNENAQMKLNEKLKNTHDPKGTLCPGKNGIWPANY RKED AFLA_029410 MAKNMNRVLKADPEKAYVLLEPGVSYFDLHEYLVKHNLRWSTHP HWDGFFAKPKSGQEQAAPRAGTKRELAVI AFLA_029420 MVSLTHIFSKALLTLLVGQSAALSFLPGIKANNLQLASVLGIDG HTARFNPEKIAETAISRGSGSEVPARRISIPIDHEDPSMGTYQNRYWVSADFYKPGGP VFVLDAGEGNAYSVAQSYLGGSDNFFAEYLKEFNGLGLVWEHRYYGDSLPFPVNTSTP NEHFKYLTNSQALADLPYFAEKFTLNGTDLSPKSSPWIMLGGSYPGMRAAFTRNEYPD TIFASFAMSAPVEAWVNMTIYFEQVYRGMVANGLGGCAKDLKAINDYIDSQLDKKGQA ADAIKTLFLGKEGIHNSNGDFTAALGSIYNLFQSYGVDGGEESLSQLCSYLDKEASPN GIARKIGVKELTEKFAAWPPLLYLINQWGSQVGNGDSNCKGQNNSTETVCELGGQFTD PDTISWTWQYCTEWGYLQADNVGPHSLLSKYQSLEYQQSLCYRQFPGAKESGLLPEHP EANETNAETGGWTIRPSNVFWSAGEFDPWRTLTPLSNETFAPKGVQISTNIPKCGVET PENVLFGYVIPRAEHCFDYDLSYKPADKSRKLFSLALKKWLPCWRSEHAPKGVQRKWM AFLA_029430 MSTKTTILIYTGSPLDYPEYRHTALHFTFATGTTSTMHVVGTQG LFIFQEDVDLDPHEFGSELAKTVPVGEIDGGVGAETIRRAVSATPVRNGREDLDWNCQ NWVGDALRMLVEKGVLSAEVRERAVDGMVEGCLEARDQ AFLA_029440 MFARQTFRCAQPLRQSFRKYSTEAPKAKSLAPIYTAVGLTGLSV GLYRYYYGAGATAEAPVERAKVFTGGDQGWVDLKLSEIEVLSHNTKRLRFEFEDKEAV SGVTIASALLTKFKPVGAEKAVLRPYTPTSDEDQPGYLDLVVKVYPNGPMSEHLHSMN VDQRLSFKGPLPKYQWETNKHEHIALIAGGTGITPMYQLIRQIFKNPDDKTKVTLVYG NVTEDDILLKKELQDLENTYPQRFKAFYLLDKPPKEWTGGKGYINKELLKTVLPEPKE ENQKIFVCGPPGLYNAVSGNKVSPKDQGELSGILKELGYNKDQVYKF AFLA_029450 MLPLYKCKHEVGNNASPPTGPILSATVAACPIGNGVPCQASRSL RVAALTQRKSQIPNFTNETQPAYLSRATTTVDKRRLTEYQVIGRHLPTEANPTPKLYR MRIFAPNTVVAKSRFWYFLTQLRKVKKANGEIVSLNVIPEKRHLKVKNFGIWIRYDSR SGTHNMYKEFREMSRTEAVEALYQDMAARHRARFGSIHILKVVEVDNADSIRRPYIKQ LLQKDLKFPLPHRAAKSEGKKIFAYSRPATFA AFLA_029460 MATIFEDFVQGQRIGSGPRLAAALTPVAPAEYPQRLQSFYRFSN AARVSSDLRYSLFQANGLKLPKQEQNAWIDIFSTYWTAVGEITKFTDSPSSASWVKVF NSWKDLANILIRGYTNFGLQAWTVPCLYIVGKYLRIFAMKADAELSSQDSVAFGDNFQ DDIAADFEKSAKLEESARIINRMFTLCLSDRAPIEESRKWGIYNTTNLLFKTYFKINS VSLSKNLLRALNASSADLPDMEVFPKSHIVTFKYYVGLIHFLDENYAEAEEHLAYAWN MCHKDAVKNKEMILSYLIPCHLVTTHTLPSKKLLAPFPRLEKLFRPLCNCIMKGDLNG FDNAMTAAEEEFVKRRIYLPLERGRDIALRNLFRKVFIAGGFEEPKDGQPPIRRTRVP VAEFAAALRIGTHADDRSRVDIDEVECLLSNLIYKGLMKGYIARERGMVVLSKGGTAF PGTGV AFLA_029470 MDGPQKRLFAAHKDILCVSPFFAAAYTQAQPSDSPNRRVNLPDE QPEVFSCILEYLYKGDYYPQLVHNKQLNSWELEDTGTDKDGQSNGATLFHHAAGAEIL RDTAVYCAADKYGLDLLKRLALRKQGLHSGIQCSTILTSARYAYSNTPENESKLRAHY LALIIRSRSTFKRSGTMQMEMEQGGKLFFDLFVAMCNHMVSSPSLSVSSLL AFLA_029480 MELVKKEHERLAKKIKAAQGIKNVQTTIDLLQSARDAIASDPSQ TSITLAKLQNSVKSSFDSINDSLKDTHSSLNKYSKALDKLFKDRPLPSTEHDALASQE HLINRAIAMHLLREGQFSVAATFLSEMAEKKAMESQQQASTGASENAATLLDIDEVPS NEVRKQFATMYYILHEMKENNNLLPAIQWSRDNREALEARGSNLEFELCRLQFVWLFH GGPDRQGPIPTGRQEALEYARREFQSFLPRYLREVQQLIGAMAFCPNLQDSPYKAIFN NPSAWEDVAHSFTREFCSLLGLSADSPLYIAATAGAIALPTLLKLQTIMKAKRTEWTT ENELPVEIPLPPSYLFHSIFVCPVSKEQTTDDNPPMMMPCGHVIAEESLKRLCKGSRF KCPYCPMESHPREARKVFL AFLA_029490 MSFLFGGPPKMSSAEKIAAAETEVEMISDMFNRLTESCTKKCIP NDYREGDLNKGESVCLDRCVSKFFEVNIKVSEKMQGEAANKQGGGMGFGM AFLA_029500 MIRTGLSVSTNVKWSYSSRAQLAQAINHSSIVSTSTRSSSSAAS PERAFKERLQEVQNVCPDPYPRLAADGRSVSCSEFRDRYNHLENNETVSALVGSTLLS FVLRLVGRIRTYRLAGSKLIFFDIVQNGHKVQVMCNLRQLPGITPEAFKKLYRLLRRG DAFSVTGRPHRTGRGELTVVATELPQLLSPCLHDVPLDAKEHENSPYPRHVQFLADQT TTDIIKARSAIIQYLRQFFLDRSFMEVNTPIVNSIAGGAIARPFYTSATEFPDRQLSL RIAPELWLKRLVVGGFDRVFEIGPSFRNEGIDKTHNPEFTTCEFYHAYANLEDLLSMT ESLLSGMAQHIRDTNKDGTLKPTEVDFSTPFRRIDFLTGIEEKIGRKLPDLTSPDAVA QVKQLFKDLSLEIPEAPTLPRLLDELCSIYVEPECVNPTFIINPPECLSPLSKSFIHP VTGQRVAARGELFIEGKEVVNTYEEENSPSEQRRKFEDQVRYSKDADESSEIDESYLE ALEWGLPATGGWGCGIDRLCMLFTGAKRIADVLPFGTLRAVTRR AFLA_029510 MGLDGVPQAQAVTVSLKDLINGTVSFETLTEAFGPSSLGIIVVK DLDPEFQRLRTQVLSNASYLAALPNDELESLTSPSAKYLVGWSCGKETLRSGHFDTFK GSYYVNCAFYQDPTLQGAPADNFPDLSEYTAPNIWPPADRLPTFRPALEELCRLVIDT AALVARACDRYATENIEGYKSGYLEHVVRTSLTTKARLLHYFPAEAGVGERDGEGEGE GDDDWCATHLDHGCLTGLTSAMFVDEVASPPGQGGELVELGASPDPKAGLYIQSRTGK VVKANIPRDCLAFQTGEALQLITRGKFRAVPHFVKGAKPSAGKRIARNTLAVFTQPNL EEEVESGKSFAEFAREVVARTY AFLA_029520 MIDRLPDGYGLFDRPRGTDPTIQSVKKVQRSLRNSLSTLFANVL PAMLNGSQRGRARGRARGRGSSSIARPIDMPTGGTPGRPPGRPYGRLPGRPPGRPSGR SATAGVRAILDSEGTPDVFKMAVRRLKEQGVLDERIREDGSMDWRAERAALDEYIEQL GMQPSYVPRPGEVVLWAPEYQGELAWNHETKRVEMYAPNQNEWLGTPAWRAGIVGQTP EEDTVLQDLVITAPKKWGVNYSGFRVETFPDPQSYDKSYSLHYKYVHLLCIKPFNAYE LFLQGIPPEELHPSIGYAMTIMSSFSLLDKYHFKGTWPNAAIYCRGIFIGAELLVVGD AVRLKPALPSYSEEVHNAVEDVMVIDEIRLELVNCVNDLMSDQLAERYQVRVAGKVYT NAPQRASAGTHGTSQPLPMKHEEVIDVFQSIGMGGYGSWYRVWEGATVEVSQNMIIGR CYEPDAMNLLFGSCSLGQDLSGVTKAREYSRKVDERIPEGKDWFWGDFRTQTLAIDTL NGQDVGHYSDARDIKMWRANLHILDGTADSADLRLAKLPGNVGRPSTKARSNFSEIGK LSRLVSTGLGAADVSNPVSSEEGPNLPDEEDTSESDEVFTLAMNQLPGGTDESEEGDY RPSPEP AFLA_029530 MSLSQLPHPVAEGKESENVIIIPIGECISDGDKYTWPTEARFGM PDDSSYREKLAMLWMQKLGAFEEAVMIVSVLLHQSFPTTIFPSINASPP AFLA_029540 MAAQSTLRSREDPLATLYHYYLNLFRSRFKRSSKTTKLIATVAL LLSIVTTGYGGYKRLRQRAKERAQGRRLLRRNSGIRGKDGSRTIYVPYKDSLTSKVTI HPTKPTTFDAHRRLFLNPPASARAGDGESNQIPPPTTKPGLNLAFLHQFLSLGSIMVP RWGSKETGLLMGHGVFLLLRTYLSLLIARLDGEIVRDLVAGKGRAFMWGIVKWCGIGT LASYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLTGDDNYYKLMNLDGGIGQGADQFIT QDLTLFCSAAAALYSSMGKPLVDLFVFNYQLYRSLGPLALSGILTGYFSTAIVLRKLS PPFGKLKAVEGKKEGDFRGLHSRLLANAEEISFYGGADIERVFLTRSFKDLQRWLEGI YSLKIRYNMLEDVILKYSWSAFGYLITSLPVFLPAWGGLGGALELADTPDSVGRERGR MKEFITNKRLMLSLADAGGRMMYSIKDISELAGYTSRVYTLISTLHRVHADAYYPPRG SHAELYSLADAQGTIHNGFDGVRLENVPIVAPSLYPHGGDELLESLSFVVHSGDHLLI SGPNGVGKSAIARIIAGLWPVYRGLVSRPRGFGLDGIMFLPQRPYLSVGTLRDQVIYP HTEVDMREGGISDASLQKILDDAHLGYLPTREGGWDSRKEWKDVLSGGEKQRMAMARL YYHEPRYAFLDEGTSAVSSDVEGLLYERAKERGITLITISTRASLKKYHTYNLTLGLG SEGEQWEFERIGTAKEKMNVEKELQELRKRLDKVDEWKQRREEIENELRKVWVEEGEL APPPYTEQPETAESPTVEELSS AFLA_029550 MVCGIVLVALSLALGASALPGAKSRTTTEKRLQFTKNGTFQLSV FEDLHYGEGKLIPRSQYAEATTWGPKQDVETNAVINTVLDNESPQLVILNGDLITGEN TFLSNATNYIDEIVAPLVDRKLLWASTYGNHDSGYNLSRSAILEREKTYSNSLTKSMV SGALAGVSNYYLPVYPSDSSKDTPALIMWFFDSRGGNYYQQLKNGSEVPQPCWVDESV VEWFTQTNTELREKYGRVIPSIAFYHIPVNAMLAFQKQGVNANYEPGINDDDPLDQQG EASGQGGVSGTVFSYTGQDIPFMEAMLNTEGLLATFSGHDHGDDWCFKWDSKLPGMNL TGNGLNLCFGRHSGYGGYGSWTRGSRQILLDETILETQILTWVRLEDGSVSGKVNLNS TYGEDWYPSVETTYT AFLA_029560 MPTRLMEVWMQADASSLSLRCTWYISPSDCFNIKLGEVQQLLNL LWEPPVKAGAVLVSAGAAAGSMAPILQPCILGPDRETNLTFCVRFFPFYPSRSNNK AFLA_029570 MLGGSSERDSLPSNSDTRTGEASMPVATSQPGSIPESSPQVHVT GTDASPTSNSFESSGTAPAPVESTPIPEGASAASSADVSKKQHLLPVPSRTSLKAERQ STLDNSQDTANDDSENTLRGSRRSILKGRRDRSKGSSMRSRRRNEESTVMEENKTDPD LREPAKVEKKSRVSSRLFAFLSCCSSSNVDPEDTAIPAKRTTRRPSVPSTQPTPEKAE THQGDSSTAESKEPSYYRDEKANTTVTSDQPPSQVDEEQTVSGPDQGSQLDGTASSPA QHESGHEVSQKEKSEARDMVTAQHESSAVPPPTVTEKVEEPSQKPEEHVTFSVPPQRV DDSPAQTDESTAKPAVPDSVGPKDEKYSTHEEEAVDLPAELPPPPPPLVPSGQQPENE SHDRGQQWLLPPPQPHLRDRKCLVLDLDETLVHSSFKVLERADFTIPVEIEGQYHNIY VIKRPGVDQFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRDSCYNHQG NYVKDLSQVGRDLRDTIIIDNSPTSYIFHPQHAIPISSWFSDAHDNELLDLIPVLEDL AGTQVQDVSLVLDIAL AFLA_029580 MKDSKGWDGKLRVGPQATITNPEAIEDPDYSDEDAPPVEEIEAD EDLLEDEDKDTEEIDLVHCRIGSIPALHLERFPKVQRICLRQNQITRIAFPPEIAGSL VELDLYDNLISHVKGLDEFKDLTSLDLSFNKIKHIKNISHLVKLTDLYFVQNKISKIE GLEGLKVLRNLELGANRIREIENLESLTSLEELWLGKNKITEFKNLDALQNLKILSIQ SNRLTSLNGLSSLKNLEELYVSHNAITELSGLESNTKLRVLDFSNNQVSKLEHLSHLE NLEELWGSNNQLSSFDEVEKELKDKEKLQTVYFEGNPLQTKGPAVYRNKVRLAIPHIM QIDASKCSLPGSGVVVYTDSCSVCENSLITMQGKAWLMIQWQSWLMESGFHSCRSPIL HVD AFLA_029590 MAARVAAQSIHITTTPIPRSLTESKQILSALQKFGEVVTFRNLK YDTTNTSQNPTSRSIIAIFESPSSASQAIAASPLTIPLVKPPPSQPQSQSHLPPREPG TPSPDPWASSESAAVQSHITCTIQPSRHNHESALRRNPFHSLFHVDGKSWQAKDLVRT GIPLRELADVPMARKGHEPFRVKRKVQAENERLGATSLMALYEGGLRGEKDGDGDASN SGEREVKGEEMEVD AFLA_029600 MASPTVKLNSGHDMPLVGFGLWKVNNETCADQVYEAIKAGYRLF DGACDYGNEVECGQGVARAIKEGIVKREELFIVSKLWNSFHEGDRVEPICRKQLADWG VDYFDLYIVHFPVALKYVDPAVRYPPGWNSESGKIEFSNATIQETWTAMESLVDKKLA RSIGVSNFSAQLLMDLLRYARVRPATLQIEHHPYLTQPRLVEYAQKEGIAVTAYSSFG PLSFLELEVKNAVDTPPLFEHNTIKSLAEKYGKTPAQVLLRWATQRGIAVIPKSNNPT RLSQNLEVTGWDLEKSELEAISSLDKGLRFNDPIGYGMYVPIF AFLA_029610 MRRDPGQLGRKGQSHEEECPCLEAKVALNKIPFRLNESRRVNVE KRQTQLVTVRVGSITGPKSHQLLKEQVSMLQYPSP AFLA_029620 MGRRKWSERGKSYGRNELIAEYIYKTTGKRRSRKQVSSHLQVLD SFLKGDPDWERLVREQPADRSNGQPPSAGPRWRNSLELPFSSHYNSHNYPSYHDSLRP VQSYSGELPPPHVVFHPNLHAEATNINKIYGLSFDMWVSAPNQPGGIESAFHLYTRLQ GDQRHPAPPKRLENIPNWRTSFPHLNSVMADVNNPLNCDIILLEANLRLMDDFPPSGS KLGIQLELDFTQPPNGDALTNQMENWSCSTYIYEEGQNIYRARQDLPKQQSNKVKPPF ESTWWAKRFTELTEIAKDRQLNELADRQTRDYFRTLTAVQEIRATPSSRRVSNQYPDN SQDDSKRMAILLWQFRQTRSNEVGTTTWRRVTSPSSDRNTIPSPKPVTGIDLPPLSFD ANSLARPAPSIYQAPQSHDLVHHNGTSQPQWSMYQPPQDSIFNANGGFDLLNSITKPE GGLHDKTAVTSVLDTYPNLQPEVSQPTSLNGSNGGPGMLSIPDMSLSHTNLNAYNLSG HDNHYGTPQHPGVSVPDNSHVLNNGIFGSSTQSIDDMSQTHAPWPTPTSSITDVGSSN YSHLQFSDHHVPSVSRESHQPNHFEVLLGPDDLIVGSMPGDPGINGAAHGHMNHTYTE NNAVEAA AFLA_029630 MESTRVFVSGLPPTFSNDQLRKHFASRFQVTDAHVLPKRRIGFV GLKTPEAAKEAAKYFNKTYVKMSKISVDIAKPIDSEPISAAHKFKKGDKPDSTAENTL KRKRDGEPTQQDPKLQEYLSLMQHSSHTKTWANDDAVINSVANDSPAKDPQPVEADEV PQELTYAQRKKAKLGDTSGEDTHVSQHDESEPMVVDATVEGDTTEQAMDQEHPAVHEE EQQPVSDTDWLRSKTSRLLGLLDEEEQAEFDSAAQRPTDSAEPAADAEVDTKNAEADP SPAAADAAEPTRAPEVDTNIENIRISARLFVRNLSYDTKESDLEPVFAPFGRIEEIHV AFDTRFSSSKGFAYVQYVESDAAVEAYKALDGKHFQGRLLHILPAAAKKTYKIDDYEL SKLPLKKQKQIKRKLESTSSTFSWNSLYMNADAVMSSMAERLGISKADLLDPTSSDAA VKQAHAETHVIQETKAYFTANGVNIDAFKERERGNTAILVKNFSYGVKTDDLRKLFEP FGQLTRLLMPPSGTIAIVEFARPDEAQKAFKGLAYRKLGDSILFLEKAPKNLFDASVA PQKPIVETKAISQGFSTADTFAAEEPEEAIATATLFVKNLNFDTTNARFVEVFQPLDG FVSARIKTKPDPKRPGQTLSMGFGFVDFRTKDQAQAALAAMNGYKLDQHALVVRSSHK GMDAAEERRREDTAKKIAARRTKIIIKNLPFQATKKDVRSLFGAYGQLRSVRVPKKFD RSARGFGFADFVSAREAENAMDALKNTHLLGRKLVLEFANEEAIDAEQEIQQIEKKVG EQMDRMKLQKLTGAGRKKFTVGAQDEED AFLA_029640 MSSEKKDKLEPQIKSVDMTEDMQQEAVEVAIEAMEKYHIEKDIA QYIKREFDSRKGATWHCVVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ AFLA_029650 MAICITFGTHEFTSMLEGYENVRAYCYNCQHYNGHCITRWPWFT VCFIPMIPLATKKYKEVTCYTCRFTQDLRDRPDITPETRPPAGAPAGPAPPPQAYWGP PPQASGGGGYPQPQAGAPPQNYQYK AFLA_029660 MSETANFVAPLSQGFGYGIIVGLGFAFALVMVFITWALKRYQYE VQTSEMFSTAGRSVKSGLVAAAVVSSWTWAATLLQSSAVAYQYGISGPFFYASGACVQ IILFATLAIELKRRAPNAHTFLEVIRARYGTAVHVVFIVFCLMTNILVTAMLLTGGSA VLTSLTGVNTVAACFLLPIGVVLYTLFGGIKATFITDYMHTVVIIVVIFIYAFSAYAT NDTLGSPSRVYDALVAAADRHPVEGNAQGSYLTMRSKEGGIFWVINLVGNQYVLTVCF RTVFLDNGYYNKAIAAHPVHAFPGYVIGGLCWFAIPWLCASTMGISALALEGTQRMSS EDVTAGLVLPFAAVKLLGYSGAVCTTLMIFMAVTSAFSAQLIAVSSILTYDIYQAYIN PSAKGKRLVWVSHMSCIFWAIAMAGFATGLYYAGIGMGYLYLLMGVIISSAVFPGAMT LVWKGQNWVAAAASPILGLAVSLIAWLVTAKKESGVLTVTTTGANYPMLAGNVAALLS PIIFSPVLTYIFGPQNYDYESMRAIRKVDDTDVAAAAHVDIELIPGENSPATINAAKD QEEERKLNRAALYSRTLTIGMVLCFLLLWPIPMYGSSYVFSKKFFTGWVVVGIIWLFC TTVGVVIFPLYEGRESIIRTARLMALDAVGKKPRFEGQEQASSGATTPMEKVGAKSDV AFLA_029670 MASPRAASPLTSGAESGPDSKASGSGAGAAGSVSSVARTSSPTP PGGPRAALRRRAAADHKESLRNARPSSTRAAGAGGSSGTMLKLYTDESPGLRVDPVVV LVLSLGFIFSVVGLHVIAKITRKFSS AFLA_029680 MYKPNSMWTWSFCIVTLFQAVVTLALECYVFADFQLKLKEIAVN VTASKTIPTFLALYSFGFVYELVLVYDALRLKNTIQIIGLCVCNVGLLIYGAVQVEQI KDAIGVLNDNSAIDPAVWGQIKPFLIIIPCVVAMGTLLMMIVAWKLYDEFAWSIYKHI SADLRMKRRYLTYQIYIALLKFDFFFFLGFTVQFVVIVTNRHDAEFALTLAAIPVTIL ILLAAALFVRRESSVGMIVIILLYFAALAYFLFKLYRIYDKNTYQEYLQAQRSLTFFA VITLVLIVMTIINACMCMHNFHKGLKPHVNRKKARKEAEKTTELSSNITGQVPSRMEI D AFLA_029690 MARFWGSIASISLLATAFPVWSQQVSKVQSVGASVWTSSGLIVG HAAPQRSNVSEYLGIPYATPPTGDLRFARPVAYHSNSTVRASAYVSEVDCPANIGTTP DDYPGFTLQAQKIIQTFAQQLGTPQSEDCLYLNVWTKLTAPTLKPVLVFIHGGRFSLG GAHSPYYDGQVMADEQEVVVVTFNYRLNIFGFSGAPGFPQNVAILDQRLAVEWVHRNI EAFGGDPNRITIFGQSAGGASVDYYSYIWTEKPLVSGFISHSGTALSFKPNTPEESAS YFYHVSQTLGCGNSTTATNHIIHCLRQQPYKSILKAVAKVPTASSPVLPQPVFHPTVD NITIFNNYAERSASGNFTHIPYLVTSNANEAGYYRVSAYAANISHPDPVWNLFNQAAF TCPSGQTAAHRTAAGVPTWQSRYFGDWDNLRLYPSSGAYHGIDLPMVFGTASKISGIA DSEKEREFARYMASAWVAFAADPADGLSRFGWPRYDENEETLVGLAYGNSTAARFFVP SEFEWGCKELDGGTMPGKGHTLYIHISFLNVDEMADFSEYTTPIEEWLVLEKSLPKFP EDITAEQLKAAANRDREALAAKAMVEEGLASQVSMQDYKIPTRDGETLEARTYRPSSV PATQRLPVFIYYHGGGFLMGTLSSEDAICSRVVVAQVAAGSPVVVVNVNYRHTPEYTY PTAWNDAEDSFHWVHDHIDDINGEAENVVVGGISAGAYLTVSLTLAQNIGKDVSLAQR PKIRGQVLMIPALVTEDCYASQVARLRDPSVSSYVGCEHAPILPVSRMRLFMKLLKPA VNGSELETDRRVNPGLATADEVKGLPPTTFGIAGRDPLRDEGLLFAMLLAENGVPTDV TVFKGLPHGFRRYGNKLSASKKWDEVMAQGIQHALSDPVPGEFVIKAH AFLA_029700 MSGNPTSLETDERAAYLLDAQNKAIQLFDEIERDLLRSGVGEKQ LSNEIYELAQRHGVRTHWHKRVIRSGPNTLKPFAENPPDRIIQPDDILYVDLGPVFEA WEADFGRTFVLGDDPHKKQLRDSLEPVWNKVKSRYLENTDMTGEELYEIACDEAKQSG WDFGADIAGHIVGSFPHERIPKDRVTLYIARGNNRPMTSPGKDGKRHHWILEIHLHDR ARGHGAFMEQLLTVG AFLA_029710 MESLVYENSPLADYLQGEGEHDPSWPVKETENSDIASESTAADF APRGASKFQERIRNRLPKPLDLRSSHQKAALGRLYDACTADEVYNVLSSSRSADLPSI SSTFGLQGAVVTASTSFSIAWLLHWSRPRTGSGLNPRKVGVLLVLVPVIGVLFYAFAK RQWLKYLRHQAVDAAGFFIGNAQGFDSAASASVVFIQEVELVSRGYRISTPLPPISRL EDQAQTRRCLRLRRTVSECFYALLKRYLQAQRSLQPVTDNDNLAKYYDIYDVSEEELA EAELALAERATEDQYSLRALRTLFGRLYIVRKSILCCLLALGADGGGSDIARWTIAIE QMQDLAEVTGKNTEKMTNILNEEDSEYESNQVPRSPLPTASPNKEHLRAQYRKLNSLS QAIRALHAKMHLIREMSSASLEQTDADENDENEATLASHYESIGTDIKNLLQEWEDGK AAMISNTPDKRLNVDRLSRPLSEWKLPSSPTPSLGGATAVEGSPADALKALNGERPDS SIIHTIDDEEEIFEAVALPARSKRQSLTREERIARVKEDRAKQAAALLEARLEQASLL KRVVDAIKDLVQDCNFDCNDSGIALQAMDNSHVALVSMLLKAEGFSPYRCDRNIALGI NLVSLTKVLRAAQNEDILTLKADDSPDAVNLMFESAETDRLSEYDIKLMDIDQEHLAI PETEYAATVEMPSAEFQRICRDLNALSESVVIEATKEGVKFSCQGDIGSGSVTIRQHT NVDKPEQNVSIALSEPVALTFSLKYLVNFCKATTLSSKVSLCLSQEVPLLVEYGLGSG HLRFYLAPKIGDEE AFLA_029720 MELIIGLCLLAPLLFLYTPLRRFIGLNASELPLPPGPTLLSGPF PEKDIAKTFQKWNKKYGPIVSAKIGAQQFIILGSRRAAQDLLERRASIYSSRPASKFL DKYLHKGLASAFMPYGAQWRLHRRLGSSLLSERASTAYRQLQDFESKRLLHEFLSTND FSEAFLSYTSDIMFTLVYGKGRGKDDSDHKMLYQINEMATFVLQKASFGTILLDLFPM LDWLPHCFLTWRKKAEELHYKTKEVYTECGNIALGGDCWNWSHEVSQRSEAKELPWED VCYALGELYVAGIHTTKMVLEILIMVCVLHKEVKQKAQAELDSVVGEDRLPSPDDLEK LPYIRAIVSELLRWRPISPIGVPHAVIQDDEYMGYRIPAGATVVANQFGMNMDEATFD NPAAFNPDRYLNNPDLPVSAFGFGRRICPGHRLARGSLLIVTSRLLWAYDITSAQGDA DLGDEYSPSSVKAVTSRLSRKSGRSLTKTKSESWKGSETVFDAWKTIYIWKNNIGSSE CLS AFLA_029730 MPQAAMRCTSNHTINIASPSSYLATHGSNLRLLGEVGTPCPSRF SRFDRGSGGDSSSNKLWEDSLENCDETANIEFTTEIKAPLLTGVKPRRRTKTGSSFAI HHDGEQNLVQTANPRRRETRPAVAPSGRKMSLLAQPAQRFRPKASSTASPPRNSKPLG EPEKRLRNTKLDAQKNRELLMQINENGRKTPSKNALRTDVRRNTVYIPTDDTTVASLF MGISSPAKSNNVQYYVPEDTRVNTLESQIARKRQAKRSLASSAQRIPLQPSAKVKQEP CIHVDIAGKNGGKENVPPGTCLLDKEKGKVSQSMKINDEYENPRTASKPAQTQLRGYP STKSMNPLAARPVNGTIKRTVTGENRNNAKTPSVHARGSKVEKRRTNVNRTPSVSKNS TIANTLRNSEMRANPSKDSVVRPKSKHIDDKYPLVKEDITNPELYEEDWLSHQEIMIT QLANGLFDQANESLSFKEPTVLRQELLKLYQTTSFAHLYKRVQASLLYGAMSIPKDVL VRNDRLQQDVGMKRRFLDFWMQTYDPRALRAAVETVTGRRMTDNKLDQETSHSHPDMD SGNGRALKRGLENFLNTFLLQNQDMERYAREFSSSDLEVGTTYHRTVLRSIMIVILLD KGMSSPGTVLPRCLFLRSSQLKSSAAVIQALARFLLPSSGDITKTLGHLDCQLVYEQS ALQEYEYQISNLAVDMRDGVRLTRIVELLLYPTDLSLADGERLGPLSQGLKLPCLSRT VKLFNVRIALDALACSKSSYKLVRRIRAEDIVDGHREKTIALLWGLVSQWSLAGLVDW YELRKEINRLKQKAVTQYGYELVKDEGWFNGDFEGLYGKSDDATSLLRQWASILGCLK GLRLENFSTSFADGKIYESIVDEYESYILKGDQPLSTELKGLPFSLQSRLRALGCSAQ LAYLISPGSSRSHILDSDFTLGALTFLCSRFLSATKRARAATVLQRRWRQVLANRNLQ RRTVARDVARQCAAVVQTRDRILWAKDIIILWWRTLKAKQQRHNSTDSRYEEQIPMSK GVPLRGQWSPY AFLA_029740 MLSLSLSPPATPYSCPSGWSWPIPQQRLATLRWLRLPSVSQRIR QEPSDLRLLAFFSPCASSVTVLRSEAVLFPSYAAKCGQALPHSQDVAAGLSNHLLGPR GGPRPLEAVEADLVDPMQ AFLA_029750 MANFRGGDRPFSSIQHILKMLLSPHQSNKSAPQESTMTEDIDEP PDHRFLNTAPMNVDDGVVYVKSTTAMSDKKESLLTRALRHSPSEELPSPRDRSFYRSY PHSNVSGVSTAELTSDEGLSSPSLSSTPSPPLPAQLSSKAPSMGSSKKLTVQTSESTV EANLGRKRCISFACGRKTEESKAQPPTPQRPTAQKNDTNPTSTEPAKRRTVLTFVCPA RDPETKRERSPCRGISVRSRPRGSPAPIARKASPEKAMVPVAQNQEKPATEKRGVPTS GLGKFEESEATRFHEFASSEEEDDEWVTKSGDYTDKITMSDCMKKEIAIRKLGEEAEE EALEDEEEDDDEEDDDEDDDDDESTLSSDDGNESDNEAGFADSDESDDGSEYEFWAPA STTAATSPLTLEVPPTVLPRRDSNTSFDSGHDDLSRRWPPALSGKGINRAKPMKMRPR TPNLPDSTDFVCGTFDEDRPLEAAYKSCIEQRRLSKQIIIPQDIDPSFPTSDLDEEDD DEDDDLAESMVDEPARGRSGADQMRKQSPRLSPKRMVSPPPPRRHGRSSPRRLRSPPP PMKLKSPVRVERTASDEATHLQAPGMNISELVQRPHVARTKSLPRTPNPFFAKQDGSY RWTGIVPLRESPERELSRTREVHTRGPIDIVEGLEKKRQKRKEKYWRQHCRKAAKEQM ERRPIPGKGAERMKDLGLAVAERCRAYGVGEDAQLVLSV AFLA_029760 MWIINWFYDVLASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAVLQPTAHPTSEELAIGNNRFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDAKDHER FPESKAELDALLAMEELAKVPFLILGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEGIRWLSQYV AFLA_029770 MNVRLENIHTDVSQSYVSFLNKPQRSRGDRDSIHSVSSVRSVMS DFRSFFGWDRLSEQLRTLTVKRAKIEDPADLLTGIVLDDIDKRRRRSSKNQQSPVLGW SGNTYPQPVYKSDIPGSLSAPGSPVADTAFGTSTSPQAVPMLRVGSEGARGHARTGSI SPTRPTSSKHSSHRHSRRIRRTGSGSSNSSDNTGHRNGSSSNLAAGGLPPSKWQFLRH LGLPDNSLTSVTAAGLAPVANTLYSLDLSANLFTEVPDSLATLVALRALNLSNCMIES LHSLSRNPLPAITALNLRGNRLRSLAGIERLLSLERLDLRDNDLTDPTEIARLTSLPE IREIWVSGNPFVKTHSGYRIVIFNLFRRTPGYSEDIIIDGSGPGYTERKQLVDRVAEP EGTPIIRSAAADHSAVVSKPATTAVPGAAAAGPAEGEDGYARRTPQNEYGVGSTRRKK GHRRRIVNTSVEKASTDGKETPGAMVPSVLPIQHIQLPVDPFVTPSSDRQRRSDGGPQ VGPAKVRSPERVDSDPDLPSQPLNESLVIPRVVQELDWNADGQFYRRQLEALKHDVGN TWLHALGDRGWDQPPRDINIPRTGVNLGDSAIIPAEALTRANNLPILSGGRP AFLA_029780 MYILPGLTRIPCHWIHWLLNQIPTPFSNHTYHLTIHHEPYPTMN SPEKSAACDIYDPKSIPDYDREFIDPDDLRQFENALNDNESNSLVALNDWRPIYQRVR KNRGRRKKPRRTTDETREGVLYTVLKWPFLFIVFGWITVLGFAYALTRFYIVLYERWV SWRGKKESLRRELWKQTDYNNWLKAAQALDNHLGNQQWKEIDEYAYYDHLTINKLVNQ LRKARTDVELQMRNGVSSSTVIPATEELCALLEGCVKNNFAGVENPRLYSETYSGTKN LVQEYIDEVEKCIQVVSNNKWVSNEDKYHHFKHLDTNFGRTALCLSGGATFAYYHFGV ARALLDNGVLPEIITGTSGGALVAALIATRTDEELKQLLVPALAHRIRASSEGMASWI WRWWRTGARFDTITWARECSWFCRGSTTFKEAYERTGRILNVSCVPSDPHSPTILANY LTSPNCVIWSAVLASAAVPGILNPVVLMTKKRDGTLAPYSFGHKWKDGSLRTDIPIRA LNLHFNVNFPIVSQVNPHINLFFFSSRGSVGRPVTHRRGRGWRGGFLGSAIEQYIKLD LNKWLRVLRHLELLPRPLGQDWSEIWLQKFSGTITIWPKTIPSDFYYILSDPTPERLA RMLNVGQQSAFPMIQFIKNRLKIENAILKGLHQYSPAVSPAQSRRKRGHAGKPSDPMV ERLDHNLPDRQPDNKEDLSDSSGIDSNVSSRDSCLQPSSNRRNRRRSTGNIFQEMRRQ SAVFFDDSDLYAEDDKKVE AFLA_029790 MDKALESNHFVLVLRKSGILISRTLRNELEGEMERGEVSRSLSD SQPPKFE AFLA_029800 MPSPSSLLRTLCAIALLGLTAAAPSVQTTLTNGPRPIYAIAHRV LRTEAVTAAISHGANALEVDLHGTDEWWADHDCKKNSAGDTARELFQFIAEERRNGAN ITFIWLDIKNPDECPQHEPCSIQALRDLVRETLEPVGIRALYGFYQTEESQGYKEILH SLNENEAISLSGSARDVFEMYFTTSRSLPVKQRIMDHGDVNIQKNFGDCHERGGTTCS ELRNGRSARNRGQLGKIFAWTSTEGDTRYVSDLLSVAQVDGIIYGSQKHDYKDEARTR NAFWDILDFVKANPDAVRMATADDAPW AFLA_029810 MDYLDQSNHDTQAGEVAYPDIDETIHYPWQGPQQTAQSDPIHSV LDPRLYKDLFPSNSSQLPEEILDEDEGAEEYAEIDDSAEDSTYEFSPEESSTDEELTD EGDEGDDDSYSRRRRRRRGTGPFSGRFGARGGKGIKRGPRKPLEPSPEFKILHSEATS AFIDGDYDRAIELVKQAIQINPEMFAAHSLLSEIWLAQGHKDKALTALFSGAHTRPKD PTVWAKVARMILERAGEDRQSALNDVVYCYSRVIDVNPKNFNVRFQRAAIYRELGYNG RAATEYERILKELPHNARALRHIAEIYIDLNDVQKAVDHWADSVEYFLSLDPEEAPEF SWSDVNIYAELYGYLSQPEKGLWALKTLSRWLLGRKNDTMWEDFDEDDREWDVSDSPR RIKADGYEPGRWPRDSYGLGLPLELRIKLGLFRLRMGYQHKDEALHHFGWLNPDDTSE GARLYDYGDLFREVADALKEVGLFEDALRFYMPLQQTEEYADVSFFMATGDCFRHLDR LEDAENCYLTVAEHDARNIESRVQLAKLYEGIGMTEQALKYVNEAVLLGRQETRGNRR RKDTRLEQLVMEFKLADGEIAAPGLSSALPHPVGNDGTAPTLTTKPAAVFGRKDSPES ENERTESIQFLYTKLLQLQPKVKGGDLEATEDWLDIADALLREFRSNRVFYPLQRNAM FLGYSRDAHKKAGKKTMMDEMQEMAGRLHESLGTITEEPLQGAIPTDYHGITFDEWLD LFLQYALVVAGQGEPEEAYDSLAAAADASIWYHSKPSTRLIHVCWFTCALRAQDEETL ANEARWFIKEYQFVTDTYRLFSMLSRLCGDPHRSLFHSSANMKFMLRQIKAMDYTIPD GTTGLRSSKPVRESIYQERARLSTRDENGEVIPAEAMDVALLVLYGHILYSGNSFLPA LNYFFRAYALDDQNPTVLLSIALSYIHHSLKRQSENRHFMIMQGLAFMEEYRRVRERP GSLLQERQEMEFNYARVWHMLGLSNLAVEGYQRVLELGKQIQAESRGAKPVVQITRHV DDDVEMRDAEMDKEPFVEDFSPEAALALQTLYALSGDLHLAKDVTANWLVI AFLA_029820 MASHIIGNRNSTPDASKSTLRPPSSSRNLGSHQLRASADMSGFP SPLSSRSIRPSSEVYFNQQSQASNNAEDPLDRAAQQWLADIDQYETTLEEMAAATLDQ DFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMAKSHPMSGLLS PANFGEKDAMSNRLNDAMSKLNVDSSRNSLGRPPPSPGAKRNSGLDSSTINAMFPDAA AAIAKKKAEFTQQTGNAPPSNRNSAVFGDRTSFVAPTISAPDNNTDNLGQPPVSPWAQ RGPDSQPPIARPKSSSGHQPMGQFSQASSGLRSPLPQTATIPAPEIEAPLLSPYNVGN ASWASMTNTPMTATFAQQQQQQQQQNSQADMVANATAMKLAALSTVNNRIALDDARKY RRARSNDGQGKNANAHNANQNMPQGGLASPGLPGPNHLVAGQLLNAQQLAALQAQQQA AMAGRRSRPTSPGIAMQGGSLGPMGFTSPQNNGFLAAYDPNNPLMGNGLGALGIGQFG LGGHEGYLSDHSEVTRGRSPRGRRGSSKPPEDPTDPALLKDIPSWLRSLRLHKYTENL KDLKWTELVELDDKALEERGVNALGARNKMLKVFEQVREAKTDGKLDNIL AFLA_029830 MRLLHTLTFETRTFPDGETPPYAILSHTWEEEEVTYADLQDLQG THATEKKGFEKIRSSCYTANTNGFDYIWIDTCCIDKTSRNADLTEIVGAINSMYRWYG EATICYAYLADIVSRDEMEGSRWFTRGWTLQELIAPRNMVFLNKHWKVLGTKSELRNE ISKRTRIPIDILMGKMDLEQTSVAQRMAWAVGRQTSRMEDRAYSLLGIFGVNMPLLYG EGQMAFIRLQEEIMKATEDESLFAWTSRSDIHNRLLAASPDDFNESSDVIRKPEGYSM TPKSPWDVSNRGVRLELPFLATSECGIGLAILNCTRRGKESQSVAIYIRDIFLTFERF QRIDCKRLALVDLSLFRPNQYPSRLMTFQHQRVAGARMPDLNWKPCPDLSLYLQLNAR SEVLSMEVVAPIPQSPRLKFIDHKRLLHAVETENAGQLLDLLSYVNVKVNPTYNEGRT LLSYAAEKGNMEIAWLLLSRRDIRADKEDDQGRTPLSYAAEAGHINIVWLLLSRSDVN VSSNDASGSTPLSYAARSGNVPLTKILLSQSEIRRHIKDGNGRTPLSHAAECGRHDLV RMLLDMSDIDADEPCKSGQTPICLAAANGHANIVDLLITHGVDVYSSSNGISAAKLAA DHGHLEVENVLIKRGAYLPPDLARVHALRDFFL AFLA_029840 MAADYYGYRPMYPRQRSARGSPSQILANPASQERLLQSVDPAYG ARSLQKTAIVESTKTLFATPVGHMRQLVLRLYEAG AFLA_029850 MKPSQLSLLVLLFQASSIQAKTYKKNVPTFEVGPDVVITDNKIE YDDPDCDPGFTCRTSKTCAAPGTVPTLTGDKKYFSCCLKGLNLLGSPETAFDCCAEGH DLAGSGEVGYRCCPTGQIYDGLICKPVCANGKVLVDGKCVCPKDTVEGPDGACHEQIC TSGLTSGKCYTFTAPNGNTLGSGADGIYYAKPDDMNFHYGKFQLCLDEKCEGNLPINP QDGVYIRDLYGDVKTGANKGQWLNNAKDGAHIGKTKDFAAAGKFSLSKWPCGKYCLGG VEWGVGPACPSLTPAITFFSQDPQMCTAFDLTEIPCDIKAPANNCIWKSGKNQCCGKV DCGL AFLA_029860 MPPTKQEISLLINPLVPENVQHNNRILANLHSITSFLLGLTAGI LALQSATGFLFYLAGTVLVSGVFHIFLLQSSKGQGAGVFFPGPNVGEIEGINDKGMVW ASGRQMRRKDSWRDVWLGGGVFGEALSGFVLGWAGVGGVLR AFLA_029870 MFIAAKYIHRKIKSRNQSPNDDSPKPVTETKPCPHSRPFQVELR SRASVENARTSSQGSTIEEDRVLVPQDLPKSDEKCQVCKKQKHDARVYRWKLIAGLCL PFILATLDLTIVATALPSIASHFNEFDELNWIVTAFTLTSTTFIPMFGQFADVFGRGE TLHLSLFLMIIGSVLCAAAQTWGMLLLGRALQGVSDAGLMNVVMIILSDKVSLKESAK TKSLFTLVGGIGYAVGPTVGGYLTDANWRYCFVISIPIAVIAHILVFILLRNELVEGT MFKKGSRLSGILPALATVDIIGSILFIFGVGLIILATAWGGATYSWSAPQVLAPLVVG SICFVLFFVYEYFLEPGRIFARIFPKQVAMLPYSMFARRDTIWLAIVQFSTGAAMYSI FYYIGIYFSLVEAYPASKAGVQLLYYIPGMGVGVYIAVFLCNVWPAQSFFPLNIGTIV STVGLAMVVYAIHTQNTSLINGMMAITGAGTGLRFMPATLHMVGVWPEKIAPAQSLMR FAQPFGGTLSLTIMGSVFNNKFARASVVSGGGGLDVHDTNSLAFIADLPEEAQRSVRL VGRDAIMWAYIAVLPIMGLSLVTGLFIGNVWIKPKSKVDEEKREGLEDEGSHSEVIYV PYLWALLKVCSPCVASHLSILFSRY AFLA_029880 MNIRPVQLLLHPLQNSISRFFTTMTTSPYPLSSSLAQPQPVIVV GSGLAGLSAATQLISHQVPVIMLDRAEKPGGNSIKASSGINGAPTKFQPEDTNDSQEL FLTDTIKSAGDVFASSPAEEQKRRESLISTLTASSAEAVYWLTDEKGVDLSKVCRLGG HSRPRTHRGAGQRPPGISIVSTLLDSLETSSLFQLRSGARVTKVLREADEVLGVQYTG GEKTETEDNTTTTLNGPVVFASGGFAGDAHGLLAKYRPDLAGIPSTNQAVEGTQPLLE NIGAGLVDMEQVQIHPTGFVDEKEPSASVKILAAEALRGEGGILLLDNGNRFVNELET REHITNVIMSSAKPLETDARQWDVSLLLDEGTAAALGSHMGFYLWKGLMRKTTVHELD PPVLETIKTYADIVSGKQQDEFGRAAFGNWTLKDVTPDSVVYIGKGLWAAGEVTGGLH GQNRLGGSSLLECVVFGRIAGNEAAAFYKKHYAS AFLA_029890 MTLTVHHLNISQSERVVWLCEELAIDYELKTYKRAPLLAPPEYK ALHPQGTAPIIQDGDLTLAESGACIEYICHKHGGGKLFLPPTHPAYADFLYWWHWSNG TFMPTIMQTMSLRALNASRDSFQMTLTNSRFKKAFAALNERLRGNQWLAGSNFTVADV MVVFVLTTVRYWVPYSLEEYENVVKYLKRVSEREGYQKAMKKCEPELELALGVEPPTK E AFLA_029900 MAGGMVDTPATGQAREALGMSMLPREIFWMILNYLSPRDVVRCR RVSQSWSQSFGNPANLIPLLRTFFPLAKEVRELHGKDIDCVLETIEDEIYWCRLFDQL ASRYDHLSQGKPKSIQKHRLCDDFGISGEREWFHVQPWENHASHLMQRVDCPFPESFW SYEDGLVVYPSADHSCLVLLDLDSDRRFMVPFIITGKVIRRIRLQKRVLVVEWAEPKA FHWLNDSDGVHRHFASSFDVTETASGWSVKFRNEWKIMFLGHPLSERDRFYSTHSETH YAIYIWQPNRSLYTADDDAPIESLSVWDISKPSDYRPSLDPTGRLREAGQDNGPSIIT RFGFRELGFYSVRQRGFPGVQCLNISEDNQSIDIVENLCTGPVDRLVAPTEWTSQVQI TSIPISGEGPCWRRFDGQVLPPYRGNNSLQTQPLSFAICDEPWYAVVSEAFDEKAEVG FCLHLSPTTWPFDLKMFLSIRTPSSIKTLKHEEIFELIGKGRICGNERHLIGENANRE LVIYRFDR AFLA_029910 MWQPSSALLLVASLLTALPVNADGLYTKKSPVLQVDHKSYDRLI AKSNHASVRFYAPWCGHCQNLKPAYEKAAKNLDGLANVAAVNCDDDENKPLCGRLGIQ GFPTLKIVTPSKKPGAPRVEDYQGQRTAKAIVDAVVDRIPNHVKRVTDKDLDKWLSED EKAPKAILFTEKGTTSALIRALAIDFLGSIKVAQIRSKESDAVERFGIEEFPKLVLLP GGEKEHIVYDGELKKKPMVEFLSQVAAPNAAPSATSSKSSKPSKSSSARSQSTTVLDD EAENLKPTESPDPKVVPDNAQESKPAQVPIQAPPITSLPTVEALESACLTPKSGTCIL ALLPESTEADADIPSSAKEAVVSLSEIVHKHAVRQAHLFPFYSIPAINSGAATLRAGL GLPEDSKSVEIIALNGRRGWWRRYDPSDNADYSLARVESWVDAIRLGEGSKSKLPEGV IVTEVEPEAEPEKPTADHDEL AFLA_029920 MPRKAIDSRIPALIRNNLQEKKRSFFVVVGDRAKDAIVNLHYIM SSHDVKQNKSVLWAYKKDLLGFTSHRKKREAKIKKEVKRGIREPNQEDPFELFITLNQ IRYVYYKETEKILGNTYGMCILQDFEAITPNLLARTIETVEGGGIVLLLLKGMSSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCDSCLVVDDELNVLPISGGKNVKP LPPPDSIDTSNTGTKKELKEIKESLADSQPVGSLISLARTVDQAKALLTFVDAIAEKT LRSTVALTAGRGRGKSAALGVAIAAAVAHGYSNIFITSPSPENLKTLFEFVFKGFDAL GYLDHVDYTILQSTNPDFNKAIVRVNIHRQHRQTIQYIQPQDAHVLGQAELLVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGGLKASGGEDIDV ADRATGKAAKNTDKSLGGRSLREITLSEPIRYAPGDSVEKWLNKVLCLDATLPKSKMN TQGCPHPSQCQLLQVNRDTLFSFHPVSEKFLQQMMALYVASHYKNTPNDLQLMSDAPA HQLYVLVPPIDEDATKLPEPLCVIQVALEGRISRQSVLNSLSRGQRGGGDLIPWLVSQ QYQDEDFAGLSGARIVRIATNPEYLNMGYGSRALELLVDFYEGKFTSLSEDINEAQEE MVRVTDEELTNSNLLDDNIHVRDIRSMPPLFGKLSERRPDALDYLGVSYGLTPSLHKF WKRSAFAPVYLRQTPNDLTGEHSCVMLRTLSSGPNDSSWLGAFARDFHKRFLALLSYQ FREFPSVLSLSICESANAGAKLDPSITSAPLTKSDLDSAFSPFDLKRIDSYANNLLDY HVILDMVPTIAEYYFSGRLNGKVSLSGVQQSILLAVGLQHKSMDDLEKELSLPSSQLL AMFLKIIRKMSTHFRSVIEGAVAETMPSEQVPVTQASTDAHDDVVADERFKPLETGLD EELREGGQQVDAELREKQRALIDALPLDKYEINNGSAAWEDAEKQIRAGGASTVSIKS SKPSKRKKGESAREIYDQEIDSKRQKIIKKGTEGKKKH AFLA_029930 MIPFLIALYDYWRNQRGRPNQKWTAPEGPSNAHLRMLVAVVSRA HSYVCRSRLRNVLSAVFLMAGGLLVTSFDEGRQSTYICPIISGLHPRFRAYMSLSVTL DTLILIGAAELCREGNRSRDGRKKQALVSWGYSFLVSLPNCDRRCMIEMDA AFLA_029940 MYTQSTYLFMRVDSIMIAGNPAPTAARIWQELLPSTERDTINIH HRFDKWYEYATSRSSVVIDEFDQIYDNLLPFRALPPEKIRELTHQLATNPYNDIGAIS IRNGTARVQEGIKPTHAWMVIGAAKIIEKFSEHLPDMDLAFNLNDEPRVSVPWEKMSV LRAQARSQAPPPSEGLTNGWSSDRSEGWAPIEPADQTTETMFTDSSFVNIFDRYVGAL CPHSSKARSRRMWDRHHICIGCIRPHSMGQFPSNWTVATDICHQPDLASFHGFFVSPA SFKVTQDLAPVFSQSTISGFGDIIFPSPWNYVDKIKYEPSEEHPDLDYVEKENRLFWI GGTSEGVSRDGQWQGMPRQRLTHLVNNNTYNKVSVLLPADNPGTYSYQILDGLAPTEK LGLNASVHVTDPIVRCRKDCEDQKQELGTAGRVDFQSHWNYRFLFDADGAGFSGRFLP FLQSHSLPFKTGLFRQWFDSRVIAWLHFVPIDVRLHGLWSTLAYFGGVNIPVGVDDNG QPKAMMEPHNLQGRWIAEEGRKWAERALRKEDMEIYFFRLLLEWGRLTDDQRDILGYT E AFLA_029950 MHVLSTLTQALSLWAPLAAAAYTLQDDYGTDTTFFDKFSFFTGS DPTHGFVKYVDRGTAQNTGLIKADGTIYMGVDYTNAAPGGRQSVRISSNKVYNHGLFI LDLAHMPGSICGAWPAYWLLGPNWPNNGEIDVIEGVNDQTNNQVALHTSDSCTINNSG FSGSLLTSNCYVNAPGQANNAGCGIKDNSAQSYGNGFNSAGGGVYATEWTGEAISVWF FPRSSIPGDISSGNPNPSGWGTPSARFAGACNIDSHFKDLQIIFDTTFCGDWAGGVWG SSSCASKGSCNDWVANNPAAFKDAFWRINSLKVYQGGAASVASNVTEWKMDVGIGRRS FIRQRRENWGN AFLA_029960 MSSMIEGSNDRAREDELDLGEEDEGDVQPKSNGVSVDEVSPEGY AEGSMAYIEEDLPDVASPNNNSFDRRNPQLDAGTGNVPLSPRIRQLGTPGSVDETAST PDDTPSLHVCCDSTECGAITSLALSADHTTIAGGHVSGDIFTWEIVRPARPFLQIPPL SESQVDTHTADGHVPGSSVIHVGFLGTRRTALVSADKSGMAFSHLATRGLGAMGRTVK TTRILGRYPQHIAHGNRPRKPSSVLAFSPLPLGNVDQPTDSLGLVAMLTPYLLVIVST TPVAQTQHKAPRPKEVAAHGAMTGALAWFPAIKLKGKDAQTSKTKLVYCWSNVLTILD VSEIEAEESPSRDRPPSLEFKARSRWKAEEAIVAVQWLSRSVLAVLTITQQLLILEDH SMRVTDSIDLLNKHIYHADLFSSQLHSLVEQFNEEDTSMHGVVADAFYMSFRSYKGRL FLLGYSDALVGALSNWADRLLALMEAGDFIGAIRLATSFYKGSGEKLTIGLPDEDALR QPIVQEKLLEMVSASLKYAFGRNQEANTEQLGNQQLEELAEVSISACVCMDDEDFLWD EVFNWYEEHDSQGVFLDALESYIVEGTVRTLPPTAVKALISHFATNHTASRLEEIICL LDTATMDIDQVTTLCKHYNLYDAFIYVWNRCVGDYVGPLQELLALVPPQGTWVNGGSV DELKRYTNAMKMFPYLSFVLTGRVYPTGNDMDDDEATQAKAALYEYLFSGNLSGAESG VHTEPNGLFSDLQAILKFDTPSFMSMLNEAFEDSFLNEQEPDETPFKGISINRQYLIS ILLQVMTPSAFAPSDTIYLDMFLARNLPKYPQYILLSGSTLHQVLERLCRYPSQDMAE DCELSAEYLLSFYHPPDIQSMIPLFQEARFYRILKSTYRSEKQFPELILTYLEDRSGQ EAIFTCLQDCLRAGSGLGKRQRRDVIEVIKTHAGQIAGIDVQKAAQTIQDYAPETHAM FLQALENDPYEQYQYLTVVVDPTTHPGAERRPSKPVDNWMIERYVQLLCKYNPSHVAD FVDELRVGDVRLEELLPSMEESGVVDAAVILLARQGQIRAAMDRLIAHLKTLESGLVG ILRSIQETPDSASTAEAIDDLVESLNKYVRVGTWLCQGQTKTAQKPRTVERNGTGKHA VDQPLSFDENLWLDLIEAVVRTASSVFALIQKEHAESKLTQLAPMTSRMGNNAAQLMS SVRTLVQQVFTALLSSTVRVGGASTERNDVAFLRILRAFLTRASHWSPSLLELRAVLA SIFSAYTYEKSLLALANGMLDRDLFVHVDEVTRLRQRGWRPRGQVCEVCRQRIWGPGV GSEFWEAWKQRQADAHQRRVSRQIEGRLDPAVARGKGKAAAVAEAGQAAHYHDRHADV EPVDDMRGSPENGSEMPIGPAVVFSCRHLYHRKCLLNLDHSAHTSKPHFRREGSDWEL LSCPICPVHNE AFLA_029970 MPSNKYPILSYILLPLLLALSTIQIVQCAPSACNGQSTFCTRKY SNITQLGAHDSPFVGPLPQHNQNLEVTEQLDLGIRFLQGQTHKALDNANTIQLCHTSC LLEDAGTLESFLGTVKTWLDSHPDEVVTLLLTNGDGFPVSRFDEVFTSARIKDYAFVP SSSPDVLAMDSWPTLGDLISTGKRLVVFLDYGADTKSVPYILDEFGYFFETPYDVTDA SFPNCSIDRPSGASADGRMYIVNHFLDVNVLGVLVPDRIRAPKTNAVSGNGSIGAQSE LCRSLYKRLPNVVLADFVDQGEVMKAQNALNGV AFLA_029980 MSARSGQPFQFHGFQTTIDVARQQNHDVAPLAGQKRLPDHNPDN AHKVSVLSHPTSTSHLSSRPVDFVRPSVAPLKSRITASEIRSVAASIPEKERETTTNE DHVYDPCQYLKDPRYGLSPALVANFASVGVKSIYPWQASCLLVPGLLEGKKHLVYTAP TGGGKSLVADVLMLKRIIENPSRKAILVLPYVALVQEKLKWLRRIVQDVEKRIDDIDD QAAGVEPYHQRWKKLQKSIRVTGFFGGSRTTATWADTDIAVCTIEKANTLINTAIEEC NIGDLGVVVLDELHMLDDEHRGYLLELMVTKILLLQQDIQIIGMSATLSNTELLAEWM NANYYISTYRPIPIDDYLVYENAIYPAATSRQLFQTITRLASMSTVSLTDTMPPHRII QPPAFRELANPTTNAMVALSVDTAAAGYGALVFCGSRQACQLHASIISEAMPVPAAVN PEELSRRLDLLAELRSLPSGLDPVLENTLVRGVGFHHAGMTTEEREFIAQAYDQGILR VLVATCSLAAGVNLPARRVIINGARMGRELVGPAMLRQMCGRAGRKGKDEAGETYLIC GKSELQAVCDLLEADMPAIESCLAPEKRGLKRAILEAIATGLVSGLEAIKEYVKCTLL YRTMDKKIAYNIMKSALQELVSEDLLHQNDDESYVATQLGQAVVASAFTPEDGLFVYE ELNRALQAFVMDGDMHVFYMFTPLQVAATTQVDWPIFRDQLDNLDESGLRALQFIGVQ PGFVNRMVQSGASLKEDTPEQIKLAVVYRRTYTALQLRDLSNEVPLSVVASRYRTPRG AVQTLAQQCHGFAAGMVKFCQRMGWGMLAAVLDHMRDRLEAGARADLLEMAQVTYVKG WTARLLRDNGFRNLRALAEADAKDLVPILMMVNPRKAQRSQTHPSEAERYAKKLLAKA EVIVASANKIWEREMQVELDE AFLA_029990 MASDRSPPQKSQQHHVRPNSSSSAAATAAGQRRMPTPGQSSRTG SADSPTPQGLATLNERQSQPMTTSTSASEVAGTEPPSASATPAPYGTRSRGRNAAPRP NYAEDRDIDMDLEIAQPATKAAKRTNGVPNQSANGTKTDGEKSAPSSNSRKSQTAVNG TSPASAAKDSIPGTSSFSAKLEEANGASNSRKRKQPASATTSSSANGSASKKLFTTPP GASQGHNDSNSNMVSFENRGAHLEDGKLTADDGTTFSINDHVYLICEPPGEPYYLARI MEFIPNKDVPSGPIEAVRVNWYYRPRDIQRKVADTRLVFASMHSDTCPLTSLRGKCQI KHLSEIDDLEEYRKTRDCFWYDKMFDRYIHRYYEVIPTKRVINVPANVKRVLDDRWKF VLVEIGKRKELTSAVKTCKRCSLYAASTDSVDCAVCHDTYHMYCVRPVLTKKPARGFA WACAACSRAQERKLEARNTPILGESQAEVEEEVVEEEEEEPNGANGTSSSTPAIVEEE APRPATEEQVAQARMWPYRYLGIHCRVEDALDYDDRIYPRASSRLGPRFQAIVNPWPG RPVEYVKPTDIKKKYMKSSGGRKDSKLSKEALAALEAAKQEKANRPKWVMDEPQGYVR RGEDEPVTVNGKQVRTAELMFKMPTATQIPSRGEDDAPGADLSAADRERFIDDYMARA KEIAPDLGVEKYSTNFLDKALELLYANSFDVETALSKLKQMNKYKDLKEPHLRPEEVK AFEQGVAKYGSEWRNLTKHVGTVPHYQIVRFYYMWKKTARGHQIWDHYEGRRGKKEAK RNHTAKLVDDVADDHDDSAYDNEKAVEKKRGFQCKFCSTRTSRQWRRAPGIPPGTTTP SEPSSKQRDKGPQLTVALCLRCALLWRKYGIQWENVDEVAKKISQSGNKSWRRRVDEE LLTQLLISTETPISINSATAATAASIGVPVAANPQVQETTKKKGRTNDKDSGATSTAT SVEPAPKKKPAPEKAPEPAPIVPDPPKAKTLPCAVCNKMEPMGDQHLSCRDCRLTVHR SCYGVSPSRNCVKWLCDMCTNDRNPLFSTCYECVLCPVTWTEHELMEAPRSTHKKKTE RDREKERLEKEMVSEAIKLYRQRQEAVGKPIGPREPLKRTDGNNWVHVACAVWTPEIK FANAKELEPAEGFALISADKYREVCKICKSNNGACVPCHFSGCNVQFHVGCAFQAQYT FGFDITPVKSSRRDTVQSVRLKDEVGVASAGIWCPHHTVPSVVHAVGEPTEEEGINAL QRFVQNYKQADLSLTGTLRRAAYVQQSIIASQHSATSAGHRRASAVNGVTAPPPTTKD TSKNTGVSPEEATDEMAIDSENHAPTQVTGTDATRKCARCSTAYTPRWWPIDKSRRTT AADHRPPLLNGAGMNEPRFPPAASASPPYIHRNPSQHSLMKLNGEFNSADKNGLASNP EASLDQQNQDSYECHKCHLKQIPAQPSPEPRPSPYSAQRPVLPAPRLPEYHNHSYGPH AHPPQTGVLPRPLGPPPSNGPEWYPGYEQRPGDYGDKLRNGIPVASYRGGPPPPPPHH MNGFQPAPSHHAPPHHYTSGAHPPPPPQPFPTHQSPYGPVSIPSPHLSHPAGPRPYAP SASPPDVHSTMVRHSPQHSLSALNGGPPARVYSVDRVLSAPTQSPPVSQAHVDPRGRT PPGKLDDAPVTAPAGPTSSIRHTNVNGTNGGSGASASPSLKNLLS AFLA_030000 MGVPNPVDSMDGVECSAVYIDRRVVQERWVYQSPTDSSNTSSSD ASTFPDEPDSLRSDVEVLLGVCKRIYLCNVGPSLASKLAELSDDAITTCTPIFAFFDV DLSGEDAGLSRRRSGRGSWPEVSPPSPASLRRGFTFSSQSEGAHDLKLLSGLSADIQV QESPNLIVPVAVLRSSAQPPSSVPVDPQRNGAIPEFQQISKCLDAGAVDVLASPLSKA RMQGLVVHAFRARKSALKEQSRFMSRKKLRKYSWVGVHDEQPYAYLREAMVSKLMKGI CNPEEVIEEFQDQSELDVSPEREELIKEQIGNWNFTAHDFSDDELVLGASEILHHAFT MPNLEQWQLTPGELRTFLLACRASYNSFVLYHNFRHAIDVLQSVFCFLLHIGALPPYG SVTRDTDSKSPIASLLTPFDTLTLLISAIGHDVGHPGVNNFFLVKLNAPLAQLYNDNS VLEAFHCAAFSQILRRYWPSAFKDKQIRKLLISAILATDMGVHQKFMERLGSLHEKFY ENGQTVDGWKPQDLDMYKTLLCGLLIKCADISNVARPWDVAEKWTKILQEEFANQGEM EKEVGMETALFGGPPELGNVYKLAIGQIGFMSIFALPLFEGVADILPDMRFTVEHIRN NQSRWHHLADLEKRKQILLDESGPDDVVSPCTQSPAVSLKGFKGLRAPLTTSGPDTPA KQSPPPNENSGRRETDYFGAVHSSVDSGTSRGSLNENTISPVVSPDTAGPSMDITGSP LPSQTPSRKSSNAVPDLTMVSIAGASPDRNRIRTDSGVSNGAADGHSSLDTNHSSRDP TVLAAVIFSNSREDDSQDHSSVRDSTGDITGERPSSSRNGPQNYRRHHANTNSSGRTS GPSNSQRNSCTRTHSISNYSNNMTPISPATNATSFLTVDDGEDKGFSQESSSRSDRES DRGDARPASSNIDRESEGRPPQSSHTSHSQSDEMNKNHLMAPVKGNGNGYSKQSAHPP GSPKHDGNGQQWDGSSGGEQPSRKLLKRRSRLRLAFWKRKTHHSHHHELSGES AFLA_030010 MTTPEPQPKTSVATEKVHLPRITIKYCTQCKWMLRAAYFAQELL STFSTDLGEVALIPATGGIFTVTIYHSSSEVVETQETILWDRKTNGGFPEVKVLKSLV RNVIDPSRDLGHTDRALKAGNVSVKEGGAARVSSSEGKGGEKVDGEKKECEDCR AFLA_030020 MPAYELRSGGDVKNKKQSVADLKYRRLTELNARLKEDLDRPRVK VSEAAMSLINYCNNTRDFMVPSVWGQVDKRDDPYAPQQQGGCCTVM AFLA_030030 METNAGVFTRLRGRRNLSPWARPPPPSMSFTNMSPPRGRAAAPS CRWNRLCYFSSSCAWIFARERPPRMDCINIRTSPRTPMWLLSRYADHGRLEVGMVVLK KFIELAEKKVTEAQAKADEIQSSLESAAPSSNVEDLEAIETPETILLATVSGEQSRDR TDRAVVTPWLKFLWETYRTVLEILKNNARLEVMYQTTALQAFQFCLKYTRKTEFRRLC ELLRNHVQNAAKYSAQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEAFRSIEDI HTLLSLSKRPAKNVMMANYYEKLARIFLVSENYLFHAAAWSRYYNLLRQSAATLAAGQ GTKKENPSVTDADMTKAASFVLLSALAIPVISTSRSRGALVDVDEVRKNKNTRLTNLL GMAQSPTRAVLFKDALNKGLLKRARPEIRDLYNILEVDFHPLSICKKITPILKQIGAD PEMEKYVVPLQQVILTRLFQQLSQVYESVSLKFVYELAQFPDPFQVTPAMIEKFIMNG CKKGDLAIRVDHISGVLTFDTDVFSSAKALHSGSAAGSAESEVGSVQRMQNTPAEIAR LQLTRLAKTLHVSCMYVDPSYHEARLQAKQAAQTRAAAGAAKEHEETLARRVIIDKKK EAATDALQRKQREEETRKRIRTQQLQEAEKQRLLDEQREREKKRIKDEQDRIREQELK KQIEELKSGVKGIDLSEVDLKDLDANRLRAMKLAQLEKEKNELNDRIRTTGKRIDHLE RAFRREELKHIPADYEAQKKRDMELYEALKAETLKEAEDKHKEAVALKHRLSRLVPVF NNFRKEVSEKRHEEFERRRKAAERDFEAKKKQRIKEVQDRRRRERAEREEAERRQKEE EERIKREEEERAAKEEERRRVLAEEKAKREEERKKLDEIALKQKQREEEAEARRASRK TGFPEPPARAEPERTAPRLNLAPRTGGGPSWRERQAAKEAAGGAAPEPAKEEPAAQPP RRTGGYVPPHLRGASAAAPAAPPSSGAAPSRYVPPSARDSGSSTPPSRTQTPATTSEE PKSAGKWVPRWKQQQGQ AFLA_030040 MSGDFGSAADMGGGGLGNLADELADAWEQEEGGYGYASGQEVEH IPADTQHMDRSDSEDGYHMGTKTPSSGYSSERASLQPPKPKTRNGGHRHRRTESQYDG SDYGPDSDLEEAADISPSLEMQMAEVESLARRGLENNGSESDHAIARVVEALRDLGGQ SGIENNAMRLITAHSSITSHLTHQTRTLQTLTHPLLFSPFPLLSEDAIDSLIPLIDDE LLPNLPYPFPVQSRHSSRPATPSHSPALNPLYSLQSLVSQTSEITLSLQSLSDTLYES RQLTATASRRLRSARELVSELRREEEGREEGTRWIEKGDWDRRLRDREAGRECGDVVS GFEAVCGEWRERLFGAAGAEAAAAAA AFLA_030050 MSSIRRMSPTDLLSLNLTNLDPLTENYDLGFYLNYLMRWPSLFS SVQDRREGIVGYIMGKTEEQHPSMRHSEHYTPWHGHITVLTVAPAWRRLGHARRLTER LERGSDINDAWFVDLYVRSGNKIAVDMYKGMGYSVFRRVVNYYSDDPTGMSDSGEDAF DMRKPCSRDKNLQHVRENGEDFLVSPEDVS AFLA_030060 MFNKLQERVGFEWATRAIGFLCFGTCCISCCLMRMRFQPKEKRK LFQLSAYKEPQFVMFSLAMFMGFLGFYNFLFYVQSYAIETGIVDSNLGFYLLAMLNAG STFGRVLPNFVADHAGPLNVLTPAATATAILAFAWIGVHNVPGIIVLAILYGLTSGGF VSLPPVVMASMTKDIRNLGTRLGMVFSTTSFGLLIGTPIGGAILDSTHKYLGVQLFTA CCLITASSIFAALRLSRTGFHLAVKA AFLA_030070 MSDYGDHGDEDNYDFDAGQDYDDNEPEDFLNPEDIEGVEGAEAY GEDHYTPAVNGDRVVVSGDPSAGYSGKVMEQVRERKVPNDQRTTTPYMTKYERARVLG TRALQISMNAPVLVDLEGETDPLQIAIKELNQKKIPLIVRRYLPDGWYEDWTCEELL AFLA_030080 MAFDTAGHLRAHESRVHTEKRFSCTECSQHMEVTDPSSNNPSAG MSAVTFPTYALLQAHIKTAHPPQCPNCSITCSTARELRRHLEVAHGDVSLEERKVFPC VVPGCDRSFTKKGNLTVHIRTVHQGEKRFVCGETDLSGSKRVAGWNGDGCGKRYGSKL ALEEHIRTAHLGCLNAKAERRQRLGLGKGQQNAQNRPTGISALAALTGEGYAEETGRR ISCFFDTCAHRFHRNYDLWVHMGSKHSCTEDEIRDMFMQRALLAEDSEPITGDMLGIY GLEFDNDDPSYEPYILGEASGASADLSAKDENTLAGLNLQEFPSTLEFDSNFMMQDVN SKTSNADDMAMIDPLLAYNMMDA AFLA_030090 MELFRLCPTPPPEHSEEDNSASAIEIPMNTHGYGLLDEKAKEIT DTEKRRVEELMQQFTKGFDPGSFPREVMDSLPLLSDNLFPGSYAHLSIILLENCGKMQ GIQANLENWIRERYKRWAITFPDDTSITDETFFGAEFEMLRKLLAPLRGEDAARVYWM NSRYPHIVKVCQQLEASVKVIEEFKQDILGAIKSKDEHKTIYSPLPVSQARAAMMSMR DIRLRACRASLSWDGFSESDIELTVFHQYYKNHLARSDPAKARASGWLPPSSPKTPRA SAEEAEPGDLAHWPSEDSNNVSLNLMLKTALWLSVARGLAAVHLFCDIARHFTVHKPS ARANDSYISYLLHLTQIRISVDGVRSRRSTPFPYRDEALSRCNPDYFGDVWRSNQSLT ADLVGNVSFYKGSDENFKLADAPPPRRSFRKEMRTLEQTVAIQGSLAGTSSPVDVRPR GRHVLGSGMIGRIEAPQPTHLPATVPALTRAIERITLAEAHERPLIKQATSMHGKKP AFLA_030100 MGRSRVLSFISAFGGSSRKDRPEQRHRAFSASVAGNTPPSRVDT DTPSPQDASPTGLSSNDRRISRPASTIFSHDPPFIEISQDTAPELQPIFTFLNSHANK LYHEGYFLKLNDLDNHGRPCPDRQWVECYAQLIGTALSLWDAAALDAAGEKESPPTFI NLADASIKVIETLPTRNSETPTVKNVLSICSAGQNRYLLHFNSFHSLVQWTSAIRLSL FEHTSLYEAYTGAIIAGKGKSLNGIRTILERNRFKHEDWARVRFGAGTPWRRCWFVIT PPNEKEFQKAQKSLKKKSAYDRAPKLVTGNIMFYETKKTKKAKPIATITNAYSAYAIY PQSEALIDQSTLVKIEGDVTIHSQQQSSSEGFVFVMPESHPAVSGFETMIRFLMPTFD TFNLYGRPTRLIAATNHIKSIMFAFPKQRRYGYLDILDIANLMQTAGSQDWSEAEWRK QLKEATARRMAAAGSRTSSISSKPRFRASLPGRHSNIHAGLRRNETFPESEPGYNQST DAIVQEESNDANFSPIYHARGASDTAGLSAIRKPAQASVVESSPSSSARDLIQAGNER PSTNEFNDQSSSDSDWRQRLDSHTVPEAEAIREHFREPSPPSPVSNPPAFTHGPGEMP SARPHPSPDLRKANNRMSNATLAQLAAASGRMNLLGAMSSEAQEVREADNQKPQTPTP TDSYRAEFEMPSVPSLLSESSDKRGSPQEQGPPTPEHRTSVFGPPLEKLNGSNLHIDT KRSVRRKPVPGQQYKDSAVSSPIEPSFDDLRHTVDEEALNRVSSYQPSFPSPVRERHQ EDESVYDDESTTSPDYASTHGSVYSKRSTKSIPRPRMGVMKTVGTEPRRDLVIGDAHY SVDEPSQKHNPDIPSVDFGPTMTLMPTTGRPTTSDTLKKLGHQRSDSESTERQRYSQM DRGHSRSPSQDEFRRSVLWQPGMAAARPITPGLTPEQFVQQRAAPSPPLHVHHRTSSA TPTTPPARPVSGDWMAHNRSHSQMSIGRESQGRPRSRGANSMINYNDISSHLSAREQE HVARMTGSSFFNLSSGNKKQQQPVNPMGLVGAIDAREREKKSIMEGMSSHMVQHAIAQ RQQQWQQHPIPPASSYGIQSGTQNSVYNIPAASHTWDALNQSYRPEEPRRQSWYGQLQ TPQPQAYQQSQYFGQQPGHFANAHAMHY AFLA_030110 MPAKSRFTRLDAFAKTVEDARIRTTSGGIITIASLLAILWLVWG EWVDYRRVVVLPELVVDKSRGEKMEIHLNMTFPRLPCELLTLDVMDVSGEQQTGVVHG INKVRLSSPAEGGHVIDVKALELHSEQEAAKHLDPNYCGDCGGVPQPGGEKRCCNTCE EVREAYAQQQWAFGKGENIEQCEREGYAQRLDAQRREGCRLEGVLRVNKVVGNFHIAP GRSFTSGNVHVHDLENYFEGDLPDAEKHTMTHIIHQLRFGPQLPDELSDRWQWTDHHH TNPLDSTQQETSDPAYNFMYFVKVVSTSYLPLGWDPLFSSAVHSAYEDSPLGSHGIAY GSQSSIETHQYSVTSHKRSLRGGDASDEGHKERLHAANGIPGVFFNYDISPMKVINKE ARPKTFTGFLTGVCAIIGGTLTVAAALDRGLYEGALRVKKLHSS AFLA_030120 MKGGKGSRGRSGGSDRGGIRKKGAPKRVDRDGDLAMDAGSTQGR VKKARGDSSRSVATGPRAHTRDRALDAIQKAISSNTSSQANVRQGGRGSNLEQVSIRG WKQSKAASNRDGGIESLITFLEKKLNSSDSKAGSRARITKSRVEGDAFIVSIRSELLD RMLKMNGFSFAGAPLTIQTYDPSANGMDQTMLSEVSRNSGAPSTADTKSKMTAILSKR YFQQQKLLNLSKLGSDPDLLAMGIFDSTSTESKFFPALMKVWEMNFDSSTARREAVES VSLADNQLANITVVTTLAQSFPDLKNLDLSNNNFKDSQSLIGWRWKFRNLEFLDLTGT PFSADPTFKDTMLKWYPKLRFLNNTEVRTAEEVAAQKKTPIPVQAPHFQDESQIGENF VKAFFVGYDNNRTDLLNGVYDNNSTFSLNVNTTAPRAQQTETAAWDPYIKKSRNLLKI NHLPARMSRSFVGADKIREMWNSLPQTRHPDIGAQPEEWLIECFPIPGLPDPSGQSPT GVGGLLIMVHGKFEEINGPKVDLRSFDRTFILGPGGGMGGIRVINDILCLRAHGGHEA WMLEQPIVQATQPGQPPVQPPVAPPVAPDGYGMPAPGKPDAQVQQEQLVMQISTKTGM TLPYSEMALSGNGWNLDAALKNFEELKAQGQLPPDAFLPGAV AFLA_030130 MSTTTSSSSSGHMPSNGAADLDPLDAVDYDPIDHLNTIFSHPST LSSVSHVSQSLLDYEDELDDEIGALVEEQVTSNAESVERIQAAQADLTELFKKIDDVR DRASKTELAITEMTADIKQLDNAKKNLTQSMTALKRLQMLTTAYDQLRVLGKTRQYRD CAQLLQAVIQLMAHFKSYRSIDQIALLSRNVADIQRELLEQVCEDFELAFAKGEVGQK RVVLSEGCLVMDALGEHAKSRLVTWYCNFQLREYRQVFRNNEEAGSLDNISRRYSWFR RILKIYDEEYAAIWPISWRVDEILANIFCEGTREDFRGILSHSVRNGQTIDVNLLLSC LQETLDFEHTLERRFVNPSRPSTDTFTSAEAPVFGQAISEAFEPYLSVWVEAQDKQLA ALIPKYRQQPIRPPDEDFDSNIVIASSTELFTFYRLSLQQCAKLSTGGSLADLAKVFA KYLDQYAQQVLLFYISERPTGTTPSKVPSLEDLISVLNTADYCYTTCNQLEEKIKGRL DKNLKQSVDLQSQADSFMGIASAAVRCLVRMVEVELEPSWREMRNTPWNRLESVSDQS TYVSELLTKLNAKSSEILQLLHKQQYARAFADHVVELISNVFVSNIFLCKPVSETGAE QMLLDGYTLKTGLSSLLPAPAPASFVKRVNNSFMKIETLLKTLQVQPSPPEALVQAYL IHIKDSSNTNFRKILELKGIRSRQEQNQLVELFQIHRASDRHAPNLQQSNPILTAFQT TPTSSSNQGLGLGTAAASIGASNLPTRFDPSMLGSAIISAAKDGVDRFGTPMSSTGNP GAGGAPGSTSTTPVSPGPFAQLQSTAENATAGNLNENLKNIGKFFRRDLGGFGGRFGR GGDDGS AFLA_030140 MGGKSATKAAYFEKLKSLLDEYKTVFIVGVDNVSSQQMHEIRVS LRGEGVVLMGKNTMVRRAIKGFVTDNPEYERLLPHVKGNVGFIFTNGDLKATKEKILA NRVAAPARAGAIAPLDVYVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE AGAKVGPSEATLLNMLNISPFTYGMTISQVYQEGQTFGADVLDIEEEQLLKAFSSAIQ TVTALSLATGFPTLPAVMHYLVNSYKKVLAVAVSTEISWPEIEELKDRIANPDAYAAA APVAGAGAAAGGDAPAEEKKEEEEEESDDDMGFGLFD AFLA_030150 MPKRPCSIALTDDGNTILCGDKFGDVYSLPLLPGNEPYVAPKLP NRPKVPSATPLTVHSKRNLESLEQQLRYSQKNSTEEKNSLNFQHQLLLGHVSLLTDVA FVTVPQDDNFGKKRSYILTGDRDEHIRVSRYPQAHIIEGYCLGHTAFVTKLCIPQYAP GYLISGGGDDYLLVWKWSEGRILQKVPLVKQESETTQVTVRGIWATSIGGSNIVLVAL EGSSNLQCFVLGSDGTLKPQDPIEMSGNVLDVAIMEKDSTIVVSVDCIREKGSTHEWR ASPTSPSNLIESFRVKPGTENLEWEPVTESLVTNINMGGSSGIPADADTKQRKELNDV LYSLGNLRKKHGEDD AFLA_030160 MQEQFASLKNDLLLRAARGEKVERPPIWVMRQAGRYLPEYHEAK GGRDFFECCRSPEIASTLTIQPVERYAGLIDAAIIFSDILVIPQAMGMQVEMVDKKGP HFPEPLKSPDDGQYEKVMQKQVDVKEELDYVYKAIRLTRHKLQGRVPLIGFCGAPWTL LCYMVEGGGSKMFVQSKTWVYKYPKESQALLQKIAEICVEYLALQVAAGAQLVQVFDS WAGELSPASFKSFSLPYLRHISANLPKRLKEMGLEPVPMTVFAKGAWYALDDLCESGY NVVGLDWLHDPAEARRIANGRVTIQGNADPGMLYGGRAAITETVETMVKGFEKGKQGW IANLGHGVTPFVKPDDLKFFFEEIHRLTA AFLA_030170 MESDPGFVAALEEAKQGYAEGGVPIGAALVSKDGKILGRGHNMR VQKGSATLHAEMSALENSGRLPASAYEGATMYTTLSPCDMCTGACILYKVKRVVIGEN KSFMGGEEYLKNRGKELVVLNNEECKQLMEKFMKEKPELWNEDIAV AFLA_030180 MVKETKFYDVLGVAPTATEAQLKTAYKKGALKYHPDKNANNPDA AEKFKELSRAYEILSDSQKRSIYDQLGEEGLENGGGAGGMGAEDLFAQFFGGGGGFGG MFGGGMREQGPKKARTIHHVHKVNLEDIYRGKVSKLALQKSVICPGCDGRGGKEGAVK SCGGCNGTGMKTMMRQMGPMIQRFQTVCPDCSGEGETIRERDRCKRCNGKKTVVERKV LHVHVDKGVRNGHKIEFRGEGDQMPGVLPGDVVFEIEQKPHPRFQRKEDDLFYHAEID LLTALAGGTINIEHLDDRWLTVNIAPGEVVTPGAIKVIKGQGMPSFRHHDFGNLYIQF DVKFPEKDQLNNLNLLEQVLPPRMEQPQPPTDSMVEDFELEDIDSSEYSQARAHGAAG SMDEDDDDVPPGAERVQCASQ AFLA_030190 MPGDLKTKIGHGAAKALGIKIPYRDPLGVHADPVTRGESMFSVG TIDTYSYLEPEPTPAEWLKEVCPSWHQVGRYFYNLFPFLSWITRYNLQWLLGDMIAGV TVGAVVVPQGMAYAKLANLPVEISPLVIFPVQPVAVMSTLTGHVIASCLAIICGAVVC AMGLLRLGFIVDFIPLPAISAFMTGSAINICSGQVKDMLGETADFSTKDSTYLVIINT LKHLPSAKIDAAMGVSALAMLYIIRSGCNYGAKKFPRHAKVWFFVSTLRTVFVILFYT MISAAVNLHRRSNPRFKLLGKVPRGFQHAAVPQVNSRIISAFASELPASIIVLLIEHI AISKSFGRVNNYTIDPSQELVAIGVSNLLGPFLGGYPATGSFSRTAIKSKAGVRTPLA GVITAVVVLLAIYALPAVFFYIPKASLAGVIIHAVGDLITPPNTVYQFWRVSPLDAII FFIGVIVTVFTTIEIGIYCTVCVSVAILLFRVAKARGQFLGRVTIHSVIGDHLVQDDG KYGSANSPNAASDDKDELSRSIFLPINHTDGSNPDVEVQQPYPGIFIYRFSEGFNYPN ANHYTDYLVQTIFKHTRRTNPFSYGKPGDRPWNNPGPRRGKSEDDESHLPLLQAVILD FSSVNNVDVTSVQNLIDVRNQLDLYASPKTVQWHFAHINNRWTKRALAAAGFGFPSPD SDEGFQRWKPIFSVAEIEGSASAAAHAEMVNNRHTQHNIKSEDLEHGLKHDSETTERE THGIEESSDASSTREDKLQRDLKDSKAYRSRRRVAMVQGLNRPFFHIDLTSALQSALA NAGEQPDPKMNVLDA AFLA_030200 MEYDRGRAFDKKAKFVLDRLSASASLPKDPSFQKQLFVLSVAYG HRDLVRVLLQKGVSPSDNFTVKLGKEMKESPMSLWMITLTLCAQQMIDDANSRSAGIL LELLSWSSELDHDAFFLVHLHQWACSQMETLAPQQLKMISLQQLLSLRDTPESRELSS LITRRTQAHWWDRLMAGASPCHKMDYQHFTAKSLGIRIGFTEYIASKQEGKSLKEGLC AFLA_030210 MESFNCCPRQQIQPHQLTQSDIREYCLAMMKKDRNFERIKESYR QMVFFFGRD AFLA_030220 MIPFSVPTVAGSELQYVEQAVRKGTLSGDGEYTVLCQNWLERKL PAAKVFLTPSGTAALDLAALVLNIQHGDEVIVPSYTYVSTANAFLLRGASLVFVDIAP ETMNMDMEKLQDAITDKTRVIVPVHYAGVACDMDALLHIATERGIYIVEDAAQGLFST YKGRALGTIGHIGCFSFHESKNVTAGGQGGAILINDPGLVEQAEIIKDKGTNRQKFLR GEVAHYTWQQAGASYTLSEIQAAYLWGQLEASDCIQSQRLEIWNFYYRELSKLGDDVP IVLPSVPSYCQHNAHIFFIRVKDGAQRREFITAMKDAGITVLAHYSPLHSTVPGAQGR HVLDRQDLATRESERLVRLPIFYTMTMEQARKVVETIKWYFMRRLTRI AFLA_030230 MSGQGVPENKHSKILVAGAAGFLGSHLVDLLLEKGHEVIGLDNF QTGFPNNLKHLISNAKFTLVRIPYRQRTTTDWAAWSSEVYGDPKVCPQPETYWGNVNP FGPRSCYDEGKRVGEALMYGYREQHGTDIRIARIFNTYGPRMAASDGRVVSSFIASAL SGQPIQVTGDGSATRSFHGDGCGASSQYY AFLA_030240 MVSAVVFGYHNVGVRCLSVLLAQNIEVKLVVTHSDDDDENIWFD SVSNLASLHGIPVVTPDSPNTEEMLTRLRSLNPDLIFSFYYRKILSVPVLETARRGCY NMHGSLLPHYRGRAPVNWALLHGETQTGATLHEMVRKPDAGAIVGQMAVPILPNDTAS DVFSKVLVAAELVLCQTLPEIVRGTVVARKMDLRSGSYFGGRKPQDGLIDWGTMGARQ IHNLVRAVTHPYPGAFMDTARGRITIWRTLVLDDSPTSSARPSLLQEGGQLRAIACDG GVLRIVHAELEGRLLDQETFTKVFGSSYPLPCILPNKAMPCGVVHTTRGRT AFLA_030250 MLQNRASSATRVSSKPHLYLQADGKQQKSGNSFWGRRNHVSAFS GLLSLLFVIATSLFVLFLCVCLEHFDGSLRQGLYELLVHSSPTTLLRRYEPEISYGHV VAYTTWIVFQALLYSLLPGKTVYGPPTPGGNTLPYRVNGLLSWGITVGAVFLAACIGG AEVVASLAQNWAAVLAAECLNFIAFYMMFRDRGLKGSVRLMDSGSVFHDYMVGVELNP RLGQHWDLKMFQVGRLGMNSWVVIDLSFMAQQYLRYGSISNSILIVVILHAIYVVDFF INEDWYLATIDIAHDHFGFTLAWGTAVWLPMVYTVQAQYLALHPVDLSSLAFSAILIT GLVSYVLFRLANHQKHLFRQTRGNCQIAGSKPRTIRAQYTTAKGKVHETSLLCSGCWG IVRHPNYVGDIVFSFCTCSPLSKIYAICSAEEEEKLMGLCPAGTEI AFLA_030260 MASTQSTKTEFPYTLTISLPLPSNRLATSALHAIEVDTELSPFV RRDMAVTAPKGIQADTEEAKTVLETTYCATTNRMLRVAVNGFMESLGVVLGVMEELDV DVLEAEGVEQ AFLA_030270 MKFTGLIASLAAVSAASAAAIPTAALQPTLTQLSGVLGNIDGAL GNVLSGADVTDLVQVQTALKQIQGELSKLTGTVSQRSVVGNELNTVGTVTAPVTSTVG GAVKPVVDTANSAVGSVEGLVDGTLPDTTKAVGVKRQVGQLTGVATNLVSQVTDGTLD AAGVEHILGLVQGNDLSLVSAILGL AFLA_030280 MAGNMGDYGQIIEYIQDRLYLASYDDAPDARTPFPYPSEQPKSP SKRSARAQPNTPSRKRRSPVYFTVDDTLLYNSFHADFGPLHIGHLYRFAVHFHEILGD PANSDRPVVFYSKPDARSRANAACLVACYMVLIQSWPPHLALAPIAQADPPYMPFRDA GYSQADFTLTIQDVVYGVWKAKEQSLCGLREFSLEEYVLLDHIIKHFIDKGTRYEKYE RVDMGDFNWITPQFLAFASPQHEPIAPIPPNTPEYAALPSTISQVQASKLPLPFKNVL AHFASRDIGLVVRLNSELYSPSYFTALGITHIDMIFEDGTCPPLPLVRRFIKMAHDMI TKKKGIAVHCKAGLGRTGCLIGAYLIYRYGFTANEIIAFMRFMRPGMVVGPQQHWLHL NQGAFREWWFEDSMKEKLAQMQTAPVTPGRPSAKQRANNGPVATPPNNSHSKRAALGE IDHNEAAGAQHDENLPAPTPGQPRKSHRKDSRHHPYARTTSGSIVVDKDTRAREHSAH RSQRLSSDNSESEEEIQLRMLAKRSSKSPMASPSQRSVSYSATLTTSYTLNDGIHEDR ENWGDAAYAAPKTPVSSKTGVSVAKVRTSPRRVTDSRSETRGVRKASGRIGSAGSPTR VK AFLA_030290 MGRYLTAVNHSYYDSLSSASKKSLEFQGGPFKGADLAAFEQDPL RDEMVALRLWDDAAKVPGIEDSTPRAREYLNLIAAHLEAQEVA AFLA_030300 MENSHFPLTEDQIQSYNEKGYLVIQGFFNAPETKLLQRWTQEVH DLPRTPDASYMPYEEVNAQGKRVLCRTENYANSHAGFDSFLRGQRMLSVLEQLAAEPM LLFKEKINYKLAGSGGFSPHIDANAYTHVKNIKHLTVLAAVDEMTPENGGLDVVDGSH RTEIKLGEDRCIDPAWVESQKWTSCTLQPGDIMVFGSYLAHRSGANTSSKDRRAIYAT YNCKAEGDLHDSYYEDRRKLWPATHMRKKGETYEEGRLRYGYGSPMLTIEGQPQPVA AFLA_030310 MLPLGSCSWIYGTLVFSLVCTPLYKLYKLCSQDCLPLTSFLVYG RYKDGMSPTIKGRLSNSFLIGEIFGMLFFGVLIDRLGRRTGVVAATTFLVLGIALAAA AHGKSELGRYLQEFRMFWMMIIARGVAGFGAGGEYPVCATSATEAADETTKLRKNRGF LVASTTDFAVDLGFVSAGIVALIVLACYGQETRSGVWRVSFGLGFVLPLVICFFRIRM INSTQYQKHSIKSRYPYGLILKRYWKPMLGTCGPGVVLLRLCHLPVRNLLVHHYPAIN DGQLNCSKHRLWSDMALQTVINCFYLPGCLLGGYLMDKIGRKQNMTLGFMLWAIWGFI LGGALHPIQSVFPLFVVMYGIFMALGEMGPGVSTFLCAAESFPTPLRGHFLGFAAAVG KAGASIGTEVFTPIQNSFDTTAKGQQAVFLIASAFTVVGGLIAWFLIPDMSRELEDED ARFKAYLEENGYDVSHYGEALQVDRKSGH AFLA_030320 MAERPTRGPAVRRNGTLQSCEPCRKAKLRCDHARPVCGRCTTKK ITAKCFYHPAPMTKGVASNRTSPIKASRGLTSQPRSNVSSPSLTMESSRLGANLEPTP ELPGYLGATSYSAILTEHRSDLPFEMDNKSIRSAFDNLDIGSDIEAQFQALVYQISHN TSRPLTIHRSMTVHDYCASFTGKSLRWESLGIVLSISGISLMSTSDNDPDLVQAAPSS EARERLRAQIVEASSICLNFCDQASSINELLGFAQYNDIMLKTQHFGDTSYQAWRRLG DLSATVYAAGFHQENTQADDCPFFLQQWRKICFASAFYADKAIATFVGRPPFINYRYC SLTPPMDLHEDILVAGGDDLANAISSLNMEGWNTQRQHYRTSMIRLRFIFSVYRDQAL EIALGTCEDWDLVQKSK AFLA_030330 MDLAQRSHHPLIEYSQIIEKARAALEAAPAFIRYDAHGQNEDAE SYASSFPSLHMYLDYLYTIFLLQRVLLKRTNTGQDALIHTSREALSIVIRIVSKCEAS MDLNRHYSWLILYYGVPSASVLTLELLHQTQEIGPHSVMLPRAEIIRNLSVFLSCLSW VPRPTYGNYQTCKEAEKKLSHILDQIIDPQPIQRDVFNDVTSGLDSFLDWYNPSNWDF NTDFLSSSDGFGLARD AFLA_030340 MGLSSDKTSFDHNTHMAIVLGVGLGVVSLIIMCLLFTMFVNRRD QRPCSKSKKKGSSDKLRKLDAVSPARTLEEWRSKSKGPLLPTEGVDGQFVCVVCLESV LPSQEIRELKCLHVFHKECLEKWYLQDHFNCPLCHRAYYFQETHPSNDFVWMV AFLA_030350 MGRGFTIGLAAFAATGSFLFGYDSGVMTDVIESKNFLAFFNTVQ TSPIIGAINSTFSGGAALGALQGGLTMDRFGRKFTIQMGAFICLVGAILQTAAQNLAM MLVGRILAGWAVGLLSMSVPVYQAECAHPRSRGFIIGLSQQMIGIGFIVSTYVSLAVQ WVGFGSLHAPETSEFQWRFPLAFQTVPCLLLAVGMFFMPESPRYLVEKERYEEGMKIL RKLHYDGTNDEWIQTEFNEIRTTIEAEKAVTVSGWLIMFQVPQWRTRLLHGIAVQAFA QMTAVNVIGYYQTILYNSLGITGGRNILVAGIYNCVGPVCNLIFIVFLLDKVGRRKPM LFGSIAVTIVLICEAALTSVNEDGSRTSYSIAGVFFIFCITVIFSFSYGSCAWVYMAE VMPMQIRGRGNAVATSLGNWVVSTIWNQVSPIAFGKIHWRFYLVFILFSKFSFPFRSE GVESEVETGYSYCFSPLDVCITIPTVFFFFKETKQKSLEEIDLLFGGRALGTLPENVE AKAAEAEMNKAEKTRDSYANVEHTENKV AFLA_030360 MVGQHEAAILPQKGGPLSLGKRPTPEPGPNEVLIEVKAVALNPC DHFQRDYGMPPVPIYPAIIGSDTAGVVVKLGSDVTTIPGPGSRVIAFASSFYQNGSPD HGAFQKYTLAQSEAVIPLPDNLSFEEGAVFPMAVLTALTAWTTIGIPLDTKYTPADKQ AVLIWGASSSVGTLAVQSAKTLGFTVYATASPKHHDLVKRLGAHAVFDYRASDIVSQI VNAVKKDGVKLHTAHCVVDGALQPTLDILKETKGDAHAKVAHSPLLPEGHPTLDNTQI TFNFPPIDKTARSKHMHEVFHGWLKAGLQSGEVIPSPTIQTEGGGLGGMHAALDKLKV GVSGTKIVVPV AFLA_030370 MSQANIAIPTGSLILVTGANGFIASHIVDQFLGSGYRVRGTVRS EKPWLDDYFATRHGAGHFESVLLPELGDKETLDKLLDGVAGVVHVASDVSLRPDPEII SKSVATTLSVLEAAAKHDTVTRFVLTSSASAASFPQPGQPGIIIDSNTWNDSAVRSAR DPSVPVAQKSYFVYAASKTESEREAWKWVKQNKPGFDFNTVLPDTNFGKILHPEIGGS TMGLVRKLLSGNRVGIDYISPRKLEWFVDVEDTARLHVAAVLDGRVKSERLFAFATPY NWTDIVDILRKAFPVNSSIPQPPENEPRDLSQVGPSVRAESLIKEFWGRDGWTSLEES ILGGTGDLEGFRG AFLA_030380 MAWISRSDTMPQSSPPSSPALSSSYHSIRPRRPSLEIDGEILST RGQRLASLIRRRSISTQSPEPWDDTTRHDELPPWERAKKLIGDVKSVYNWYVIDHGPW NTRLGGNVNLMQNPVSRQLYYRDPKSLEGMSKKLREYYERNNDLIAQYVYIDRLLDSS LPHRLIGDYHHNRDVIPETESGNSGNEHEPDNNLTTAADGDGNGNGNGEPQQKQDRIK RTPRNLYRIPDESTPLLPQDGNESAPSLSDGGPKDDDFVDSSARIVTIAIYVNFVANV VLLLAKIVVMSMTNSLSVLASLVDGALDFLSTAIVWVTTTLIQKDDRYQYPISRRRLE PLSVLVFAVVMMTSFVQVAITSFTRLISNDTTLVNLTIPSIAVMASTVVVKLACWFWC RLIKNSSVQALAQDAETDVVFNLFSILFPLIGSFFKLWWVDPLGGLLLSVYIIWNWSG TAGEHIRHLTGAAASPIDQSVLLYMTMRFSKAILKIQNLRAYYAGDLLNVEVDIILEG KTRLRDAHDIGESLQYMIESVPTVDRAFVHMDYDPWNIPTHLNQQAH AFLA_030390 MSDPEPSAAPCSSSPPTAQASESTAALNYAFLVHSQKTLTQNLP PRVDNKLLARQKRRRTSPEDHAVLEAEYQRNPKPDKTARASIVSRVSLGEKEVQIWFQ NRRQNDRRKSKPLQPHELLAPRSDASKQSFSDESVPAEPGSSSGAEQYDDPSNESNAA AQALENDSFTSDMVRGSQEEPEQPVLSSQTSVATSEAPENKEGTQENSRSEFDLNKEH ASVPSDTPHQNSAKRKRSITDLRQGRSEAQQPLTPCGVQGMRSPPSLRISLSFDGEAM VRKEGELTPSPPKGRNALRIAMSSDGKAVIRADGEPSPSKNRISMFPTRTPRFTGLRR SNSAVVLGTPRGSIERERIFGRSRDPRNWESVFDTDARSALSTPSSSQSGAHTGSPGF FRSGGPRSLTRSLSAKYKNTATFNSSEHLNTPVPQTTREKRRKLSRAVSSLGRLESGL EVTNDKNTSYSAKMSKSMAGKGNRDIECGDSDKENWIPGTQTSRVRRRAASQHHSSRP VLRDANGKDGKVNKDLTTRRSRLSQASQRKANEKALPTVDTEVSTFMAGGGGSSQEED LDCIQGLLSLSQGAWRYVQVASASKR AFLA_030400 MGKTPQVAIIGAGFSGLRCADILMQNGVRVTIFEARNRVGGRVY CSRSLMLMMRSGPNWIHGTGTNPIAAIAELTKTTIEDFEGNQAFISMDGSPIDDNTAT KISEFVWTTIDEAFKYSNTYKDTIPPERSLFDFFLDKVEKADFTPQEKKWCLETCRLW GAYVGDPIERQSLKFFCLEECIDGNNYFVASTYKDILAHVSRAALQNADIRFNEPVTN INSIVQADSNAPHKTTLTTATGETHTFDEVVVTCPLGWLKRNKSAFTPELPPRLVQAI DNISYGRLEKVYITFPRAFWHKDPSDPTTSGTTSYSAYERPTFTQFLDPTYTKGPEGI LWNQECISLAALSADCAHPTLLFYTYGPCATYIVSKVANLDPSSQEYYNFLDDFLRPF YSRLYGFRKSSPDCKPLAVMATQWQSDPYAGNGSYCNFQVGLNQGDRDIEILRAGLGP DRGVWFAGEHTAPFVALGTTTGAYWSGERAAGQICQWYGLGRQGLGFERDDSLPSAGG KRVVASVD AFLA_030410 METSHYLSPEGILLPPSPVESVDSPEPTAPGSAEDRENDVMITL SRPPAPSPSRSPFARSHFRSRSLAEVSGLPPMTRAHSTPGLDSRGRYIFVHGREAPTS SPENAAKRHLPFQVPMGDSLETRMIPLNISEPILEYAELDTTMPSSSSTQGEPRTASP VLSNTFPRMTRRRPSSPLHFNPMNSTGQSASSPSSAHSSPIILNSRFNESFPGYSTSS ASSMPSTPTSLRSRSPSISSLETIPDIPDAEAAAIEADRIAALKAAADRADEADVATN GNRRRGASDASGPSSSLMNMRTVSGGYGLRTDKRKRWSVCGAERRQDLDLETIWED AFLA_030420 MGGYKFLMRYYNPGDEISFIGFSRGAYIARFLAEMLDSIGLLEA GNEELVRFAWKTFAKWQMRRDTHKDTDKTNKLFNYMVAFRETFCRPITPRIKFMGLFD TVNSVPAFESAWMQRSKFPYTARSSAKVIRHAVGIDERRAKFRQDLISEIKPCCEEKK STYWKDHWPRFHRSPKKSSAPKKSPGLPQIVLNGGNNEDSPFQQRPGETESVHHSVRS SNQSVYSTSHRYRARRRRSQRKLSLAVPMAAASTEDVASIKSEYSGLSLQVPQERIGG EDYDEDEDSPQDIQEVWFPGGHADIGGGWQQEEDAWPLSHAPLVWMVQEARRAGLQFD PSKMEHFECLEEYDEDYSPIRENIHWNPDISVGQDGHPLMPIPSQQLATQVAAVYGEG IQMESAIGSSSTFLKALQESLAYHMHRFSHGE AFLA_030430 MLRRISTNFKKSKNDRETKQNGTQNGAQNGTQVNGDKRQSKVSP ARKSADQEPSRKAANGASVFGKYAQVLHASQSPLPNQTGDGATFEQRHGSLVQDLKSL HLEDAATLKQLSMNKIKGVPVDDKTMLMEKIIQIASSLPDNSENRTKATNLFLNQLWD SLPHPPLSYVGPEYSYRSADGSNNNPTLPWLGAANTPYARSIAPLTIQPGGLPDAGLV FDSLFAREKFNPHPNKVSSLFFDWASLIIHDIFQTDHANPHINKTSGYLDLSILYGDV QEEQDLIRTHRDGKLKPDSFSEPRLQAFPAACCVMLVMLNRFHNYVVEQLAEINENGR FTKPSPDLSEEKAKKAWAKYDEDLFQTGRLITCGLYINITLYDYLRTIVNLNRVNSTW CLDPRAQMEGNDPTPSGLGNQCSVEFNLAYRWHSAISANDEKWTEQIYEELMGKPAKD VTVLDLKKGLGKYAMGLSKDPSERTFAHLKRQEDGTFKDEELVSILANAIEDVAGSFG ARNVPKCLRAVEIMGIEQARSWNVGSLNEFRKFFDLKPYERFEDINSDEEVVEALRHL YGHPDYVELYPGIVAEDAKQPMVPGVGIAPTYTISRAVLSDAVALVRGDRFYTVDYNP RNLTNWGYNEVRYDLNVNQGCVFYKLATRAFPNWFKSDSIYAHYPMTIPSENRNIMKD LGRESDFSYERPSFTPPHVNLVSYPNVKLALEREEDFRVVWNGNTPLASAKGGDDFWS KSLDNDQWRNSIKEFYEDITAKLLQEKSGNLAGLKQVDITREADHAHSIGNLAPVHFA SKLFSLPLKTKENSRGVFTDNETFMSMAVIFTSIFFDVDKTKSFSLHHAARAVAEQLG HSVENHVKSINSPSFLSGIIGNRRNDHNALKEYGDQLIKKLLESGLGVSDVTYSQVLP AAVAMVHNQAQMFTQIIDYYLSEGKKHLPEINRLSKEDSKDSEDKLMRYCLEGFRLNG TFGSYREAQTDLSMTEETGNVNIKRGDRVFVGAVSASSRLTLSNNARSCGMANIQQVK ANRDPQVFPDPNEVHLDRPLESYIQYGLGPHTGLGKETTLLALTSMLRVVGGLDNLRR APGPQGELKKIHREGGYYVYLREDWGSYSPFPTSKSSLVQLLVC AFLA_030440 MPPKVAKGEYIETGTKSPAGPRFTGHSILFLGEAVIRGDLYRTS TSSGASGDQGGQQPATSTPSVAITIGRYSYISKQAVLRPPSRLHRGVHSFYPLKIGDH VFVGERAVVEAASVGNHVHIGRDAVIGSMAILKDFAYVLDGAVVAPGMVVPSWCVVGG APARIVGEVGEGYGVEGAEGGMARERYRLVGR AFLA_030450 MADDSNAILRRRSSLRQQRRLSLQYENNSWAAPPSGTIYAGLST LREDDTLTIAIAIRDTTYLLDFIQESLTLKDDQSLCSVLEKFVIDQLQEWSDAHMEKF IGLAMPERIAKQYPSLCSRLWAELDIIPLVLPEGSRREGDDKFTFGIPDSTTWKIRGI DEQAESMGRKCVRLFGPENIPLLQVGFLGIVEVDTAFHVRLTNLEDFQKTVYPKTWKA VQHYATDLKERKTKIAFFSATPQGGGVALMRHSLVRFSYSLGTDITWYVPKPRPGVFR VTKNNHNILQGVASPEERLSEEDWAQVTEWVNENAKRYWLIPGGPLQHPKDGGADVVI IDDPQMPALIPIAKQIAPDRPVIFRSHIQLRSDLIDKPGTPQAEAWGRLWETIKLADI YISHPVKSFVPKTVPREKVGYMPASTDWLDGLNKNMREWDIAYYGRVFNSFCRNSGMP TIDYPEDEYIAQIARFDPSKGIPEVVESYVKFHRRFTAAFPDRRAPKLLICGHGSVDD PDGTVIYDAVVTHIEENLPDLAEQICVVRLGPSDQLLNALLSKAKVALQLSTQEGFEV KVSEAIHKGTPVIATRAGGIPLQVADKQNGFLVEVGDTDAVAQHLLDLCTDDELYERM HKFALNNVCDEVSTVGNALNWLYLASKLSKSEDIKPNERWINDMARAGAGQEYTSDES RLVRELCPQNGVNGHQN AFLA_030460 MGGQVSKLMGKIFGTKEMRILMLGLDAAGKTTILYKLKLTNQEV TTIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARME EARSELHKIINDREMKDALLLVFANKQDIQGHMSPEDVTNALQLNKLKDKLWYVAPSV ATEGTGIFEGLVSFPSHSSFAPSVSDMEPLAGLAFQQRENTGPKIISSPPPIICTVNP GTPIAWLSCITFPYLVRPLSFMSALMTEDAAFAVCLHFTSCACFSHFLIFISFPYLSM ISLTVSMRAI AFLA_030470 MAHQLHHNPPFRAEHLGSLLRTDELLKTKTAFEQKQVSQADLDA IENKDIKEIVETQKKLGYAAVSDGEYRRHMFWGSFFPGLDGFEEVTDVDADVFRPYAP DVAAFLEAGHKPGETVICTGKIKHVGSTYIKEFKYLASIVPPEEVKNIKLTLAAPNWY HLRYKEGKAYPKDVYASDDEYFGDIAKAYQDELQILYDAGCRNVQFDDPNLAYFCSDK MLEGWKKDSLNVITADETFEKYIKLYNDLLSKRPADFHVGVHICRGNFVGSRHFSEGG YDRIATKLFKELNVDTYYLEYDTPRAGGFEPLKELPTHKNVILGVVTSKFPQLEDKEE MKKRVYDAAKFIAEGNNISVEKALKQVGVSPQCGFASHREGNAIDRDGMFNKLKLVRD IANDIWPGEL AFLA_030480 MVPQGSSLEALPNELLDEIISNLSYPPPSLAKIHQPPSSTIVKS GTRDLKNLSCTSSRLLEVTRPRLFTHVCFDLRDVDEFLSFISASSLARHRITVIAPPS FIGKMTGAQIFEEHSWAFQAPLQILQLEQETRSFDPPPLSHLEKRSTLLEARSWSSLL FNESSSLKAYNHYEYFLFQVPSLFNTWGSLAYVRPRPQKLTSLRALSNLTSFRYTAVF PFYNHVKLVLNAVELMENLRSLSVQLAPCEGDKATELEQRGSMDPSDPWMEIATGYSL IGHSVSDLGSRGSLVEFCACDYAFDPLRTELSPILEDILDDSVWAHDGQGTWTKKNYD AGFQDALGKLGFRNRAS AFLA_030490 MRTLEQGIDAHLLLSTVKSAHVVEVIKDRSYASLVENSIRGQNY QIYTSTPYRNPCLLLPSANKGIIYANDL AFLA_030500 MAGGAATSAGVSQGSGSNGDDATAISPYETNPDNIPQDDPFLKQ SPHYGRYAPRDDDFKPRYDHWWQSDPDAISHWENIVKENLSPENSLNLQEGRQAYSVG SVIIRVDKDDVVDTTAERYSCANANELSAARKAEDALKALDITVPVIHFCGTVDGNNV TVESRIPGVSLEVAWRYLSTEQINKFKQECRQILEHMASIDPAPDSPSYVCSGLNSQL PPEIQEMEKDVLFQEKMEDEILCLVHNNMTPSNIIVNDDRVVGIIGWRHSGYFGFRRA NTIHRRFRMPTWSSLEAAGGNVEAWADIYENLLNAKVGSKLEASQDTPGPQVKTEPSL VTLDKVPESDEIDNKSISSQIDGVNTPGEHPTPKKIADLKHGRGSRASSSDRSSPANS VKAASGRKSTPGGAKKGTAKKSTAKKRKITEEDTESVDGHQSNTPSSRTSKTPGVKKQ GSASVAGSPAPESRTKRKKGAKKRKAPKKAAAKEEEHEEEEEEEASTDENELFCICRK PDNHTWMIACDGECDDWFHGKCVNIDPKDADLIDKYICPNCKEKGKGCTTWKPMCRVP SCRKPARFNNNILSKYCSDEHGREFMRLKTQHLKMSPAPENKMEDLGSRGGILTAGDL KAVIMDVSSAAEFRKLGERIVSLPPDDPETDTKEKDKKKLGLDFAPDDLTYSPDEASK IEELRKRRDELLHRRGMLNARNTFVNLVRQRSKSVLEKLKQAEPKGGWKDICGFDSRL AWSDEEFDEWRLSEVGAKTLEDGTPEALASSYPDTTDIDGDAVMNGVKAEEDDISSLS RGICTKKRCERHKQWVKVQQQEILFEEDTVNQDLAKCEKEAQNVVERAVLRMWAEKEN AQNGCQ AFLA_030510 MSNESENTDSTKPATEWQFIDASNNSRSNLTQVKRHVMQQYMRQ KRASGQSSNDVEQTAVESHNAPRKATRRPRKARASAGGTAQKGKKEDLNSQRQKNASA EKSDVQVVPNQELTDDLVEEIERDFIPGVMSYTSAENASFPANSFFQLQGYPISPYLL DKYASGSQSSGSESSSLSPWSSTPTSPSDVTLSPKTILSAARTDPFNTLPMDLDAEGQ RLFDFYVNEMPACSYGSHFRSAKAHNWYTAVFVPEGMKGAVTFQNTILVHAANTWAWV RNEEETDYTLVHRNRAISMLRDHMTRHPGDISDVAIIACLSAAGLEDFDPRPGHKEIS WVHMRAAREMIRARGGPAAFENTRLGMLINWQDYILSGYETNGLSFFFEYNPSVKRSF NSQGQWQDSITQTFNLTPSPLPSIPLLSPRDAFSPSGLISPSYSLSFLSPEDEIRHQC DEFIDFLKRCEELSVSHRSKHANMPALVRYSAFQETSLLYSILAAPPGLRFTASGNRK QFVARLVALIMLNAALWDYRNSVQHSETFLWTLEQAVLASEVDTSGSVEALLQIMLEC RDGITITDMSSTSSGSQGMPDFTQYSPTAKTQYGRPWFAGRMLKVAKRLSLNSWMIVH DFLFSCLTLRLETPVCLWERELRQEILSAPLTSYIMPALAE AFLA_030520 MAYYLVTLCCVFTTLGSFLFGYDSGVISSTLDQEDFQNRFNHPS DAATGGIVASYNGGAILGSALVSYISDPYGRRPVIFIGGLLGSLGAALQAGAVTVAML IAGRLIAGLAVGLMSSAIPVYCSEVSPPRIRGFLGSMQQWMIGLGFVVAVCYITIIRL YSFRQWTGYGCSLHTGAITWRLPLAIQAVPAVILCFGVWLLPESPRWLIEKGRAEAGR EILARLHSNRDRSNIHMVEAEIAQINDSIAEERRSAVHSWRELLSKARWRHRLLLACG IQAFTQCSGTNIISNYNPGLYRTLGLKGTTPLMLQGIWGALAQFWNTVFMLFIDRVGR RKLLIPSLLGMGATMCIEAALAQANGGFRDPSANPDAVRAAIAMFFVFSIFFTSLGLI SWIYPSEIFPTAIRARGSSLATATNWSLNLVFAQCTPIARSTMGFNYFYCFFAFNWVA AAITWAFYPETAGKSLEDVEHIFSSSSRDDFPHPVPDLKNDVVAVANPADSDWSRENL ELSCHAKMS AFLA_030530 MGTPSSAFHTASADNATDDDPSGPSDSVFYHDDADPSSLQSSHD QDGSKPAKEPLPMQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYECTYDQPSNRR RNPAPQYVEALEARLHKAEALLRVVLPDINLDDPRFDEHATEQMLAVVKREKQQPQQP PVTTNGSNSSTVATGPAEAPSDNCCGEESLLESMVDNSGYLDLDDQGHWDYHGHTSGI TFLRRLRKQLGAVDISAPALRSRPFSQMLDSPKSASESPQDALLPPTHDLPSREVARR LCHNALEDGCSLMRFVHEPSFYAMLDRIYDTPPEQYTNEEHAFLPLLYIVMAVGCLFS DDGTGTLDLSGYESAIGQGFQYFKAGRQLLEITDCRDLTSLQAICFMVLFLQSSAKLS TCYSFVGIALRSALRLGLHRSVSANFNPLEQELRKRIFWVIRKMDVYVSTLLGLPQML SDDDIDQEYPMSIDGEFITSDGILPTPPDYTPLMAGANAHTRLSSIMLKVVKYIYPVK NAQHRSKSDQRYVVSHSKIREIERDLQAWMEELPAALRPGTEVSPQLERVRQLLRISY AHVQVVMYRPFLHYVSSGSQARGVDRRSYACAAACVSVSRNIVHITTGMHKRGLLNGS YWFTMYTTYFAILSLLFFVLENPDSPTAKDGVLKDAMEGKNTLTGLAKKSLAADRCSQ SLICLFKNLPDLLKNRQSKANPVNLKRPAPSSSNKLGNAKSPTAPPAMPPPQRASTFP IQLLNRSTKEASNLPKSLDDNHPRHSRSNSRPANTPSPWFSSTPEPPTETISTPSETQ ATESIAASSNTSPLPMSMSTQDMPTSPFVAQQFSNPTNLPDLMPIMFPSDDPFAYPTQ PMSTLENDHFRQDSGAMQFGRDLTTQRSAPPSTDPTNTIGVSTPALDGLGNFPLFSNN NTPTLMNAALPMRLANPPSVSQSRLQSPVSHASTPASGEAVNSPDLVSLPNNNFMWQG YNFQPQNFPTEQSAQPLMPSGNVQNFGMGVEDNSMGMGIDLGISLDDIFGNTDACRAG NGLPSDDWIQWMNVGN AFLA_030540 MLKLSTILGSLFYLLPIYIFLIAPALRQFFPPAEEDLTFNPDDD AADLEGPILNDTILSLDDGIEPTCAPDNYRVHLLRRDPLVIYIEDFLSSEEADHLVEL GQETYTPSIIYDGTTEKVDPNTRLSDRSLLPRTNTVRCLENRAKAFQGWRPHLYIERM WAQRYNASGHYRHHYDWAGSSARGGDRASTFMVYLGDECTGGGTNFPRFKRPRDEKWC RFVECENEAEGVTFRPVKGNAIFWENLRPDGSGYLETWHAAFPVTEGTKVGLNIWSWY QPPRRRRV AFLA_030550 MKVTYFASILTAGLASVAYAVEAPIPGYGVEDLSWEVQTTPGGP KVNLNGTVQEVHEQLLAINPNYEQEFAALNADKKRELTFEKRDTVTCYQYPQANHKYV ESGIKYLRSVPGQPTNGPGPNNCGRVSCSYNAAIWWCNDNTFSKTLPSFNNIADGAQV VENHCWRGGNFFSGKCDHADHWSVIVKGERC AFLA_030560 MPTPTADPEFLLPDPTVQQRRLQNTTQNQAAASRLQDTSRSAGE SQEEDLTSRMTLQEFLQTGMDKTGKPVSDPVAFTDGAKMQQGSRDLDEADVVAATWLD DFD AFLA_030570 MPDKVKVVIVGDEGVGKSALILRLCLDHFSGTHEATADDSIRKS TVVDGQECILDIIDTAGREQYAMLIEEWIRQGEVFVLVFDVASRESFTHVRKYYDQVR KIKQVVDDHSINPPATHPGAPFFAPLILVGNKSDLQHKRAVSETEGMELGKELCGEYV EASARDNVNVEAAFNKAVRNIRERRYEAEHSLFPQADGVATTPKRYRPFHPGSCRCVV L AFLA_030580 MSEPQDTTSPSTAAAPIAASTSQEQPQTQSPPQVSATTTSSVTA TAAAATAAVASPPVNGAARPTEELSCLWQGCSEKCPTPESLYEHVCERHVGRKSTNNL NLTCQWGSCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKAFKRPQDLKKHVKTHADDS VLVRSPEPGSRNPDIMFGGNPAKGVSSLGYATATHYFEPALNPVPSQGYAHGAPQYYQ SHHPPQPANPSYGNVYYALNHGHEAGHASYESKKRGYDALNEFFGDLKRRQFDPNSYA AVGQRLLGLQSLSLPILSGGPLPEYQPMPAPVAVGGGGYSPGGHPPAPAYHLPPMSNV RTKNDLINIDQFLQQMQDTIYENDDNVAAAGVAQPGAHYVHGGMSYRTTHSPPSQLPP SHATATTSAGPIMANPATHSPTGTPALTPPSSAQSYTSGRSPISLPSTSRVSPPHHEG GSSMYPRLPSATMSDSMAAGYPTTSSAAPPSTLGGIFDHDDRRRYTGGTLQRARPEER HLPEPMDLSHDNKDDGERTPPAKPRQAPSSPGRISASLIDPALSGSANEAETMRTAQA ATEVAERSDVQWVEKVRLIEYLRNYIASRLERGEYDGDSGMTRESRTPEAGPDGHMEG VETEPVSHPAKCESPVKPEAGGDTVMYPTLRGVDEDGDSKMPN AFLA_030590 MTITRAGVWGVTASVWGSQKSVADGSSSLLFIISRQSSQVDTGT VSSSSRPKHSLSLSLSRNNDEWRKEVNNLPQSISRLTQPFNIEYLLKEIEIPQYYLRG PQMDEIPAAVNRQWRPTQTEGKEDNQALKIELLWGIKARQRPGKFPEIPG AFLA_030600 MPLSNRRRARRKEIQLQETSDAEAPDSSPTRPSNKKRKVERTSP HTRPIKTGESADEADHSSPEHELSENQDLVDMVISYLDAAREEVRVIRDHSNTKTENK QSIRAYAKIAGRNWTYYVKTLHVNIGREPDREQKLDEQSSPVTIAARALPEVNVDLGP SKFVSRLHAEIFYDGEETASWHIRVNGRNGVRLNNAILKRGTDAILSCGDIIEIANTQ MMFVTPGDKANIHPSFVQRAQRLANGEEDVAAWDASQHAHPHPAPSGAPETTRPPTGQ PSLAPAPHFLKRQVTPPPRSPDTVGARTAKQSPLYNRGMMMESTEEIDYSKDSAKDLK PPYSYATLIAQAIFSSEEEKLTLNNIYNWIMDKYAFYRHSQSGWQNSIRHNLSLNKAF QKVPRRTDEPGKGMKWQIAPEYREEYWKKQLRKGTQSSAPSSPATRDPATRGANGMEA VFSSKKSPPVSSPGFSSFPVAPVEAYTPERGSRASRNGPQDHPLRPPVRDYEEPSPLP ARSAIKNSSNGTSLRYGLSDNVASPPILSSSYYDEGPSSMITPAPQRQQPRLPPPSTA QIPSKFMPMSSPAQFWKFADIGSTPARPVPDMSPLKGDPGDGLGSIPSSSPPPPNLVS PSKPGTANGLGTGRSLPPRREHDSAVRSSANGAGRDLGDDEDEDDGGGFDLARGFQPI GSYHRQLSNAARTSAATS AFLA_030610 MPEGLTTHLKTHNPSTYHRATTHPFLLAAGKGQLPKSTLSKWLS QDRLYAQSYVRFIGLLLSKCQLPHAPDNAETALERRIVAVLIDALVNIQREIGFFEEV AREYGLDLAVVPDGEEKFGPGTITQAYIDMFMSAGSPAVSLLEGLVVLWATEICYLES WEGAKRVMGSVGEGGERDLDGGALRERFIPNWTSMEFREFVEGIAEVVDALASRVLNE EGEVVARCERWWRQVKWLEERFWPDVTVTDQE AFLA_030620 MDRITRLNLLFSQDEALLRLDTQLRTVPEVQSNRDSVPINDNVN IDREPSTTTISQPVQSLGPSKPATGDEQRENVREKGSESVAPIPGSFIQTTPNDTIPS PSLEGPNVPHDGTFSPLVTISRYAYKYVKGPLSQKLASEFFDGGKFWNRCWDLYYIKL RPLTGPRHLILVPTTQVRAFFQEINRALQCSFTLSEEGLVLPLNKEGFPQPIFIGRST CRETKDRLESQIPASSEAPRPSPGMEEQFTAFEQMIEAAWETTRNKKKVSKAKQQQRL ENQQRLVEGLRRVQSYLGLRSSETDDLIDDAAWEEKKAQEPVPETPRPLHMDQPAPFP FWMESVFISIDVESNEYHHKQITEVGISILDTLDLVGMSPAEAGAHWRTKIQSRHLRV EEYAHHVNQHFVAGCPGNFDFGASEWVSADDLGAVVQNAFQIPSSSSSTRAPRHLVLV GHAVSSDVQYLRQIGVRMERKPEGTAGFIGVVDTAEFFRIIRGEPTTRKLADILQEFN MTGWHLHNAGNDARYTMEVMLCMMLEHSR AFLA_030630 MMADYDDDDAGGVILDGPFDPDAQATVTDFIDYTEYLPADIIRS LTLIRGLDERYLEATQGVHELTKTYGQLPELPPDGRPDARNLRKDISSQLDRAINARE SAYAEACRLYDVVDRHFNRLDCIKQKLEALPKPPPAETTAPQAVPVTKRGRPTKKGDD VVAPTTRITLRLDSHDHTKPTSTLKSRTRRSVLSAEHLAGLHPDSPIASTEHSDVEEP KAIPSESPAEAAVAPGRKEKQGRRSRTSLGTHTHSGVANISTSNALAMLKPPPEDAKP GSEDMPWLRLTEWEMTKLRKKMKKNAVWQPSEVMIHRELALRGRGWEAYRAAKAQAEA NGSEFIDCDDIMNNYIPGKLTKRSEATKDTEGTFETKLSNRGMKLNEAKKLKRENQAR EQAAAAAAEAELAAKRQGQAASPTKAPVPSIDQAQTSKPSRAAKKRKPDESPVMDATT APLAGAETRAALRSPSKRRKTSDTPMESSVSVPSASTTTPSANVPTPAAEVTETKPTP PPASPVGSKRSVPPSGAVVAPPALEGTTRTPPVTRPPSRRRSAAASAEPVPSIGLITA GRELRRKSATPARKTPVPDVTRAASLSAPRRRKRPAPGPVSSGQDGGAAVSYGRRKAK PGKKRFREHGPKDGDIRIDEDGVLEEIDPNEPRYCLCGDVSFGTMICCENTDCDREWF HLDCVGLSEVPSRTAKWYCPECRVKFNKGSDGIVKIGLRR AFLA_030640 MAPPSVLMVGTGEYTTGYVGGTASTSDKKVGVVGLTLFDLRRRG KVGNLSMVGVSGRKFPGIRSHLQKNISDVYNGLDVSFTSYPADDQTDPDAYKAAIDAL DPGSAITIFTPDPTHFPIALYAIQRKIHVLITKPATQLLSDHLTLLEEARKNGVFVFI EHHKRFDPAYSDARAKARNLGDFNYFYSYMSQPKSQLETFKAWAGKDSDISYYLNSHH IDINESMVPEYAPVKVTASASKGTALDLGCVNETEDTITLLVEWRKKSDPSRVATGVY TASWTAPQKAGVHSNQYFHYMGSKGEIRINQAKRGYDVTEDEQGLIWLNPFYMRYAPD EDGNFSGQTGYGYISFEKFIDAVTAVNEGRVTLDQLDARPLPTLKNTIATTAILHAGR ISLDERRPVEIVTEGDKWELK AFLA_030650 MASRTPLRPGVYAPTMTFFNPDTEDLDTPTIRRHAVRLAKAGLV GLVTMGSNGEAVHLTREERKTVIRETRSALVEAGFSNVPVITGASEQSIRGTIDLCKE SAEAGAEYALIVPPSYYRYAVGNDETLYEYFTAVADGSPLPLILYNYPGAVSGIDMDS DLIIRISQHPNIVGTKFTCANTGKLTRVATALNAITPESPLTPKRKNVSTKKVENHPY VAFGGIADFSLQTLASGGSAILAGGANVLPRLCVQIFNLWSAGRFTEAMETQQLLSAA DWVLTKTAIPGTKGAIQSYYGYGGYPRRPLGRLSEAKTQEVADNIKEAMEVERSLPDI A AFLA_030660 MPYPEEAEGFQVDSPDTYTNFNRRFFKLKPFGDYDVDIKIEACG VCGSDLHTISGGWGDQKFPLCVGHEIIGRAIRVGPKVTLIQEGQRVGVGAQSYSCGEC KQCKNDNETYCPVLMMDTYGSEWPETGIVSQGGYSSHVRTHEHWVFPIPEQLETNLVA PMLCAGLTAYSPLVRNGAGPGKKVGIVGLGGIGHFGIMFAKALGAETWAISRSRAKEA DARKLGADGYIATAEEGWEKDHLCSFDLIINCASSSQGFDLAKYLSLMDVHGRWISVG LPEEDGQVIKAQNLIANGVLIGASHLGSRREMLDMLKLAADKGLRGWVEELQIGEEGL KEAMVRMKKGDVHYRFTMTGYDKVFA AFLA_030670 MSPREAATLPSRRRPSLSFRPRPRTATSSTHQTTRLENDVWGGG SAVDAADDEGQVLEEMNHFPEPVPRRREARSFSSLRHPVDGLRALGRRLSVTIRNKSS RHSAHHHQDDLGDLNHEPEFTPRNRHSWCKGTNIDRRFSHHSVSGLHGFYAPTAPVRA PIPGNGSEPPILPDDIYAGAAARAAAVVQNELFRIERDGAKYSDMGLTRDSESGIGID LRDRSELSDTDLAFLRLDPVTHLPPEVMSHIFTYLDPQSLMQCESVSHAWSEQASSRH IWRHVFRHTYGHSRPVGASKKKRSAGLGKSLPDQDWKRMFLVRRALEQRWKEGKAAAI YLHGHKDSVYCAQFDEYVILQVNHCHQLTAYRDKIITGSRDRTIRVWDAHYPWPCRKI IGPPPGDIAGIGPVNNMSQQSSGKPPFLTICPPPTLSAGITTPVEQASEYHSASILCL QFDDEIMVTGSSDYTCIVWDIQNDYQPIRRLEGHRAGVLDVCFDDRYIVSCSKDTTIC VWDRQTGALRQRLVGHRGPVNAVQLRGDLIVSASGDGVAKLWNITSGHCVKEFHSKDR GLACVEFSEDARTILTGGNDQVIYQFDANTGDMVNELKGHEGLVRSLHLDSAGQRIVS GSYDMSVKVFDAQTGELSIDLPGWTTSWMLSVKSDYRRILATSQDSRAVIMDFGYGLD GIELLEE AFLA_030680 MGESRQELLAWLNNLLQLNLTKVEQCGTGAALCQVFDSIFMDVP MSRVKFNVNAEYGYLQNFKVLQNVFARHQVDKPIPVQQLTKCRMQDNLEFLQWTKKYW DQHFPGGDYDAVARRKASGAPPAAAGSRAGAASAGATRRGATPTGAVARPRVAAASGP NVSALQQEIATQKEAIGGLEKERDFYFAKLRDIELLLQSAIEADPELEKDDDSLVKHI QGILYSTEEGFEIPAEEGAADELETF AFLA_030690 MKRQAQDGSQPQTDGAISQEDQVSVQVTIAATDNPQTTPDATAV TASPTTEPTAPDVTTAQSSPAVPTASSEENLTASSASPTATADTSSETTSHDFSTSPT SLPVISSASDTFASQIPLSPVSSSEMPQSSSSTIVSTTTSSSSSSSSQTSSTSSSSSG SSSSTTTKSSTSTYSTTSTTSELTTTSLPSGTGGGASYGWDGGSGPTATEQTPLTTGP TTSATSPPSQGSGALDSQTKGKIAGGVVGGVAGAMVLVVLVFLLLRRRRAYQNRAPEV LPPGDMTGTAVGEGCVTRSADVVSRRSSNDPLFTASYFAPAFMKRWRQSRLSTHTEST LDSSTSERGFQKISGRKIPSVLRSGGDGYGGGFSEGSPTMSEPSVSFPPGSPVLPRSP TSQPPPSTPYGMPLDVSYTREAEETNPIVIFRPSPARTPIPGSADASLSNEPSVSRIV PLAQGALSPTIPKRPDVLGRSHPSFDGSRGSRFTESI AFLA_030700 MGDPNPPTSHDLSLSSPSPPHAHSAKSLRFLVIGAGSRGNAYAR AVTNATSGMIHAIAEPHPFKRQEFGRNYIWGDSGTPKDGQEFKDWRDWLRWEVKRRAQ SSTTVTNGTNCATLGVDGVFICTLDETHVEILQAIAPLQLHILCEKPLALSLSDCLTV YRALLPKEEGGLSPSSSPSTIFSIGHVLRYSPHNILLRKLLLEDRTIGDIVSMEHCEP VGWWHFAHSYVRGNWRRATPEGDGSLLTKSCHDLDFILWLLCSPPPLPADANLSAQQQ QEYFKRQQPHLPRTISSSGSLTQFRKSRKPRAATTATNCLSCPAERQCNYSAIKIYRD MHVVRADYEWPVNIVCPDIEDVVRSTSSLSEEEQIRAAEAHLLRRLEEDYSPETEDKD IAARPWYGRCVYESDNNVCDDQVVTLTWDDEPLDFNTTINDYYPRTSKTAIFHMIAPT EKQCERRGRVYGTQGEITYDSRNIDIYSFATRSTRSIEVPRQPPEEKESHGGGDYGLA RSFVRAVDAVINQGWEVERAQRCFVGCTLEEAVRSHAVVFAAEEARREEKVVRWKDWW EGKLRDSLRTRSACD AFLA_030710 MSIQTVSFQSFTDQKPGTSGLRKKVKVFQQPNYSESFITSILLS IPEGAKDAFLVIGGDGRYYNPEAIQKIAKISAAYGVKKLLVGQNGILSTPAASNLIRV RKATGGILLTASHNPGGPNADFGIKYNLSNGAPAPETVTNKIYETSKTLTSYNYAEIP ELDLSSIGSKTYGPLEVEVVHSTSDYVKMMKEIFDFDLIKEFLNTHKDFKVLFDGMHG VTGPYGVDIFVNELGLPSSSTMNCVPSPDFNGGHPDPNLVYAHELVEAVDKNGIHFGA ASDGDGDRNMIYGANTFVSPGDSLAIISHHAKLIPYFQKQGVYGLARSMPTSGAVDLV AKAQGLQSYEVPTGWKFFCNLFDNKKISICGEESFGTGSNHIREKDGLWAIVAWLNII AGVAKEKPDQTPSIASIQNDFWQAYGRTFFTRYDYENVDSDGANKVIAILSDKVANKD SFVGSTVSGRKVTDVGNFSYTDLDGSVSKNQGLYAKFDDGSRIIVRLSGTGSSGATIR LYIEKYESDKSKFGLTASEYLKDNVALALSLLNFKEFIGREEPDVRT AFLA_030720 MPLARSFDPLVWIDCEMTGLDSEKDQIIQVCCFVTDANLQLLDP HGFETVIHASKTTMDNMSQWCIDTHGRTGLTAAVLASTVTPESAASELLAYIQRYVPQ PRTALLAGNSVHADKAFLSRGPYAKVLEWLHYRILDVSTLKEAARRWAADELLAAVPR KKEVHLAKDDILESIEEMRFYKERLFGSEGK AFLA_030730 MPCFKGLAVSIHTPDGPISEYSIQRQSRASRIACYIPVPPPKLP DSAIGKPEQSTFAVSITLLNPGQDVPYSTPKSTPENPTPKPKVVGGLPGQTAERGQYS SMVAPYQPLTNSPNETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSVPESEGGGL AEREFLFREVGLERWLNGLDLEGKDVAAKIERRRQKMEKRRLKRASVDDTGDLDMDAK ADKHDKGIMRYGNDAKSPLEDVSDDDMSFSDSDDDPIPESAGQIKVALFRVLASGEIK RGEYSPQFDAHDDDDEAQQGGNGGTDADIDHTTSFAKPKTLDPKTISTQTVTGIDPSD KPYAIFTFMYRGERQLQKMGMLKDPKSQETPGSAKRRSLQPDFANIGPLKPGGTVGFL NFRDSTENKQKGKKNKTAGDDDMDSDDDDDDSILGKADDEEAKEDDQHLSPDDIRRQG ELAEGVRKIKLKRQHSSASLGTSTPKTDTASPQPSGETPAASSISTTPPTAPAVLAGI PEVPKPAANSLNGEFVGSPLKKQRASVSQADENALRRRIESGLSQPVSGALDSAASEG HQTAGASSNPFEAQPQKPDPRENEDEEL AFLA_030740 MEFSQSNIPSAQDLLSSGELFVPFSEFPVQTIYETPGWFEKKLQ EGKPFVIRGLNQIDCWDASTLNNGCLVTSSSSGVALVTSVNRSMRKIFNALPSGSER AFLA_030750 MDDSKVKSDGDTPPGDLMSQVEQRLSDDHGTSEKGHVEHINLNK NTSAKIKNPLADLTPAQVLQDVEHFANEHGLRDILDHLKKGALIARDPDNFETVENMT DDDITVIARETTHKWRQPWPLYFTIGLCSIGAAVQYVFVDSLSIASPYDSR AFLA_030760 MIFFLVPETKQRTLEELDYVFAVPVRMHSGYQLKKALPYWVKRY IFRRNVKLDPLYQFDHVATHT AFLA_030770 MVWGALSFSNETSAMQPSCNQSLTHSLQEEARLAGHDYPLFIGI DQENGLVTRISPPIAAQLPGPMALGATYASELAKEVGTVTGETLRLFGINMNYAPVCD INSEPLNPVIGVRSFGDHPGLVGRLACATAQGLREQKVVPSVKHFPGHGDTAVDSHYG LPVISKTREQLDKCELRPFRRAIAEGIEAVMTAHISLPSVDDSHLPATLSAKALNILR KDMNYDGMVITDCLEMDGIRASYGTEQGAVLALGAGCDSIMVCHTYDVQVGSIDKICE AVESGKVPTSRLEEACRRVTALKARFLSWDAALKSQGLNGLTSLKQKGAKLAKEAYSS SVTLVRDTQSILPLSPSSKIAFLFPGDKTPAGGAVDGEGLGRKGSYNASIYLDILKQW NNQAFEIQYGPMGLSTEQLSLVDAADVVIFASINARESAYQRTLGLELPRHNRPMVAM ALCNPYDFLEDSFIQTYVATYEPTIEAFTVAVELLFRPHLAKGSLPVGPEKPAPRWLE VQQYAAATDFSQVYDVWLAALPSYRVSADNLTEAITPPPHVLPVESHHLVARTSYPES KVVGFCLLFVAAQQDTVCVQLAALAVDPKLQGRGVGTALLAECRAWMEKTFKKSRLEL GSTFPRFWPGLPIDLPTEVQEFFVHRGFQLNPPVPRSVDLYQDIKEFQSPELYVTRAK ERGYTFRPLETADYQECLVGQEKNFSYNQAWVQMFHKLDPSKYPSSVMTAFDPNGKQV GWTLMLSHESPMLKPHWAFPSLCGPKTGLIGCVGVDADYRKEGVGLALLCHAIEDMKQ RGVEGVFVDWVSLEGWYEKLGFKVWWSCRTGAMQLDA AFLA_030780 MSHDDRSGNQDVSSMDLSVTINEPAQESPDSTNKANVIVQGLVP QQERPPSRDELDHLFRAEEDYDQPTTTRKELWSYYLYYNGELVEVSCFWSSLTWIALV FR AFLA_030790 MTVIFVWLGSAADYGSFGRWLLLVLTVVCWALQYGMMAIKHPNQ WPAAMGMYVVAYVAYGATLVFYAAVFPRLARFMPHVRKAREEDLREDKITLDEYDAIE SLEKNHIRL AFLA_030800 MRVVHKPNIPQKGMNTLKKFVTRNIRLVLILKYFWIYQNPKVEM VEVTAWTIPRYVSWRNEKDTSLFWIMLTSVPVTRNRTSRVQSIGQQKVSKKVKKRLWQ AFLA_030810 MISELMPQGYDNMFFALFGITNRASSIIGPNVIQAIINDTQNNW MGFPFLFSICTAAMIMISFVDVEKGREDGRKFVQKKKMLRGLGYRDQWSIQSVLYQHP Y AFLA_030820 MLKSASVKTVSVHEREIPTMSDRSSLNSATTQIATEEHLALRED FGQPPGTPNTLVPVQSKQGPDLQKEAVADDDDFEETDAEQYKRFSPARKIIIVSILSY CAFLAPISSTAILAAVPEISKTFNTTGDIINASNALYLTSMGVASLVWGPLSQVWGRR PIFVVSGVLFFIFTIATALSPNLPAYFVFRILTAFQGTSFLVVGSSAIGDVYEPRSRA SAMVWLLSGSMTGPAAGPFLGGVIVTFRSWRVIFWLLSAMSGFSALMLIFLFPETIHS KTDGDLAGKSLPEKSKLLWQRVSPVRVITLTFSYPNILITGLAAGALVWNQYALLTPI RYILNPRFHLNSPIECGLFYLAPGAGYLAGTFVGGRWADYFVRKYIKRRNGLRIPEDR LRSCLAFVCVVAPGTGVVLPATKAMGVGWFNTVSALFLVIAGALVWLTAEYGPKWREA IDSKYEQKQTRAKEAPCENV AFLA_030830 MSSSKDAIPASSKGSWSSFLKSIASFNGDLSSLTAPPFILSSTS LTEYSAYWAEHPNLFVAPATEADPEKRALAVLKWFISTLHQQYCTRSEKLGSEKKPLN PFLGELFLGKWNTDENVGETSLISEQVSHHPPATAYAIRNEKHGVELQGYNAQKASFS STIQIKQIGHALLTVTPPNADKNDPAQKEQYLITLPSLHIESLIYGTPFVELEKTTRI VSSTGYVAKIDYSGKGWLSGKKNTFNAILYKESEGEKKPLYTVDGQWSDKFTIKNART KDEVETYVVKDNKTTPLQLAPLEDQDLYESRRAWQDVASGIERGDMDAVSVAKSKIEN AQRELRRVEKSEGREWERRFFNRVDENEDQGLLQLARKAGLTSLESDKTGGVWRFNPA SADGAKPPYHKTGGEGLGVSA AFLA_030840 MAQLEPYAGDYYLWAYLPSVPAAVIFLLLFLGATIYHFWKLWKM RVGFCLAFAIGGIFEVIGYGARAAAYNRTGEIMPYCIQNVFILLGPVLFAASVYMTLG RIIRSVRAEHHSLIRVGWLTKVFVLGDVLSFVIQGSAAGLMATGSNAKMGKNIVIVGL LVQVIMFGLFIVTSIVFQRRMHQHPTIQAFDQAIPWKSHLHTLYAVSVLIMVRSIFRV IEYAMGQDGYLLSHEWPMYVFDTLLMFAVMVIWGVWYPGNLDFLIQKPASDTMSMHRP EEGLRCS AFLA_030850 MNELLALSALHLSALHPAEREFYRHHAAQLQTHALTILNGMKLE VNQETCIPLFLFAGLLNVHLLYDVLINKDQDFDHFLDQLVSSFRLHRGIRAITTDSWG MLRESPLKPLILDGEKRFSKITGLDPECARLLALIKAAKLGPSITNTYKQAIESLQHA IVSCSYGTPGAGISEITAWPILVSPEYIDLLSMRCPEALAVLAYYAACLHTRRDVWGF GDGGRFLIESIITYLGPNWAEWLDWPARALGNHHQSSQRVKISNQSN AFLA_030860 MEQQEAVVMPGNHAVDRAAVQFGSMGLNGDAADVDIDENREDAE TRAQPPQHSPVAPRASLPPSTQAQAQASTESPAVSRPAPGLPPVPQASAADSSFNDFA RYTDAHKPYDPFSQQVTQPQPQIQEPFANQAPVQPTVTTGSEYSPFYAVDQRLPYNYY GTYGQSQDATVAQRAAAGFGVSGAEVQPHIPTTQPPSRYGHVDAPNSGHNTPNPTLPG ATQTPTAQHMPGQGAYGYGYPYFSNPHYASYMSQMSGHQYGRNRPMYDDARRYDDHYM PHTAQYGYGSQYGPYGKAGMYGQPHGFSYDHSSSPATTGSFTQAMPGRDTVYGRTGSA QPSESQQSTAGSNTFGSGMSDVFGRSQGGFGQNQPISQQPPVTTEETKTFDTPKASGP SPSLAQANRPGSATNSVPGQPQAQTGLPPLQGQQGQQGFGTYPHLNPQYGGLGGLSGH QGAANQTHHQATGYGNYGGAGFTNYYGNTGRGGWGGNYGH AFLA_030870 MKGTSSLSLKAWSKIHPPLPRTPRESQQLLKALTSSFRRQLDRE YPPSAPSDRDGSNDRTPENPHSSVHATDRHLRAILDNPLFRVVPSKSAAARNGSGTAS RLQQRIAKEPMVVFDELVASGSVTLPTLRDCLSSQMLLASRHIGNGLIQAMKDARAGS KVVSWWFASDSATRQMLFKSRAATTTLVKFLVAEGRQGVVLDWLRMLANHDIGGRNGQ LPEKIAQQVFGHLLVNLVTAEIQYGQGLSLAMRYYLQTCKSQMFKDNVVLNLSWQPVL LPAGVHLCESLMQSSPSRSKELNGAMYNEYIEVLSKLAPNSCLLATAPLYHPTHPDVK PFLDFVDTLPPGRVDSSTGLKRESFVRAGFDALRLLVDQDKRRDVLYLARFLQQQLPE KPDSANASNSNHGAYTEREDLLSQLDLALA AFLA_030880 MAPEPDSSVNAPPTPEASNISGAGTNTNTTDAAPKQTNGESTPP KSVDANDQPEENKSPEAAGSSKEHKPAEEAKSGGHASISQHDGLKESTAENGIAQPSA GDKREHDPTSTTPNAAKANVENSAEPTNKKRRTTGTRTRDGNTTAPATNGGKPKASRS KKTKDDVKKVIPTDGIGSRTRSRTKAIS AFLA_030890 MSSNKIWLRAETKPAEARSALTPTTAKALMDAGYEVTVERSTQR IFDDEEFAKIGAPLVEEGSWAKDAPKDAYVLGLKELPEDDFPLEHVHITFAHCYKQQG GWEKVLRRWPRGGGTLLDLEFLTDEVGRRVAAFGWSAGYAGSALAVKNWAWQLTHPEG EPLPGEVPYANQDLLTQSVKESLEAGKKQSGRSPKILVIGALGRCGNGAVQLAKDVGI PESDIIRWDIEETKKGGPFQEIIDADIFVNCIYLSSESIPPFVNVESLSTPNRRLSVI CDVSADTTNPNNPIPVYDITTTFDKPTVPVTLPAGTQGPPLSVISIDHLPSLLPRESS EMFSQALLPSLLQLKNRKDARVWTQAEDLFKQKVATLP AFLA_030900 MQEKSTTVAAYAAGASLAAVALFYVFGPNYTIDGDESSDSNRKK SIVGLSNPANDCFINSVLQALAGLGDLRVYLIRELHRRELDGPEVYNSLPDVKELASG EKSEKIRELQQGTITRALKDMLDRLNERPIYKKTISARPFIQALEYAYRTRISRNQQD AQEFLQIVAERLCDEYHAGVKARQRLQGPIGLPTGSEISKSTEDVTSAKSPSEIEVRI DDGSENGLPAIIDTKLKEIDNEYGFPFEGKLESQIECQFCHYKYKPNQTSFVNLTLQV PQKSSTTLSACFDGLLKTEHIDDFRCDRCRLQHALEAKMQDLKQTRGVKEQQLLQAEI QKIQNALSTDPEGPLEGVTLPPAEAAPKRKIARHMRITVFPKIIGIHLSRSIFDRSSS TKNAAKVAFPERLPLGGILNQKWFKLLAIVCHKGSHNSGHYESFRRNHLYPPFSTPDV FSSYAQSRVTSENPSQVPSPRMGPRSLDAEPPALSISTSTSSPSSSSLSPAPSRSPSR KKSASQLNPPASPAPRPSTSSSSRISFQSSRTKSKQNLSPTSDPQSPATDGGRWSKSS SRPSFMSDRITPGTSAETSTGPTSRLRRRRKANDRWWRISDEKVKECKTSDVLGMQKE VYLLFYEMEKPTNGSQVP AFLA_030910 MAARNKYVNKLHGKHIVIFGGTSGVGFCVAEASIEHGANVTVLS SDPSKVDAAQVRLLSSYPEAKDRVRGLTIDLGAPDVEDQLVSTFEQVQPFDHIIFTAG TFEFDPEDWIQPRADLDRIRNTANVRIIAPFLIAKHAPRYMASNSPACSITLTSGATA ERPMGRGMAMHTMYSTGLYGLTKNLCLDIAPVRVNLVSPGPIDTELWDTIPEKEAMFA HLKEKLPIKEIPTPENVAEAYIYCMKDRGLTGAIISTHGGGIFV AFLA_030920 MAKSMIEEAERRGDLKPGTTIVEATGGSTGSSLAFVLVECIRHR KLRTMAAFGANLDLIHSPSGKITPTLIPSMIRHAEEVSRSDGYYFTNQFKNRDALVGY ETIGRELVQQVPEIDAFCGAVGTEGMVMGVARVPKAKRPETLISVLEPAFSPTITQGR PGTHHVEGIGIGIIPPLLDRQLYDEALAISEDEGRRMCRRLARQEGLLVGTSTGLNVV AAIKLARKLGSGKTVVTVAADTGLKYLMGDLFTDE AFLA_030930 MGVGVLEKLSRKTGVIVGDDVLRLFEHAQQNNYAIPAVNVTSSS TVVASLEAARDQNCPIVLQLSQGGAAYFAGKGVSNDGQQASIAGGIAAAHYIRSLAPA YGIPVVLHTDHCAKKLLPWLDGLLDEDERYFKLHGEPLFSSHMIDLSEEPVDYNIQTT AAYLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIHKALSPISPY FSIAAGFGNVHGVYKPGNVKLHPELLKKHQAYVKEKIGSNKDKPVFFVFHGGSGSSKE EYKEAISYGVVKVNVDTDMQFAYMSGIRDYILKKKDYLMTAVGNPEGEDKPNKKSFDP RVWVREGEKTMSQRVKVALEDFNTAGQL AFLA_030940 MDTHALWRREDTTEQFLKLIQDPFKSAFQINAVWASLATSAGCS VLLALLFSLFRPRHTVVYAPKVKHADRKHSPPPVGKGLFAWVKPVLRTREPELVDCVG LDATVFLRFTKMCRNIFIFLSIIGCGVMIPLNLTQSNQDSKATLSAFVTMTPLYVSVQ AIWGQVVCAWAFDLIVAFFLWRNYKAVYALRRRYFQSSDYQRSLHARTLMVTDIPSAA RSDEGVMRLVDDVNPTAALPRAAIGRNVKGLPKLIKEHDEAVRQLESVLAKYLKNPDR LPAKRPTIRPPRKQKGDETPAKVDAIDYLTDRIQLLEEEIRHVRASIDKRNAMPFGFV SWEKIEHAHAVAYTARKKRPQGTTIRLAPRPNDLIWENLPLSKKARKWKRFVNVIWVS ILTVLWIAPNAMIAVFLSNLNNLGLVWPAFQTSLNGNPHVWAAVQGILSPAITSLVYI ILPIIFRRLSIQAGDVTKTSRERHVLHHLYSFFVFNNLVVFSLFSAAWTFIAAVIDKK EDENAWQALIDGGFYSKARNLGAAIDLVQLVPLVWVWFSKTFLAPTPRQAIEWTAPPP FEYASYYNYFLFYATVAMCFATLQPIVLPVTALYFGLDAMMKKYLLLYVLVTKNESGG QFWRVVFNRMIFAAILSNAVVALVATARGTWTMVFCVIPLPFLLLGFKWYCVRTFDID MKYYNRANLSDAEALETGKSSKKASDRLSSKFGHPALTKPLITPMVHAKAADALKRIY RGRIGTSEVEGEYTDIAMDPMSASHPGKSKMEASESAPFEVVPENHLDFSYYKDRPDF RDEFGGGIYGRPDDLITERSQTPRTGLGEWSPTSSRAASPTPSLPSISGLRQYDGYDT STNDLVHPAFRTPLSHSESGLVGNGLYQHGDESEARLLSQAQGPAMTDSAHPFNRWRP GGYGPVEQEDPRTSYDYYRRPRQL AFLA_030950 MAWYSLFPADLIYVENWIARLFLVLGLITIAPWATLIIFDLVLY VWRMSTYELPIIGGRARGRQRPRAPSLNERPNGQRRAFVLASYEATGVEKEQNNGLKR R AFLA_030960 MGLYMVEAIATYRERVPLLFLISLLGFGIAIWKYKPAVSNNCTP NPTSDNESQKTYPPIEPLPDFNWEATEPLKFRPFKPKYHLTMALSNLDPSTLIQMDKT YKDRLAIRSSLLQKHPDVVIGINNETDPRIYAAIRELYIFVVGTYLPTRYPRMFRLTS QPSDNNKKTGTETKTILESMVTGAKIPLHFDEPEPGSKTGRKELETLGTLVDEEFLIL LPESSPTDSSSNQGESEKYILEAYTTFFPSGFDTRKKLGLRLASIHTPVPGYKEKLER SMDRFFARVEVGQFVQRVNWSITTDSELFAAFGGVHGDKGESGKTLDLGELDVDSTVL RCERQTLHRLPQSKGLVFAFHTYTYPIQMIKDEGLGEDLAAAIDGLKEGNVPEMHWYK RGPVWGEAVKEFLRS AFLA_030970 MSNPVYLGVIGVGGVGTAFLSQLARLPNAPKLVLLARSSQTLQS PTPAYSPAIPAADWKTAVETPSLIKSGALSVDEIASYLSSAPGRSILVDNTSDLTLAS SYPVFLRKGISIVTPNKKGFSSDLSLWKDIFAAAAEGKALVYHESTVGAGLPVISTLR DLVSTGDEVTRIEGVFSGTLSFLFNTFAPVSGPSGAKWSEVVAKAKELGYTEPDPRDD LNGMDVARKLTILARIAGLEVQSPDSFPIESLIPKELESVPSTADGIKEFMTRLPEFD GQMSAIKEAAEKEGKVVRYVGSVDVGKKEVRVGLQYFDKDSSIAGLKGSDNIISFYTK RYGGNPLVVQGSGAGGDVTAMGVSADLIKVVQRLQ AFLA_030980 MSNPNSLYGIPRSKLASQSQSNAPSSSTLAFTTALSSLINKDAD TSTRGRPRPSKTNKSDIFARPNKGAQKRAAADLRDDDTHQTHQRSQDIGGVDTATLHR SKRRMEEKARMYEDLKKGMYLAAGSDSEEETQDEYLARLRRREKEGLVDFDQKWADAQ RGKGSGSEGEEEDEEDDGNASIVSYEDELGRTRRGTRAEAAHAARLKEEESERGDAKE RWRPSRPANLIYGAAVQAEAFNPDAGLAAQMSYLAKRRDRSPTPEETHYDADAEVRNR GTGFYAFSKDENVRRQQMEELMNARDETQREREIRRERKAERERVKDERRKKIGELRS KRQAEMFLAKLGDVGV AFLA_030990 MALRTSADNAEDVAAGFREFREHLPGHEAEITGLIADLFAISSA LKRLDNLTNDRRHQHNLAIVQPDLELVRTSLKITLEDIVDFFGDLEVRRGSARDVYRR TWIELCEFFRDEGKDSPPTRLARYKTYLNELEDHMTDKFPDPSFMASLRTGLKGLLAQ QCSRLPHQLGSMSLSTPSSPSSHSTEPGSPVSDRRPRNRRSYERARPSHSSPHSPLSP ASGNFSDVPPSAPDAPGSPFTSSATSHSLGSNTISDHWAKSVFKEARATTRIPYVGES SKCLGDVTPGVKRWLNETGFEELFQLAFNGDSDLRVYLYVREDDHRARIVCKTFRSPR SSDYHCLPLNMLEIVRVGSCLQLCRRRRGGQELVLWANLKFSTLEHMVLFFCTFLALR SQDCGRPVDRIRDYELDDEEELFGGAPVWTAFITNHIGTRGWIRQADSRVVLLRELHR TIFTFDHYDPPRTSRGEHIIKFSNRSDAEGFMQTIAELAGR AFLA_031000 MPKEKTTRKTKGTRVERKKKDPNAPKRGLSAYMFFANDNREKVR EENPGISFGQVGKMLGEKWKALSEADRRPYEDKAAADKKRYEEEKAQYAAAAEEDEDE SS AFLA_031010 MASAKARSLPHLDPGEVSLLDFAEDDPRDAVPFSDKEALILQLY HQLQEQELEKALLEQDAEILSGDNAEEQLATAERELLEARATYTVRRKALGAVLMTDP TLRAVHLKAIYPAEQTLLRLINRRDVLSLAHENLNTALSSTLKKLSNAEVENLQLHQK NKALVRELLDLTKNDESWREELDDMSIKELLEQVKADHKRSKAKWETMKSITSAIVVG SGVNWAEDEELVALVLDDSDD AFLA_031020 MARRTWAEGLTERCELIHKDIQERNERTDIINRAISVALENLKT HVGTLEHRFTEAQTWANDLLKEQHVALDGWERTFATLGNIPARKDFPFLGRPSTPTKG SDNSTGTLRDYLDTDEVHRAGSEAVDVSSRFARQVEDVEKAVGGIAADTQHLVDAAVP AGVDGVEGLLQEVETISRKIQSDYEHVLALPNNQKTLANISRLALSHTKDLLPSLLEV SAEIQTNLEEAARQYNAAVKAAFNHMRQISLIESRLADVQSQINNLNFQSDAFDVLYT VFHMPFVYGSILIESVRRREFSDKMKSDSLTLAEEMSVFQDEEQRRRKKWIKNMGDFV SMSDTTTPGIEVNLRGQEYEWPVVSRKEIEAYIEELKTKPGMASPVQELTQLYKELDA PTRLQRRRAKAFKQGSVFDLSRSSLLLRSDDMVRSLRDEKSKLEEKVKGSESRIRKLE DLLHRQSHMGRPASGNFSIDFPSSPASPHPDTLSRRSSVSSRRMSSNQSSEEKALVQR IVHLEAELAAERETVQKLQKDAHAERQSNTDKIQEVQSTKNDLIGNLEARQREFDDER RFLEGEMKKCRIRAEELEEELDRIMESREHEKQDADERIHQLELELQDAHARAEEEIQ KATDLTAYTQTLKDAEESLRIRIEELEKQESERRERERESNQALQAAFMNLSPGGSVP VDTPSIVKAIEVLSEGLSIHAKNAEESSAKAVAESKELGERLSQLESEAEELRKTSEM RASELSLVKEELAQEKTRLENVASDLDDERSKFIALQSKLASGETGSDALQERVIEEE RKLADLSQRLNEVEAQARKAEGEVLVWKERVEAMAETEQHAAGRVETCGTRSQELSKQ LFRQVEKVEHMLEQLGFTVVRQNGDIVVQRSSKVTALSSTAESLSQSGVVSVRPDPTL LDWMHADTSQEETDRFMAFMESLYQFDVDIFSDAIVKRVKDIEVLARKWQKEARGYRD KYHRTQSEAHDKIAYRSFKEGDLALFLPTRNQAIRSWAAFNVGAPHYFLREQDVHKLH TRDWLLARITKIEERVVDLSKSMNGANPDRRSIGEASDGTSFDDENPFELSDGLRWYL LDAMEEKPGAPATPGLGKSTVAPAHVDARGSIRLKRTSAGGNVARTLSKSLDSRRNSS NSKKGPATPSQRGNDSTTDLARQADADSTTATAGSQPREAAPTSEEGLEQTQSALGLG QQRQTGGVRSPLSSRFDYSGAVSPSRSTPSGRQAARYRPWEKLWSVDYRLEGGSR AFLA_031030 MATPDRRTARTGLSRRNYEQQQRSPFHRAYLDSHATDRFDASQI PNPAGEPRARRRRNLSQSGTLRGAFEAVSRYPTMSENVTGDPYASGTPNRRKQSFTHT SPDSNPPNELAETYRQIDDAGSLVDQDEEDFRFSINRFRDNRNARSSSGSRTRGNSLF SSADADFLNEVSDESLRRKLADHIKDEQRLKRATANRSPVLSKAGTPAALTSENLQRR DEEEQDVFQEEEEEDHLRPSLNVPSNWGSRGTHRRDWLRNITRRTESESGRTEEGRKE ASPRNLKTDVRSHTGFQDRAKLPKPRLNNLRPPASGNKGLEAPSGLGVPTIFEEPNSS ASQQNSETEKESKREDDKQKARENERKMEMDKSEKERESMANVKPVDTSQKQDKEKGK KTENQAEKAKDKKRPPLVKPDLPKSALETVMQDFKADKDSLDVGDDTLESLQQILDEK PSDLKTEAEDDAEYEKSILQKLELESSGSSDGVDLDRLNKKLESLTENINKVKKGLNG LEDQVLRDAATLAAIPASPKGKLPSSHTCDNCETCKAHHNGLTSASYLPRLWRCEPGS HRIQPTFLGWCSGLLLLWYFSESTMCDYYCHPFVAEACEGNCLLPDAPRFPFVIPTML WRWLHLSSIWTPLWAVMVVFFRLTTQLLGISDGYVDDTPPRALNLSGEIRIRGTRVEG FPAFATSKYGFSAPKKQQQTTAQKPTPIAVPELDLGPGVRQGGMANLEDDSMDDDEFI AFLA_031040 MYTPSIIQGLLLAITLVSSSSAKLGINCRGSANCNTFGNTQMAF QLKRAIDGIDPNRWYNNGEHIACVGSGARITGNGGFCAFLQNTGGTNGAVIKSLAHYI PEHGCKVCGSVPYFYPQGNNNVDDGELTFNYVDNACTKDEAKLC AFLA_031050 MLATVFLIVASALTANAKLGINCRGSAKCSVLWGPSDAAQQLTN VIQHIDTNRWYMNGEHIACVGNQAGNGGGYCAFLQKTGGTNGGVIKNLAHYITDHGCK QCGSVPYYFPQGNNNVDDGELTYNYVDDPCATAGTQLC AFLA_031060 MSTLDSPIALLKAMVVRIPLILKTLFLHTIRLSPVSGKQDLRTE LTVAIIRSFIAFTTTPVGKQQKGSMRDPGIKGPMWISKVTLPPPENDVQEAVFKAFED LKLGGETYDIPGVVPVEAEWTSYRSGVDKNAPQPDISEEDKYKELRKEAQSDTVILYF HGGAYFLMDPCTHRIPVAQLSKRTGAPILSVRYRLAPQHPFPSALVDALVAYLSLIAP PPGSLHEPVPAKKIIFSGDSAGGNLCLVLLQTLLTLRRISPTIRFHGQDIPIELPAGL AMSSPWCDVTRSMPSVVNNALYDYLAPPSQVPETLYQPPSIPADTIWPCKPPRVDLFS NANAAIHPLVSPLAARKELWKGSPPIYMNMGEEGLSDEGLLLARKMCQVGVPVVVEQF EGMPHCFGLLMVKTPAGKRFFDGMSKFCSDAIAGRVEPSSCLTYIGYKLRSAREIPLD KAVSLTDEEVDERLRKSAEWRIKGEEELQKQYYEKAKL AFLA_031070 MFKKPQVFSFGGLHLLNPSCHSSPAHPRPWQLSPHRGFATAHGF REDDLSWPSSSSFTPYDVFKQDRNAPYSKHRFYELVKIYHPDRPCNDHPLCRDLSPET RVHRYHVVVTAHEILSDPSRRAAYDLSGAGWNLHPQGSHPPWARPGSRDWSPIYANAT WEDWERWQNRYNGKQQTMVDHRTFARLIILLTLLGGALQASWINKLSIGHEDRLQQLN EETMRLLAGRRENTVKQMPSSEAKVQHFLIRRDPSGVGLKGQEQQVYQKVLYPRESSS EEAHPVKILGNTTTDAKEPDPTS AFLA_031080 MPESREDYRDRSRHRSPAGSPHAKSERRRKYDDEYESSSRRRDD RRSSRRDGSQRRSSRSPSGRSSRKRDDDHRRRERRERADNSDDDRRRRRHRSPEERRH RRHRDRDTHDDKERSSRSYRTTSRSRSPSRRSRSPSSRDPVRSRGALPSQQDAYTSEV AQKDPSAPPPEKEKPNFANTGRLAAESNTVNVSGGGTVVLKYHEPPEARKPPAKDPWR LYVFKGDDLLEVVELNERSCWLIGRENLVVDFPLEHPSCSKQHAALQFRYVEKRNEFG DRIGRVRPYLIDLESANGSAVNGDKIPGGRYVEVRDKDVLKFGLSTREYVLMLARTE AFLA_031090 MSFITRVAPRAGSLNSIVRSTATPSLFVGGARSISVTAAKQKGP VEAAKDTLKKTDDVLSGAAVKGIEKGEQVAEAIRGTANKNTGELKGDAAELAGQGKSK AEETLGSAKGKTEETLGSAKGKAKETLGEAKGKAKETVGNF AFLA_031100 MDQPVQLLSYQRIKSKSTFIISLQHGNGTATPIYEVACLSSKPN LSISRLQPAYQQQPPPPPQYGYPPAPNPYYQQPYPPPNPFPPQQYPMHPQYPINNPPP TKTTIGTVSLSSMSSKITISIHNIPELKMKRPDFLASGHQFTHPRYGTLEWKESDLLE KRFKLVDSNKTVLARFDKWKLPDHQRQESKSSMWGGSSSSSKKKKKAWAFQIFVNADP ELLDWIVVSGLGVVEYRITSDKEWEEELLGNEDGWSALLG AFLA_031110 MALKLRAGYRNMHCHSLWQLKQVSEIAYEGTGGTYYTCSIIRGL YNTETGHLTREGKQIKA AFLA_031120 MEELALVSQEVDGPPFKQSEKVLLFSENPVPCPLFERTVRSIEG SQRSYTCSESMGGKLQRPSTRYGCSRTVSITFQANALVKMFVASQRTTL AFLA_031130 MAPSRPQGRRRTTLLALGLVAIFIWLVSHYGSHSGEHNHVSSAA HGEFWRQFQPLLKQWRPNCDPPERLGKAESVGFDPKTTEQPPNLTSMPDEDVLKMKHA HSKFVHAIAKEPPALAYEPGTRGIVSTAGGSYLPVLVISLRMLRQTGSNLPMEVFLAD WEEYDGFICQVVLPSLNAKCVLLSEILDTVPDSKTKIEKYQYKPFAMLFSSFEEILFL DADAFPLQKPELAFTSEPFKSKGLITWPDFWGPTASPLYYTISSQERPAPNIRQSTES GEVFISKRSHLRTLLLVAYYNYWGPGYYYPLLSQGAAGEGDKETFIAAAMVFNEPFYQ VSEPIRALGRHTNDGFAGSTMVQYSPMEDYALTKKGEWRIKGASVPAPKPFFVHINFP KFNPATVFSDNGPVVKEDGSYTLAWTAPDDVIDSFEPDLQRQLWKEIRWTACALEGKS ISWKGQTGICEKVEDYWNTIFR AFLA_031140 MPELAARVKQIGLTQVYCSKERPLVDIVLVHGLNGHPYNTWATQ GNPPVFWPADLLPEVLESSRVRILTYGYNANVASFTDGASRDRIHHHAETLASGLAAN RNLRSCSDRPIIFVCHSLGGLVVKRALIYCKNVSDAKIQHLRSIYVSTYGILFLGTPH NGSDIAKWGLLLQNICSAVLPKKYMESSSQLVKALRTNNETLQNINSLFADMMSRYHI YFFHETLSTDVKGTRELIVDESSAAPYAEGVERMGIEADHRHMCKFEDDNAPGYEAVA EALLRYSRDAPATILDRWAEEEQTRRAATQNKLKDLLRNERPDSTSQMEGSEPDLRKI GRTQFLPANTSATSSVTMREYEVEEPPEHLYASNTVPPLISLPPNSGRQSPVSDMAGS VTLTVPKRELFVVPPGFHPNASFFGMQKELEILHSRLYKAKNRAERLMAVLVCGVPGS GKSHLARQYIWSQRKKYPGGVFWVDAKTRESTAKCFWDIAQAAMLTEAQDLQQPQKYV EAVRNWLQVREEWLLIFDGISFDHDDDLNNFRQFLPFNKNCSIIYTSVDKTLRKKQRL YEPYCLQIKPLQVEDACKLLFKDLGIRKPTPSQIRKATELVTHYECLPLAIHAISHRL SATSKSIDKYHVNSHLTDEKLAEPFLSIMHDLYRIGHFEALNLINILSFFGHHVPVGL INLGKAALETWHVDILTSSRPGEQGDIDTTLGILIRYGLIERHTDAYALHPKALSPRS EKDEILDIAAVAPDLSESQTESSQDASFSVYQSSGSIDLIKIHSVVQGFCRDELKIMD EERRKSFSTNATNSDAGFYDSWLVVATRVFCMSYEHAKKRMDRLDDYGLVKDYREYEM HASKLLDNFPKKSSKEPKTLREVRHDLGQVMRSISNEIEKISPSSSQESVRKQRSVFD RSSSSSSSAPESATDEGPSRTLTWEFSDMVERKAESPEEMPISPPHFNLKPFLPHIFR WSKGDDEKGYESDGEGLQAIHRTSPALSQVSQATERPKSSRSSSAAHTTDDQEWQVVE KSPRLKASRDRRPKQRPKFPRSAWGIKPAAPILRIFPVEGRSASSSILEKGSRSSSII SASEALTAVHNASPPSAHEKFGKAVNDRLMLHKENVPTYATVAARRTQDAAASSKQRS SSTPGGQTRPKLLRLKSKSSGGSLHSRLGNAPLLPLPPDLKSDPMSRSTYSEPDQAYL THQLNALDLRTPHDSRYRSRHLSTVRAMGAVDMSASTPSVLTYLPPLPYDNNIEVSYS RRVSATTQTATVSQPLASFNPITHPSAIMPGASPPPSVAAEAPTGYASDPAPEPMSRG GSAQSHQSWATEPVRYPPRLSPMPSNAQTAISPGMSHILPQQQTLANAGSWIRDAHTQ GIASALQADIITCAPPTQANLRPIPPLDERFGAGTAWDPEPPPTLHFGAHRVDVRDAR QRLEGYGVYGTQTVQHAVPYSLYHANRSGPLAHHELGLQPQELHGMRARSGSSPTRPG SDGLGVNW AFLA_031150 MPPRLPLSHTLRQPSVLSVRVRVTRFSTSADDAVIQTQQIPAPG SGNIRVLLLNRPKARNAISKNLLDGLSKHVQSISAEGGNGPTRALVIASNVDSAFCAG ADLKERVNMTKQETNEFLSKLRGTFRDLAGLPVPTISAVSSMALGGGLELALCTHLRV FGSSSIVGLPETRLAIIPGAGGTYRLPGIVGVNRARDLILTGRRVSGPESYFMGLCDR LVEILPEEEQKEGAAREKVLRESIKLAMDICEGGPIAIKQALQAVNDFQKGEVAENEA YDGVVETEDRFEALRAFAEKRKPAFRGR AFLA_031160 MPPLDRKRRIKFKIEHRVSLQEIAADYIYHVDRLLDLVGEEGTQ GNSMELDVALRKVFLNKEYRKYLRARQYSVKDVVSWAWILKSRTPYEAILRVFALEIQ SDPKEKGGSAARIIPPFIPLLLLRQGLDTKSFRLLLIYSLRLISGQPHPKLGTPLSSA KDYNLFDELRLSHDAKPLIDPNTCATFVVRLLHHARQLWPQAQLPIVRTFAFYLTLLE PEGTGTVASATPRNIQVLAGKCNTFLRLLSLPCRQGPFTSASIQQQAQFELLRAMARN QPVLPVTRRGYQGIIAVQLAHKKTSAERQSAELKAPSWPPWKEEKLGLDSQRGIEGLR SRAMRVMSQMKEAGYAHSRWEEVSSILAGWDTDKSPTIQTRTLARQPWRLRGRIGNAD HHAIWEARIRATRTVREAWACFLSYRDQGLPPRGSIYTAMAEKLIYRRKALHANFDQT SHALPGDALETFAEPLSARDLIYVHTEPPTLDELLTQMLSEGIRPQARFLSLLLRYAP TFKSGLEYLSCSDMSNEQIRALCTVWAHESIYDEQSRKVVNELPAHLFSSFVYFLCKF STFGELSARHARDAFPIILGSSQMANGETSTLFSQDEYLRNGDEYRHPKTLAHAIELL RVRHPRSPQAWVFVLSALNKDRVTGRFRKMDRDIQRVIAWHEILEIAGRMEHHDIQLG LQGFQILCSGFSRAVSSGIKNVDAVEEALEVVGNAAHQGKLAYIGLPCPRFEDMVQYG LRGLKDQFDRLVLPDFRTPSLFGSGKPYSENVTDAQVILPPLLHVPSPAVLHAFVRSL GLAEDYDGLLSLLRWMSQYATPLKEASDEYLNGDMMMRRTLVAMRLFLEGYRERQSWG SLRWTASAAQARGLGGSEYTSEVSPSDSERMSFSDPNLQEAYDIVTATHVWGPWPSDE EVQEYYAVHWEEHEVQ AFLA_031170 MSADEGGGTASIPATNGDLHATTPDPPSLATPAKRKRVSSHDDK VAQDAGSSASQAQEKIKLQETLRNLVEILSKNDTDLQLLSCPLPSSPTKPRSKRAKVS GEKDEASTIQSRVASNRYNTLSEFLSDIEKASAAVIERNKAQASGLQADGSPVTETVN RIAAFKKLLNSLVRQAHTSQSNIKTETSEEDAETPAKSNTSNVEARNENLVLTLFGNP ANPKQLYSSLQKSVKVPLPSDDPKAEKYVEVQAPLREVGLPNGITTTKIEPYNLDENP AEPKRTFGEVFAPRSTLPQLEMPRKTKSSSRNALNGWIDPFDAITDFKAFRGDRNNYC LAPLPSGQWLQYGGVTSSPSYWNRRDKHQPSQQHSDEKYTDDPSLWVDEDSTVLQGVY SSFAPSFDSSGAVVQADSKDLVWWSKRGARRLETLLSLPYQEAAEETTSERPGNIGEL DESTLEEMVKNFKPEEFADNVTCSEASKADKEEEAKSRDVEGLLHDISDLLETLSSYQ KIRNLDVSAPNVQGAEPRETGSDSRSSDDPSTEELGVYETLRSSLAALISNLPPYAVA KLNGDQLDELNISQKIVIENPDYRGTMEKDDFTAHQERSAAMAPMSGAANRTSTPSRY NSRAYSSGSRAQSQGGFAQQPYYSGRQPSTSGPYTPGHPQQYAGPRPPVTPSQRPGYL SGYSQPTPQFNQQFQRPGQNGYASYSGQQGPAAQASPQPYTPRPAQPGAYNASYAAGR SASPQKPASYAAPRTPYMTPGSNNPQQRFIPQQQQQPQQQPQPQPQQQQQQPPPYGNY PSNQAPPQSAAYSNSAAAMTYARSAAEQAALMERNRTQLAAHARQSSTPQPPTEGSSQ DRSVTPGNRQNGNAVPS AFLA_031180 MLLYSGSRRSKKASKDGGNKASTASVVSGKSHSTSKTSRSSGDA AKKKSTPEQSAGPKNNVSSSKKAQNTQPARNLNFKAAGPEKKVPDVFEYLESDSDTDS DDSYVEDDDIPNSNPRRSNGSFMNPHVRLARQANMMAQGMGAPSRTSSVRSKGSMDSY QVPGLFELSTRNSTARRKMSMEGYLTEASNNSDKRKLRLSPMPESYEPSRDPTSFHPP LPPSPPQSPEEEPHCINRKSRRNTKTSSVPSGYGLLSWQLSASVEKEEPPLPPLYRRF EDLNHRVLLYLQDEIAQMEEELHVLDEYEEMHRVATAEQEGTSIVPASRRMDVAQAQA YSSLHYRREEVMAALVQKTQQYNNSLSAYSKVTQTLPSASDKDIDTYRTWMKENVPVA AAETRFLEHKKDLISLTMRSTSNSTSAFSAIIIASAAILLPLLAFSMIAEFSGRLLVV AMVGGAASAIASNSAAGAEKLVASHDGWRIATL AFLA_031190 MLRPWLRQSTRAARSLPCCQCPRPYSSRLPTLTSPSSSVRRLQT SASESQDRVPLRKQLKQNAKALKAEKRQRRESEEASRQKWELTVGIEIHAQLNTETKL FSRASTSSTDLPNSNVALFDLAFPGSQPEFQVPTLLPALRAALALNCDIQPVSRFDRK HYFYQDQPAGYQITQYYEPFARNGYVDLFGYDGIAPEDGDHVRIGIKQVQLEQDTAKS QEYHPSTQLLDFNRVSHPLVEIITMPQIHTPATAAACVRKIQAILQSCSAVTTGMELG GLRADVNVSIRQRGDTEGVHQYGGIGGLGQRTEIKNLSSFKAVEDAIIAEKNRQIAVL ESGGVVEGETRGWTIGSTETRRLRGKEGEVDYRYMPDPDLPPLLIGADLVSELANTLP TSSDELIGLLTGKEYGLSIEDAKPLVELEDGARLEYYQDVVDILRDLQQDQDPKSRGG LARVAGNWVLHELGGLLTKADLPWDAERVSALSLAQIIDHVQRKQITGPTAKQVLAMV FDGDTRAIPQLLEEENLLLRPLSREEYVALAEAAISQNPQMVEQIRTKNQLGKLGWFV GQMMRMGEKGRVEAPKADAILRELILG AFLA_031200 MTQPSTITQRFLSKPGNLGVVAVGFNGGQCKLGVEAAPMALVEA GLLDQLRDDLGYTLDYDGTVHYYENQIPAEDPDHRGMKKPRAVSAVTEALSAQVYEKA KQGQMVLTLGGDHSIAIGSISGSAKATRERLGRELAVIWVDAHADINIPEMSPSGNIH GMPMAFLTRLAREEQKDIFGWLQDEHIVSTRKLVYIGLRDVDRGEKQILREHGIKAFS MHDIDRYGIGRVVEMALAHIGNDTPIHLSFDVDALDPQWAPSTGTPVRGGLTLREGDF ICECVHETGNLVAMDLVEVNPSLESVGASETIRTGCSLVRSALGDTLL AFLA_031210 MAVVYRRRRYHWPELQLNIWILIVLSASAICMGIFAWLMSVQSE MRLGTPWLFPFMVVSGALGIFFIILILILAAQRFLLPGIIMLGSFILFVLWLTGLIET SLQLYGVVGNVDDNCQIYIVDNRAGGNNMQTLAWLTQKTICDCWKTAFAFELVNTIFF LWMMIMSWQVNRDVYD AFLA_031220 MRATIQMVHDNTALTVSEKEAARVEEDAKRRLLSNRKLSLVVDL DQTIIHATVDPTVGEWMEDKDNPNHQALSDVRAFQLVDDGPGMRGCWYYVKLRPGLES FLQNVSELFELHIYTMGTRAYAQHIASIIDPDRKLFGDRILSRDESGSLTAKNLHRLF PVDTKMVVIIDDRGDVWRWSPNLIKVSPYDFFVGIGDINSSFLPKKQELGAVGKSGEK VGTRHTKPPPLEHHVNGTTAKPEGEVSALEQLVTMGGGDNPRLLQEQTDAQEETIMHQ VEDRPLLQKQKELDAEEDSAESIDSSSSMDESQDSSKHRHHLLEDNDRELFQLEERLE QVHKQFFEEYDLRRTRGLGGRVAALRGEKTPSKDKDVDLKLVPDIKDIMPQIKRQILG GVILVFSGVLPLGTDTQNADISLWAKSFGAVISQKINVKTTHLVAGRNRTAKVREATR YTNVKIVTTQWLLDCLTQWKWLDEEPYLLPVHPDDRGEPISPGSKEMESGWLSSSEDT GDFLTEEEDASESTEDLLKSTGLDEHSPIGYDEDQQAAVHEELKEFLGSDDESESDSE VSSWAEEATPNKKRKREEGSEGGDDEESQEEGDQPGSRLSQRIKRSYERSTGLKEVAT AATDDPAQESHVATESNDESAQKESDEPASQDLNANLPEDPAEDDDELEREMMAAFED GDYDAKAEEDIAAENG AFLA_031230 MLLRLPPSLHYPITVTSLLKQPGDSVERDEALFWYVYQTTVTEG DGLGNKIEVKRKFPTKFESTVDGEVVQWKIAKGDIIDEPVEVIEIDEPCAHEVQFGGL CAECGKDMTE AFLA_031240 MVHDIFQTQIVKGKSYIYTQHNYPGILPSSADMKINTQGAKFYY LRRILHDYPDAQGIKILKNLRTVMAHDSQILIDEMVLPGTNVPWQATLADLSLMVSMG GKERSKEQWEAAC AFLA_031250 MKSTLVTASVLLGCASAEVHKLKLNKVPVSEQFNLHNIDTHVQA LGQKYMGIRPNIKQDLLNENPINDMGRHDVLVDNFLNAQYFSEIEIGTPPQKFKVVLD TGSSNLWVPSSECGSIACYLHNKYDSSSSSTYQKNGSEFAIKYGSGSLSGFVSQDTLK IGDLKVKDQLFAEATSEPGLAFAFGRFDGILGLGFDTISVNKIPPPFYSMLDQGLLDE PVFAFYLGDTNKEGDDSVATFGGVDKDHYTGELVKIPLRRKAYWEVDLDAIALGDSVA ELDNTGVILDTGTSLIALPTTLAELINKEIGAKKGFTGQYSVDCDKRDSLPDLTFTLS GYNFTIGPYDYTLEVQGSCISAFMGMDFPEPVGPLAILGDAFLRKWYSVYDLGNGAVG LAKAK AFLA_031260 MGDVAVENPANNVTPHTKPAPLDTIPNIDSIEGTGTDGGDEYAT LKKLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTG IVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEADSSIAMLGENEK PDVTYADVGGLDMQKQEIREAVELPLTHFDLYRQIGIDPPRGVLLYGPPGTGKTMLVK AVANSTTASFIRVNGSEFVQKYLGEGPRMVRDVFRMARENAPAIIFIDEIDAIATKRF DAQTGADREVQRILLELLNQMDGFEQSSNVKVIMATNRADTLDPALLRPGRLDRKIEF PSLRDRRERRLIFTTIASKMSLSPEVDLDSLIVRNEPLSGAVIAAIMQEAGLRAVRKN RYNIIQSDLEDAYAAQVKTGQEADRYVISFSYVTPFMTPY AFLA_031270 MNAWLADASNLPNHDNGAFHQATIDPSTAFLHASPTPDPNQFQR MFNGVPRNASPGFHNPNQVIPSKRPRPEDGISMSPRQAPGGLAASRSQTPHQVPFPGY QGPTNGATQFAPHPAQYQHLQQGTSPNVSQSPIIQDFDQHGVQRMGTASPSPFSPAGP HVGPHMSPSQPDHPSRVNTPQNSSFIPGQPFPQGMGGQFSPATAMTSAGVQAPMQAHF SGMPQGYQAMAAQQQQQQQRIHALQMQNQGRPINMNPALAGRPVAAGMNAMANPQQMA AIRQMQQTMSKPPNPEGFMRSLQKFMMSRNLPLDPNPIVCGRPINLVQLYATVMKLGG SKKINAANMWPVIAQQLQYPPMQFPMAVQEIREHYQRNLAAYEQAFLSTQQKQYADQM QQSSLPRQPSDPSGMQFQSPSVKPSPSFDASQQLGQPSPSNAPAPNNMSNSVPNGFAP PTPVKAPNKQQQQQQQQQQQQQQQQQQQHRLSVSRQSQPPATPHDSTGQLSGQSPAPS AKGPGSVSGKVSEQFDQTPDQPLKHPIEDPFKPMVLPESKLHGPVAVDEIYQLSEEIA RFKPNVPAFSELGVIDIHALTMSIKSGIHAEMRLALDTLATISCEPAIQISLDNCEDL VDSLVECAEDQAEFLAEHAAEVSDVMLLPSYEEVTRGCQSEWTSLADIPEFGSLDYDL DRAVDRLICITTILRNFSFTESNFGVLSTPPVVQFISTIVRYLGTRNMLLRNNQNTLD FMKDTVIYLSNLAHTIQLPSKEEALSLLHFLLSFAPFPGPSQGADGVMFTAYSASVHK YTPAAVDSLAKLLARDEPNRTFFKAIFSGDGSGIQHELLTRAFGLAICPVPDQPRKPL AIADARKVFLMQGLLAADILSGFADGNLAKSWLESVDGFAIHLLRLSCLLSTERVPPT NNNPRQSHAARGQAEAEAAAYSSIINRGLAILRRLAEKSKHADSNSALRFPSGIIPKK ESLLGALLMHNVDPGVVRQLITYARLAE AFLA_031280 MLRKHCLRSLQRFNPRSAAPTQQCRTFLVQLKRQSQTLKENAPS TATPLPSSANLQLTNLPYFIRRTASNQLPVYLVTKAGGTKQQTKIQKTEGDLDALRND LARYLGLESGEPRSPKSSDITINRLNGHIIVKGWRKPEIQKFLLERNF AFLA_031290 MAANVPPSAETLLSGAAAHPPKTAEEIANQYDLLPKLIPFLDRH LVFPLLEFSSGQDDDKEIVRAKYELLKHTNMTDYVANLWQEINNSDTIPDEFVKKREE VLAKLQHYQEESAKITELLQDEDVVGNLRSDKVANLKFLEEQHGVTPEMVNSLFDYGR FQYSCGSYGNAAELLYQFRVLSTDNDKVASATWGKLASEILTTSWEAAMEEVQKAKES IETRLFNNPLGQLQNRSWLIHWSLFPFFNYDPARDVLTDLFFSPAYINTIQTHCPWIL RYLAAAVITNRGRAHKSSSLYQKQLKDLIRVVRQEGYEYSDPITDFVKALYIDFDFEE AQKKLGEAEDVLRSDFFLVSAADAFVEAARHLISESYCKIHQRIDIKDLSTRLGLNQD EGEKWIVNLIRDTRVDAKIDYKEGTVIMNHPPQSVYQQVIEKTKGAFFRTQVLSAAVA K AFLA_031300 MFTRKARMRANVYDAIGVDCHSRASLVVGLNVVCSELPGPSSEM PQDEAVGIIVLVLRMRQMQQVYCGTADSDPSSCCDRLAICGRAIVRKRRSSFIRLVGN GRAFYPVYQVSQQGDVLSPVLLLRSPKK AFLA_031310 MSTAVVQADDLMEPSLQSIVSQDTLRWIFVGGKGGVGKTTTSCS LAIQLAKARKSVLLISTDPAHNLSDAFGQKFGKEARLVDGYTNLSAMEIDPNGSIQDL LASGEGQGDDPMAGLGVGNMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTAP TGHTLRFLQFPTVLEKALAKLSQLSSQFGPMLNSILGSRGGLPGGQNIDELLQKMESL RETISEVNTQFKNPDMTTFVCVCIAEFLSLYETERMIQELTSYNIDTHAIVVNQLLFP KQGSECEQCNARRKMQKKYLEQIEELYEDFNVVRMPLLVEEVRGKEKLEKSVFVDTHL SGNNSNSATCSFTHMFPRSKEALWHCAIISPCLSNPNKTGNGIRQGHSSVQEDPLSTT TALYHGITACLS AFLA_031320 MRSSEQLARTKDRLSLYQQQLLPPPASSTAVNNSIAPNTSATMS RDPITCHVLNTLSGTPAANLPVTLTLLSAPSSSQSPITFTATTDADGRVKNWTPATNC PAPVPAILASLPAADSKTHWSVRFEVGPWYEAQGVESFWPEVEVKFTVKGRGREGEEG WRHYHVPVLLGPWNYSTYRGS AFLA_031330 MAKLQDIPEIILLCMEEKFITAFNEALPTQWPSFAENPKVKITV LNMGLHAVPATTKFQLVVSPANSYGRLDGAFDDAISRKFCRPHHPYDTLTRAAQQVLY EKWRGFAPPGSCTLVPFPKDMEGTNAWGCKWVAICPTMRAPDNVTWDREVVYECVWSL LCQLESWNHDRTEDRIDSILMTPLATGVGRVSPQRWASQFILAMKHFVDALERPERWS QLGWRDIEEDVLEVEETWKE AFLA_031340 MSAAVASAVTGTLTHRADTINTSPMDAKKNALETEDSQAPSSET KNIKSDVDPQTSLAPPPRPAITSAADTPDYFNSVHNPFSLEPNPFEQSFGGGTSGGSS GETPGKSILPPVASLTSPALPGTSSAGGGYSWSNSLRSGPLSPAMLAGPTGSSDYFDS IGRGFPTPNESSLRTGLTPGGGGSMFPAPSPNSQALLQQLQSGGATPSTIEFHRTALN AAKKNALNGPTSNPTSDPEQASQNTNMDMKPNQPDPFGHHDAADAANGLFMLAKGGQA NPNQFAVSNQSSIPPQNIQNNDQARDSDRRTSNGGRETSGDVSDVQGEQAKPATKGKK KNTATKTSGAANNRRKADDAPVKGSNKKAKLSSGSTEPPSDAGDSEEEEEQKKKSQSD SKKMTDEEKRKNFLERNRVAALKCRQRKKQWLANLQAKVELFTSENDALTATVTQLRE EIVNLKTLLLAHKDCPVSQAQGLGPLMMNGMSAGFDPHPYNIPNNMGMQPGAPIPTQG LRRQ AFLA_031350 MSARHSTRPRRIEDALSQLVDNLTHRFSYAATEDELPDEEYEAL AVRQQENLEHSWRILDAYSNSSNDPTSPNGGAGLGITRRGSLAGGENINNASDLIKRK LLRENASPDKAMRFSNLYSRLLTQPVLSQKWAILYLLYRLSSLDDYDESSEEEGGSRS PAVEPGNIQNLLWKGQRARQGLGPMSDEEGPAISSSASQIPARLERKASQRRPEREIR DMGEDEELEMAQEHQRYRAMSDAAARDIQMEEQRTAQPQLAPDDQQKLARPAENGLLR DLPFVLQGLSSSNLEFTSSTLKLPPTLPIPLVSLLNTLAEPGLLYKGLSAFVESSSGG LLNQSLRAALSNELRSYLGLVATLEGEIRRALAAPGASTSPASVAKTGVTLKRCVVWT RDATMALRLMSVIVEEAQNKKGGQLISMIHGFSTSHGDPFVCALAEKLLTHVTRPFYD MLRLWIYDGELSDPYQEFFVVEPEVSPSTDPRRLATSVWEDKYKLDDDLVPSIITQDF AKKVFLIGKSLNFIRYGCGDSGWVEAYSKESSKELRYGDTASLETSIDEAYKSTMARL IYLMDEKFKLFDHLRALKKYLLLGQGDFIALLMESLASNLDRPANSQYRHTLTAQLEH AIRASNAQYDSSDVLRRLDARMLELSHGEIGWDCFTLEYKIDAPVDVVITPWGSTQYL KVFNFLWRVKRVEFALGSTWRRCMTGARGVLRSVDDKVGPDWKGARCAIAEMIHFVCQ LQYYILFEVIEASWDQLQASISKPGCTLDDLIEAHTKYLESITHKGLLGSSSSSKSSS SNKHQEESFLTQLHQILKIMLAYKDAVDGLYSFSVAEFTRRQELSAKIETRTAQGRWG VTERDLLSSRRTRGHQNSVSSMSTPNVGNSADDIGTPSSLMGQDLSADDHMLASLRVR LRDLSAEFRSRLNTLLGDLAYQPDVDMRFLAVVMNFNDFYEPVRKRRTATSSRDKERL RRKAAEGNAQKEMKKERRDPTGPESASGSGAQAP AFLA_031360 MSGEESSQPSHVPAWKKLGLKLKYAKDPLEEEKENTDKVEKREK KEKKKDSKKKKRSETEAEAEPEDKKPRKNKKRRLDDDDNDERDEKEQPAAEKQEDESR KKKKKKVSFSTEVEEKEVPSRDALGDVDMDMDMDMDADADAEDGGKKQKKKKEKKKKN KDQAAAGDSASDASRKIHETPILSYLSLYYKHRSAWKFQKNRETHLFKHVLSLEQVPT QYNAALLVYLQGLKSEGAKQRLREIAEEAVKAEIEEASSDSKEESTADESAEHVPSEK ENYDSAVGAFRECLSQGKQDELNTTGSTDKLEGDALKKLEMRQRAELVLYAVNGTLFN FQKPKPLTQKGKGAKNQNQANKKKKKKNRTAIVEISSSSESESSSDSDSDDDAAASKK KNETPRDSSSDSSSDSDSESTSSRSAYDRHITIFSDQGRLYQVEYAFKAITSANITSL GVRGKNCAVVLSQKKVADKLIDPSSVSHIFRLSPSVGCVMTGSIADARASVDRARGEA AEFRYKYGYEMPCDVLAKRLANINQVYTQRAYMRPLGVAMTLISVDSENGPQVYKCDP AGYYVGYKATASGPKQQEAITYLEKKLKNKDYAEGSWEEVVELGITALSNVLSVDFKK HELEIGIVGGPRTDGKEGTDVNFRALTEDEIDERLQAIAEKD AFLA_031370 MADGLNDARALRVAEIINDYRTLLVHISQQDIPVPAEDIHEPGY EVIRESLAAAQALMSSNYTPVPPTGRNDAETEKAELRRVILDGCARRFQAHKIYLRAA AGRRWSINRANVLRGQRPNQTHTAGLKLVNDTFRQVGTFLCNLPPNYLD AFLA_031380 MSPSRLIYYFAFLGIFLALLLSRYLGDTSILIPSTPPAMSSGLK SVAYFVNWAIYGRNHNPQDLPADKLTHILYAFANVRPESGEVYLTDTWSDIEKHYPTD SWNDVGTNVYGCIKQLFLLKQQNRQLKVLLSIGGWTYSANFAQPASTEAGRTRFAETA TRLVLDLGLDGFDIDWEYPKDDNEAYNFVLLLQKCRETLDRAAGPQRKFYLTIACPAG KEHYSKLRLREMTPYLDFYNLMAYDYAGSWDSVAGHQANIYPSPDRPGSTPFSTVDAL TYYETAGLVPRSKIVVGMPIYGRAFTNTDGPGTSFSGVGEGSWENGVWDYKALPKPGA TEYVDPSIGASWSYDPATRTMVSYDNVRMSEIKATFIRHHGLAGGMWWETSGDKGGKT ANKADGSLIGTFVEGVGGPAALDQTPNALAYPESKYDNLRAGFA AFLA_031390 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPAPECGAGVFMAAMHNRQYCGKCHLTYVFDESK AFLA_031400 MLSNPHRNLQERHRQHRRQISTPSALDAAKVPSLPAQAMHRYHA HRRGQSLDQRSLHMQRSQTVQDGNLLNTNATGPLVMQQQHYARSAQPTPMPMMPECQT FSPEELQTQPSMGYMSPAFAKAETPALESRPMNLHLNLIQQQQLQQAQLMENGAWDFY PHDNLPTGLPHQTNAIPADMRRLSVQSDVSPAQRPHTPKPARKCPDITYRRQAPLMKL KDYLPITPATTPFKKTVDLVQYGGDMQPTPTKEQRLSVPVSAQPSYMQRAKSLQGVAG TTFSQQKIDMPSPPNTASFEVDSFDVFNCQQGSSFEMSKSESFSSSHSSTSSSSATSP FNSSPDLVSMPHLADSGKAQKIPIYPATPSRMTPKKTPSAPPSSAKPKLSPRVASIDS LNLDARVHASIKETGVTIDEIASYIHGPDPEDGKWVCLHPGCERRFGRKENIKSHVQT HLGDRQYKCDHCDKCFVRGHDLKRHAKIHTGDKPYECLCGNVFARHDALTRHRQRGMC IGGYKGIVRKTTKRGRPRKHRPEMDERQEKSSRTRQKIAEKSSFDSSGSDTSHNSPPS EVFENMSLQGSSPVGEMPMFSNVNYSLPPEVLTFTPPASPGGSIRNRPSPAHSQRSIT PSTEDEMPPLSPSKRPLERIIEESGLPLISDPEACPYTNATNSTTHALSSPHTVPTLT ESSNGSDLDIFINQDPSTSFSKHEFPGLTDPDMAAFPDYVNGPAFDNGMDLFQSKGFS NGPSMSDDFFAFQFQMDEQPSDVMTREFFLE AFLA_031410 MLQGIPGPQQVLTALTGTLGLSSLLGPEIESSQRIFQHCSKAEL SCATPYHGQDKCCFNYPGGQFLQSQFWDADPAIGPENSWTIHGLWPDYCNGGYPQFCD SKRRYSNISLILTDSGRGDLLDEMRTFWKDWKGDDPNLWEHEWNKHGTCISTLETHCY DIYYPQQEVVDYFDKTVELFHGLPTHEILAGAGIVPSYTETYSLSEIHDALVKAHGAE VVVRCRHHNLNEACYFFNVAGPLQTGKFIPANPDGQTSNCPSKGIRYQPKTPRKDEPT KTRGPSEPTSTGTPNSKRGNLIVTTQGQQRGCIISRGTWFTAGTCATFRIEKASGLSS TSRCTHETFTLQSSKGKCSFEKDTFSCGPRIRNPVEFSFQDGKLSYRGNTTFFADKAP KGPTKSKIYASEEEHPIELTISWRGSH AFLA_031420 MFAKPFVGSICKRPAVTRLVKQPRSQYLHATSPFSLPRRKDFFS SNAYLTQKQSKAGDVSEEPGQEFQKQRRRGGRSPAAPTSLRRVAVEAQRSKDGILSKA MLKEQGLYQTKVVTAYAVAEQFNIRKAREILQEKGYEPDPFDTGLYPQVVHVQVPLDS IRRVSNPATTDLAPNEVGDIFVFPSGTVVAWSLPEGFTSFLATRTLLPAAERPHLDSL ETEDLEYVEDPQRDSSSIKGDTIILGTKPSNNETSPQLDRQPVDTVLTKVAFSSGLAR STKLAVLETLLSNYFESTRSIPTLLSQGSRLPYTRDFILRKTGQLLSVRAQLNLYSEL TDSLPDLFWDSRHELGLEGYYEQVGRALDVGIRIKLLNEKMDYAQEIASVLRERLSET HGLRLEWIIILLIAVEVSFEVLRMWKERAHEQELQKEKAIDAVKS AFLA_031430 MDAPGATEATDDIRQDGSDLEVSAGQNVHKSSTHPNHPDRLAGN EHEHEHNEDEGQDDNEHEDNSEEEEEIEDEEEEEEPHLKYAYLTKHLGAVYRNGDATS SFLAAGDKLIIGTHNGNIHALSLPLFQSLRVYHAHSASVTSISVSPFPPPLPNIKPDS IRLHEAQDHNSRPSSGASSLRGRPRPNTHPALSSTPSNSIYIATSSIDGNVCVASLVD PKDVLLRNFGRPVQAVALSPEYKSDRTFLSGGRAGDLILTTGGRVGASTNSTTMGGAA AAATSWLGSIGLGSNTGKDTILHSGEGAISTIKWSLSGKFVVWVNEEGIKIMRSNLHL DSSDSEYAWKRISHIDRPNRPGWEEMSSVWKARAEWVDDAALDSEETPKHKGETSLHD HTTVTPTKERVEKLVVGWGGTVWVIEVYPDRPSKNNRDQRIGSVEVSTILRTDCVISG ISLYTPSLLVVLAYIEAEGDASGDERSKHGVLHPRGRHRPRGLEPELRIIDIETKEEL SADTLSVSRYENLTSSDYHMCVLPPWKTTVSVSQKGTLEALGSGIWDATMYPARLFSS GASIRSSTSSGDKGSSRAPSTYASRRVPVEEPLSKEIQDISGNVGTKIFIHSPYDCVV ALKRDLADRLSWLDAHEKYEEAWKLIDEHPEAAGSASEQSDAMFAPLARAPTTLGEFL ADDRSSTTTTGRGIISVAEQEKRRIGELWIQQLVEADNWKEAAEVCVKALHTAPRWEH WAWTFIKRDKLDEISPAIPTDMHPPLSSAIYETILGHYATQDRHRFSELIDSWPFDLF DVAGIISVIKEQLGSGSIIPDSDEWRILMNSLAKLYLAGGHYGEALRCYVRLQDADTA MALTREHRLLDAVSDDIPAFIMIRVSKEQLKSAPKSELEELTAEPIKLLVSEAYTGIV RPETVVNQLKAANRLLFLYFYLRALWRGESLPHGATKPRRGHFAHIRDAASKLAADEG KALVDTFADTAVELFANYDRALLMEFLQTSTAYTFDLAVTICEGRRFTHELIYLLSKM GQTKRALNLILSELKDVSQAISFAKSQGEPDLWEDLLDYSMDKPRFIHGLLVEAGTAI DPIKLVRRIPSGLEIEGLREGLSHLIREHDLQASISQGAAKVLQSEVAVGMDTLRRGQ RRGIKFNVIEEEKRPASSAATLSGVTEKDEVKSDAGTEKTSVPAHTPTQVGRCAGCQL PFHANGKSYLRFILVVVLFAPFFHRFLSSILKLMVIVMAEKEILVGFACGHIFHLSHI HASGPSDDTTPDSRSATQTPKSFRQPRTPTLDEPSMSTSRTVGPKVTTARLLRDRIGD GCRICALAKEIEAIGETDT AFLA_031440 MASNSSFKLLMFLAVLVLAAMVPALDVTENLGNIYDDDHLLGIF TLHSLVDEPRTLVPRGGKYPGSCASSEAAISYRQLLTKYSPGFATITVTDTVCAPPPV ATTSQSTGTTSGAPGAPPAPTVTTLSETTVVTGTAPTGTGPAGTNPTGTGPTGAGSTV AGPVITATGTTLSTGTQSSPPTGTVSSSVSTKSSSGPSTAHPTTSQSTEQAGTTATAT ASGGQTSTVAPTSNEAVVQGRMSNVWLVLAMTFVGFLMI AFLA_031450 MESGDLKLHDATPRPGQDMRYAPSYVDPNSLSFINPLTQPHGYY TPNSGGLSAVFHSQAGDLHTPMGMNMITPLTLPQQLASATINADTTAMGLDQFNQPYI APHFQNPQPFAQQAPFAPTFVHRDSGYDAMDESVDELSLNDVDMQGNAHPHMIPSMLQ RDQVDVQTPGEKFRYHVTLRAPTAMVRDQNEVPVSYLNKGQAYSVSVVDSAPPPPMNG QPVKYRTYIRVSFQDKEQRSKPAACWQLWKEGRGLNEAHQRGGKLQAVEYVDPIQGGV DDQKNRQVQLECSSFDGFCVTWTTSPTTGASECAISVRFNFLSTDFSHSKGVKGIPVR LCAKTEMVSPGNADPAQGKEAEVCYCKVKLFRDHGAERKLSNDVAHVKKSIEKLRQQI AQAEMGAGNYGKRKRSGGSIALKGSDARPAKITKHKRTWSMGSQDGDRLSMEDDLHIK LALMQEMFTSTRPVSILGLQGDEQDDPDLYPVQLPEPRDFVKKEGKSGPRFSIDTSAG FPTLSPTNSCISLSSTCHTPTVQSQTGLYYDSGYQCSVNTSAENSRPGSEVCGDKVVL KHPIKIQRVANGDGDMPMGFIEAVDIDPTYRPPAERQPKPIACFYIRFPRNDQAKDDY YRAVYLSERTVRDLMEKISVKQRIDPQRIVRVLRVNKNGLKIMVDDDVVRELPDGQDM VVEISEAAALDDATAIDSDKSSGVELKLSY AFLA_031460 MPFFSQLRRRSRPSFRATKSNESKSNESQSNGEMTSGKSSSTLD TASYSSLTPPSSIKPTTSSPNLPSLSETNGSTTGNNSSLSVPPQRPAPHTSPSQRNSV VGSINGGVRTPTPSSPYAPRIISIADNSWVHQKVLLVYGQIGDPRQHPLDGSVTVYHH QDGFPPVSWPVTSSHFKALVHLTPGPNRLRLDFVSTKLSAGSSHPAAHSSWICINYLP LVNAPPLHLVVLLGKDSDGTFDATPAEIQREGNGLETAIRKYRMAAYLWQAFTGEQMF RNNFGRRCFRFEEEWQSGTLSRRDTANGQMRNEAKVHVIRTDKTVAELRDLNIAQQHG PATKKDELFNIAKDAVKGYLHLQPGQKQYVSVLLLDSHWDTGSQTITGHAALGSGGDD VKMAIFGSHSLHSYPSSLEEVVDAFSDCTRTDTDFVANDCSEAGSRWESANIGIGAHL HEVGHLFGCPHQESGIMLRDYVRFNRSFMTREPFSTRTKTQGLKLCLPQDECGWHRLD ALRFRFHPCFRLPGDAPMSSDDSVQVWPVENGKILITATTGIAFLELYAEGDNVCHNF IEYINTESSSNGLPRQVTVTENELRQRVFGTDKEKKKDIKLTAFSGALGSHTVNSIMD LKSKQSVVKLPKGHTGYKGSKLGFSQMEGSQPDQLLLDCAFASTKLLTSIRVYHGAAV DGLEFFYEDATSQLFGKRGGKPGGDDFVLGKSPKWEGENTRRGEILLGFYIRAGAWID GIEILTSQGRKSGVFGNAHGGSGHTLIPPLGYKIAGISGSHGPWVDGFSLIIMH AFLA_031470 MLVALVWILKVTIRPCYVPMNPPKNIQSLPVALILPLLDLYIRF SHGFPYT AFLA_031480 MALPQPSKSEKTIRKIDIAQVSLSLQDRLGLAKVKYQNGRLHGL DQNGGRNSLAGSDRPSDSSSDISHSRCETPMTSPPLRTSMYSKELPRSSRNRHAVTFD SRVMQPMLSASRKRLRSDSIADHPAKIPRSSWKSSYQLPESSPGFNRHPLNRHLPFVS ETATIPELSSPAYHHQSDDDNDPDLPIHSFQNVSSMVSSSPPRTPPPKHARLSRNDRT ARHEDGADLLLYLANSPTPASVAAKTHGREFPPSTPPSQHAVLPSLTPTPGGGGVFPN FSTPNQQFNFADFVNVTPSPAQPAWGGRTPGGPGKTPLTARDRRRSHLENLLPPGVDS PKTRAKQAGVVLQLGGELRP AFLA_031490 MSSPAKKRKRDVPVSSPYRNRSIASFFQGQAAKQADNAEQTPST VSEDTDQTLSDEALARKLQEEWNRQDAAPASDTQAPTGEPFATPSNTKDDELEPPNKT QKRNTLSLQSSTGTEDTISLAVPFDQSPLTFDSTKCAEELKGHWAATGGDASYALLTR AFVLANATTSRIKIVDTLVNFLRVLIEADPSSVLPAVWLATNSISPPYDELELGLGGS SISKALKKIYGLNSQGLKSLYDKLGDAGDVAFEAKKRQSFTLMKPKPLTVKGVYQSLQ KIAMSKGTGSQETKQRIVEKLLQDTRGAEESRYIVRTLVQNLRIGAVKTTMLIALARA FLYSKPEGADFAVRSQQELACLKKEDLAEIYNNAEEIVKASYARHPDYNDLVPCLLEI GVTEELLVRCGLQLHIPLRPMLGSITRDLSEMLTKLQGRDFTCEYKYDGQRAQVHCDE NGKVSIFSRHLENMTEKYPDLVSLVPQIRGESVSSFILEGEVVAVDQETGELQAFQIL TNRAKKNVDIGTIKINVCLFSFDLMYLNGTPLLDRPFRERRELLRSLFVEIPNRFTWV KSFDATSADSEAVLEFFKGATDTKCEGIMVKVLDNVSKSNNPEPSETPNNDIDKPDPK PAKGGRRKALLSTYEPDKRLESWLKVKKDYSTSSETLDLIPVGGWHGQGRKAKWWSPI LLAVRNPETGSLEAVTKCMSGFTDKFYQANKDKYTEGSPNVISRPSYVEYYGEPDVWF EPQEVWEMAFADITLSPTYTAAIGLVSDERGLSLRFPRFLRVREDKSIDEATTSDYLA LLWRKQFERSQKEEAKPPAPDAELGWQEE AFLA_031500 MATPTSALSPHERTRVEDYLNDKIQVSADFESLDSLLTSLRSQH ELQRKQLAEAQEALSKATKASSDHAEATRKRAEAFNEQQADIDRRLKALTGSDASDEA AKRFEASIEKLRRLELSKGYVSLLKEAEELSKEALTSIQSSPKSAIKPYTRLRTIVQS LKEAQPAAEGAAPHLVDYVGKLASALRDHMKTDFTKRLQGTLEEMKWPSKDLYLPDDL RAQWREYVELLLDLQTPELHGRDTSKEKPVKPPILLPLEVMVHPLELRFKYHFSGDRP TNRLDKPEYFLAHVMDLINNFGGFFASSLQPIFDEKAQTVGPDLEWNFYNASHAYITA LLPILRHKITTYLPQISSHPQLLSHFVHELMNFDNETRESWNYLPDPYTDDNWKGMTW EVLTEQGWFERWLQVEKEFALARYKEIVDAPDSGHIDYDGVDRSATKPTKAAIRVNDL LETITERYQPLSSFSQKLRFLIDIQITIFDQFHERLHSALEAYLAMTSTIGRTVQGAD GASVEGVAGLERLSRVFGSAEYLEKKMEDWSNEVFFVELWSELQERVRQNKDGGKNVA GSMSVADVASRTSQAVANGNDHGEASEGALFDETASAYRRLRLRSESVITSTLTSNIR SALKPYSRVSTWATISAGLASPLSPTSDLAPAMRTLSTEISFLSRTLGIAPLRRIIRQ VLLSIQTYIWNNVLTRNMFSAAGATQLISDVEHLCNVVDVALGPAAQVSSSANVLRKL NEGLTLLGLSISGSKAAEDGSASQNSDRQGTAPLGLWEVEKRLFKDNESARVVLGELN IETLSEAEARSVLERRVEIGS AFLA_031510 MTVYRAIIGLWNHDVRLFGSVAIHFSSGSATVPGTGWVLGSWLF PPRPAARKKCEVAVEDLLIPFIRSADEDPLGQKALENGVNGANGTHNDLKPSGTSLVD HKKPEELQSILQLELPEQGTGQDGLVEALQKVLRYSVNTWHQGFLDKLYASTNAPGVA SELILAALNTNVHVYQVSPALTVIEKFTGKQLASLFGLKGPRAGGISVQGGSASNTTS IVIARNNLFPATKRDGNGDYRFVLFTSAHGHYSIEKAAQMLGLGSSSVWSVPIDKQGR MIPAELENLVRKALKENRTPFYVNATAGTTVMGSFDPFDEIAAICKKYNLWFHVDGSW GGSFVFSKRQRQKLAGAEKADSIAINPHKMLGVPVTCSFLLAADLRRFHRANTLPAGY LFHNEDTELPEANGCNGAVESELSVDSPEVWDLADLTLQCGRRADSLKLFLGWTYYGT AGYEKQIDAACDIAAHLATLVAENPNFILVSENPPPCLQVCFYYAPGGQFLHPRGVVS DEAERGKANSKVTEQVTHAIVSKGFMVDFAPPSGDDDVVGNGKFFRCVVNVQTTKETV EALLQAIEEVGPGIVENMKVQKAQRKFNRPGERGHGPVVHHP AFLA_031520 MPRENQKRGRRAAEKAEKDAAKRKREEVPEDSLPKRLKPSTDES TEINQGADYIPFDENYNENYDGNYDENQADAPAGDMPFYGLLDPEEQEYFSRANEVLE LNQFQDAEERRIFIDSVYKEANGKELKIACSQGCSRLMEKLISMSDMRQIHRLFNKFI GHFMNLVQHRFASHCCETLFINAAPGVTQKVSKSKSDKMDVDEEEGEEPEPELSLAEM FIKVVEELEGNWGYLLTERFASHTIRVLLLVLAGEPVDVSANDSVVASRKKEKLGLPQ GETQDGDVSAQKRSVPDVFEATLKKIMKDIVSVLDDTYLRALATHPVGNPVLQVLVSL ELSHFGKSSAKDPNSITRRLIPDESFEEGSETTTFVRGLLYDPVGSRLLETIVRCMPG KAFKGLYKNFIRDQITSLARNITAGYVVLRVLERLGKDDLQNALERIVPQVPSLLERS RMVVPKVLIERCLVRGVDTAPLARALEEAYDKDPARRLEQILRLESTTQEDLEESEQK PKGPNAAPSQSSTGEKLHGSLLAQTMLTAPGPISGLIYSSLLAQSSESLVKIAKDPTA SRVLQQALTVPTSSAQFRRQFAPRFTSHLKELALDSSGSHVVDALWPATKDIFFIKER MAQELTQHEMALRDSFVGRAVWRNWAMDLYKRRRGEWAMKAKGIDNNNGSGERPKSRI ELARAKFAAKAEEDAKKGAQKGVTA AFLA_031530 MWTSRVRQPVTNIVTVSRRLPRSARPAVIPWRRGYASNGPNASE QSGSSSRWLKTSLGLAGTGAAAFLVYTYATLDKSQAGSQADTKGLSQATEQLDSQYVQ HKRSLKSPGVYLWGTNSHRVVDPNSKETVIKTPRRLHYFDGQVLRDLKLSDKSGAAIA ENGDLIQWGKGYSESDFKPTKTLTGKNLTSLCMSNDRILALSSDGSVYSLPIAKDDQL SGRKLKESSWVPFWSGKSGVSYRLLQPSLKLGEKVTMLRGGLEHALLLTNHGRVFSVA SSTESYPSFGQLGVPGLTWATRPNGPVDMCHEIEAFKGIKITQIASGDYHSLALSKDG SLFTFGDNSFGQLGMAFDAALPFSDTPTSLPIKNLYKGNTTFPKVTGIAAGGANSFFT VDAQRIVGPGENPSNVRDLDRITADTWTCGRGIWGALGTGKWTHMQDAPTKVKSLSGL FEYDERKKKLTPIRLRDLSVGTTHVSAVMDNDAHIDPSPSNSLDDATNFGFDVLFWGG NEHFQLGTGKRSNQSKPTHINAPPEDKGELAEQEARLQVMPRHKGKVGPRTVNMEQRV ECGRHISAIYSSV AFLA_031540 MRQQNAGRRSRRQTVPSRPPLELTGKETEEAEAVPDGLDSIEGI HNELEFTQWYNELEDSLLESSYDEYQ AFLA_031550 MSKSHLDTLLSDTSSTLDLLSNLSKDFKAVEAQTSNFQNQCEGL LSAQKRDSELATDIQDNLQYYDFLDPASRKLNAPGAGNTVRGQEFSDMLRRLDECLDY MEIHADQKEAGVYRSRYRLLMTRALTLIRGHFVSALRDVYLSVSKKIADKQLNDTTMS ALLYAKFRVGAPELKQIGLEIQKRAVPPLDPEQGTEAEYQSLLNELHANYAAIRGKLI VPLVRKKLNEIAQAPSTSTDLVAFARGSISYIRGVCLDEFDLKVTATDALANLPKPAK QPEDGADSPSEQDSKWDFESQVSPSNWYPTLRKAIWLLSRIYRLIVAFDIEYVAPEVS FDFSGVTNTFWELRERGGLFNPRNLMRLVGHGLLPRVVENMLDAKVELDGRLRTVIND FISGFATTMTASLPSKFVDTRNLQRGELIYPTCRNIEKEVPSLRTILNDYLDDVRMKE TLVGAVQERVIQIYEEFFDKYMSSEKSKGHFVSTKGKGREDAVWDVDTFADWCESIFR VGISDADDEATNRSRSGSLESRSQ AFLA_031560 MKDMVAAMDQEIDTMQHLEHPNIVQYLGCERGELSISIYLEYIS GGSIGSCLRKHGKFEESVVKSLTQQTLSGLAYLHNQGILHRDLKADNILLDLDGTCKI SDFGISKKSDNIYGNDSTNSMQGSVFWMAPEVIQSQGQGYSAKVDIWSLGCVVLEMFA GRRPWSREEAIGAIFKLGSLSQAPPIPEDVSMNISPAALAFMYDCFTIDSLDRPTAET LLTRHPFCEPDPKYNFLDTELYAKIRHVL AFLA_031570 MATDIATRDLRKPIDVAEYLFRRLREVGVRAVHGVPGDYNLVAL DYLPKCDLHWVGNCNELNAGYAADGYARINGMSALVTTFGVGELSALNAIAGAYSEFV PIVHIVGQPHTKSQKDGMLLHHTLGNGDFNVFTRMSADISCTLGCLNSTHEVATLIDN AIRECWIRSRPVYISLPTDMVTKKIEGERLDTPLDLSLPPNDPEKEDYVVDVVLKYLH AAKKPVILVDACAIRHRVLDEVHEFVEKSGLPTFVAPMGKGAVDETHKNYGGVYAGTG SNPGVREQVESSDLILSIGAIKSDFNTTGFSYRIGQLNTIDFHSTYVRVRYSEYPDIN MKGVLQKIVQRMGNLNVGPVSPPSNLLPDNEKASTEQAITHAWLWPTVGQWLKEKDVV ITETGTANFGIWDTRFPAGVTAISQVLWGSIGYSVGACQGAALAAKEQGRRTVLFVGD GSFQLTLQEVSTMIRNNLNPIIFVICNEGYTIERYIHGWEAVYNDIQPWDFLNIPVAF GAKDKYKGYKVTTRDELRELFANEEFASAPCLQLVELHMPRDDCPASLKLTAESAAER NKSL AFLA_031580 MAGTGKRSMPDNSSQSSKKRKGGGNWHKHQNGKAGIESGDWGVF VTCEIGKEGKCISEVLDLFSQSIETPDAGGQDGDSSSEDEDDIEAQIRKEVAGLKPSS AKPRQFQAIRLDIPCVSFIRFDKSIDPEKLVHQICVDAHANPDRKRSRYIQRMTPVKS IRKTLSVDLEAFAREILKPHFHSGGGPKKFAIRPAMRSNQKFDRDNLIKTIASVVGPE HSVDLKNYDLIILVDIIKNVIGMSVAGSDYEKLKRYNLAELYKPAPSSQEVEPKPSTD AFLA_031590 MKPWLTPQNRKDIDRRLGVLAQKIGQHVSDSFGTLEQVASGAGR RSWREAFVILFESILRDSEDVFVNLPYAEIRHQLSRLSPALEEITSPQLVVIDFGHPT QVLVDPESKKLSGVVDLGKTLWGDIYMAEMFEEPSSSMLDGFGQSRIVGSEMERIRQL LYVLLS AFLA_031600 MAHFLRGKQAGIQKDLSDGLSPDLFALDDFARYGINSQISAIAY DPVQSLIAVGTSDTQFGSGQIYVFGQRRVSVVFSLPRKASAKFLQFCADKLVSVDSKS EICVFSLETRQTLFSYAPPNHVSALLTDPSLDYAFIGLQNGDIIAYDLDRETLTPFKV PNLWAQRNPRARFCPVIALSFSPRDIGKILVGYPEGAVTFSFKQNLAQKYFEYEVPPG ALGGNCDVPSQEPRRPRLTKAVWHPNGIFVLTVHDDNSLVLWDSKDGRKIAARSITTP NIDQPGASRERPLSAGSAVGLRDPITHIAWCVKGNGDDSGLLIAGGKPKAEANKGLTF IDLGPTPNYQTSSWAMISNYFESPKQITDLSTPPGAEVVDFCLIPRASPYYAGGHDPI ALIAVLSSGELITLSFPSGHPITPTNMIHPSLSFVHPFVNKMTLTPVDRSAWLGLRER RSQGPKFLLGGAEGKKVLKRFEDRNVITTAHADGTIRLWDVGHDDEIENGDVIQVDLA RAVGRVSNVEVTEMALSGSTGELSVGLRSGEVVIFRWGSNGSFGHEEPAGANEGPGKL TKVAHRTDPGLKQGLLPLTLLDMQQGSVTALKHSQVGFVAAGFEGGSLVIIDLRGPAV IHTARLSELTKPSKRSSFLRHRSSDDAPPEWPTSIEFGVLTLEGEDYSSICCFVGTNR GNFATFKILPTDNGGYTASFAGATLLDDKVISIIPINAETGDLALATPNAVGGLRNGV QVHGVVVAVTVSGCRIFKPATSKGAHKSWEDYLCDSAAVVKVEGRGYSLVGLFGDGNV RAFSIPGLKEMGCKEINYMADMKRLSESTICSNGTVLTWTGPSEVGLFNVWGAGTGLR HSEDQLYNIQAAIPPRPTITNMQWISGTQYISPADMDILIGGPDRPPSKKMQEQMKLE DQERRRLAREGRTMSNLSQEQGSQEGYWSYMQRQVQQRTENLNLAGDQMERLEENSSN WARDVNKYVQNQKKKAVLGVLGSKFGL AFLA_031610 MALTFEVTSTCQYPIRMEPPRRSSTSRAAPERPLVGDTTSDDGF VEEYLRAGGPNLCEISEYAYPFPKTTARDGSTFTCKGYCFDDVRAIGKKWNIGIINIS FIGRKAYPNKFVVPIPTLSVGARWEVSSKGRWLEAAREIYHSLQENGIDSISVEIVDR RLEMGPSISPCKPTDAIYPLWGAVAEKILTSVDTSGFRSLGCHRIGYETSAEDCSPTI FLTLYRKCRREWQEVKQGIRNVLDEFKLSTVDVMIRKDNPIPYTYSTERYDQCWDEED NINHGKHISIDNCSQPVTLGDSVSAHNSTKHGTFGGWLELKNPTSGVWEPVGITCAHC IFTPEHQSKLPMFAGWKHNNGLAEIDSPSLLDVQAGIREVEEAISCHKKLHLIQYVEQ AKADGDAIRPADEGKWRKVKSHVDDLEAQKEILQFYRDSQAYSFGRAFSADFWELRAR TTRDITEIDWALVRPSGGRAIGSNKLSELSDNALFAEAGIPESKLQLICGDRLYKIGR KTGLTHGVYNGLKTAVFDDKENNSETWMHTITGFRDRVADFGDSGALFFTRTGDVAGM CTGGSVRGGLVYFTHIHDLVDDIKQVTGAKGIRLKQD AFLA_031620 MSVVSLLGVKIQNNPAPFLAPYQFEITFECLEQLQKDLEWKLTY VGSATSSEYDQELDSLFVGPIPVGVNKFIFEAEAPDLKRIPTSEILGVTVILLTCSYD GREFVRVGYYVNNEYDSEDLSAEPPAKPIIERIRRNILAEKPRVTRFAIKWDSEESAP AEYPPDQPEADILEDDSAAYGAEEAELEAALVRELADAERDVKSEDHEMEGAEPAIKE EEEEDISDAESEDIEDESDDDEEDLDEEEAGDGDEDVEMGDDSEQKDDGPKADSTNQH SHQPEVMVH AFLA_031630 MAEDDAEKAFFQAQAMNADSVDYKAVEDQGASSDSDDYDPSKTL QDQYSASILDSKQSEIAPSSASPSDPNPPTQSIPPETDPSQPADSAYPSQTPSRADSQ ASVSAPASGTSVPLKTRTIGGFVVEDEDEDDAGDADYEPPAVLGVEDMNTISMNVPQQ PISGNANEDTPTPDVSMDGAVQASADAKNFPNSSYTPASAAASKSDTPALLSQDMYNS RTLQSENMQDSAAATPVPDSPSTSKGRLPHDRVGILEDRIQEDPRGDIPAWLELINEH RNRNRIDSAREVYERFLTAFPFSAEQWVAYATMESELNELYRLEQIFNRTLLTIPDVQ LWTVYLDYVRRRNPLTTDTTGQSRRIISSAYDLALQYVGVDKDSGSIWTDYVQFIRSG PGNVGGSGWQDQQKMDLLRKAYQKAICVPTQAVNNLWKEYDQFEMGLNKLTGRKFLQE QSPAYMTARSSYTELQNITRDLNRTTLPRLPPVLGSDGDIEFGQQVDIWKRWIKWEKG DPLVLKEEDQAAFKARVIYVYKQALMALRFLPEIWFEAAEFCFLNDMENEGNEFLKNG IEANPESCLLAFKRADRLEITSESEQDPIKRGAKVREPYDKLLNALYDLIAKARTRES QDVARLEETFAKINPDTQPSKTDDDDDDQSDSKARESMKNAQIEALRNAHAIQIGILS KTVSFAWIALMRAMRRIQGKGKPGEMPGSRQVFADARKRGRITSDVYIASALIEYHCY KDPAATKIFERGAKLFPEDENFALEYLKHLIDINDVINARAVFEMTVRKLASNPENVH KTKPIFAFLHEYESRYGDLVQVINLENRMRELFPEDPTLEQFAHRYSSPAFDPTVVRP IISPSQTRPKTAFPTEQPVSRHGTPSSRYPDASVTNSPKRPLEDFDDEMNRPRKFIRA DSPLKTTQRRQLDPPKRTQQVISNQTGSQFRSQGSPAPLPRDIVYLLSIIPSASAYNA GRFSPEKLVDLIRRIDMPTSISQIPLPPSVRGLGFPGAYRPQ AFLA_031640 MEEFEVVNKLTGGLRHLRVREADEVVKYSVPVETVPRPGFNTTG KEVDISLNAYPITKFPSRNVYQYDVNIGNGDEKNIVCKKVWNSNSRKTALKQIVYDGR KLAWYVP AFLA_031650 MNNYSNGLNIIVDLDAEQGRTGGRTPNAFRLVVRPTKTVNLAVL NAWLQGRAAFGESVLEALNFLDHVIREWPSGRFLAIRRSFFDENGEHKDLGNGVLAFK GVYEAIRPAINRGLIVNVDVSNTCFWARTSFLGAAMAVLDCRDHQHLMHELRPVPDGH GGMTESTAFYEVHRRLKKLVVQAHYRGCPCTNVNFTVKGLINAGASKYIIELKDKATG VIEKITVEQYFKRKYNLSLTYPDLPMVEMTKKGIVYPMEYLTIHGLHKYPWKLNEYQT SQMIKYAAARPADRLNSIHKSKKMLDHSKDPVLQTFGLQIDENMIRTKARLLPNPDIQ FGGNQRHNPGTNGRWDLRGKKFYQPNKQPLSCWGVGFIPGKRNVINRTQVEHFVDGFM KTYAGHGGNITQRPLIAELTEDTGEAIKRLFNSTGNKFQKEPQLLLIIVPDKNSFTYL RIKKSCDCRWGVPSQVLQSAHVAKANPQYISNVLMKVNAKLGGTTARIIPKVNDASLK PMTMIIGADVTHPTIGVWSPSMAAVSVCMDTFGGRYWGACETNGDRVEVIARANMEHM LTPLVREWMSTVGQGRAPENVYYFRDGVSEGEREKILKQEVLDIKSIFMKLTQDTWKG KFTVVIANKRHHIRAFPRPTDRNAADKNGNPLPGLLIEKDVTSPHDWDFFLYSHIALQ GTSRPVHYHVILDQIGHKAHQLENMIYDHCYQYIRSTTSVSLFPAVYYAHLIAARARH HEDVPASSGPRSGREVPMTNPKPKDKPVDPRLLPIHGTPNRLPFAVWYI AFLA_031660 MSLGHHHAWLPPGHLRPPDDHHDSRSINGYSKSFSGPRTPQMRA SVDADGSHAGNLISEADMAAEEDPRIAIFRDLYRRSEAKINNLFAGQEIAEDLVGAAV ADADEPDTKNERADEPAPPPVPAKKPARKLDDDDYDDYDDDDDGDAPSPPKPKPLTPS QESSAPPPLSRYTSGTTSAGGDVSKETKKESLEDIRKKLEEDKKATEEAAKRSFHTIF YTLENDRDAMLDQQRLEESERQVEAEMSSQANAGNNATSASNGYGSLSNANLGASSLT LKNLIARIDMKRTMVQASDAELRSLMSEVRKNRSKWASEDKIGQEELYEAAEKVLSEL KAMTEHSSAFLTRVNKRDAPDYYTIIKHPMDLGTMTKKLKALQYKSKQEFVDDINLIW SNCFKYNTNPEHFLRKHALYMKKETEKLVPLIPEIVIRDRAEVEAEERRLQLAELDGA EESDDEPIMSSRGRKAPGKSSKKGAAPARNTPSGSEPPAGASSQPSAPARADSDVPAD ATQNGFATPPPGTQTPSDPAGAAAGVSGSHGDSMEIDGLAPSTLALSALSAPGAEAED PEYKVWKQVTKKDRALIAAERHRLFKGDKLNSDEPALLRTKAGMRRWLRNQKQVNAEG DKSNESSAQAMEPGPAGGSLAEGIEVEEDKVIPDYYDVMSGVPDLPGQLLWREDSDGN IVDASEEFLRILPKGTFTQPESKLSRKMDANMRQMQETRKICSKIGIVKQMQLQSQMY QNQFQKYQPEPFVEHDVPPHVMNDEGPVVSPWVCKAALQRSVAKIFYHTGFEEYQPSA LDAVTDIASDFFQKIGETFKSYIEAPKVPTSDPTDIVSSSNQWKKAYTEPEIVLHTLN SVGIGVEDIESYIKDDVERLGTKLATVHDRLRSLLSELLRPALADGGEDGSSAFADGS EQFVGGDFAEDIDEDFFGFKELGLDKEFGLATLSVPLHLLQNRMYNAAQSQNTSSTQT VTLFPPPPPYPRITSETLPSQIGLVQAFFGAKLQASNNEGLVEDLELPPKQRPMAAKP RLPASGKILPPSAPAGPTSSPQKRPLPPTAPSQQPNAIKPGPSEPSKKKVKKNSGVAL EIPGSIADGDEAAANLDGTKTTDDPTSTNMDSKDSAAEVSGGPVTKDTAMPDVTAAGE GAGSIGDATGDPNKSNDSTAPLTNGTAGVTS AFLA_031670 MRIRYPFAGAFVFLLLLAAYIGLLPHSASSSVPSQLQPNDKLLH VVTFFLLSLIFYWIPDTTRRRTLQLTLIVCTAVLGIGSEIVQGILPNGRSFDPFDLLA NIVGSLGAVGLCSWYHRRMLERRRKARFGALGDATDDVELGVGPGHSETDHDQEGLGP QETGVTNLEREVDNWDENAVDNWDSDDGVDEPSGLIAEGSKPSAPAVNGDKGEGKKRN D AFLA_031680 MAHPTIKIDTNVQATKRALPEDNDEGFDVLDGPDQDDPGLEAGD TESVPPFLCQSVLEIHQKFEELEWIQRTRLAEGMLANDPSHRWALEADPEVKARNRYV NVQAWANSRIHLRVNDGECDFINASPITLKDSVSQEERRYIATQGPKVGNISHFWHMV FHETKEVGVIVMLTQTFESGREKCSQYFPLNSDNPLVLLREDERDPFVNNENHPTGDG SVVGAVALLETTFDEKSRSEIRKLRLTLGAESKIVWHFLFAGWADYSKPEGDDRSALL HLIEQSGSKSTPDNPRIVHCSAGVGRTGTFIALDHLLLELQSDQLLQVTDPEVDPVFE TVNQMREQRMMMVYNEMQLQFIYEVLREQTDRKLGKVPEITGRRSDERSSKMAKLSTE SEYLPSSKPELEPVSDRTFTPTRSWSGTPEVSDNE AFLA_031690 MHKWKIVGHLAAELFDIAYAPMRSTNNHGPFTSIYQNSKSNSNK KTEHPRDFYSLHHLTTLPTTQGVMIDNR AFLA_031700 MRVSSLSFLLYSLSLLAAVSGQSVAATGGDVATSKDAAPTKTDA TTTSTSSTSSTSSTSSESTESTSTTSETKPTTTKADPTTTSESDSSTSTTDPPKTTAN QPTKTSASTTSGPTTDSNNNNDNNSQTTADNSSKTTQTPVVKTLTTIQTVSGTPVHTT LTTTSSAPVGATDSPSLNGEEKDSKSSGLSSNQKKTIIGVVVGVGGAILIGALGVVAW RIHARKRNAHDNDEATDLMSGTAVGSGLREKAPSPGAGGTPFKSTLDQYHNPGPVNAA SNF AFLA_031710 MFAALPPMQQFNFSQCATAPARPSPLSPHSSPARPMPSLSSSPF HFSPASESQSNTPVTSRTESGSLFLESPVSPSPSKGAGTSSRSKTSPTYAQRYASTIS NPLNNASRNGSASSSPSAREARRNVFLNRIKQGRDDARFANRGEQLVLMEHVAEQKKW GESMRRRTDGILQGYLRDLEEGVHDMLDEADIQALDEYLSQEQAMEMELLENVDAQTP SGQDTGNASKDPGSSFSDDEYEDIFMDLADQTALSQDLDMSG AFLA_031720 MSTPSALPVNAGDPQPNDSAPATTPAENVKTATTSATPAPETPA QSADKPQAQPQQPKQAIDDDDDDDESDLDELDDVLDDFNKPKPAPAPAPAPSASSQPA IAPEANDFDEETFMKLLEKDMANMMGHAAKESGTSDDKGFEDTINQGADAFTKQLEES GIPPGDFIKQLLADVMAEEEGGDATAKAAGAAPSTGSAGSSSGGAGARAPPPESFNDA IQQTMNRMKESGDKATAAASEDDPNDLMAQLMKAVALNVDGEEEDGGFMNLVSSLMEQ LSNKEMLYEPMKELNGKFGPWLLENKGNKKFTDEEWERFEKQAAISSQIVAKFEEPGY TDEDPKCREYVWQKMQEMQAAGSPPEELVANPFGEENTGPGGMPDCPQQ AFLA_031730 MAPATMKAIQIQQYNEPYHISDVPIPKPKPHQVLVRIQAAGFCH TDLMALNNEFNTKLPFIGSHEPAGIIEEVGSEVTGFQRGDRVGCINFDSVCGKCADCK AGLPIYCDAPLMKGITTDGGWAEYMVADARFLVKLPDDMEFKVAAPLMCAGISIYGGI VRADVPKGGSVGIVGIGGLGHLGTQIAKCMGYKVAAIDVKQSALDAVASYEHYPDVLI LATDTVEKSLEKIDGVTSSEYSGLDATVLATDHPAAFELAAALTRKHGTMVLLGQPEK GITMSYQTVIYKDIKLVGSLVADTAQAQELVELFHRNRLHVEITEWKMEEAEQMRQWY CSGASSGKNVIVMD AFLA_031740 MYPTTLHPSPVKDGRRVLGEKTANACLSPAHHRPDVSPSKRSLL EAPSPKKLLPSPLFAGQKRTIDQVNDDQVNNDNLQAQHGESRAETQAVHGVHDEALTH STAHKNDWPDQQQADALEPDVAPRESDKQQSQQPQSPTHATLLARSSSQEREAGLARI VPEDPETRKLFIQEVNPQFDRRLSELEAHSRKFPRLSLPGTLTPSHQKEAVTPRRQDE DIVPSSTTPRALQPAVEFRPRTEPSSIPLGLSSPPLSAENDSSQDPMKTPTQSHRRTD TAESLVQLSSPPATVSRTGRDRMVGEVDGQEDDIEGNQSQKQAVTPSQRGDAVDGLLK LMNTADKREAANTWTG AFLA_031750 MRKRSGVGFNGALSWRFFGTSKCGESFRTWAVTGFDVYHANILP YNFVLYSDFNKIIPPLPIRPQHFHLSLSLL AFLA_031760 MRLSFTVLTAALQAAVSTASTLTPPVLPLIVRNPYLSTWFGNAR EAPWSKWPMFYTGEEVGLSLMAHVPSTGTVYPLLGKPHESLPSDSDSPEVAFPVYLGA NYDASTTNLTYRINSDTSASTPLDITLSFLSPITPTSTLRQSIPASYVTIHVHGDVAV NVYMDVNGRWVSGDAGSKITWQYDGFDAKGGKPTLQRWRFKRETELLLSEIRDRAEWG TLHFTGPANVQFQSGEALAVRRGFAAEGALRNENDGAFRAVGDREPVFAFSKSFIPSK KSGPASDSVTFTLALIQDPVVQYASSRGLTLMRPLWRSWFSNEEALLSFHYHDLANAG TLASDYSEQLAQDAYQSGAYDYVDIVALSARQVMGATTFAGTPENPILFLKEISSNGN FQTIDVIFPSFPFFLYTNPRWLAYLLEPLIEHMLSGQYPNKYAMHDLGTHFPNATGHP DGNDEYMPVEECGNILIMGLAVVNSLRYSADSTAASVWSTRGTAAQTSDKNSGYFPLD NLQALGGIDKQDGRWGGGAQGEHLAEKWVQRSYKLWTQWTSYLVEFSLEPANQLSTDD FAGWLALQTNLALKGIIGINAMSKLAEVAGHKANASYYKNIADTYIAKWEEFGMSRDG THAKLAYDWYGSWTTIYNLYADAQLCFHLEGTDISPAHGSQKSLHSHSGKSGFVPHHI YQKQSIWYHYVRQKYGLPLDSRHLYTKTDWEFFSMAVASEDVRSEILESVARWVNETV TDLPFTDLHNTEGKGEFPGPNFFARPVIGGHFAFLALQRACEGRAMEGLAFLNGKPGF GDDSVASAETLSQWEAMAAKAAEKFKFDGYESERVEL AFLA_031770 MNTLTPSTLYILLQSCSTPSTFHWSLYTTNTHGPLTRGTKHDLT DEKAPRRLWSYNSAPANLLELQSQPVIAAVKVDVIDDVEMLRGALEECLGNVPRTAYS SLFREEMSCRVWVKEALWGLDQGGFIDLGDRGNVSGIQGVEMEVVRAGLVAMWRGVFG VYELGEDGFVVREVG AFLA_031780 MADVRSKNLYELLGNDPELDPSRPPAPPTKAIDKPAPRVGKRDA PKEAPSQPRAGQNSRRGNFSGNEAAFRDRNAGRNQNREKPTDEREGGARRGGRPRGDR QSRTGQTDTGKKVNQGWGGQSGEKELDDERAGEKIAQADENEPQTPAEEAEPAEKAKS YNDYLAEKAAAGDFSAKPVRAANEGTKADSKWANAKEFKREEDENYIKGSSEKAKREK ARKEKNILEVDMRFVEAPRGNSGPRGRGGRGGRGARGGRGNGPRSERTERTAPVTVDE KNFPSLGGK AFLA_031790 MVDTSNPNPNDTAMDTVVPKTEPAVLEGSISSAVSTPEAEGEIL TQDVAQTQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIRQLESTIKRNEESLQ TLQQNHRTAADECLMLRYKNSLLERILLEKGIDVQAELRLKAGAPGPKPNPMAGKPPS TLERAALNRNSAQRHPPGIAPKGEPFGMPQPRDGAYGIPSPQFQATPQSHVSSPSHAK SPGFAFQGAMSPAGVDPQQAAQHSRLSHSRNLSQTSPPMSVAQSDTTDPKSALSGGAG PRGPRVASAYYPSPFQKHYDQLEQEYDAQADLIDEEHESSVGASPYVSGFNNAASVPG SHSMGHHSLPQFNPHSGEGSNGAYNNTNQLLGNYEPMLDADPFGLSASMHFQTPFSYE QNNTRH AFLA_031800 MAASRSPEPVSDGSPELSESSDVEQTELQNRAPKRRRLSESSVD SYVAPAPLPTLSRIKKKDAKDDKPATTTENDNPVLIRDALEIGLQDEESSFKALNVSP WLVGSLTTMAVRKPTAIQKACIPEILKGRDCIGGSRTGSGKTIAFAVPILQKWAQDPF GIFAVVLTPTRELALQIYEQIKAISAPQSMKPLLITGGTDMRSQALALSQRPHVVIAT PGRLADHINTSGEDTVCGLKRVRMVVLDEADRLLAPGPGSMLPDVETCLSALPPSSER QTLLFTATLTPEVRALKSMPRAENKPPVFVTEISTENNGAIPPTLKQTYLKVPMTHRE AFLHVLLSTEGNSTKPAIIFCNHTKTADLLERMLRRLSHRVTSLHSLLPQSERNSNLA XXQTGQERRLGEKGKRISEMVCMSF AFLA_031810 MAWRNQGVTGSNNVPLGRRRFGGDDAAEEESRTATPSSVVGEHK RGRSPVRADPPVDGVKKRKKRNRWGDAQENKAAGLMGLPTMIMANFTNEQLEAYTLHL RIEEISQKLRINDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKLVEKA MKTIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMETESGAKI AIRGKGSVKEGKGRSDAAHASNQEEDLHCLIMADTEEKVNKAKKLVHNVIETAASIPE GQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANIICRVCGN AGHMARDCPDRQRGTDWRNNGGYGGGGGRRAIGQGDAVDREMEQLMQELSGGAPGEDG GIPRRIEAGPDQGYDDRDAKPWQRGPPPSDVAPWQQRGRDNRSRDDYGSRDQGSAPPW AQSSRGGDYGYGSQGGYGAPGAAPWQQQQQAPPPPPGGQAAYGYGAYGGYAPPVPGMG APGASSSSMGVPPPPPGMPPMYYGSGGSPPPPPPPPGEGPPPPPPSEQPPPPPPPA AFLA_031820 MSQPDITLYTCQTPNGIKISIALEELGLPYKVEKIDISKNTQKE PWFLEINPNGRIPALTDTFSDGQKIRLFESGGILTYLAEQYDKDYKISYPKGTREYYE MTNWLYFQNAGVGPMQGQANHFVRYAPERIEYGMTRYTNETRRLYGVLDKHLASSKSG YLVGDHISLADISHWGWVAAAGWAGVDIEEFPHLKAWEERMAAREGVEKGRHVPSPHT IKERLKDQKTMEEDAAQARQWIMQGMKADAKH AFLA_031830 MSHLLFCLSLVGLSSATVLDKRGGDWAIYEIVNDDLARISLMVL GLMAAFIYVWKMGFRISHHLRRLASFNNSGQRYFRSPHETLSFVKNHVIYAPLFRTRH NREFQLSRAVNMGTLPSRFHAFILIGIIAMNVTVCVVTVPYKKEEDSVAGVIRNRTGT MATVNLIPLVLLAGRNNPLIKLLEVPFDTYNLIHRWLARIVVCETLAHVFAWAIPKAQ KIGWSAVGKALGHSNFLLVGLILQATAAFVGLVVHSPSPIRHAFYETFLHLHIVMAAL SMGFLWVHLNGLPAQTYLLVAIIFWALERASRLAILLYRNCGRKSTTALVEALPGDAM RITLRMARPWTFQPGQHIYLYIPTVGWWSSHPFSVGWSEAEELVSDEKGLPVTRQDMF GKKHTSLSLLVRRRTGFTNKLFQRALSSPNSQVTLRAFAEGPYGSIHSMDSYGTVVLF AGGVGITHQVPFVRHLVQGYAEGTVAARRVTLVWIIQSPEHLEWIRPWMTSILAMDRR REVLRIMLFVTRPRNTKEIQSPSSTVQMFPGRPNIDTLIGMEVESQVGAMGVLVCGNG GLSDDVRRVCRKRQNQTQVDYIEESFTW AFLA_031840 MSSVRRSSTATDQRRATRTGNSSYPAYQGSWKEVLDLSGDTGLV DIAHFLDRIFIKFLSKTRHNPVPSPNQQPSRGARSLLSTAVRCLPDFIAEEQRIQDEL EEDCDVDMCDAYFTELEAHYAPSGNGWLPLREAVRAQGIRLVSEMIHKGWITRVAACR LLEECVSHGEIDAFELLLSKQLTTVNTYGYPTAFDPHKPSTHCDDPVHILGTYYAKLI GSRSFIFDELAKLLSRGAMPPEWMVTNLWKCCVDEAIKALSTENANSAAATRMLEAVI LSAAGISRASKALVSHGKVLGTLRGRLKDTRASAANTASLPKDQSPCPVPIQDALNNL TSSLITALCGMYIARSQPAGAGDNMIGVKFRDTLRQIAFTIQRSIELGQSCEAGRTTL HSLRRGYVLVGDCMLQCGEVSSLEPIGPSDSISGKNLEMFFLSLACQHDMVKELAELA QQVVKFYERMRKSDQTRVSSGVRSKVSQLAGLTNLHGFSSLLAKVAAETAMGLAESTL DVDDHTWAIEVQQNAVRLQQGQNPKQHPAPGRETLGDSVDLYRWEESIGEWVASTPAA KPKSTQSNIAKGYSTRSPTIACSTSSISSSSSPSQDAASSVTSSAPSVSAKRAFTAAG IGSKSCKRLRSTPVESQTRDSPMATKLPFAGSPIAARTRAARGALGDLVQPKVLKALP INTSFPTTRVEVVIVNKNVSALDPMTRRRHCRTTDERTDSRRRKSLSASLEYRGDGKQ ASAPRITRRTIPCSQDEDSDDELSFL AFLA_031850 MAQTNGELEHSKETPEQLTNGNHPEETQEEEQNGGLFQISVKLP HEPYKIQVMVSSQEQVQDVRQSIVELPSTFQYTCFHLEFNGKRINDFVELSEVPDLKA DSEIVLVEDPYTEKESRMHVIRMRELVGAAGDRVDNLQGISAGLSLHDSISEEAAAGE TTEKEHSLSKYDIAGSPSLNTILPKAEAPLPKTVKSISLSPWNPVPYHLRQKGHLLYL QVTTNEGEQFQITSHVSGFFVNKCSNARFDPFPKPMPKKGSAHSLLTLISHLSPSFTT SFEALQEANNKKDLLTTFPFQNAIPNSPWLVAPPSSSVNAHQPDITRSQENYLISGVD NAETLRDWNEEFQTTRELPRDTVQDRVFRERLTSKLFADYNEAAARGAVLVAKGEVAP LNPTEDRDAQIFVYNNIFYSFGADGVGTFASEGGDEAARVAVGKDVVGIKAVNQLDIN GLFTPGTVVVDYLGKRIVGQSIVPGIFKQREPGEHQIDYGGVEGKDVVATHPDFVPVF EKLSKALRIKKHAVWDKDGKRHDLEGSVETKGLLGTDGRKYVLDLYRVTPLDVMWQEE EGSDAYPHNMSVLRLELVESYWRHKMSQYVKAEVERRRAAKAVETASKEKSEENAESK EEGSEEKSEEALDQERVDISGFSLALNPDVCSGQIPQTDEEKEQWAQDEKEVRETCDF LRSKVMPELIQDLHDGDVGFPMDGQSLSQLLHKRGINIRYLGKLAQMSKEKGARLDAL TLLLVQEMIARAFKHIANSYLRNVAAPFTASCIAHLLNCLLGADVNSNPQADIDASLR EIYPEADFSFEKVTPTTLRAEIEKHVSTRYRYTPEPEWFNSLRHLQLLRDISIKLGLQ LSAREYAFAKSQLPAKVPATNGASQEEGKKKKKKGGDSKSPARAASPEKPAVSIVPDD IVNIVPLVKDASPRSSLAEEALEAGRISLMQNQKQLGQELILESLSLHEQIYGILHPE VAKLYHQLSMLYYQTDEKEAAVELARKAVIVTERTLGVDSADTILSYLNLSLFEHASG NTKTALVYIKHAMDLWKIIYGPNHPDSITTMNNAAVMLQHLKQYSDSRKWFEASLTVC ESLFGRQSINTATILFQLAQALALDQDSKGAVGKMRDAYNIFLSQLGPEDRNTKEAET WLEQLTQNAVSIAKHAKDIQARRLRRINMNTRTLGTKVQPQVGQSAPSASGASSANPS LDSRSIDELLKFIEGGDTSSSRTKQKKRAAASNPKLRGSKKSSA AFLA_031860 MPVYRHVPRSLPIFSSGRFSLSRPLRANGVAPYKSGMHFSQGSY QAAPNPLEQDAGDDGARSNGNDSEPNFRSTILKMMETAATTFASIAVLGAAGYSYHRY YKYLILEKMENAFKPGDPALEVAGVESGKHQYHHEEHWVVRDEQPRIDRIIAGGAGGR YFLLIGEKGTGKTSMLLEAMRKIDGEGCAMFEAHGDLEIFRVRLGKALDYEFHEDYIG SLFSIKGPRDTTPLLDIERAFNKLEKVALTRRRQGLPALILIINSTHLVRDDHDGQDL LEMIQQRAEQWAASNLVTTVLNSDDYWVYERLKRYATRMEVIPVSDLPKGRAMDALRR YRRQYFGEELSHEVLEEIYDKVGGRLSFLNRVAKAKDYMKLCDSICEAEKRWFLNKCW ILGPEMDDDVMDEQKYSSAAMVLAKALVDKEKEMEKTYDPEIGHILPQIPLHKAREIM TRADFIQSYDHENIFTIDSRAMVRADSVPMQNAFRDICSWEGFDKHLEGTLERIGDIE SLGRTRELTIKDLWNQGKYQLAMRDPKGRDNGVAEFSVVEGQKDDEDD AFLA_031870 MTPRKKEVLSAVTATADTRADEPAIELRQKTRSERIPQSARFML VVLSSLALSAGFFSLTSGDTLGELGDVSRHLEAWWEVGGLTAWKAVEVGLAWILGFDG RDVSSFIFLTHLPTYALLASFYNIRPTTVLISYAIILFSTSVPFVLLRKPTCVHDLSH APSDAVRNRSILQDRATTIYTTIAATSILTVVLYLSYATWLPTQLVLHFENIPDISAV NAGPAGLPTLFLALLPAGWAARDLLFVSSTGAAASKRTESTEKNPTPREGEYLACAVY RKTWGALSPKTRVLISRTVLLAAMLLSNTIVQLAGTIEGISIEGASAWGSIWAVAILA VGATFGWIEAVDGV AFLA_031880 MDTAYGYQPSLAAGVVFLVLFGLSMIVHTIQFTWKRTWWCAVFS IGCLTEVLGWAGRTWSSECPYNMTAFLMQISTLIIAPTFFTAGIYVLLGRFIQILGRD SSILSPKMYLWIFCTCDVISLVIQAIGGGIASAETNKEDGDTAPGTHIMVAGIVFQLF SITIFVACAADFVRRVLRRRLLQNMSGSITPLFAAMVFSVLCIYVRSIYRTIELSQGW SGYLITREKYFIALDGAMMVAAVGVFNIFHPGWLMPSTKAMQYDREIMSEDGYGHTTE LR AFLA_031890 MRLTHDDYTVAWICALPLEMTAAKAMLDTLHHLLPQAENDCNSY TLGSIHGHNIVVACLPSGVYGTIAASTVISQLCSTFPKIQFGLMVGIGGGVPSTGADI RLGDVVVSKPTPTSCGVIQYDYGKFTHNGRFQRTGSLNKPPRVLLTAISQMQSDNMMG NRPVHGIISRALLKNQAMQEQFSRPQEDLLFHAQYNHQPGALDCSNCDRGKVLARALR PIDDPYIHYGLIASGDQVIKDATTRDTIAQESGMGVLCFEMEAAGLMDQLPSLVIRGI CDYCDSHKNKSWQGYAAIVAAAYAKVLLSVVPVHRHHHHKLELHKMKEKAWMVPFRKN TRFIGRESEIAELEGRIENTKGPSKIAICGLGGVGKTQIALELAYRLQSRNSDYSVFW IPCTSHESVEQAYMTLAQIVGIHNVQPAEAKNSVKAFLSQSTGRWLLIFDNADDIDMW IQSCADISEPDIETASKILGNLLIKKDLLDDSDTTMALIEQLAFLPLAITQAAAYINE NDIGFTEYVMLLQEPEPEVIELLSEGFGDGEQYKSANPVATTWLISFQQIQRLSQLAA DYLSFMACISPRDIPQSLLPSAISKKKKVEAIGLLKAFSFINEQAEGRLNLHRLVYYA TRNWMRKNRQLDSYIRKTADQLNHVFPTEDHTNRKLWRQYLPHAVALISQREFLEQQK VYATFLEKVGMCLYRDGRYGEAEDPVLRAMDINVQILGREHTSTLDSMARLAWIHMKQ GRWKDAEQLQVHVLAASKRVLGPKHLDTLASMNDLACTYHEQGRQEESENLQIQALAA LKETLGLEHAVTLTSMNNLASVYRYQMRWKEAEDLELQVIKLRKRVLGSDHPDTVTAM NNMALIYQGQERWKEAEALGAQVIEARRMIIGEEHPDTLASMIVLALGYRDQKRWKEA EDLMLKVIKTQKQAIGPEHLDTLNVLEWLASTYWSQGRWRDAEKIYSDLVETHKRVLG PKHPKTVSNMAWLAHIYWHQQRWKDAEELEIHILETRKCVLGPEHPDTLAIMHDLAHT LMRFQQKVGDALALMEQCVSLRDKVLGPDHPDTLRSSHKLSEFKKADHLPDTNQQMSD QVESDQSMREISTALVITAPDTKHINRAKSLATPIRWPFENHPLLIASRNISSVSQGH DLREVD AFLA_031900 MPGEIIDRPNPKAEPSHIPDVVEQLQVQLDQASLDQSTSDAFLK FRRAAAYIAAAMIFLQDNVLLKRDLQHDDIKPRLLGHWGTCPGLILVYSHLNYIVRKQ NLDMLYVVGPGHGAPGLLASLWLEGSLGRFYPQYSRDMEGLKNLISTFSTSGGLPSHI NAETPGAIHEGGELGYALAVSFGAVMDNPDLIVTCVVGDGEAETGPTATSWHAIKYID PAESGAVLPILHVNGFKISERTIFGCMDNKELISLFTGYGYQVRIVENLDDIDTDLHC SMNWAVGEIHKIQQAARSGKPIMKPRWPMIVLRTPKGWSGPKELHGQFIEGSFHSHQV PLPNAKKDKEELQALQTWLSSYNPHELFTETGDVIDEVKSIIPSDDSKKLGQRFEAYK AYEPPNLPDWRTFCVEKGAQESSMKTIGKFIDKVFTQNPHSVRLFSPDELESNKLDAA LAHTGRNFQWDQYSNAKGGRVIEVLSEHMCQGFLQGYTLTGRVGLFPSYESFLGIVHT MMVQYAKFMKMARETGWHKDVASINYIETSTWTRQEHNGFSHQNPSFIGNVLKLKPNA ARVYLPPDANTFLTTVHHCLKSKNYINLMVGSKQPTPVYLSPEEAESHCRAGASIWKF CSTNDGLDPDVVLVGVGVEVMFEVIYAAAILRQRCPELRVRVINVTDLMILENEGAHP HALTTESFDNLFTSDKPIHFNYHGYVTELQGLLFGRPRLERVSIAGYIEEGSTTTPFD MMLVNKTSRFHVAQAAIKGAAKRNEKVQLREQELSTELNHNIVETRKYIHANRKDPDD MYEMPQFR AFLA_031910 MSRFNAPDSAASNMTPKSILSVNAGSSSVKITFYTFEQPPKAVA NAQISGITAPPATLKYTRGSTNHKEQLKEKLSTPQDAFKFLLQRCFSDPNLSEVTSTD DLAFICHRVVHGGDYERSVVITNETYHHLEKLEDLAPLHNFSALEIIRLCRKELPSVK SITFFDSAFHQTLPDYVKTYPINQDTAKANGLRKYGFHGISYSFILRSVAQFLGKPVE KTNVIAMHIGSGASVCAIKEGRSIDTSMGLTPLAGLPGATRSGDIDPSLVFHYTSEAG KLSPASTKEMHISTAEEILNKKSGWKALTGTTDFAQIAVENPPSPEHKLAFDILVDRI LGYIGNYFVKLEGKVDALVFAGGIGEKSALLRKTVIEKCQCLGVAIDASANDKGPSDD QTVMDISKGDGSGPRVIVCQTDEQVRITPSSSILSTLANFEMAYNCILTHGSGNGS AFLA_031920 MQPVGHRLIGYPPDRREGCVRRIADRSRGVVSFLPCRQYNWDIA DRRFWIAGHRDCGNGRTGGLNLNQRCQRQMGFIMKVTTTIRLVG AFLA_031930 MVSDELVIVPDTEYVTDQAEWQQEQGHSRILLEVRPKDRAMSLW GFPKLDMETCCLAFLI AFLA_031940 MKKEKKKKEKQKLPNLLRTDFSDTADWLVAGCEWGTYSRFQEPR MDPNQHQWGLSRLLSRGVLGGEGWVLVDGLTYSYPT AFLA_031950 MSESTSTNTPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENK EPTIGAAFLTQKCSLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPSS LTKAKHWVAELQRQASPGIVIALVGNKLDLTNDGNEASGEPQADGEQQPPAATADGDD AAGEPQEEQDATPGDARKVSTREASSYADEEGLLFFETSAKTGVNVVEVFTAIANAIP ESSLKSGRGAGAGTGQTTLGGGRPAEDSRVNLGDRSTATAKEGCAC AFLA_031960 MAAINKIALNSPSRQNPSELENAIAGALFDLESNTQDLKATLRP LQFVSAREVEVGHGKKAVIIFVPVPLLQGFHKIQQRLTRELEKKFSDRHVLFVAQRRI LPKPKRSVNSRTNQKQKRPRSRTLTAVHDAILGDLVYPVEIVGKRIRTKEDGSKTLKV ILDEKERGGVDHRLDAYGEVYRRLTGRNVVFEFPQSSASDF AFLA_031970 MSRPFPYAYISCPCADTPVPDPARKRRSRETSHKSNPEDPNAPV PQDYDFEDERTFDPRSPRSNFSLYPPEQLLYCEDCHQIKCPRCITEEIVCWYCPNCLF ETPSSMVRSEGNRCARNCFNCPICTAPLAVTTLENVTGGGSQQGPWVLSCGYCMWTTL DIGVKFDKPTNIRTQLSKMTDSSRGRQMSKTFSDLKSPLSTYSSIDDQFPSPSEMRGD DASPANEQSSGPLNPEARFQALKGFYKSQIASTSSLPNDPLADFGAGFSSPGALNRIM SLYTSSSRLGNLYGGTNKKPKSKPPVMREALTTSEGLRVPAPDAENALIRRMASDDCG WDGMASIEQRTFQSPDARFVEDLLPLPVLLRTKRSKRCKSCKHILVKPEFKPQSTRFR IRLIALSYIPLPTLKPLVPSPFAGLPSVTSGAAPNLDALSPLKTVQLQLTLKNHMFDP VRVTLATPSVTPGRVASKVTILCPQFDIGANSDVWDEALQGSTAPGDARASRSGTLGS TEKVAEAGKVWDKGRNWTTVVLEVVPGTLPGGGATGRNRMKQHYDDNDDDNDDSDADS DVNANALGLEWTN AFLA_031980 MSLRQIFRPAVFSPRTFTYRATRTYASQTPGNPVLEIFNRKVKH VQKDRAAQNVEESRKTDYIKDEVAMRLCERLLDIKRDIPNVLDLGANSCNIARALTMP DIDPVNPNSPPLATRISNLTCVDTSHALLHRDADEPFNKEISIKREVIPDLESLPYAE NTFDAVLSSLSIHWINDLPSLLAQVNSILKPDCPFIAAMFGGDTLFELRTSLQLADME RRGGVSPHVSPLADVRDVGGLLNKAGFKMLTVDVEDIVVEYPDTFALMQDLQSMGENN AILHRELGPMSRDVLLANEAIYRELHKEEESRGIPATFRLIYMIGWKEGEGQAQPLAR GSGEVNLKDILGGGDFSNR AFLA_031990 MAQINYRTINIDVLDPESSVNFPMETLLPPTLPAPTTSSEAANV AAQVRQLLRSGDPEGALRAVLDTAPLGGDDRAKEVHLATVIEVLQGIRQGEMTKVLEG VCNGQGGSERADCLMKYLYKGMAAPGPSSGAQSPRKSVSPQNTGFSQIQARNLGEGGG GQQMSVLLSWHEKLVEVAGTGSIVRVMTDRRTV AFLA_032000 MASTLSPNRLSVQNAPPRSSHSSSPSLGGETLRSRADSTISCAD TVVRSRANSAATTTKAVYDDVSVADALNPDARNEDDFIVQDNKFAFSPGQLNKMQNPK SLAAFQALGGLHGLERGLRTDLTAGLSVDEGHLEGTISFQEATSSENSHSKQQLSSIT ETPTSETDSQFQDRIRIFSQNRLPARKSTGFLKLLWLAYNDKIIILLTIAAIVSLSLG IYETVSGGSGVDWVEGVAICVAILIVTVVTAANDWQKERQFAKLNKRNNDREVKAVRS GKVSMISVFDITVGDVLHLEPGDSIPADGVLISGHGIKCDESSATGESDQMKKTDGYE AWRQITNGTATKKLDPFMISGGKVLEGVGTFLVTSVGRYSTYGRILLSLQENNDPTPL QVKLGKLANWIGWLGSGTRDLIIKSIALNSTAFEEERDGSKEFIGSKTEVALLQLAKD YLGMDVTAERGSAEIVQLIPFDSARKCMGVVYREPTVGYRLLVKGAAEIMAGACSTKI ADTDGLNGIAVDQFTQEDSRKVLNTIESYANKSLRTIGLVYRDFSNLSSWPPSYIKPS EEDSDVAQFEELFRDMTWVGVVGIQDPLRPEVPAAIEKCRTAGVQVKMVTGDNIATAT AIASSCGIKTEDGIVMEGPRFRQLSDDEMDEVLPRLQVLARSSPEDKRILVARLKHLG ETVAVTGDGTNDGPALKTADVGFSMGIAGTEVAKEASSIILLDDNFSSIVTAIAWGRA VNDAVAKFLQFQITVNITAVVLTFVSSLYSNDNQSVLSAVQLLWVNLIMDTFAALALA TDAPTEKILHRKPAPKSASLFTVVMWKMILGQAVYQLAVTFMLYFAGSHILKDHLSAE NGKKELATIVFNTFVWMQIFNEFNNRRLDNKFNIFEGMLKNYWFLGINCIMVGGQVMI VYVGGEAFGVTPLNSLQWGVCIICAIGCLPWAVVLRLIPDKPFGIALDFVVRTIALIL RPISKALGFVGKMFKSAVRPVKRVTKRVFSKRQEEDIGRPDEEAAVMTDMKRQQTPEA PTTSVTVPPITITTS AFLA_032010 MVSKGSTPSFSDLLDVIIVGAGPCGLAVAARLKEETPSALFTDD EHQRYHWINKHSGRMALVQARHGKQKKVKAEKWHGYTPRQSFSSTHSESIAGSPPSLS SSASTASEETETGAVEDGSPSILVLDSTGDKWMERWNRAFKTLEIQQLRSPMFFHVDP SDRDGMLAYTQEVGRDCDLWEISGCVGKELSKHKKKKKMRSKAVANGLWCCRAIGEVE IDERDRKDYFSPSTGLFEDYCSSIIARYGLNTPGMIQQREVINVQYDYHDEMSPSEKI FTVTTNDGAVFYSRTVVLAIGPGRTKVFPFKLTDEEANGACHSTEIRSFPSPNVKRKI QQRQQTNLMVVGGGLSSAQIVDMAIRKGVSKVWFLLRSNFKVKHFDIDLTWMGKFKNY EKAAFWSADTDEERLEMIKTARNGGSITPRYQKILKQHAARHRVSIHSRTVILSREYC PMSQTWCLTTDPPIPDLPRIDYIYFATGMQADVNELPLLQQMNREYPIETKQGLPCIT DDLMWKANLPLFVTGRLAALRLGPGAPNLEGARLGAERIAWGMEEVLGRGESEDTPAE RSKECFCGLGNRYAGLADVDW AFLA_032020 MALEYSYYRPSTPPGLPYEGIVRMTHSRNASDSSIYSNDSSPWS AVTSTTSPSTDSPPRYHHGPALLPKIRDQDVVIEPAPASGPQRHRKVLSNTRNPPGFL PYPPNRAAVQRHSTECVDYYLVASPVSPMYADSALASPVSITSSHKRRVSSAHSRSSS VDEATLAQYGYSTYRQLSKYSGQPQGSPTAPVTPVTPNIIVYPPYSQPSPVGHFSRTS RARTQAPPVLPVSPYSYSQVSSAQCSPVGFAHGVDRISPSSTTLLSYLTGPTQAINLV RNVSVIPTRGMHDYFWWDIRNLRSWSSFSLSTFHSINGLTKLLKTAIPSHLTPTAVVS GSRLCPDSEFTLVDLIQDIYAPRVNAALAVSQGPDHLALYATPVPRIHANRNYGGPHF LANYTSDTERTSSGLPRGRLVGIVKTFDRWNTSMRTEAPHRRVEYLNGLAHLQRCMRE HSCRYGFIITEIELVCVRAGCDEGDDVPYFGFLEVSAPIPTKLAASSDEGYLKPCSAP YGHPHSPTPSSDSSLASQSPILDAYSSPAPEELGVPMTASLALYFLLMLSKSVPLPSQ PSSHLNVGGPGALTRQRVLPEGKDKWIPEPQIGEKRDAKRVRGWIWPQDAWHRREGGG APRSRATGVESKPKKWHK AFLA_032030 MSARPQNIGVKAIEVYFPKQCVEQTELEKFDGVSEGKYTIGLGQ TKMSFCDDREDIYSVALTTLSSLFRKYNVDPKSVGRLEVGTETLLDKSKSVKSVLMQL FAESGNFNVEGVDNVNACYGGTNAVFNSINWLESSAWDGRDAVVVCGDIALYAEGPAR PTGGAGCVALLIGPDAPIVFEPGLRGSYVTHTYDFYKPDLTSEYPVVDGQHSLQCYTE AVDACYKAYAAREKTLKEKTQNGTNGVAHDESKTPLDRFDYILFHSPTCKLVQKSYGR MLYNDFLENPTHPAFAEVAPELRDLDYSKSLTDKNVEKTFMGLTKKRFAERVKPSLDV ATLCGNMYTATVYAGLASLLSNVTFDPSQPKRIGLFSYGSGLAASMFSARIVGDVSYM AEKLDLHNRLNARDVLAPQAYVEMCALRKQAHLKKNFKPSGNTETLFPNTYYLTEVDD MFRRKYEVKA AFLA_032040 MGELMYGITLFTLRYTYCTIMANINPFRSRRLAYRAVEDTPEDD DFIHSIQSDPLSYATSNSTLLKPQTKRDTLNGYKKHLMEDALLAVIILLPTQNVHDQP GTAGPPQEIWTSIGIIALKKDEPGHGHHRKSSISIDIAKPYQNHGYGSEAIEWVVDWG FRKAGLHRIAVEAFSYNPGATRLYERLGFQFEGRQREAIWYDGDWHDLLIFGMLDREW KTQQQKKSKKGSSEQF AFLA_032050 MGNDSKFEYEALPIPSYEEAVGARPSSSRSNLGPEANDESERQG LLHNVDDVTARESARPRPHGYQPPTVESVRDSLDGLDSTGADSERGSLEELQRELHEM DVEDGDQQSSQRSRLLRSRFSKRISSLTRSLSAIHLPIRRFLPSFRFTIDLNGARTNM RTHGCMIMLRLFGLFLVVLVVYIFFVSDLFNMNSRFIMGQSYSAASVENFVQGHVNET NIAENLKRVTNFTHMAGTEGSYALAEWVKQEFKIAGLDDIEMEEFQVYLNYPRDDGRR VAIVDPPDLFWEATLEETNEETPVFHGHSKTGNVTGPIVYANYGSREDFRYLADQGVT VEGSIALVRYYGSESDRALKIKAAELAGAVGCIIYSDPSEDGFVRGPAYPDGRFMPED GVQRGGVSLMSWVVGDVLSPGFASTPDEKKRLKPEESQGLTSIPSLPIAWRDAQRLLQ VIKGHGSQVPAKWVGGVPNVDQWWTGDGTSPKVNLMNLQDEEERQPIYNVLGRIIGLE QPEKKIIVGNHRDSWCLGSADPGSGTAVFLEVVRVFGELRTFGWRPLRTIEFVSWDAE EYNLIGSTEHVEKELEALRDNAYAYMNVDVGVSGNEFEASGCPLFERVVTQILGRISD PVANETLKEIWEKKQKKLGPLGAGSDYVAFQDIAGTSSVDFGFVGEPYPYHSCYENWD WMVRFGDPGFQYHKILAQFWGLLILQFADTPVLPFDLEVYADHIASYVIDLEKYAKSQ NVPIAHDASSGKSNREVTVTFKPLYDAAAKVKDDAAQFQQWARVWHDTVLGTGGFENN VIAAQRMDHNARMARFDTHLLDDRIDGGLTIYHQVPNRTQFKHVLFAPELWSGYDATF FPAIRDSIDSRNWTLTQEWVDRVSDILRTAGDKLLHG AFLA_032060 MSPLSLETPNNHRDGEHVLLPHSASYNHLPDLVASESASPTLRR TFSDLTYPKDSTSLSKEDVAAGKDILRRTSLRNKDKSTITVSRFSVSTEDVTDPASTE LSGAPAKAPETTKVPETRAPEPVARPSKARSMSGRLVNLARKPWKSSSPSRSPSPPAK GSRGRTLRAEEQSLSSSPASQSKGLTPLDTVTESDPAVPTRRRTILNKRPRLPMVAVV TQSQADSPTTPNSNTPSPFTLTAKNSLEKLTSSLNVTTPVLPPMPKTAVASAGIDFAR KKDELWGVFRGLEADFQK AFLA_032070 MSPEETSNFQHRIHGRKPSPVFASHPRFPSFTTSPAAMMSQSLR ASRSLFARVSRQQVSSASRRTFLTSAVRQADPVQDLYLRELRAFKPTPVKPGDAEAHV QKFSVPAAPKSPEEANLANELKSYETQEVEVEGQAAAGEAAPAEESWFEEDEEAPAAH AFLA_032080 MATAPPQARPEPGQWKKNLSHHLICPECKEVPPNLEFPGSHETV CGSCGLVLADREIDMHSEWRTFSNDDQNNDDPSRVGDASNPLLNGDQLETQIASGGSG RVRDLYRAQNKQSSEKANKSLLAAYKEIGALCDGFSIQKNVADTAKYLFKIVDDAKAF KGKSQDVIIAGCIFIACRQCKVPRTFTEIFAVTKVSRKEIGRIYKALEKFFTAQNLER NNAVVSNGGVPDPNDTYTATTSTKPSDLCNRFCNLLDLPFQVTSVSSALSDRVTTMGD LAGRSPLSIVAACIYMASYLMGHGKTAKEISQVAHVSDGTIRGAYKQLYAERERLIDP EWIKDGKGDLKNLPAS AFLA_032090 MTTQSPPVIPPRPSRSPNQQGLAPADVPKIPPRPTHRFDRSVSP LRDSYAPSPLNEPPNGSSSSRTISQDVPQRPPSVTIPSIGEEGNEYEALNMDDISDSH RENHHSTPAEMRNVGSDLKLHAPRPSLPSSSAKAKVQAVTRTDSRQAAAAGLGGTSSP APDDHERPTRSLQSRTSYSRADSSTSIDRRLSMNGDEHGIRVPMYPNAGDVQAPSPSP YHLEQNPGQRSGRSHNRTRSGRDASLPPGSYGLHGHGVQTNDKFEKAWYEKHPEEYVK EEQGQYGPGVGSPRPDWALSSDDLNKIVRGSAVTGSGLGTSPAVIGTPEEEVGYIAAD EYTHRLSSPPPESRRGSRLVAESPLRKESVPSAETEGQQQAAASEDTAHKSEEPGVIH VDEPYHHLHHPDGFAQTPGPEELSGKHGEGEVDEDEPILAADEVRPESAFQHPAVSPT FDRRESMEVDRSHTPSVNHSRSNSRTAGHRNSLPTLARYNSRDEREETHTPLEDVEEY EPLFPEDDDAEKKTLSTTDRFKQRPDMLKHRFPSQDIWEDSPNSLQLHATVSTPDVPK NENFETPEQESFRRSQANRVDPHKVASQILQSEGYLDEKSVSRPDIVKQRFPSRDIWE DAPESQKLVTTVEPAEEKEVKSPDVPAKPSIPARPQKRPQQAPPVDASTKPVTSPTEK RQPPSIPDRPKPQVPTRPAKPVFPGNGGEPKDAAAKPKPAVPARPGGSKIAALKAGFL SDLNSRLQLGPQAPPKPQEKKTEEPPAEKAPLSDARKGRARGPARRRPAAENVAAKLP TISEVRITETWNVWEVNEAGNLVVGSEKQVDKNEVGALDTTSSEHNTMAPPIAKNTAG ESTDPQPTVSPKEDPVSSSDSTPSETQPPTFTEAAHTVTSSLDGEGPVVAPTTKRDEP DASSEAISAETDVDKTPSTPSAESGVEDVAEVAAATADGKRPSEGN AFLA_032100 MTFAEEFRSRNFSIYGQWTGVVCIVLCIALGIANIFSFNAVRIV FSILCLVSGFVLVFIEVPFLLRICPTSEKFDTFIRRFTTNWMRAAMYVVMSALQWVSL VSGASSLIAAAVLLLLAGLFYALAGLKSQEFVGSKTLGGQGLAQMIV AFLA_032110 MDPTSSKHNRNISRSSRPRSSTKGPLDDPNDPLNAQGALQPQNT ADAGGYTGASFTELDPLAPDDLPQTLGKDLSFLLRYDIYHSLSQVDIPHTLRSEFIGL TSEESLSSCLSNLERLLAEGHFLLAAYLSATILTSSLISSSDIKMIFSLFYTRLACLE LSGNTIIAAQESKALEDLSSTFYYVDQASATSDVENEEKHTNYPRHIVPWPLRVLAVR LQSIGFGDSRRGIGGLYEIGLEARREIMRPDLSPAERSIWKERLSDLGIRSVNALIEM GDLSTARRSLHNLQTSGSDETNKLRKVLLFLLIGDIDAAKQLSGESDETGISISKPLL SMAEGHYDDAVTEWQALLESGSKGTDTAIISQNMAVCLLYTGRSNEARQVLESLVHGG QSFGGLIFNLSTVYELCSDKSGQLKAGLVDLVAKEPATGHTNLDRPNADFKL AFLA_032120 MAFCARHSEDLDAAWSSLPTSASGDATKNPPAALPQPRETQTKI NTVSASTELSTLLLSLRKLREAVLATASTIPVSFSQRVHVFSVKISIQAKHPPSYFPS LRYLLEKLHSPSHPLPESELRDLISYLILDYACRQDDLVAAFELRAKARREYAFQSPT IDRVLTALAHDNWVIFWQVRKEVDSSIRVLMNWAEDRVRRHALKAVGSAYLNVGVQWI TEGCTGDSRWTWDRLVETEKLGWQKEGDKVIIRKPKPKPQSVLGSTKSNA AFLA_032130 MPSRQRRPTEKAQESKASTPAAMAATVMKQPPQVLKKLLHWDDL PHWQRDNHHIHTIPAAVWLHRALAPRYETATRADIISFACFFVGAAVCLGMSATYHTI SNHSPTVARIGNALDYAGIVALIVGSFVPSVFYGFYCEPGLQRLYWTMICTIGIGCVF VSIMPQFRTPRWRPFRAAMFVGMGLSAVFPVIHGLRMYGLEQMTRQIGLGWLLLQGFL YILGAGIYAARVPERLRPGQFDLWGSSHQIFHVLVVCAAVAHLTGLLRAFDYRHSGIA ESCSWN AFLA_032140 MEMPTSVATLMAGARSGPNDPKSYGSEKANARCLATTNGSYRGL SAVATWLWASKHRVWQQAQVQHWGRKLWGHRGQRSLSIQNSLCQSEALLSDVAPNGEI AFTVEQALSVCRPAHTILAGTFLSGQ AFLA_032150 MLVESNTPSGNGGDGPKLRAACENCRQSKVKCNLGGKNTCIRCL RHGLQCRYRVANRSGKPKGSKNRATLRKLGQLQETSAAQVSGRSTKRRALQATEPLCA DQELNKSENEPTNAPRTSVRATTDSGTASMNWRPRRRDECAACSSEADSNSVRR AFLA_032160 MAHRLAQISSHLNYPQGLLANQVAIITGAGQGIGAETAKLFANE GAKVVIADIDAEKATNTANAINAASPNRAIAVTGDILDDAYIESLVKKAAEFGNGKIH VIVNNAGFTWDGVIHKITDKQWDTMLAVHNTAPFKLVRAAAKYFRVKDGEPRVIINIS STSGIHGNAGQANYALAKAGVVGLTRTIAKEWGPAFGVRSNTIAFGHVQTRLTAAKEK GAFITTPDGTKVALGIPGKQLESRQGGVGEQKQTYPDIPLGRPASPEEAAKSVLAVAS PLFSYVTGETIRVTGGRNM AFLA_032170 MLAPSPPLSMYPPMLPTPPPSPPITRCYAPEDRLGLLLANRLEL IGILGVGAYGVVYTAIDIHTNVMYAVKALNKAGLDPRQLKFQQREIKLHHMASQHPNV VSLVRIMDSVDCTYVVIEFCPEGDLFSSITEKGNFVHNDPLVRRVFLQILDAVQYCHN IGIYHRDLKPENILVTDQGLTVKLADFGLATTDACTSDFGCGSTFYMSPECQQPNPRP MSWYESAPNDVWSLGVILVNLTCGRNPWKRASPEDSTFRAYLKDPYFLKSILPLTDEM ICILSRIFECDPRKRITIPELRTMILECPQFTIPPWGSMNGPMPVGFVNNPQVPVHHI PSDVYDSQSSVSSGSSHYSDSLQSAVSDASSFTEGYPDVDSVSSMSSVGLDCEADCKN TFAPTESITCSDFVEPLLMPFPQPIPVSAY AFLA_032180 MTMRLGGAPALTHRLWHSIDEEHGRNGYFDRLSTFLPVACLPGS LFIAVAKRTRERERKGKIQIASSSHGSTTQSNDRASNPDGKGWSGGFGPEDCWN AFLA_032190 MSLTEESTNATVQDAHREPQPAEPIDEATQLEARRKRREAIRAK YRGQATPLRLQALHIAGDGASSTPNSEPVATNNAASDSQLSASETPNDSTGEAFSDFK IGNVADLVNDDAPVDGTDKDEPSAADYDPTLDMKAERERHTSADVSAASYDETQTTKQ DVLIPDAVPVQQEQPKAKDPYDMFAEDDDDMFAEETQDTTQPAHASAVPAVPQPQELD ISMMDNWDDPEGYYNVRLGELINGRYHVQQNLGKGMFSSVVRATDSKTGKLVAVKIIR QNDTMRKAGMKEIGILEQLREADPDDKKHIIKFERYFDHKGHLCMVFENLSMNLREVL KKFGRDVGLNLMAIRAYAQQIFLGLSLLRKCNILHADLKPDNLLVNEQRNILKVCDLG SASSTTENEITPYLVSRFYRAPEIILGIPYDQAIDVWSIGCTLFELYTGKILFTGRNN NQMLRSIMECRGKYPPKLLRKGSLTHMHFDDMLNFHSTEEDKITGRLVTRVVDFKKPT RDLKTRLMGKGTRGMTDSEAKELALFVDLLDRCLSLNPEKRCTPAEALKHPFISRPKA AFLA_032200 MGGASREGGKVKPLKAAKKEKKELDEDDLAFKEKQRAEAKAKKE LLDKAKGKGPLNTGSQGIKKSGKK AFLA_032210 MWLKVSSNFHRSKKPVIGTPTLVGKTLDDNEYQSFPLVSGVQKE NNKYHAKTLPTPPKNPLDPRRSDTVGIAGKSYSQDTMADSRRTPSISLTQYLPQDSGT PGRTDSLSISPPDSPVFLGHGPASLGSSRVSSLEDEFEQYFGGGQTEKTFTSHIPALR KHADNQREDPTPSFTRPATGWDTFSREPNNMDTFAPAAPGCTFETHISSDARPIESRS SDVLNWGREQKRKLSGARSRPKESDLFLPPSSRVPWKGASGRSPIVEPLQEKPRARSS SRVHLSRSSSQLRGRESPSPSGAYLGGYPSVVTTITGGEANTKVPEMYVPSKNIHRTV FEDPTPPATSASSRAPPRVNLPEPDLTNTLADLKLTNEDDFGLPSSRFSVTTYEPTEA GSSTATGSPRGSIDAASQSTEYQSSIMSRKRPVPSAVAPGKKPSRKPTPSQTTNELLP CTPEQHTQNRIEMLEARRDYLTRRKASINTMIYELTQVIQPSPIAYDLAARDEVKKTV ASLNNELADINKEEHDVGMKLFRAWRKRDEQECNGGSSGLWVKRVTS AFLA_032220 MSSPQDGAVPPPAEVMSIASPINLLLLSLFAVLVYMQFRPKAPV TLPKGPAPIVFRTFTPTTLLEFNGVDGKPVYLAVRGRVFDVSPGRNFYGPGGPYENFA GRDASRGLACQSFDEEMLTKDLKAPLDDLKDLDAEALENLQSWEERFLEKYLVVGKLV AEGDPEAPKA AFLA_032230 MKLNFASGLVLALATVEAVGAHSWFSKAVYDKWHESELERWLSD HDIPYPSPADRKDLESAVKVNWNSKVQKPLGQAADQATDQWHQAKDWIFDTWSDSHLK AFLDRHGIPAPQPRKRDVLLKTARENYEAIAKRLGEAASYPGNWVYEQWTESDLKEWL DERGWPVPQPTTRDKLIAMVRRNARLASLQARSLAASASKSADAAQATLSEALFNAWS DSDLKKFLDEHNVKVPQGSKRNELVALARKHRASLVSQASSVASTASQSATSKASELY GAATTKAGNEYARATDAAQLKGEEAFEAAVATWSDSRLKAFLDARGVPVPQSNKRDEL LAKVRLNKHKAATGWSAWTFDTWDTEHLKKYLSSMNAKAAHRADLTRDELVKQAQDTY AKASKAGGANLASATSYMAQATDAAKSSTFDTWSHSELKAYLDSYGIPVYQGSSPNEL RAAVRRNAEYFRYGTSTPQGTIYAKLQDATNWLLDQLKIGAASGRAQGQRAAEKAQEK AADAAEEMRAEL AFLA_032240 MNSLILFGILLSYLPQHYRIISLRSSYGISPYFVLLGTISGSSS LANVVSQQQSLQDVSCCSDVNGLACFAGLLGILQIGTQCLCFFIILFLYILYFPRDVQ SSPSTSKQRAQGPTYRTALIVGAVCILHISVMLIATITIGLKRPASLQSWSNLCGILA AVLSSIQYFPQIYTTVKLRCVGSLSIPMMCIQTPGGFVWAASLAARLGAKGWSTWGVL LVTASLQGLLLVLSVFFEYLGPNKGHSHGEVESVDNDERDEQDSRPSEETPLLQNQ AFLA_032250 MADFQSIAQQFVEFYYKTFDENRGQLSGLYRDQSMLTFETSSVQ GVRDITEKLTSLPFQKVVHQVSTLDAQPSNEAGGILVMVTGALLVDDQQNPMNYTQTF QLLPDGAGSYFVFNDIFRLVYGS AFLA_032260 MSDDSKLKGFPDVSAKLSALPKKSLFERQKAEAEAKRARERAET AAVYEDFVKSFEDDSPAPDRPSADGRLNRFNPKSSGFGGGPAKRHFTSSGPRMSGPGT LGPPPPSLSRKRTHEGFQPLHRNRDFAHGVLGFENTASPATAFRTSDDEEDATVDTKE AERAAAKPTLYLASLPPGTSPSVIKSLIPSVLSVDNVKLLRPSGQPSDRKSMSAIVTL ANESAASDIDSTVSALQNKYLGWGYYLSISRHLSSAAISSTMPVTVGLSSTSSLPFGA KSIASEVQGRLNRAPPPGLHRGGFAPPASYGPSFGRSGPNTQVEVKAPADLKQLRLIH KTLENLLNYGPEFEALLMSRPEVQREEKWAWIWDARSAGGVFYRWKLWEVLTNSSSRG NQRGKPRNSLSIFEGGAVWTPPEGNIKFEYTTQMDEFVSDEDYDSSDEDLSDVEDERR QHSGAPPADSLGASNDGLGYMNPLQKAKLTHLLARLPTTHAKLRKGDVARVTAFAIEH AGAGAEEVVEMIVSNIKEPFAYTGANPDREMEKGAARREQAADISNDAEEARLQSKGN LDTSSAKLVGLYLISDILSSSATSGVRHAWRYRQLFESSLKAHQVFEHLGRLEKDYSW GRLKAEKWKRSVGTLLHLWEGWCVFPQSSQEHFYEVFEKPPLTEEELREEKEKAEAER AANAFSKSKSRWKSVEEDTTTQKFDPGRPPEADRSRMDIDQEHIAPGREFDGEPMSDL DGEPMEDSDLEVPDGDPMEEDSAFVEESGSKEQPEKQPEPSAQPEPQRPVRKPRPKAE DMFADSDSE AFLA_032270 MASQVPALLRFLSQDAKMPLAAAMGKVMELQKAGLTSPEQISKS EFKVLQEIFKDDKLAKQVWNAAKKVSKKREASTGSTESPRKKPRGLDRRDNTTPFDIE CALSLPTTSATEDELSKVVLLTNRAPLVLAFAVCVLKHTMPEQPISSRLSLAQAVVSA NSRSKAASLGIESENSADQEGWGEGQPVVRVLGREVKVLKRWDYNPREGKPEGVASSE QDEDIHHADNDMLGQDVSDSDNSNGMPPLWGIDLEALRSAHRDNSIGASNANEPLPIF TPGAARSYLLKSFTEASKDNNPASDKPSRKRLSQTDAEKETCLRNLLRSIDLVCQSWA PFLSREDLDRRAWAWYTHVRPAVQSGVAGWGEKGRVKLSDILALRRQP AFLA_032280 MSGHSSNPNIFSDEYSLEQIDSEQATLTPRSPSVSSIASSNTLR SSLPQQQKAYNTTPNDLGLTENPFGDDARVSFDESPNRSSLPPKGVDFTNRNSTASTN TAPSIAQRSQSTSSRFSMPPRALSPYTGATGPSHPYAMYPQVGVSRSPSIATTSTVRP MDRPLGDANAPQHPYAMYPQNVVPEEEMDNTMIPPVGFPGHPQAYQRPPNRADDDVGD LIGPDGHTEQLPPYSRYPDPVIPKVEGTFDPTPDAGVTLHDNSHSPNEHPPPPVSEVS SRTLVAENMANRRDNDEEREAAPVTGVMAFEEKLKTKGKKKACCGLPVWTLVLVGVVM LVGACIGGVIGGVLGAKKAANEENQHPKGPKIVTKTETPRMDATPISTIPTNLPAAPT GNYWIPADPKNSSKFCIVDQDYNPSWSCMKSGKIPVSVTGTESSRNITFSNEPISSSF TYGAQAPYFSDPTQSLSLMMDSSDLSLGPALTFFSLFDKLVIVPQDTFSSSAVSKRAI SEDDVMAGAFRRLHTAQAGDKPWFCWWNSTVMEFFLYLNQSTKDAQYSSTSTHLPSSQ STDSLSSSVSNYPLRIKMDEKRDYPEAQSPYCQQMQVLDNGSVSPISQQTLQIKELEP TPTTTLKYSASATQTYTATAQYEHVCYCVALTD AFLA_032290 MNPVLRSRSILRVANSSIPSLYRSQKVSPMSWRTYAHSSYGGEG ETEAQQPNNSRNTPTRDIEHPGPSAPDVSKGSTASSSSQPRSSSTSQEDRDKEDSEGR PIRMSSSNNAKPTITDGRHSPNVDSEGNVKSDVPNDVKKHNEEMEHRYDRPYNQLGNE GKPPKGF AFLA_032300 MGAAKNKYTVILPTYNERKNLPIICWLLERTFRENNLDWEVVIV DDGSPDGTLEVAKQLQELWGPEHINLKPREGKLGLGTAYVHGLQYATGNFVIIMDADF SHHPKFIPEMIRIQKETEADIVTGTRYANRDNIKGGVYGWDLFRKFTSRTANLIADVM LMPGVSDLTGSFRLYKKSVLEKVIHSTQSKGYSFQMEMMVRAKAMGYKVQECPITFVD RLYGESKLGGSEIVEYLKGVFTLWLKV AFLA_032310 MSQSHSGILHEYAPRLTAFEFNPGPKKQHSLLFVGGLTDGLLTV PYVSALAKAFESTEWTVFNVLLSSSYLGWGVESLDKDVTELAQCVNFVRGLKPQGKVV LMGHSTGSQDVLHYLHSPNPLPGQENSRPVLDGAIMQAPVSDREHILHLAHSNQEVRG AYEQLVNFARMQAPQSLLPLNLTAVVGWPDNTGISCRRFLSLASPESPEKPAEDDLFS SDLKDQRLKETFGAIAKRGLVKGRLAALYSGNDEYALPSVDKEALLRRWKEATNAGGV EKWSEYSGVIPGATHNVKDEGQDWLVERVLQYLNSV AFLA_032320 MSRARRSTRLTGGKTKYTNDPFEAAGVSDESDNGSKVPKGRKEQ ASDESSDEEFQANDEQEEEEDDEEGSEEDVAGENDGEEADEEEYTSDRGRGAQKTVVS RPRHQKKRLGNDLAMLSKDEMHSRGTHSSMEHMGKMLHMTITFGTDEKDLLSIVYARE RWYRGVDSGFPSRASLNEAPDVPDCGFGPTFGVEPEDMKRERTRGWDWYYDGDVGERF RKRQRLEAITEKEVYQKFIPQAKEKKHTVLIGPVDDQKVFTLGHHESFNFGEAFGETK AKAKAKPGTGGKSKGKAFAQEDSTGRTRKTREGWIINLGQKVQCMAWAPNQPGLTQYL AVSTPISKGEKEKYPDPFKDRGARAFRPSPPYPCALQLWMFKAEREESLTKHIDMNFK PKLRLALCTNWGDLRRMAWCPVRRDPREEDDDDVLKSVGLLAGIWGDGYVRVLDVKLS RDPNKTEYYAVQSPVFEAKPPSTLCTCLTWLSPSDIAVGCANGFVAIWSIVPSQNTPS NPFPYFYQPIHSTYVLNLASAYPTNAHLITTTSMDGETRLWSVLDPQTDTVESNRMRV GSPYLTYSPLLHSFLSSDENDFARLLAVRRFYTTTAVARFPSTVSSLAPCSVWHPSVM YGCTSGAVVATNPLRRLLHVKEKQWQQTWFTHEWARGDDASDPGISRFHDGYRAESIS LLRNMMGDRKMVNGVMMITIYEEGTHVTALSWNPNQACAGWASAGMGCGLIRVEDLAT AFLA_032330 MHTSTLLLVLSSLGAQAIPLLRPKANDILARREVPYSVVNVGGQ PSAEATPVVETVTVGSPPQTPVTITITHTPSSSPSSTPSPSSWSAGPLPTGIPSGESP VVARGLNATARRVRRSSSANSTEVHDLAARHNGTVSHLDSRSNNTASLVSRSNSTESK LTARSNSTTILTARGNSTEFKIAARGNGTASGLGARSNIVNSRLAARTNNTASAVVAR SNSTEANTITARSNSTNSHIKARGLNLTDRAVLYLRDALNSTRVHDSNAVAKRSSNGT SLDA AFLA_032340 MATPITPSEDQSRLLEEALGVVRQQSQMMRKCLETPGKLMDALK CGSTLVSELRTPSLGPKQYYELYMAVFDALRHLSVYLKENHPVNHLADLYELVQYAGN IIPRLYLMITVGTVYMSVEDAPIKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDHL PTGSGDGPEGNMQDSINFVLTNFVEMNKLWVRLQHQGPSREREKRMQERRELELLVGS NVVRLSQLVDLEGYKSGILQALLEQVVQCRDVLAQEYLLEVITKVFPDEFHLHTLDLL LSAIARLNPHVDLKKIVIGLMDRLSAYAARETESSADPESRKQSEEEAVTKLLENLKV AEESKKEVPADADADADTNAAQENGVEQTSKESDEAATTKEAEAEPSASTNGDKDEKA KIPTDVKLYDVFYGQVVNLIKSRGLPIQDTMALLVSLVNLALNTYPNQLEYVDQILDF ATRETAEYADHADLHSAPTQQNLLHLLLAPLRSYVSIFTALALPHYLPLLTAQSYTTR RSVAGEIARSILKNRTLITTTENLDRVLQALRVLIKEGTQQAMGLGLQAQRRGETDET IEEQGWLGRLIHFIQAPENDTQLKLLQATRKAYADGNERIRYTTPALITASIRLARKL KSREHYDDNWQSQSSALYRFMHQCVNNLYQRVNPGCADLALRLFVMCGEVADQTGFEE FSYEFFAQAFTIYEDSISDSRAQFQAVCIIAGALHGSRGFCKENYDTLITKAALHGSK LLKKPDQCRAVYLASHLWWVVENPQRGEDDPKNLYRDGKRVLECLQRALRVADACMDT AVSVELFVEILNRYVYYFDQQNETVTTKYLNGLIELIHSNLQTNEDEPNPSLEGPKRH FQRTLDYIRSREYEGVVTEFKQ AFLA_032350 MTHPLPPPLPPHLGPRTLVKPSKDRSIWNTSTQEERENIKTFWL ELGEEERRQLVKVEKDAVLKKMKEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEELE QYANNNQGSFEKGAPIVPPPRLYQPPLRSPGQHTRTHGQYHPSRGRIHELPEDGEDLE EDYDEDDEDDEPYSDDDFEDEETRAARADFFAFGNSLTVKDGILTVADDLLKNDGKHF IDMMEQLAERRMQREEDTQYGIAAAHQSLHSGHNHGPYDDEDYDDEEDDDYDSQEEED YEEDEMVCPGVNYFPDNVLQCKLTHCSQDAMTEEQRMEEGRRMFQIFAARMFEQRVLT AYREKVAEQRQQKLIEELMEEQTRNEQRNAKKAREAEKRKEKKRLQKQAKDEEKARRE AEKAAEEAAAKAEHEKKLEEQRKKREEQRKKREAERKAQEEERAKKEADRQRRLREER ERQADAERKQREQKEQEKKRREEARRKEREERESREKKAKEERERKAREEQAKKAGQD PQERKRLSQQGPVPIASNLHYPGLPGHLQSPHYQAATPVVPQAPTPVKARQPSQQDSH TSSPRSQPASTEPSQVSISPRSMAPSQSSGASSVTSKQGHAQQPMLHHPQPSTPLSPL GSIGRSFPPGFSNGLPPNPPGLAGIVPRPPIGHELPTYPPHSTPLMSQLRGFTAPNGI PVPPPGINGARPIPPGRGFPLDPGHGLPFHSQQPMSPFSTQPGGLAHGHTRQPSGSFE RSPLDSHAQPFSISRPSPIKRPSSTQQEKGDANHAMQRHMDSLSAQLGSSALLDDADI PFTSNLSQSLPGATAPGSLPGPARASFAAPSLFPDPLSGKFTQPK AFLA_032360 MWTFCRAVDNRCTSCDTHAHQRHQHPLQFFFYAFPFFLFFSIGF YDFLN AFLA_032370 MAQQQNDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTV FENYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSVDTPDSLENVK HKWIEEANERCPGVPIILVGLKKDLREDPLAIEEMRKKSLKFVTSKEGSDISTQIGAR KYLECSSLTGEGVDDVFEAATRAALLTFDKRKSSCCIVL AFLA_032380 MRSLSPVLASLANVFKIPISQTSTRPSVTRVCNETLLKKSTSGP VTSAVQAPAARSFSTTNALLKRKGGGPRGDRRVTLIRYFLHHPKTPRPLRFSRTRYLR HWTIHRAWQLFQAQQRRSEQLELQRQWQAMNAACEELRTGAGDGGKLFRKSMNKRGVF RDLFPIEYGRLQTEAPSQEGWNHEWKRMEKK AFLA_032390 MSNSQPALDVFFYFQKQELKLSFNSPNQAAFYQNRNRESRIFAN EPNAVYLPLAPSMVYLRDSSKGLVIGFAKAEDADLWCRTSILGQKHGHYEVHIGRGWT DEQLNQALQVQHQPYVPKSNSRPQSIQSNIEPTPPSSSGSDTNMKSSSSAQGLSLPRD ESPSSSQSSRASYIPSSLAIGSSKNTQRSKSPGRTLPPALLQGTPMPRYSAQDIPF AFLA_032400 MEVVGLVSSCIAIGELAVKSLRLLHSLQQKVNYSGLHLQTVRTQ ISAVNAAVTHIQSWLDTCSGNPCMVEGLIGDLQLSLDGCKSLLEIFSEQATQVMKEQN TQGWIEKIKLVWNETDLTQYRDMLRDQVQALSLLLQVVQLPTQSEQTSALAVPETQKI IQRARDDSTSRFAS AFLA_032410 MTKEYVNPLFRLLVFHNRDSKDRHITGNLVLLSALTFVAYVCFT NRKRLLVMFSRLGHSSSRVRQPPLNAEKKISSTPGQVSYKSVVPPSRRQAASPLLDAR AASLEEIAPSTTEILQKHIPVDSNIKDLHGTFCSPTGFSNDDMISLGRFPDYATLSGV RLPEPQPDLNIHTAIARPYRPIRWPYNQTMGSGDGFDYADITNQHRRFFAKMPTDRLI QRGSWGIEIDQPIHIPPGDPIALRHETQDPDIALDRYHLRVDWQTLRRLPVSAAMVFS FRVLFTPVTQFQNEPYIPSLFLKNYREVKPNLLKHKNIWHTEATILPALELWEREQKE QGIIPEDWQPATLDNYPYYPGWEERWNANQSLKETQ AFLA_032420 MGLSAAKSLENMSNKDLDFLFSCLKIHPEYSSFIFKLANLIRTA AQIYLSRATNMPNQVIKELVQQFLADTASYNATSPGGHILIWPFFIVGAECSSEQDRE FVTMQLQNLWDCTGFGSPLYAIKLLGDIWQEPPGTNWTQTLVDKVEGFIM AFLA_032430 MFSSNLPVQWPGWSTCFYFSFISSFLFYIATRQKKNQFTDRIAS NDQDAVKPNSYPPVEPLPDFDWKTKEPIKIRPFKPKYHLTMSIQEGTVNELIEIDMNY LDRINLRKRIMAEHPETVLAAEDCVKPAVDEFYTWLVGTYLPTRYPRMFQLLSSDGEK SAFLHSLVTDEIFSLSPEGNPLDTLRVMGGLIEDDLLFLMPSDDGDGFTLRGFVTCFP NGFNTSKKLGLKLRDIHKPVPQYKEKLEKSMDRFSQRLGVGRFIKRANWTITTTDQLF TPAGNHLYEGEEIPQEEVDINTARVRVERQFLHRLPQSHALLFSFKTLLYKLPEIKKE GLGEVLAEAIDGLKQGNAPGFHFYKRAAVWGESAKSYLRS AFLA_032440 MESLFTAVQTLIRETLKAPRPKPTHSDGRQSPNKQPHLTSISEI HLTPFEELPPAYEPSTWWLDGYCPAHPHFDNADRIRLRTKEVKRPLLQYILEGCRVLL ERADKKSLQLSFDQHETLWRAAISVHKFGVLYLATRSECREEFLPAVESNLDLFNEMV TFLSHDNNAIRAINYGVHRLVNSNTFTVDYQTKLVPPTAISSIGPNHIAVREKDFEGD STAMLDNSSAIWDLHDFAHLTAASVCPELYGSKYFTHLINLPSKLTALIRSPKMKTTD PTPRFSDGVVFSELLTVLFTSEIEAVQRAEKTHTYVSLVDTLAEDVADYLMGKRELQH LTTGVMLKAKKPISAVQLATLVQNKAYELTASEIEQRVMTRGGPAGDSRDVLDDRSPL ERIRFLAHCRRWLYFEVRNTTKHRAHKLAYRKVAERMLEQGDDGSITNNDRVLLERVV DHIRYEGWESNGVVNLWQAIIDMNMESQAATL AFLA_032450 MATNPTAELKGTVAATGTETPEDTTRHVDHGFRAWTVVAGAWCC LFCGFGWVNAIGIFQDYYQVHQLRTYSASSISWILSLEPFILFAAGLVIGRVFDNYGP KWLLLIGTFLHVFGLMMTSISKEYYQFILAQGICSPLGASFVFYPALSCTATWFDKRR ALAFGIVSSGSSLGGVVFPTMLSRLLPRIGFGWSLRISGFMVLAMLIIANLTVRSRIA PVPRPVKLTDYIGPFSEVPFILLMLAACCGFFAMFVPINYVIVEAQEDGVDRELAGYL LTILNAASLPGRILPGYLGDKLGRFNVMIAMCALSAVVLLVLWLPGTLLAPGSAAIYI VFSLLYGFASGAFVGMVPALLSQISPDVTKTGVRQGVLFTCISIASLTGSPIAGAILS RQNGTYWGLQVFAGAMMVGSVFFFVAARVVLAGFAAGKKV AFLA_032460 MLQPAPIHTLPPTDPTLTTHIPTFLSPTTTPQKMQSTIHALTTE LITTAKTHDPNLTNPTMIPILRGALPMYVAAAPLFPTTTCILARCSKKKGTKEVVVEW PGRRPFPPAEDEGKIVVLDTLVATGDTVVRVCEELWEMSSGTGGARRERSVVVLCCYA APEALERIAACPVVEYVVVAMRAERCDEHGYLVPYTHGDIGDKIYGAAWKGKQEVVVA EGEDVDCVLSGVEGLLVRNGGLWELTGDGLGIEREIRFPSFKKAWAFMQLVADAAAKY RHHPEWTNVYNKVSIRWTTHQPKGLTELDVKLAQLCDSYCET AFLA_032470 MPTTLAIFDFDGTLFDTHESISQTIKLTFDALLPTHAPPQPEIH RLIASGAGLADTFRALHPDPATFTAQENHWIDKYRALYATHGQLLIKAFPGAQELLTE LKAHHVPIAIVSNKGVAAVKTALERNGLAGYVPEELIIGDKTPGAQRKPDPASFVDVL VPVLGERFGINVVADEGVLVVGDTVADIQFARNIGGRVCWCRYGYGDREACEGLGPDY VVDSLADVVGIVKG AFLA_032480 MESIPGYSASPGRPRSSDNASTRHEGASRPDTSTSPTIESMEDN ETVFLNKPSSSEGNVSGAAPIQTSHPVDTSNDEPQWRSPCPCALTAHEACLLDWLADL ENPRSRKRNGRNAKMMCPQCKSEIVVSRPRSYIVDTVRMLERVAGRLVLPGMVFTLAG TVWAGCCAHGVYSMYFVFGPEDARQLLEESADGPWNSSLNLGLPLIPLVLIFSRTRYA EGLLPAIPVLFFATHNPGHDPDFDLWPPSAAMTFAALPYVKSFYSTIYERLFGKLERR WIAEVQPRQSDVNEFDDNAPPEHPEADPPRDDGDGHILMEIDLELQVGMGDNGAGDQG QNQGAAQNGQMGLGRRDDLIHETSNLADIILGALAFPAISASMGGLLKYVLPKAWTAA PSALERSRPGLLQTRWGRSVVGGCAFVLLKDALVLYCRWKLAQTHRRRRVLNYDKAKK QVVGKR AFLA_032490 MAIESFPIPSLDRPFGVHLWPHFSRAFELVAGYPADEFKFVVGT TPMSTLRETSIFVAVYYTIIFGGREVMRNRAPFKLRSLFLVHNFYLTAISAILLALYI EELVPTVFRRGIFYAICHRDGGWTNRLVVLYYLTYLTKYLELLDTIFLFLKKKPLTFL HCYHHGATAVLCYTQLIGNTAVSWVPITLNLLVHVVMYWYYFQSARGIRIWWKEWVTR LQIIQFVIDLGFVYFASYTYFTSEYFPWMPNAGHCAGEEFAAFAGIIVISSYLALFIL FYFATYNKDGKPPSTRRTLRRMSQAEVNPKATGSKTGNASVRARKVPA AFLA_032500 MKQLESTIGRVARLVNVAKKPVLYVGQGLLANPEGPKLLKELAD KACIPVTTTLQGLGGFDELDSKALHMLGMHGSAYANMAMQEADLIIAVGARFDDRVTG NITKFAPQAKLAASENRGGIVHFEIMPKNINKVVQANEAVEGDCAENIGHLLPHVNKV SERPEWFAQINDWKARFPFSLYEKQAPEGPIKPQTLIEKLSDLTAHMKDRTLIATGVG QHQMWAAQHFRWRHPRSMITSGGLGTMGYGLPAAIGAKVACPDALVVDIDGDASFNMT LTELSTAAQFNIGVKVLLLNNEEQGMVTQWQNLFYEDRYSHTHQKNPDFVPLAKSMGV AADKLVNPAEMEEKLKWLIESDGPALLEVITDRKVPVLPMVPAGSALHEFLVYDEAKE QERKALMRKRKVIV AFLA_032510 MSTTPQELVPQTENIAEVYATDDASVTSVSPEHQTRFNGLISKF SQLYNHRPDFVARSPGRVNIIGEHIDYNLYDVLPTAVSVDVIMAVKVVPSSGEPFVKI ANVQPEKFPSREFTVPRDTDIEIDPKQHEWVNYFRAGLLGALKFLRKTKQDGSFVPAS VEVLVDGNVPPGGGISSSAAFVCSSALAVMKANNHNVSKQDLLDLAVVSERAVGVYSG GMDQAASIFSRRGYLLYTQFFPNFSVQHVPIPKASEEITFLMAQSFVTSNKAETAPRH YNLRVAECTLASVVLAAQHGLTLPKDNSSLGYSLRNFHEELMRKEGRLGDPLEYQIDS VIQTTMELLTQEQGYTREEIAKLLGITVADLEAKYLSSFPVQAERFLLRQRALHCFTE ARRVLDFKACLAKATTLDERRIEYLGQLLNESQASCRTQYECSAPEVDDICAIARRAG TWGSRLTGAGWGGCTVHMLPQSKVDAVIKALKEEYYLKKFPDISEEKLAQAMVISKPS NGSFVYVSSPWLRYVVDHHDCASC AFLA_032520 MRTRSSAPSSEQSKPEQPSKPPLTTEKPGKTFILPSSASDEARF LQLPNPQTGELTRYFFCPKLGIYEFTVVTSSSQSPRSVLFSPKSENNTAPDGKPSKPG NASIAKTAQLLIATPIDVVFFLIPLLCPKSSQAKGLFQPLDDIIDSQDDLPNHFQHVL YDESFKNVLQARAEAICDSMEAGDEKLFRFSEAKLLKELIAKAERMVALGLPASMEER FIRQALSTPLMAVKREDASTNAAPSNSNSDGETAPQEDKETPATTASSTSVPTPSDVS TPATESPPNEPTVSDDVARLLRISTAITFMKDSYLSAALRTKVDELLSSSESPIDFKP MHDHLKRVAELRAEALASRSLGDFSRKRDIDDDEGAESRADKKRRKEEEEKKKKAGES RGVRDLKKVNTTGMKKMSDFFSKAAAKKKS AFLA_032530 MTSMVDISWPPKSPREALLSSPSGRKKYEEMQRRRENFGSPLKR STTTPDLRTRSEQLLEDGLEDEDEDDDEETLKLKLAAIEARLKLKQLQKNRGRPGTSG SDTHDRDGALSRPGSAVSASSRTQDNIPRMKGARDATSRLEPNDVQVPLSPTRRPVVA APPASPRRYILGIDKGLKGSDVSLKRPPSSRTTGRPTSGGGIRDGEPGNRPKSFSERM AESRSADKLRRERAERLQVNRSSAFQFDKAEVDAFKAAAEARKGSPTRSPTRNRQTES FSREDILRSCNNLKPAGLKRSQTLPSVRRNLDQDEPGSYLHRRNQKSESEAQAASSTS FEHTGSDESREGSVLDKTPDASKFEAFSSLHLSNRILPHSFLTRTLADKKVLRIPDLL RTVKGPAFELPETINGDYVVFGIVASKSEPRDIKESKKVSAKEADPFDEGLNNNSRYM CIQLTDLKWTIDLFLFDTAFPRYYRLSEGILIAILNPTILPPPKHKLDTNKFSLAISS SDDKVLEIGYAQDIGFCKAVRKDGKTCQAWVDARKTEFCDFHIDIQVRRTQSQRMGVN GGTGMFGPGGRSGPRTGFFGGGKGKGEGPRKGLKQNGAQYDFQSQSLYYVAPAPKSRA GNSSSFVMPGGQSAARLIDADDEDPFIAAGRMGRGMENKEERFRRRLMEQQRERDIAQ KLTSRGIGMGAEYLRARNSGNISSPLPENITPAKTNQNAAETPSSHSGLLGFRKANTV KLGPLKRAHDGTHGSSVKKTRFITAKGIKEAGRDSLGMSEATKTGFDDDDDDELDII AFLA_032540 MATTPPPPSTLRVPPTPRHGPGYDQYEPYSTRHSARLASQRASK ERHTTPPPNFPPSQSSKSTKKQHKELGAMSPPESARRSPRKKTSDRIGSFLAANSLDG ASELDDSDPFGISEPSNSTHPLHAFQTTMSQGMLPTPAKTPKKKAVGDIGNTARVLFP PPSGRTKKSKKYTGFSLDSFDDNARGGSDIQIYTDSRDRIPEPDQSEDNPFCKKPTVP TRFSRRRAEQSKRDKEVDESVKRDDGMTYVFRGKKIFRKFVDPVDSDGDDDDDDLGLL AARPDLLDEDITANVRPLTRSSIKPRVLFPTANDRAPPSNHVSDGDEEAATDIEDHML VPDVAETVDRPVDVEMKQRPVTPPPNTVETPPSPGATIRSLRSRTKRDDPEHRTPTVP ETKKKRVSPFDGWLRRKPTPVPAGSKAKKRDAAETAGSPGGPATKRTRGSRAAVTPS AFLA_032550 MESSGDGGEEKREGWKKFGVGDGGSNERGMLDVEPATLLTVRLT QSFACPTAPPQALIHHYRLRS AFLA_032560 MTFRSTAEEMERLQLSDEDTDDLWDSPSKRGTRKVNRTPVKEES TTPPPISSHDGETLFDRQEAREAALRNELQTVRNINQVIESLLSSLDRAKGNMDTVSR TVDSASTLLNTWTRILSQTEHNQRLILNPNWQGAVQDVADLENEERLKQQAAERRERE LQEQREAAARRAAEEEKRRTQAAARGTRGRVRSSGLGRTPSVSYSRTNPSATRTTSTA TRGSTTTTTRRPVSGIARGPSVTRGRGRT AFLA_032570 MNFAPYQDESPEVERAMSPALGDANRVKSPIIRSPVGSPPVPGF ASNALPSPSHFAGSGQPGATGFGNSGYGGDVESGRWNMGAFDTSLPIRMDFEAMLAYL LLPPAGGVFLLLVEHKSDYVRFHAWQSSMLFTVMFVRQATCSTSDEMRAYSSLDPSSH ICLVKLLLMDSVSMRFGHDRFLEHACLSRR AFLA_032580 MPGTVADGPTVAMSFANNFWGKDDAGVGPMLERMHTAKVSCDEL KTFYNIRAAIEEEYARKLLALCRKPLGSTELGSLRSSFDVVRGETEAIAKAHGAIAGQ MKRELEEPLVAFAGGSKERRKIIQTGIERLLKTKMQQTQTVNKTRDRYEQDCLRIKGY LAQGHMVMGQEERKNKAKLEKTQIQLASSSSEYEAAIKVLEETTGRWNKEWKSACDKF QDLEEERLDFTKSSLWAYANIASTVCVSDDASCEKIRLSLESCEVEKDIVYFIKERGT GQDIPDPPRFINFCRGDINDTSSEVSEEDGFSVAQFQRTINPAFRSSSPQPSTYESHH DPQSDLANQMAHNNPPTPTSRETTVTPQKPTQQPAPLDLRRGGQLPPNYDPSEHGEIG SVPHNAYPTDGMTMFCRTGPPSERSSGTNSAYRPSSRDSQSEVSNPTSMSSQEPPSAR QSPTKPTNGVPLHGMGTDKQIQKKRSAFFSNSPFRRKSRHDKERNSGPSQTPSRGTWD SKPSSPTKAPQPQSPIAAPGNDQLSNSPEPVDPRANFQLNVGNNVFDVASPDKDKKKA PQAAKSAEEELDPIARALADLKVAGKQPTTRISADRYHGIATPNPSAPSSNYSSASVA TPPPAYNDSSVKRLDAPQPAFTSAQMQKTTQKYTGQTHNMLRGSGNTSGLATRNRAQS DAPRARSPTPRRSASPQVNSPRVDTRMSQYSRGPSRGPSPSPSTYQSSSMRSRFSQSP TVSTPPQRPADVAYSPHEYPRRTSPNPMSRGVSPQPQFRQQARPSSAGGMELQLSNQV DMYGGGHGSPRQAGKPGSFYDAGSHRSRSRSRTLAVADPGRQFSRDGRPILHFARAMY SYTAAIPEELGFTKGDVLSVIRLQDDGWWEAEVTTTRGRTGLVPSNYLQII AFLA_032590 MADDESTIKREDRTTNDITEDGLVTWDGPNDPENPKNWATHRKW LAVISISGFVLMSPLPTTIVAPALDIITEELNITATVLKPMILSIFLLGYAIGPMFIS PLSEIWGRTVVLQTFNFLFLVFNSACGVARTIEQLLVFRFFAGLFGSCTVGIGAGTLG DLFNASERGKAMAIYSIFPLVGQVLGPIAGGFLSERISWRWAFYVTSIVDGCVQLFGL FFLDESYTPVLLRRKRDRLTKAGATGLYTEHDFPNSSKLDMMRTTMIRPIKLLTTQPI IQVMAIYQGYLYGNIYILYASIAVLWTSRYHERLDIASLHYLALGLGTVFAAEVATHI NDRIFRILAKRNNGNGLPEFRIPIMIPATVILAIGLFWYGWSAEARLFWLMPDIGIAL FAAAAYICTVSNNIYVVDTYGRYSASALAATSMLRCLAGFVFPLFSPYAYERLGYGWT SSILGIIALCIGLTGVIFLWKFGHILRQKSPYCASRDVDDS AFLA_032600 MPADIDDGVLLLEGDELHSSLHNVDANGWNLERQFRGATWRRMK LLVSQFREEVLGVCLRTHFTNDSEILTQHEFVWDQIPEELKYNELTGSQHIPPPQRYV VMTTYMDQQYNCFLLHRKLVNETQWTREPLYKASRSLLNTSLQVVSLSDQVFNMQRDI SWSILYYGLPGASILAVDLLKDLHRTFDTPNSGIDVIPRAEVIQNLAIFISNLQRSIN RREVNQTSCKWAHAILSGILGEIIDPKYRKPLTTETVGKRTAASVPFLPTSGLDGESL DSDDFFNWIGSGDLTLDTSAIEL AFLA_032610 MEHLDSCVYDPTLNAYGSRCISQSQQLRTIAMVQHTHRAREALT HGSVFCAFWKGVEVLYRGTMTFPPPPPSSQSSIASGTDKSSTIRPGRSQQSAWGPSVS QSSVRRGLTPLATNNLASSSFPSASSRGPPQSSSPGPGGSTSSPLTSSFSAVLSSARG FPGSRNAPSPASTPSPFTSFQSGSQQHQQPGQSLSSPKFRAHTPSSGSHLASTAGSIA GGGGSGGGGGGTGSSRGATFSPLSSGTTVNSPTGFPSDKSGSAAAAHASQSSLTKISI AQVFLLLDSITEKEGREKWDTKAAQIHKLVESNGMEVFSKYFRRLLTGNAPQIFPGVN KSVENAGNYPLLVQEMQKVSQDIEQAQKIAETVDTSEGDIFRDFDLSTFLDHFKLDPI LKVSLALAFKMANKSDLRAKADAILSNSIPPFLQSLATPSEITKDYKNACIGMTIERF ILYPPRNFTDEVKAKLVYAANLRYTRLGVEMPFEIASALQMFNFINPRYTLVRQLHSK GPKATSNPDAVTEAINSAGSECWNEEHLASALLFLVLSQYWQEFSLETFLAAVKSHYG EKQISWPLIFRNFDREGLRLDAKQFAKLYSALLAAASEDPTLDVQKLWGGDWEHRDTQ LSFLTAFLVSRTDVSQIPNLRATFPPDFFADGPELVRLQGERAAKSPLRSLDAMRALF DLSLFSQASWAVAESQLLIKAIVQYDLPVFLCSALTLPQPWTTVQQSFVLRTLVVFIL KQEEGYQLALHGAWRQDKQWVAEQLFTTFTQDPTSTAAIYEHAVEYNWLDFLLGYTNG LAMDLACYAHRKGPFDLEQWVRNAAQKGPMDMGSLLSKFLRIKAEDELHVQRKEQPAP QMVSLSVKTVYTLLSVLEEYVGDRENLTPVQRICIQTYPRLINYGEGFDDIIDANGEN GNSLPETVDKQMQELFGKMYHEELSLREILELMRRYKSSREPAEQDLFACMVHGLIDE YHCYHEYPLEALTKTAVMFGGIINFRLVDGITLKVGLGMILEAVREHDMHDPMYKFGV EAIEQLINRLPEWAGFCHLLLQIPTLQGSPIFQKAEEVLREQGSQARDSDTGRLDNAS AGSITNGNVVDETTAADGTSRKFISVHVDPPLRPEVYNDPDEDVQDKILFVLNNVSEQ NIEEKLQDLTDVLRDQHHQWFASYLVEERAKLQPNFQQLYLDLLDRINDRVLWAEVLR ETYVSVSKLLNSEATLNNSTDRGHLKNLGLWLGSLTIAKDKPIKHKNVYFKGLLLEGY DSQRLTIVIPFTCKVLVQATKSTVFNPPNPWLMDILALLMELYHFAELKLNLKFEIEV LCKDLDLDHKAIEPSVIIRDRSAHIEDALSTANIPEGLEAFEDMALSSINQGIRHERL SPAAIMSTLPSLDKILVLPSSASSMVDPNVLRQIVHSSVERAIAEIITPVVERSVTIA SISTVQLVSKDFAMEPDEEKVRHAAGIMVRQLAGSLALVTCKEPLKVSMTNYIRMIQQ EYSDQPMPEGLILMCVNDNLDAACGIVEKAAEEKSLPEIEKVIEPQLEARRRHRAARP NEPFIDPSMNRWGLFIPEPYRQAPGGLNKEQLAIYEEFARQSRGPGTAHIPNVSTDSA RIQDVLQDPYTAIPNLSTPAEQPAVPHRTPQAQQDARLQQSGLVSAQSQLNGFLEAQS PREKVESIVSDLQQAARNASEERVRDLGRDSGVLQEYNQALRAILASPNGEELARLTS LKICTSLFSQTQGTLEIEVLVHLLAKLCDMSSLVARYTWAVLSEVDDEHMFNVPVTVA LIDAGLLDIRRVDMILTRLILQKNTSALDVLANLMDRVLFSEEPSALRSDFSGSLEAM SQWLAEDSGLSTASDIINKLRESGIPEVVNPLLSDKARSKRDQMEYIFSEWIGIYKAP GAIDRTYYSFLKDIHERQVMDNQEDSALFFRLSIDISVAMFEHESQNPNGSLDEAYLY IDALAKLVVLLVKFQGETPGATKTSKSVYFNSILSLLVLVLNHHHVMRGEAFNQRVFF RLFSSILCEYSLNGLQQSEQHQEMMFALANIFLSLQPKYCPAFVYGWLALVSHRFFMS GMLNMPERTGWGPYCEIMQALLAYIGEQLKPANISYVIKDMYKGVLRILLILHHDFPE FVAENHFQFCNVIPAHCAQLRNLVLSAYPSSFHKLPDPFREGLKVERLEEMREAPKIA GDTAAPLQQANIKSVVDSSLQGGNASEAALQQICEAVYNPTTKETGLFYTPINVNVVL LNALVLYIGQSAVSANAPKGNTRAAFDNSPHSALLERLAKALRPEARYYLLSAMANQL RYPNSHTYFFSFAILRLFGSDYSEQDESDIRQQIIRVLLERLIVHRPHPWGLIITLQE LLQNRSYTFFRLPFIQAAPEVSYFTPRSLD AFLA_032620 MESEYTSEEDSGHKPTVLTRTTNALVKYSRPFFSKQAQKAYLGT LLFVGAGLFMLFGSALAYGIFYYRFVPQVGVGRVVHLQFGSDGHPWGTASLGSDLVSL QPYDINVEIELPRTPSNLAAGNFMLDLTLLSHPSTSARQGTNSSTYPISRVRRPAMLT YASPLVDTASKLSLMPFYVFGWSREAEKLVVPMMERVEFARGRRNLPESLRLEIHSKE EMQIYKATVEFRARFTGLRWMMYNWKITSFFIFSSLFWSICMTSASISWVIIASLSST GTREPEVKEEAGDETPIKEEPSEETSSLMEAPSTSSTNPEGKRRIKREDEYEADDDES DGSPRDRGSLPSEEVGAGTGLESAEARGVQRRRSRLFSDGHS AFLA_032630 MHILVVNDDGPPSNQSSPYVHSLVVALQSAGHVVSVVLPHQQRS WIGKAHLIGAAVKPTYFRPGTLHQDDGTTHDLPRGSDPADDEDDDGDEWILVDSTPAS CVQIGLYHYFEDRGPVDLVVSGPNYGRNSTALFALSSGTIGGAMEGAVCGKRSIALSY AFSSRDHDPVVIAEASRHSVRLIEYLAKNWADGVDLYSVNVPLEPGVSQSKVLYTDML DNRWTSGSCFRAVDASVPNANPELQEHNVRHQNQKAGEELSANANGITSRRSRIQHKH FQWAPNFSDVYRSVEESAPGNDGWVVKEGMTSVTPLRANFMHQSGIQGEIKLDVPYVQ DLMQQALQRRLGQGRYKTISSLSDLPSRSAPLFQYREYERLDFEHVMLHSSTSLANAY IIRKALIRKHYLSNTISNWVTKHPDSVLGKHFKFAFDFELDYAEFLDDALLEAYELRE SLQKNEERPDNEKEWWILKPGMSDRGQGIRLFSSEDQLREIFEEWEVDESDIESGSER AEEEDDDEGENGTGVVTSQLRHFIAQPYIDPPLLLPSSSNRKFHIRTYVLAVGSLKVY VFKEMLALFAAKPYCPPSEDEDEVTDLARHLTNTCFQEGGSANEGTVRRFWKLDPHVP GLSPDWKEKVFDQICAVSGEAFEAAARGMMVHFQTLPNAFELFGVDFLVDSDGTAWLL EMNAYPDFAQTGEELKEEVVGRLFEETVEVAVKPFFGGEAPVNGTDHLRLVADLDLGR KA AFLA_032640 MSTREHSSHRHPRSHRRSDSRTRSRSPDKHRRHHHHRDRDHDRS HRHHHRSRDHDRRERRPEPSTKPIVLPFQARELSKRDLSTYEPMFAMYLDIQKGILLE DLSEDEVRGRWKSFINKWNRGELAEGWYDPSTLEKARRSAQDEPIVSASGRNRRSPDY GRGEDDRAEREEEDNLDEDEDDYGPVLPRYDQLNRYEGGRAGQSSGPTIPTMQDLELR KESAIEDAIAAREDSRKQHRSEVRSHRSELRHMEDEVAPRAEPGTHERKMEKRREAAA ANRAFAESRRGASPDGAPEDELMGSADNDLDAIKRARATEQRKKNEREIRREEILRAR AAEREERLQQYRQKEDETIGWLKALAKQRFG AFLA_032650 MVREELISSAVTFLQDPSVASSPVEKRVAFLQSKNLTQEEIDIA LARAGEGPAGATAVTASSGYQPSSQPPAYRGPPPPAQGYGYGYPPYGQWQPPPPEPPK RDWRDWFIMATTVGGVGYGLYFVAKRYITPLIAPPTPPQLEQDKENIDEQFNRAFALI EQVSTDTAALKAAEESRTERLDTALREVENLVADLKNASRRRDDETRRISDEVKSLKD AIPKALEGAREGNENRLKELGTELKSLKVLLGNRLGGSGAAISPNTAKPSALPTMSGA SRPTEESPASPATNGVTATATEQATQPSSTVSTQSNQTTPAASSSPLSQFSRSASIPA WQMAAANRSKNASPSTPTSSTGDNSTKPADEQTAPAS AFLA_032660 MSQDTGLFSIKRPRESLAHFSALPQPSSALKRTSSIGAFGNPPT AQHTRMSLLNSASRPQQPNFQRSSSGGAFGTDAGLSSVRRSVSSNIFHGASAGRQSYA PGSFSSNPASQNLQRRSSVFSRPSAGVGGAMGHQSFFTQVPNAAGVPRDPRPLRDRSF QARIGQELLEYLTHNNFELEMKHTLGQNTLRSPTQKDFNYIFQWLYHRIDPGYRFQKS MDAEVPPILKQLRYPYEKGITKSQIAAVGGQNWPTFLGMLHWLMQLAQMMDRFIMGEY DEACAEAGVDVSGDRIIFRFLTGAYHDWLQGGEDEDDETAGQRLVPHIEMMAQEFERG NEKYVQEVQALEAENRALRDQIEEMEKSAPDMAKLDKHFRILEDDKRKFEDYNQNVQG KIEKYENRIKFLEDELQKTEADLQAAEDERAGLQSSVDRQGITIQDIDRMNTERDRLQ KILDDTMGRLEETHSRVMEKEKEASEKLEALEEVVKAYNTLGYQTSLIPSSAVNAKGQ DYELSLNVNESNFSASQIGSAPSRISPEGDRLLAEPFTGYHPAHLLSLDLRGTVRSSL QTLRKDINERRKRAADDDLDRRNLLDNIKEAMDEKRSEVEALEHRRRAAEEEFERTKE ITTTQKLASDAQIEKMEKELAKMRATMSESVQLMEQREMNTNIEYEQLTLRANALREE LHTNVESMLNDVIRFKVHVQKGLEDYEGFVVDEVEQELGGDMVPADDIPPEEEL AFLA_032670 MKAFTLLGAAALFFSPALAQEDLGYVTILPFPVPSGTPSGTPSS TPSVTPTPWPSGVPRPTGVFPTGSWPTPSSSGVPPPSSSPVFAFQRRHARQVRPIFV AFLA_032680 MKRSLCPARLLCLDRRSHLLHTASVSSYRLLSYSQSKGLPNWRP SRGRRQWGRQFSVGSSPSISRRLLLDRIHARNEPPARESQENPETWALLLEQYLLGDS GGDSTAFESDTASVTTSTSISRAIDLSDLLYNARTFGNLDLLAHLGFRLNNWPAVYAL LNQLLDAADALNDVSPSLKHLSNNSWGYESSISLDQLTHQIDSAPRLTPDPTTVSELT KLDTLTERAFADEHSRRFMAQVWQSLGSIVLDAADSSPNESKIAMSYVFRILARLHHS GAVSDRVYKYVPTDSHQVAFRPPTMHLLSTHIMSVLSDAAWLVHEAEVAAKAAAAGED SPFLPFKMGIRELGPEIWIELILWCCVEHGHITEGVWLIDQMKTRKGDLAWRFQSWRP LLQHPESVWNTKVDSEVSWRHPAQVDGPSLLRKRSPPSPFNGLGRRTISLEVAASLMD NLPNWGYRGLGFWGITSAALLRHIVSLKFAIAPRATDDTPLATTKESNWFILRVLESG CLDPVADPQAFDELIRAIPHVVPPWDSNDLYLPDEEDLEQLEPSQIYDETTALAKLVE HNIRFHSRRRLCGDAMDSFAWLQSAVDKSKMQRIGEFFSSRVDPSNDEHLPTFDTANL ASLKPFESSMPQISPITLAELLDLVTVSRAFTFGDWLLFSNDIDGPPVPYSAYGNQAL APSIIRYAAATKNSTLCDSVVQSLSQPLSANTLRALLNYRIAMCQWNQVTVILEYLRD YRLKSWGHSNVTALAAEIIRLDHAVTTEIDTTKAEDNIQNLAQAKGILLRILNGDFNE LHPRDDYQKRSLHGLQRLFLSIPGALHDLAASSNIRSQKLARSTTPNIPSTAFHPILA AVVDTRGSAAGKRLWDTWCVDVQSPSTRRLHKGGIPRLYLNTERDLKKGDPHFDEAYF KQLQTKLVIPNISTVRIIAQAAVKEYNEYEAKHSHTIPDKSPPSPQANAYPGTIHPQH NPVRPILDFCMKKFEALGLRRANMNRECGGFVYRRNKELKRMKRQRLRGSDITAQ AFLA_032690 MSSSSSIGSLDAIDRVEEDVNRTPNSRATGYMGKNSEVTWMQRL RMETEQRLRKEPGPYEAEPEGEFALHSMNYHLDDLDVSVPGPVQVYWIPPRPLADKLF EDYLETVHPFYPIISRTLFRAQYRTFFDSTARPGDKWLAILNLIFAISAKHAHLTQAP WRGDDNDHLVYLTRARILSMNGDALFSHPDLQQVQVEGLVAFYLMASDQINRAWKITA LAVRSAISLGLNMKNTSESTPGISKEARYRVWWCVYTFEHMLGIMTGRVSCITDGICT TPLPLPFEEDQLREPAAAKLLNDQDLRQELVESALASTLVRHMPSNPTGGKEARHTDK LRDAAWLKSQPASKTLIFLYYVDLAVVAQEIVNRVYSLDCAMVPWRHIENRIGELRSR IDIWYTNLPEALDFTRRDDQGTDMLRGKLFLAFHYYSARITLGRPCLCRRDARHTSPQ QKPSFSHEMAEITLNSARQMLDLIPDEPNAVQLYHVCPWWCVLHYLMQTATVLLLELS FGCIHMPAEERGIFEASKKAIRWLFAMSECSLPARRAWELCDSNLRRIAIGMDYDLSD LPALNFNPTSHVHMASNTGGNAGMGVSVSQTAPPMPIFYDTVGGPNQHPVQTQYHYDQ NQQAYSGVPALDPISTTLALSASGTDAFFPYDPISGEFIRSFFPIPNEEEPWEQN AFLA_032700 MDAPSNHPQRGDDSSQSEIPPHHSFDTQNKVMIASKPPASHTSQ LLNVPPRSSLPGKKQSKNAKVAIPRQRTGVVPGYSRRVPLACESCRGRKTKCSGDTPV CRQCKELRVTCKYPASWRERTKGQLDSLSTKAEAYEKLLRDIGNIVDGRTAEQIRVTL DKVCALCHVFFVYIPASNPDTHIVFWFGWRAGFYWLAIQLSYAAG AFLA_032710 MPYNTRRKSLSLPSLGIHLPSASRRSPSASKSPHATDEQLPPSK KVKRSHDSSSLSPEPTSVSISTTKEQLPVRSLGRRGAFEQTPPPSPIDGSVAPKIDTE GINDDIVVGVIEQLEKTGNRPHLVKELAAVLVTLNENVANSANPAALLSSRLSTYMKR PWTALAPCPLAKELIPVHPRKVYYYLTTLPRQPLPENSDDIIIPGVEGKSVTPSVSSA DLDEEDALARERSRLSPSPEVDLSPPDFEEENIDLDARDDSVARQCATDFDHQHARLM HSNRAASPPLEGDEKEFTQTASAVRERASEQKASQLEKAKGPFSALSEGLSELDDGAM SIAGTPVEDSPLSSINGDRMSDSPDEDYFSHGGFMEQPSLQEQLQQQQDLDEAAAVAL FGTSPSPSLTSVASSLSSGTSVASDDGLDVEANPDSVASAPQISLPEDLIITPVSAMK RSIDMLNSGVPDLDMKMSDLVEQDSKMSLAPRTMADTDVEMVFESWRDLQNPESVDVH ELDEMFGEI AFLA_032720 MDQRRRYLATAPKWKMPRDQSTHDASQRFEIMDLCVLQKSAAQS ASVHYPSH AFLA_032730 MSASRVGRAALKAKVPVTGPKRTGSKIPVDGSGKKPPAASRLPK RANPHLLNLQETQPRMSPKVMTILGVGVLGMSTYCGYLYASYRREVTHAQSMDVPRDV SDRYNQTARSFDADVEMSEKLMRMGKKRRDLVQKARGNVLEVSCGTGRNLEYYELGQQ RKPNERGQVELRGCRSVTFVDLSPQMVEIARGKFQKLHPDFKDVNFRAQDVKEVAPPT TGDKRAYYDTIVQTMGLCSMPDPVGALRHLGSITEPEKGQILLLEHGRSHYDWLNRIL DNLAPAHADRHGCWWNRDIGAIVRESGLEVVEEKRWHFGTTWKYVLKPARGN AFLA_032740 MDSLTTHPSTAQQARAFTSPASLSFPGGAGDLTPPSDKDGNMAM NLQGVNGHVNGQQQGGNATNGNGVTPATPVATPGANTPGSGIVPTLQNIVATVNLDCR LDLKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASR KYARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIY RMMKPKIVLLIFVSGKIVLTGAKVREEIYQAFELIYPVLSDFRKV AFLA_032750 MASKGAVLPLLRRELRPASSRFSRASFSSAAAPLRSSRSSALTS GRRTTRQAVPFTPSRTLGQFRCFSQSLSNKLTDENGHFDPRQVERESDEVDVCIVGGG PAGLAAAIRLKQLANEAGNEEFRVIVLEKAGEIGAHILSGNVLEPTAINELIPDWLSE ENPSRFEGATPAKGDKMRFLTKNSAIPIPAPPQMNNHGNYIISLNELTKWLGERAEEL GVEIYPGFAASELVYKSDGSVLGVATNDLGLGRDGRAKESFERGMEFHARITLLAEGC HGSLTKQVIKKYDLRRDSQPQTYGIGLKEVWEIQPEKFKSGEIIHSMGYPLPKDTYGG SWLYHFGDNMVSVGMVVGLDYPNPWLSPYGEFQKLKHHPLFKEVLEGGKCISYGARAL NEGGFQSIPKCAFPGGALIGDTAGFLNVPKIKGTHSAMKSGMLAAESAFSALNGKQDD ATVFLFDYEDALRKSSIWKELYEVRNMRPSFSTPLGIYGGIMYSGLEAYLFKGRTPWT LKHHSTDAAATKQASECEKIEYPKPDGVISFDILTSVSRTGTNHEEDQPVHLQVADWD KHKDIAWPKYKGVENRFCPAGVYEYVEDSTKEHGVRFQINAQNCIHCKTCDIKVPTQD INWQTPQGGEGPKYFMT AFLA_032760 MTEVSSTRLYLGNLPRNVTKQDIEEHFSTHGSGKITEIKLMNGF GFIEYEDAMDARDVVPAFHGSDFKGERLTVQFARGPRRKENFPGPMDRPNMPRPRRTV YRMMVSGLPETSWQDLKDFARGAGLDVVYSETGREPGRGFVEFETANDLKTAIEKLDG RDFKGSRVSCVADIQPVDERPFRDPYRSRSPRRSYPPVDEYDRRFPPPRGYSPRAHYR ERSPIPMRRDYYDRDGYGRRTPPRPRIDDYPPPRRPYDDPYDVRPPPPPPRYEDPYMP PRPYGRPRSPPRGEYVPYDRRGYW AFLA_032770 MITMAEQRVNVHIPSHPAVLDSVRLRDIELPLPAAPEAWHRLGK SQPCTASLKLSYSSAVASANADDVSLSIDYGKLYRRLEEDIRTMGQHEEHPGKRMISL EGSRRNSMMKNDVGQDVRLTAAIVANCSLGLLDETTAGVRRMSHLHNAASQAPASTSP IDGIFGRCEVWLHLPKALLRAEEGLKYRSVTVWGYKQENEAAGNLQDSERCPVVLEEE FRIEGIRCHCILGVNSHERVEKQAVIVSLEFKGPGQLAWGSTVVDTYQAMTRAVAERV EETSFQTVEALATFVARIVTVEFANERVTVRVEKPSALAFVGRSGIEITRSQSFFERS EMDGGRV AFLA_032780 MEMSSTFQDDLSVGDVFSPQADMSQPRNEVSLNSNQTVSFRMSD ASPDRKQGLSEKGARGGNPFPAPKLNANPLPGKADRRKKKKQQAAPAISTVRGFTPMG SGDEDSDFSTSSSRAPRSSARPGNSPMSQPASGHGVSALKLQLDSLNLSGDRPLQGAP SDLGSEAPSNASVCSDSDQTEVLTSYEVPLEHDYVSADAVAEEKSYNTSSIKDMRTQL CRKMTTDDFEPLLCLGKGSFGTVLLVRHALTGKLYAQKQFRKASITVHKKLVEQTKTE RMILESVNRHPFVVKLFYAFQDHEKLYLILEYAQGGELFTHLAMERMFDEDVAAFYMA EMVLALEHLHQNVGVIYRDLKPENCLLDHEGHLLLTDFGLSKISASDDDRCNSSLGTI EYMAPEVIQGKPYGKACDWWSLGALAYDLLTGSPPFKANNNAKLQEKILKQKLTLPYF LGPDAKDLLTRLLRKEPSKRLGYHMPKDLQTIKNHRFFRKIDWKALARRAVTPPIVPV VTDPALAENFSDDFTHLPLSPLVAPASFDDHYAAHQRGSQRPMSSGYDVIGEESNPFG GFSFVASSSLLDHGLGIATKGF AFLA_032790 MASTTSQPAEKQLVPAPSNPVLLATQAQWLFTDEELTRAPSQLD GMTLEAEHTSRSKGVNFITQVGIMLKLPQLTIATAAVYLHRFFMRYSMVDLPQRPGMH PYPIAATALFLSTKVEENVRRMRELVVACCRVAQKQPNLVVDEQSKEFWKWRDTILHH EDLLLEALCFDLQLEQPYRILYDFICYFGVNENKPLRNAAWAFVNDSMFTVLCLQFSA RNIAAAALYAAARHCDVGFEDDASGRPWWEQVDVDLAQVRRACTRMAQLYENNAMQKH SQYYPTTPIFADEGTEKTRIPRTGSPAGTLRETDTANGRKRSREPEDEAQGRSEEPPI PHDQGSTNGERSPKRPRMGSDAAAQDASAEKNASNSTSFNSSQGATGSRSLPHDRHQT NGHLPPPPHRYQHPLPPAPRTFPRRDSDPRPSGSGGRPNASFNDPIQQRIDEIVSQNL TTPQGGPPPRDRRNSDRYREHEDPSRRRRSDLSSTSRKSIDEQHPPPPPPPPPSDMPQ NQQPPPPPPPPDQDEEGGGSEEGEL AFLA_032800 MAAPALSTGLIDPTKQAEYPIILGDRLSGKNGSSRSKLVNIQYN YKTKSATAQQTITRSSQSRDHYNLTITDKAPNAEQNTLTYSYQGSVDPDQAVSESEER NLVLVFDSHRKAFVLEPVAAQLNFNLRSAPAKTEKQVLEKYEQLRTLQEDDQGSGDDR GSDHASGNDDGPADDSNPYDFRHFLPKENADDDKSVSDNATPEPHYNTSKANTPLMPA TIKPTPSPKPSPKPRPKTQSNPLRLPKPAKPAKHDSAGTPKTSSKPVPRDQDAKEKVP ARDDTIEAAKSPSFENGSSALLSQQPAPSPGSNIIIDGDLIIDMGSPPPSRPAFRIDP AHFSSNNTPSNNEEDEDEDIEDLRLPSPAGHAGMPTRSGRVEPSYNTQADEDEVEDDD ALAAEMEAAFEESAREEEARNHQSLHHYNAPSDDESEVSEEE AFLA_032810 MADYLYELLTPHLVPTNASPTPLNPESDTTTAQYLNRLPTLSLQ ALQETEPQSLAQSSHSNILSLQALSNRSHKTFISSADNLSGLRNSIPQLSRDAQQLRD AIPKLDEDAVLFSSKYSRAAENAALEKRKKVMQLARNVDRLSDILELPTLLSTAVSSA AASSGAAGGSSSTTYSAALDVYAHIKRLQTLYPDSPLVRDVSAQAEDAMKDMTTHLIT GLRAQNLRLAAAMRTVGWLRRVAPELENLRSDGGTGTGEGALDEDEENMAYEWEEVTR KHRALAGRLEQLTGGNAASGSPKGTLRAASPVQGLGIA AFLA_032820 MGKPRIIILIRHAQSEGNKNREIHQTIPDHRVKLTPEGHRQAHE AGSKLRALLRPDDTIHFFTSPYRRTRETTEGILQSLTSDSPSPSPFPRHTIKVYEEPR LREQDFGNFQPCSAEMERMWLERADYGHFFYRIPNGESAADAYDRISGFNESLWRLFG ENDFASVCVLVTHGLMTRVFLMKWYHWSVEYFEDLRNINHCEFVIMKLNEDNGKYVLQ NQLRTWSELRKEKELERQRDRAMNVIPPAVPTSSESLVPIRRKWGGCPDGCNHGIRRK GSTRSNRANGTDLARNTLDTQHRKAHDSIYTHNQPTQESNNGQGSSAELKPQVEGQVL TAPEPALGDSSKDARLLINTIPVHSAQYDFLDRKETANPQSTRSDFPPNEPNSNNQES NLNTATARASPIPKRPDLSRFHRDSEDHLLHPPRSNYALLHLSGRDGGGTLSGANSVA PSEDEREDNPPKPPTHQPKPSHDLGNDGDDEGSGTQAQRLRRSRSHHTHHRHNHPTPG RRHLSKKPPNGYPDIDRNLDSDHPDSSIDHEEDPDPDYHEHNNDDDLEAARREDQSIR GSVY AFLA_032830 MSGGRMDSEHPFYVYSQSSCYSKPAPMNMHPSSMRSGRDMAMMN QPMFGPMPTANVLPPLRNNVQLPPMDSAIPPQYRRQDIMVQPEQQQRKEEKATGGVAA RLDYEMDQMSDFVAEMAQGIVYPGTSVPPQFRKYVFQILSSTRLPSSTILLGLYYLAS RMRMLSSSKVFVSGNGQVYRMLTVALLLGSKFLDDNTFQNKSWAEVSNIPVSELNTME LEWLFAFEWKIHDRIYDDQDGFASWRSHWDTWRAKTARAHDSRHNLAPIDTNVSRSSR ISKPLMSPEGPIPPQYQRSSHFETSWLNPAASEYSPPSAPHSGPNTPDYYAVGPWAYT NPPPPYSRTWIPPQPQYMPRSQPPSYHHTPSYALPFAQSVWTGHGSSCGCLHCAKHVE HYMCAGAFGGMQPILAG AFLA_032840 MMPVKSEPDENAFPPSAVEQSSQTDTRRQSAASALLAQLLGNQS SMPSDGPGPSAEHSVPAAQDTNQPQDIDDGMNGQWSTDAPAGTTAMSSDPTGGQDQIP ANSNELPESQQEQKNSDQPPEVPFSNPDGDLNISLKHADPSEGLTDPLLFSKSGLDHS DLFTPFDITGAAKDPFEALQQNEMLTAAYLSQSADLSALGYSGGHLQDTGSIAGSEPR IQAFAKLEFDDGHFYCNTYSFILGRDVRAARAAHQRELQVRQVMRHTRAKSSSGGNTS HTPIRMKHEGSGIIGSVVSDRGGIMGFDPDVPPHLPSRISRRSSNSSHAELGAPMHAT PAQLQSNTTDYNALAMESLNDEGGDAKPVDALALLPSPDSCPTIPIHPPATTDGSAAG HRGISRKHVKIAYNFDKNLFEMEVMGRNGAFIGADWLSPGQIRPLHSGDYIQIGGVRI RFLLPDVPIGETGADRVEEPYVAEEENAQASVPATENDEDEKRRKSESTENKDAPKTT KIILKTKEPDSSRPVPSIESSADGQQPMRRRGPGRPPKDGIMSKRERAELAREQKLAA KREANGGVTPPPANRPKAGKTTTTSTVATTPKESTGGDSPGSKPEKRKYTKRKKPDGT LMDFPLPSTEGGQFPMDQRPEDFIKAPPVKKRKPSRSPSPNYPPESAYTPEDLAKPPY NYAVLIFDALTEAGTPMTLKQIYRALKLKYPYFRFKCETEGWTSSVRHNLNGNSHLFM HAERDGKGWSWQLRPGASVEKEKKRRPSPPPPSQPPPMPAAPQYMPPMNPAYSNPTNG QANMANPHFQFPSMPSNPYPAPSPAPTPTPAPQQSSPYPPPSQPAASTPFPIPSPLRN NLPPAFAQTTPSTYTSPYASDPPPQLIQYQQSQQQTMQAQPQHHSPYPPANPPPPPPP PMSMNPPSQNLPPNPGPPMQHQPNLGVAPGEPSSGPMDTSETSSFNDRANKAIDDFEA VLMEDYEDKNYIREVLKSARARVLGQATESSFPGGEPKDEAVILDALRGLVGGLKDE AFLA_032850 MGQCTGHRYILVYKHHTDSITAPTPSGMVMNLSQGKKRPAPLFS CQSDCLLPWPHFTAQLLSSLQPVVFLQPAAAAGHVVVADHDSASGGPSTA AFLA_032860 MPPKSKSKKDIAPPKQQQQRQQTPKPNWPPLRPLIPSSDLTLDP LLPDQIYLIPNFFTANLCKTYVSFLSSLPLTTTPGKPKKGDAVRVNDRFQIQDERFAE SLWSGTALKDLVMNGDGEGERSMKEIWGGEPLGLNANIRIYRYSKGQFFAQHYDDSNT LTFSSPSHPSQPARTTWTLLIYLTTCSGGETIFYPESTRGNRNPEPVSVAPVTGMALL HRHGDRCLLHEGSEVSDGEKWVLRSDLVVR AFLA_032870 MAESSFSSASPQLKVGTKDDKTSAFRKISEDEEWEVTSPTDPTF QTANSAAGLSSAGNNLFGGNVFNEQQGGGIRFRRSPFADPSGDGEDHDDFDEHPEGPR PTGALNEGFPNNYALGRRTSVSAESLNPTSAGSDSWVPPHHPKTEEQVSRLKTAVSGN FLFSHLDDDQFKTVVDALVEKPIPAKGIKVISQGDAGDYFYIVEDGHFDVYIHPSGSV QSGSDGMGSKAGTIGPGGSFGELALMYNAPRAATIVSTDSKSTLWALDRITFRRILMD SAFQRRRMYEAFLEEVPLLSSLKPYERSKIADALDAIKFPAGSSIIKEGDPGDAFYLL ESGEAEAFKEGVDRPVKSYQRGDYFGELALLDDQPRAASIVAKTDVKVAKLGRDGFKR LLGPVEDIMRRAEYEQIQPKPAAS AFLA_032880 MKRWGRICHPVISKGWEMHYIMIDKWLPLLPESVQSFDRAMLER EGKINRIDEVVQPQPRKERHLESSWNKTPDNIEFKASRPSPVRLPFFGRPALP AFLA_032890 MGSHTFRWPHHANEVYVTGTFDDWGKTIRLDRKGDVFEKEVPLP ATEEKLHYKFVVDGIWTTDHSVPEEDDGNHNINNVLYPDQIRKENTTKSLQNGTAVMA GVAPDSTTAALAGEVPKETRRDILGDAAFSSTAPGSTTAELAKHAPFEQRANVPGTFP ATPGSEVEQFSVNPIPASSGLGNPIKLKPGEKVPDPSTFNTNTIHSTARTDQAGYEAD ASHPLTGSQSKDTSAFAVPPVSNNMIPESSLPMGQASQGSYDPATIQSAAPTSTTAAL AGAVPLESHKRQTDSGSGAPAGDVPEVVRHSMSEAHADPEAAAIKEAVGEKKEMEHEL QQKVPVDESRGTPAPTTGVTAAATETAPQATISHPDSAQLSPRATTPTTRPDTTSEGG PTVTTGPETTKTSEVSGPGSGSAAATAGNTGASATKTAEPTHPQNTSVGGTNGRSSTP PKDTSRKDSGSSSGTPSQKKKRNRASAFFHKLKEKLT AFLA_032900 MCDVPFLPIFPICFQCGTDQNPCRCKVLGPTLGFCVTIVAAVCT LYNTAYP AFLA_032910 MADPAQLADIIGADDFTIVLVRVTSDGADQQPQLCEVLATGSVK DFGEGEVETYTQWSKNRSGSEWQTQVD AFLA_032920 MSRGSLVPLIILVVIVTILAVIGYITYSIVQEVTRNTKSKMEKK NVLWTKDGMKVGVKEINNEDYQDRTQSVLVNMWNHTSFPAYKSRLWNMTQPAAEVQQA EKRKGYSK AFLA_032930 MQWTWALITALATATSALPHEARKDVWGQLRSNIKHVVYLMMEN HSFSNIAGYWDFHPEIDNLRNRKYCNEYTNPNWTVWGEPLDICAGPYETEVPLEDPDH EFAGVTYQIYRKWNVTNDDVPNMGGFIERQSEKYQATPGESAFVIKAYDEKKSSTLAE IAQNFAFWDSYFAEHPGPTNPNRQFATSGSTCGFVDNAGQAAGFFNNVTGTTCATSIF EALSNKNISWKNYYETDIIDGWMYKWVQDNAIDNLAHASDFYRDLEEGTLPTFSYINP ECCTIDSMHPKSNMAAGEQMIKHLYDAVRRSKYWDNVLIIINFDEHGGFADYVPPPVN VPRPEDGIAFDGESEGRPVTYDFTRLGVRVPAFIISPYIEPNTLIHNDGTNYANNSAY THTSMLHFLQELWELEGLNNRVQWAKTFEHVFSDTKREDTPKTLSTPIWYGDSWEPKP EPFYLLNQNEDYYANRP AFLA_032940 MSISSIRGLLSTYSPVSEFSPDLEKEYQLPLRNDSSSDLESSTE EAKPSRPKTEKADSKVIDGRLVSDAIIGLSDGMTVPFALTAGLSALGDTKVVVFGGMA ELIAGAISMGLGGYLGAKSEE AFLA_032950 MVFHNMSTNNKAVQLQCLERHYIKDNSSATFGVPWPRGKYWPDR TAFTCSSHRNKSIALQSWVIAYWPDGSIKWTAHAFAADTDVADSYSVEAIPTTPKHLE PLNAGISIKRDACADFIEVDTGKVRVVFPTTGSEIIKSIVLDNGTTIGQNGRLIVFSK TSLLTEKDTSSNHQFLSSIESINIDQEGPIRALLTVRGSHRAVDIDGRSRASWLPFSL RFYLYYNSNIIQIVHTITYDGDPQTSFIEGIGIQFDVPLKDELPYNRHVRFAGVGDGV FGEAVQGVTGLRRDPGSSVRTAQVNGEPLPPMDTWHDEVGKYMKWVPCWNDYSISQLS PDGYTMKKRTAAGHSWVNIPGGTQAGGLAYLGGATRGGLGLGMRYFWERYPTGLDIRH ANEATGEITLWLYSPSAHAMDLRPYHDGLGQETYDDELDALRITYEDWEHGTGTPYGI ARTNEIFLFAFDHTPTSAQLSSSVQYMRNPPVVIPDPEYILQTGALGTFWSRRVSTEN TTPAEAEINRNLDFLFEFYKKQISQRRWYGFWDHGDIMHTYDGDRHAWCYDIGGYAWD NSELSPDLFFWLYFLQTSREDVYRLAEALTRHTGEVDVYHIGPWKGLGTRHGVQHWSD SCKQARISNALYRWIFFYLTGGDERTGELLDETLHAQKTFLALDPYRKVRRDKEFYEP TAGAVSISLGTDWSAFAASWFIAWERRAPGWEEAKSKLFSSMMGISQLHNGFVTGMAL YNTQTGQIHPPSHDLSNQGVVQVSHLSAMFGLFEICAVLIDSLANDLPGGFEKAWLDY CLYFNATAEDQTQRYGVGFGNLILRQGHSRLTAYAANSLEDLGLEQRSWKEFYNGDGY APDLPWVSRSVTGCLVPVNVEEASWISTNLSSLYGLAAIQNLALVRRALS AFLA_032960 MSTTSDSSRLNDKNQSGSPQSSPHKAGDIQSTEVVKDGTHLNLV EPEDVPEYSTFLSIFFANKKSVDLDAIATTRSVFDDPNLAKYYQPHPQYENLHRFDPS ERWTYREERSVRRKTDLKIFLWILVMFFALNIDRGNLGNASADNLLPDLHINTNDYNN AQNMYRIGFLIAEIPSQMIGKRIGPDRWIPIQIILWSLASGGQFFMHNRAGFFACRFF LGFFMGGFIPDAILYLSYFYKKTEMPVRLAWFWFVDSMSGVVASFIAYGVLHMRGVQG REGWRWLFLIEALISIVIGFLSFLFLIPGPTQTATWWNPKGYFTEREEKIIVNRVLRD DPSKGDMHNRQALSLRMLWQSLKDYDLWPVYIIGILFEIPTSPPKTYLSLSLKAIGFS TFQTTLLGIPVTVFAAINLLIITELSERFKQISIFGILTQLWSLPLLIVLYTSASTLS HWGLYAVTFVLLGWPSIHAAQVGWCSRLSNAVRTRAVSAALYNITIQLSGIASSNIYR EDDKPYYHRGNSQLIAINVATIVAYVLAKLYYVGRNKWKRAKWDAMTTEEKAHYLGTT SDQGNKRLDFLFDS AFLA_032970 MPAPEPQLTLPERPPNLPHPEYETPRGVSPLQSVRAAGLQYPNY TPFKLPNLTEKPFTDRGLSADPTKSRLLKAATAITHLTPEIGTELSGLQLKDLTDQQK DDLARLVAERGVVFFRDQDLDVHEQIAFGAYFGDLHIHQMAGIIPDLPWVHPIYKDET AVNGRSHQIWHSDVSYEIQPPGLTLLKMDTLPNAGPDGGLAGGDTIWASGYALYESLS PKLRSFLETLEAKHSGLEQAEKALRTNGCLRRDPIETIVSLSIILNNLALSSRHQHPV VRTHPVTKWKTLYVNENFTKEIIGLEKRVGDGILDALYRTVAEGYEFQVRWKWTKNAV AIWDNRATFHTGIFDYCKFPHLRHGLRVAPQAEKPYLDPESKTRKEALQQEETGKNN AFLA_032980 MSSQSSETLELKEANASVSHIPAEVSDSNVGWDSDDDPQNPMNW SNAWKRTIIILVAFATFNDAAASSIFTPGVPLVLEEFHETNPTISPFLISVHIIGFAT GPLLFSPLSEIYGRYLIMQISNIAFFFSCILCAVSVDVPMLAIARILLGVAGSVPNAL AGGFVADLIPLEKRASSLALLAAGVLSSELTFSLGPIVGGYMALKVGWRWTFWLEGIV VHIPVGCSTILSFFFLRETYAPTLLKRKAARLGLQKPLKESESTWQVIRRGISRPMKL CCFSPIMMIISLYNSICYTYLYYMITTFPTLFGEHYGFNAGEVGLTYIAQGVGCLIGQ VAVGRFADWYIKRQQARNGTTTPEDRLPPAIVGYVVLAIGMLWFGWSAQVHAHFMVPI VGSGVVGLGLVGGFLVVQVYIVDTFTIYAASALAANNLIRSIVAAVLPLSGPAMYERL GYGWGDTILGFTALALAPTPLLLMKYGERIRTRWPVEL AFLA_032990 MGNQRTAGRLLKHLAAMGVVTETGPDEYCRNGLSTALGMARYND AWQSTYALNDSDSRPPVSLHSAIHALPAWLEKNDYRNPTDARNIAFTMQFNTNLPFFE WLHSDPEHFPLASQFNSIMSTYHQGRPSWIEEGFYPVHDNLIQGARDDEDNVFLVDVG GGSGHDLVEFLSRWPGAPGRLVLQDLPAVLDDIVALDPSIERMAHDFFTEQPVKGARV YSFHTVLHDWNDKDCQAIFSRLAASMERGYSKLLINDVVIPTTGAHWEATALDILMAA CFASWERTEQQWHQLTESVGLKVVKVWHGTGSVASVIECELA AFLA_033000 MAVTYEAVVAAPSAPSEFPRLLKEINSQSEAFINGQRDARMKLV NAAESLVHALETPSETVMRCCMAQCTAFASIEACVHLRIFSLIASSDDPKAVRDLANA TGADEHLLG AFLA_033010 MSLSGKIAIVTGASRGIGASIALELAKQGAKVMLTYVSTSSDNA VEEVIQQIRSLNNGPEATKVQIDLHQPSAPETILSATFRAFPSSDNKVDILVNNAGQT QYKLLAGTEIEDYTSMFDVNVRSTIFMAKAVLLYLRAPGRIINITSVAARRGSVGFSI YSATKAAVEGFTRALACEVGSYGHTVNAVAPGAVESDMLRGSVPDEFVKYMLDNTPLG NRIGTPEEIAAVVAFLADPKAGWLTGQTICPSGGLNMI AFLA_033020 MPGFLNLPPELIFQVYCSLDTIGDAYFLSQTCQQTYSIFRRPQS QPKIFEAIIDNIIQEAAPTKAWLEAQFGPGSLWQPTEAELPADLTEEETIKFLLNVGF PAVNLTRMGFNSSDLSISAYKGQALDGRRRRQPTRT AFLA_033030 MNPTLPSWKDRTQNQFGKLQIQVPWRSIQLLVPHRMRRKIRSKL RSRISPTSSISSLQTSFSPVDTLRSLQSHRWTTYDFQYLLLLIVAIFSLTVIESPGPL GKTAMFTAYIVALLLPITRQFFLPFLPIAGWLLFFYACQFIPSDWRPAIWVRVLPALE NILYGANISNILSAHQNVVLDVLAWIPYGLCHYGAPFVVSLIMFIFGPPGIVPIFART FGYISMLAVTIQLFFPCSPPWYENLYGLAPADYSMQGNPAGLARIDKLLGIDLYTSGF KQSPVVFGAFPSLHAADSTLAALFMSLVFPRLKPLFVTYTLWMWWATMYLSHHYAVDL VCGGLLATVAFYFAKTRFMPRVQTDKMFRWDYDYVEYGDSSRGYGYDLAGYDGDVNLD SDEWTVGSSSSVSSGSLSPIDDHYTWEGEALASPASDIESGRHMFSP AFLA_033040 MAPRIAPFSTRIFNSDLARFSLLRSLNFSGVLGVIRLYTAIWII PDRTISSHSNYSLSLFFSWSSLLLRFSFLYSARIGDHDGKRKVLGRLLHPLHSLCLEG VDACLMTFALVYRAGPGLPDFPLAWWFVLEHSSIKRTLVMQSGRSEPFPLPSNGDLGE APGLQSGWATDADGVILSKVAHPMVLKTLLRLDVSLLDTSIHRATDVMIYEELFLLFR LI AFLA_033050 MEASITATGLRRAGGPKLCDIPYNSRTTVIEQLPFQELQPILET WKVCGSMQSMECTPCYDDSAEPILALQVMVDTPRDDSRENWLQAAQEMHDLLRRHGLG HVTVDIIDWRLKVGPNILICEPTDAIFSKWDMVRKRILDSVDVSGFQMLGCYRMGYKD VEYKPTILITVDLKSERNWNLAKQDVNNILNEFDLSMVDVEIYKDRSIFCARRPSIQS EINSRYPVHTREGPRVATIGHSVGARDGDTYGTFGGWLNIRQKPDSEWEPFGLTCRHC IFDKQPQDALISESAQEVDCPTYGKVKECIDKLTETVSYLKRGEPYRTLEQLRVDGDL PDDRKALWRNLRDLIKSHEDDLTHLHSYYDLKTYRFGEVWAHSGDGTTRIMDWALLKP HPTRACPSNKFGQFTERYLPMFLKAENGYIEQGLPLDHGQPLHTYGCESNAAICRYSI LPTTIFTVAANGERRATDEQSVCRRASQPSFEPGDSGALLFTGYGNAVGMAFGGQVQG QIVVFTHIDDLIADIKEQTGADEVVFYTQEWPGEPSRGPGERDSGY AFLA_033060 MLRRRLAKDDNVQSASTDSSRDESKVVPATQTATPAEKPKKESF VTKPRSKRRNGLIFVLGGIFGIIVAAFFANQQDVISLDALMDLNLDALMDVIPQGIVK DVREFSQHERDAVSYDSFSVGLQLQSQGIQAKHPIVMIPGVISTGLESWGTEVSSRQY FRRRLWGSWSMMRALVLDKAEWKNHIMLDKDTGLDPPGIKLRAAQGFDATDFFITGYW IWNKILENLATIGYDPTNAFTAAYDWRLSYLNLEVRDQYFSRLKSYIETAVLVKGEKV ALASHSMGSQVLFYFFKWVEHPEHGKGGSDWVNRHVASWINISGCMLGAVKGLTAVLS GEMRDTAQLNAFAVYGLEKFLSKEERAEIFRAMPGISSMLPKGGEAVWGNATWAPDDL PGQHTSYGNLLKFQQTNSSLTAKNLTVSESLAYLMNSSDEWYRNQVQTSYSHGVAHTT AQVEANENDPRTWLNPLEARLPLAPDMKVYCFYGVGKPTERSYYYQEERDPLVNLNVS IDTTVTNSDGVDHGVVMGEGDGTVNLLSTGYMCAKGWNIKRYNPAGVKIKVFEMPHEP DRFSPRGGPNTGDHVDILGRASLNELILRVAGGHGDEIEETFVSKIKEYADRVQIFEE E AFLA_033070 MRFNSKITKSVFLPLYLNSSSYFLSRSNSPHSLRTITTPSRTCH SFPKINIERLDSLNESEYAAGMSHDATPTAVSAAPPDLRYIRYDGAREDEYVAAMRQL ISKDLSEPYSIYVYRYFLYQWGDLCFMAMDDTLPDPMVGVVVSKLEPHRGGPLRGYIA MLAVREEHRGRGIATKLVRMAIDAMIARDADEIALETEITNTAAIKLYERLGFLRSKR LHRYYLNGNSAYRLVLYLKEGVGSMRTAFDPYGPPDMSGPIAPPPPALLHGNGNQ AFLA_033080 MENRPSLNLIDNTSPTRRLSDDEGLSEVTTADDLSRNTSRRWKK PSVRRELTKRKYKKWQPHKLGITDDDADRRPSDARLSLTATYTNTEGESLVDTPTVPT TEQRDFGASEQENDDPESVTGHGVRGLKPGSELDILYENQRGWFFFGIPLYSQGSLLN FDPSAWVTYDFRDSPVNITNAQVPDPSWEWAWKTWYVDMSGDVDDQGWQYSFSFSSSA WHGSHPWFHSFVRRRRWVRLRVKKASERSRRGRSGFEMAHMLNEDYFTIHTAKKKRAA SAGRGSQGPSTHLSRATTNVDEEGPLEEIGNIPTLMYALKNAKIDREKFDILRRFVAE GGQELYYLDEKMQDIMALFVFQASRWQLVTYMTDLIEELSGKEPESSGMDAEETRRQK DYLSKAVATAKHYLTGPEILAPEGRVPAREMSEMLDLTPEAKRESLLSRSSGKFSHKP IDNGGEIKGIPQAAEIGREGHIYQISALESFARSLKKRPLCTGTNPDSPATLRPFHDS GTCSVYSSMLSRGRPCLKRRVLSTVLDTVAVGPDEPLLFLYPRWFTAAVRQRRSISST KCTSKCTARLCNAGGFVPGTRRRLSLGDSTKRWASSNSAAKSNVIEEAGSSQQNDGPG ATLESGQDGEKGVELKHDGVNPPKNTTSPKPSLPDSPERSKLLEERRKRLFNIFADID SKPSRPPPPPPPKSSAPRGRELKTHARPLAAPPKIHSHERARATMKSLSVRDRRKLRC RLFFTKRMNPEAKWRWTLWTKLEELFERMEQNTTVWKKRGTKHKEMLLPEETVALLAG ATDMAMKENVWYVPVHNGCKVHVLHPRESEGQYRKVVLSGSERVVELVGDRIAHAKSL QEMGDPLVDIRKPPIPVFPSLETMARKNIPIPIIRGVWDFYKSAKDPANLGTLLPLSQ NLLSVREFAEHVDEVARSLPSHKASPEYSHQKQVAKSLLSLFQNERYHGYLSTAALNT ALSFLLDHEFVRYARMIFLRAEHVVTVDSFNILLKFAAQKQDMRLFRQFLLTMPRLNI RPNPYTWLTFLDCLVSPKAKANLVSRMMQKGYLNESSAMRTALQVTIQNTFRAHLESG KSVDSFFNMLIETQGTNWFPPSLINQMLNVAARRKDFSAMERLLQICKQQGFAVKGST VNQIVLLFRKDIFSLLRFLFRFIDRPERVLTKDSWEKLFLMAFKGRHYNICRVLWRYA CMYRGVTYNMRQSVLTSLLRNTSFRKTGGQYNQLWLTSAGKVIVGIDLHLPNYPLNES FADLVPSEFSRNPVASLATGFKPTGGEREKQQLLASKLTLRDTEVGPMYRPSRSLNIM LEAAAVLDQEWKTVPRPTQWLMQNAIKVSVKRKSDYLQ AFLA_033090 MGESMHRKIELQAPADFTYLYGNTVALSRQKLDLHLPPSANPED GPDPMRERVRELVDEYILRTFTSASSSISINGLDSSSPQFPFPAAFTAPAETIEYEPY DGHLASRVTSLYAQLESLTTTVAQLRRDAPQRAAEMYAAELKKVLEEDEHDDLEDEEI LENGENNERQTTEDVDMPDADQNQEQTSSNGPSTNGSLRRTAKTKWNLHVPLGTDHEA ELWRTGEMAEVYEDTLRTLLRLQGEAVPGDETNATTDGAADGNAVASTVGKAERASRA VEVVEKK AFLA_033100 MAAPSSKYDNYDFPTTAPVAQPGHPGHTTPEQDAKVEQLRSELE QLGYTERLDTLTLLRFLRARKFDVANAKTMFIECEKWRKEFGTDDLPRTFDYKEKPEV FKFYPQYYHKTDKDGRPVYIEKLGKIDLNAMYKITSAERMLQNLVTEYEKLADPRLPA CSRKAGKLLETCCTIMDLKGVGITSIPSVYGYVRQASGISQNYYPERLGKLYLINAPW GFSGAFNAVKGFLDPVTVEKIHILGSNYKKELLAQVPAENLPEDIGGTCKCEGGCELS DQGPWQDPEWAKAPKWATPKEDQNVVKNEDPGLEQKVETQEASQPQPTA AFLA_033110 MHLDHKFPWNTISSHFGFIKENQYKNRKNDFVIHDRFADRQSAE LNHFTKTLISVIEEFATTERAKYPPSTALPTSGPLFDTSILAAIEQKYHLEPHRTNSA VSNPLCEKFQDADHWISPAPYSTADGDLADAVKMLLISNEMLALLRLANHKKIPLATL DNLSWGHSFGVNHLPDVALQAYLLLNIAAAVKANAKRGSADVTVRLTETQRFRYFADW ALADHDYPAQNIPHRQFWNAKGITDIHCSSWDPLSLETDGERAEMKAYLKMCFELLYR YDLLMRELGRDPGWMERILGILRLWGARSVTMNESGFCFA AFLA_033120 MTLYYSLVFCLLVFEMVIFMGLIVPLPFTIKRKLFTFISESPII AKLQYGMKITFIFILILFIDSVNRVYRVQLEVTNFSRENMGAAALGTDRMEVQARKFY SQRNMYLCGFTLFLSLILNRTYTMILEVLRLEDKVKILEGDKKAGGKDSARLAEAGNA GEIGRLKKELDAKDRDIETLKKQCEGLTREYHSLGDKVAGKTDDDTKKDL AFLA_033130 MAPETAQSQTPETPMRDLQNDAPVTDTLEAGNTDERPSKKAKLD DASTPDNNANNIAPQRMRGVAPVKPEFIIPRATGTEPQPNTDDAAEAARHEGAQGQEA GKKKKKKPTGQNTNRTFGSSQDEKGLCPSRIFTPEFSPGACQWGEKCRFEHDLRTYLK EYKRGDLTTFDGVCPVWDAKGKCLSGWKCRLLGSHMTERETADGRKELVLVEDEERKK KAQPLVPFAVEDGTANIAPIEAKIALNRKKVKTPRADAYGSWLDKTSRELEKVIHNRE VHEERGAESKTDQAEREKEDNRAQYLEPPFLPSEKRRIYFGPETPVLAPLTTQGNLPF RRLCIELGAQFTYSEMALSMPLIQGQRGEWALMRAHETEMLPPTISPGADVVQGYDHS KDFRFGAQIAANKHWQALKATEVLSAYTPNLRVIDLNCGCPIDLLFREGAGSALLEHP SKLERILRGMNAVSQEIPITAKIRMGTRDNSPNALKLAERLILGGYESSTLGLGAPGV AALTLHGRSRQQRYTRQADWGYISECAALIKRLNEKTDHVTDTVREPDPRTQPNGGKT WFLGNGDCYSHLDYDDHVNNAGVDTVMVGRGALIKPWLFEEIQAGQYLDKSASERLSL VEKFAKYGLETWGSDEHGVGTTRRFLLEWLSFACRYIPIGLLEYLPPRIQDRPPSWRG RNELETLMGSHNYKDWIKITEMFLGPAHKDFRFEPKHKSNAWEAEAEG AFLA_033140 MRSMAGAHRTGASNPEWLLEHTKNGIWESVDVAFTTSRSGGVEA NGCVGTNSIAAWQ AFLA_033150 MHFYLPRKAVKESSRLRFAQSSPPSLSALFPGVIDCSSAYRLTY LKLLYFILLPHP AFLA_033160 MLGSSETLSPPPLHYSPSVGDHDRASSRDSTPVTTPLGFGVSSC REKVSDRPWPRNSFGLLDDHSSYFDSTKLYSQPQEDYDFPHFPSPPLTSGTMNNTAAP IDIATRQTSVSPPGQQASNLTSALQRAGNGERTGSISHAGGVGINIFKAPPPRKDSIG AATAQWGNGSKPISMSGSNRDKQRRESLAGSLVGGMSWGGVSVGSWIRDDILMTGTSP FTFGQSPSFHSSSYLPKLEANFMRDFSCCGVTLPTLHDLLQHYEEAHATKSPHQGHRP SQADGRAALAAAAMAHQQNQQNNNQNRGLQPESTQRKLNQNQPPQQHSDIDAIDDMEL DEPMGDHDPSAQLFSPQPQNGNQGGFGHSNQRVPHLNLSMLPGHQGFKGSQPGTPVAS GRPLSLQNNPTVSSVNTPTLMSNPLQNSQFRSTPDSSTPGTPAELDESVLGGFGDMGM QTNNMMQGQEQFARFAANNDMVDLCIDEPAKRLFSPTGGINTPNAHFKLSGAQYGPNS EIARRIREQQLLAGVPDTTALLPNEEPKPFRCPVIGCEKAYKNQNGLKYHKAHGHNNQ QLHDNADGTFSIVNPETSTPYPGTLGMEKEKPYRCEVCGKRYKNLNGLKYHKSHSPPC NPDFQLAAGRNLAFGGGVMQGQNINVAGAGLPGIGEEGLL AFLA_033170 MSNQQVGTVFDRVIQEVCDGSQVDFEESGVDQQTLLDLRKSWQK KLSSLGVAHFPWDPPPPQPAPPQPQNQNQILPPSATVPSNAPRPAPAPQTPQQHVPPP QSMPHSMPGTAPPLQVPTPVGAAPNAMGQAPHIKTEPGMNGQTTLPPMSNMIPTNIPN AQSARERAANMLHQRYGAAAANSVSQLQAQSQAAMAMPGQARPQNLPHVPNGQAPHIK QEPGYPPVSQPPMNNTQTDGASDDALSAWKSEVARRREAADRQNGEGDRVLREHLKQR MLQLEGGGLLLPLEERQDSSIAPTHELATDAAVPSDPSASASSSSKVVRAQYDGPGGD DERDEDDEDAINSDLDDPDDLVADDHEAEDAVGQVMLCTYDKVQRVKNKWKCTLKDGI LTTGGKEYVFHKGQGEFEW AFLA_033180 MATPTVSTPVKTHHGIFSSKTAGGRMPLTPSPRMRAGSMTSNHS SPFTPPRQQEGAKDNGKSVYGGNLSSYFAKSMSRATRNYRESPKSNIARIRKSPKHLE MGVSEWALAGTGPSASQSPSSKERVRKEVPTRTTRSGKTTVRIAHNAGDRFIPNRTAS EGLATAGTAKPEESQRSKSNGNEGSTVLASAASAFDIGGRGTEDDITAALENLGLEDS ETSSTSSSSSYTRPAPDAVAYESSLADACGVNLNTRILAFKPPPPESSKPIDLRAQYN RPLRPAKSKSAQFRRRVQTAPERVLDAPGLLDDYYLNLLDWSSGNQVAIGLERNVYVW SADTGTVSCLLESSPDTYISSVKWSGDGAYVGVGLGTGEVQIWDVEEGTKLRSMFGHD SRVGVMGWSKHTLSTGARSGLVFNHDVRIAQHKVAELVSHTSEVCGLEWRPDGAQLAT GGNDNLVNIWDARSLSAPKFTKTNHRAAVKALSWCPWQLNLLATGGGSYDRHIHFWNT TTGARTNSIDTGSQVTSLRWSNHYREIVSSSGFPDNSLSIWSYPTLVRNIEIPAHETR VLHSCLSPDGQLLATAAADESLKFWKVFERKPGTSASASREGGVGSKAQMTKSMTIR AFLA_033190 MARQPLSTTTRNSNASFASAAFTQSHGDPQSWIGAPRVSFPMTS LSSDHHTPHHAFLMPPSIAGGRRGSTDYRPSIKKAQGHIPACLVNASVTYCNNDQIYA FGGFDQYTDEVYNHVLRLNLKDLRWELVDNYGDIPGVRMGHTATLHQGTKLIVFGGEN EHREYLSDVVILDITTSTWTQPEIRGPIPRGRARHAAVIYDDKLFVLGGVTGDNKILD DLSYLDLKTWTWSRTWRFTARFDHTAWVWGGRLWTFGGLDPGMERTTDIWWLDLKDIQ SLGMTTSQGTVDTPATIGRSTHSPDTMFNSPTQQLSGRSGSYAANSGSVQVRNSNRRK PIAPGAISCLQFKSGPHVPALFSGTHFQAYASGVLLDLITPSETVRIFDCNLSSLELD SLRWQRLADGQEIFKPGYRWHYCTVDTSGTKAWLLGSSSDAGATPGTADENHMSEVLC IDLERYGLLGNEMTAASPDQGRALLSERSGISPLSGLGADLSAVFDQAPETGSGADFI ITANPDDQVDGDEPGEDASSQAQPAFLPPNAATSPPIHVHRIILQLRWPHFKRLYSAQ MVEYHSKKMHIPEPYSVVRAFVYYLYTDSISGHPEYCSDIVDVAGMLVMANLYDMPKL RVLCVNRLSRELDVENAAIIWERAGRTNEEWLMRRAAQFCLSNWGRVVRTDGFKSLSR QSLIELCEVVDMEGRVVAGPELEMVGALSAEGFGSGRDPKRSQLALGGTLADDVDDLD GDEMEGMEMS AFLA_033200 MGSTSTSTLPPDFLWGFATASYQIEGAVNEDGRGPSIWDTFCKI PGKIAGGANGDVACDSYHRTHEDIALLKACGAKAYRFSLSWSRIIPLGGRNDPINEKG LQYYIKFVDDLHAAGITPLVTLFHWDLPDELDKRYGGLLNKEEFVADFAHYARIVFKA FGSKVKHWITFNEPWCSSVLGYNVGQFAPGRTSDRSKSPVGDSSRECWIVGHSLLVAH GAAVKIYRDEFKASDGGEIGITLNGDWAEPWDPENPADVEACDRKIEFAISWFADPIY HGKYPDSMVKQLGDRLPKWTPEDIALVHGSNDFYGMNHYCANFIKAKTGEADPNDTAG NLEILLQNKKGEWVGPETQSPWLRPSAIGFRKLLKWLSERYNYPKIYVTENGTSLKGE NDLPLEQLLQDDFRTQYFRDYIGAMADAYTLDGVNVRAYMAWSLMDNFEWAEGYETRF GVTYVDYENNQKRIPKQSAKAIGEIFDQYIEKA AFLA_033210 MIDATKDTKVDARSSTSVEKHGDVISGEICDIGADLYAEVGQLS SEELEREGAEVRKLLDWRILPMLYVTYVIQYLDKLSLNYASAYSLIPDLGLEGQRYSW VAAIFNFGYLFWAIPSNLMIQRLPLAKYMGTVLIIWAGLVIAHVGAKNYAGILVLRFL LGMAEAGVSPCMMNFTSMFYKRAEQPLRMAIWLSGNGMATMVGALLGFGLGHSHNTSL RSWQLIFLTIGLLNFVTGCFFLWLMPDSPSTAKFLSHRQRVVAVHRVSENMIGVKTKA IKLHQALEIFYDVKVLCCVGIGIACGVINGGVSNFSSSLIKGYGFSGIYATLLQLPTG AIEAVIVPICGLISTYVRDSRCIVLAVVCLIPFGGLLGIRFTDIDHRWTLVGCTWLQY IIGAPVIISWNLLSTNVAGHTKRSFANGVWFTVYASGNVAGANIFFAREAPRYYSALT GLLVCYAGMIVLCAAAYMAMKWENLRRDRNMLSEETAERREEAAVLDGFKDMTDMESK HFRYAL AFLA_033220 MNMPRSYYADEDQAAETFSLVDATISELEHALSAGWITSVDLVA RYLRRISVYDAAGLNLSAIPILNPTVFDEAAASDARRAAGLPARPLEGIPYLVKDSIK VKGMTVASGSPAFENLVATEDAACVQVLREAGAVLLGRTNMPAMAYGGMQRGSYGRAE SPYSQEYLTAAYASGSSNGSATATTANFCAFSLGSETVSSGRSPASNNSIIAYTPSKG LLPLRGVWPLYPTCDVLVPHTRTMSDLFKVLDVLAVVDKTPIGDFWNEQKIVPLPSVE TIRPRLFDELKEGSALHGKRIGVPSMYIGGKDPLPDKVCTRPSVLKLWERTKDALEAC GATVVEVDFPMVTTYEAKASLGELVSVKDLPEDWHSVERCQLVAHSWDDFLAANGQPG LDSLACVDPETIFPLAPGSLRGTPDAANQLRWHEMVEYPKNKPDSIFEIPNLERAIKA LENARKETFEQWMDSQGLDVVVFPANGDIGRADADVDPEASLYAWKNGVKYSNGNREI RHLGIPTVSVPMGVMEDTNMPVNLTFAGKAYDDTKLLRYAYAFEEFTHYRQVPPRVPG LDSDVVLTTGNQQSSSVQGQVELPGVTITEQSKCIKDSTVYVEVKGNLPCSRDAQLKQ LVCYINGELIDPQLDGNGWSLTATYPVSARDGTWSRWTSPALVQTIVVIVAHTNTGQT AGKLLLL AFLA_033230 MFSNEIVDVSNTSTALQHLFRLRKIQANIRRYWDEPTDIQNLND RSFKLALDEWRKDIPQYSAEEAQRTYLDPLWMTKLYDYSVIILMQGKRKHLHARRS AFLA_033240 MPDCGPDIDKDNDANTSPTFCSLLDGDLQRKSPGSNAHTIITLG DSTKSRESDGFDLMDTNVDDSKPTEIFPLHDLAVVDGYSFWLEEAYTNLYFSITHFMW PLLDCNAWGSWRHDWSLNEKTDPWKGFFVQMVYAIGSLSYNVLQPGQNHSKRAAEMYS SALAYYPYVMAEASAILQIQASILMIIYSLHCPSSGEISMSVSSIVPFCSATLAEIQK RISSGLDSTLGDTMGGGANLNELMFITCYMLNEIIVSGWERPVSAAYRIVDDDVRISE LH AFLA_033250 MPSTDLNRKRRRVESAASALSKPFKSPLRRPPQVSETKHEALSK EEKNVAPRPSLKHNNADINDARTLPVISSSPSSAHALAYTIPTSPSSLESRKRKAQIN HLAASKKPVFSDPVILDLQKQERALQSRLAILRSELDTAQQALQLESSSKDADLQSLI TKWKSVSQSAAEEVFSGAQERVARMGGIKAWRERMKNNNAQWEQEEMETWYGSAEAEG ADVDEDELEARKAEMLRDRKKSHNEERENKEVEDEEFTMDFMLKTLNIDLKVIGYDKA HQIWIKE AFLA_033260 MKNLWLWRFLPLAFLLLQALAMESKAPTDVAHESHQHSNQSPGG PEGSGARPGSQHVDTALKILRNSKIPTVTSEKPSGILGNTLHYFREAFRVLFLNGPPS DNAERQKIHPNVAKAVDELKIAAQKDQNPDAMFLLAELNFYGNYTHPRDFKQAFQWYQ SLASATGNSTAQYMVGFMYATGIGGGVERDQAKALLYHTFAAEGGNTRSEMTLAYRNH AGIGMPRNCDHATYYYKKVADKAIQYFRSGPPGGHSMIRESYRWADEEGGVYGEGASV SSSGPNVMRDAAHSSSEASLEDVLEYLDLMSRKGELKATFSLGKMHYEGSRGLPRNLR KAMKYFKQITKRYWNKDGSVNPNHPLGIEKLASKAAGHIGLMYLRGEGVEQNFATALT WFRRGVTNGDSLCQHQMGLMYLHGYGVQQDAFRAASYFKSASEQDFPAAETRLGALFL DQGDVPTATRYFELAARWGWMEAFYYLAELSNNGIGRERHCGMAASYYKMVAERAEVI HSSFDEANTAYENGDKERALVAAMMAAEQGYEHAQSNVAFLLDEQRSLMSFDRILPGA KKPRPSLLRNAALALIYWTRSAKQTNIDSLVKMGDYYLGGIGIAADAEKASSCYHSAA EVHYSAQAYWNLGWMHENGIAVEQDFHMAKRYYDLALETSTEAYLPVKLSLLKLRLRS YWNRITNGKINSIQDEEESKPRRTLKEWIAAFIENDEEEEAYRAQMYKRAEEEDDLLS GGSDRRHIDDRHEDGYYDDLELDIDESVLEGLIIVALAATLLVLVYMRQQRNRQRQDG NVGANPAAPGNGNDDRGFFPRPGDPEFAQWVAGGVGH AFLA_033270 MSNVYFPYSKAPLRTIKEIQFGLFSPEEIKRMSVVHVEYPETMD EQRQRPRTKGLNDPRLGTIDRQWNCETCEEGQKECPGHFGHIELATPVFHIGFLTKIK KLLETVCHNCGKIKANTSDSKFLEALRMRDPKRRFDHIWRLSKDITICEADPPPDEDE PYAKESSKPTRMHGGCGNAQPTIRKEGITLVGTWKPSKSMMDEMDMQQPEKKTITPQM ALNIFRNISHEDVRIMGLSNDYARPEWMVLTVLPVPPPPVRPSVLVGGSTSGQRGEDD LTYKLAEIVRANQNVQRCEQEGAPEHVVREFESLLQYHVATYMDNDIAGQPKAMQKSN RPVKAIRSRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVGVPKSIARTLTYP EVVTPYNIDKLQQLVSNGPNEHPGARYIVRDNGERIDLRHAKRAGGQQLLYGWKVERH VMDGDVILFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTTPYNADFDGDEMNLHVPQ SEESRAELLQLALVPMNIVSPQRNGPLMGIVQDTLCGIYKICRRDTFLTKEQVMNLMM WVPDWDGVIPPPAILKPRPRWTGKQIISMALPSGLNLLRVDKDNSALSEKFAPLNDGG LLIHGGQLMYGMFSKKTVGASGGGVIHTIFNEYGPGTAVAFFNGAQAIVNYWLLHNGF SIGIGDTIPDAVTIQRIENCVRERKKEVETITASATDNTLEPLPGMNVRETFESKVSR ALNNARDEAGSETEKSLKDLNNAIQMARSGSKGSTINISQMTAVVGQQSVEGKRIPFG FKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGY IQRKLVKALEEVMVKYDGTVRNSLGDIIQFIYGEDGLDGAHIENQRVDIIKCSDDQFR DRFRIDLMDPERSLGPEVLEQANEIAGDVEVQRYLDEEWEQLLKARAFLRTVAKEDEE MMQLPINVQRILEMARTTFRIREGTISDLHPAEVIPQVQALLDRLLIVRGDDPISQEA QENATLLFKAQLRSRLAFRRLVTEYSMNKLAFQHVIGAIESRFAKANAPAGEMVGVLA AQSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKTPSMTVYQEPGRTH DKEGAKQLRSVVEHTSLRSVTEATEIYYDPDIQSTVIENDRDMVESYFIIPEDVTDDS SRQSKWLLRIILSRPKLLDKGLTVQDVATRIKQAYPKDIAVIFSDNNADEQVIRIRQI QDHKEDEDDDDIEYDVTLKKLEQHLLDTLTLRGVNGVERAFINEKSKVRVLEDGSLFT SKVDPLCKEWVLETSGSALGEVLAVPGVDATRTYSNQFIEVFEVFGIEAARTAVLREL TQVLAFDGSYVNHRHLALLVDVMTVRGYLTPVTRHGINRADNGALMRCSFEETVEILL EAAAFGELDDCRGVSENLILGQMAPAGTGEFDIYLDQNMLNTVVSNNARFGVMGAIGA KDAIISDGASTQYDTGSPMQDNAYIGTPDPESNFSPIRQAGAESPGGFTEYQPTGGFG GGFSPAATSPAGYSPSSPFSANPTSPGYSPSSSYSPTSPGMAMTSPRFSMTSPGFSPA SPSFAPTSPAYSPTSPAYGQASPTSPSYSPTSPGFSPTSPNYSPTSPSFSPASPAFSP TSPSYSPTSPAIGGAARHLSPTSPTSPKYTPTSPGWSPTSPQTYSPTSPNFAGSPTSP GGPTSPGYSPTSPAFSPS AFLA_033280 MNLSLLDPFVLAQDYPDTLTEKLRSGHATCLRFNRKGDYLASGR VDGTVVIFDLETNGVARKLRGHTRQIQSLSWSRNGRYLLSSSQDWKCILWDLKDGSRV RTVRFEAPVYIAELHPYNHLLFVASLFEDQPVLVDVSSPKPIKRILPSAPFRPPPPKS EEVDPAVAAKQAAQDAKHSTCVTIFTALGNHIIAGTSKGWINIIETQTCATIHSTRLC NGVVILLRLASNGRDLLVNSSDRVIRTILMPDLSQLGIDLEPANIKLQVEHKFQDVVN RLSWNHVTFSSTSEFVTASTFMNPDIYVWERSHGSLVKILEGPREELGVVEWHPSRPM VVACGLESGCIYTWSIVTPQKWSALAPDFGEVEENVEYVEREDEFDVHPAEEIHQRRL DQEDEVPDVLTIEPHKSGTDEEMESFRMPVLLDISDSESEEDIIAVGPGTMRRRSPGA GRDWASGDGEKESTGGRNGTSRGQKGRRR AFLA_033290 MYHAYRKGVYMLPCDEQEQDRLDIFHKLFTVARVSDGLMYAPHP RNGRFLDLGCGTGIWAIDVANKYPDAFVVGVDLAPIQPSNHPKNCEFYAPFDFESPWA MGEDSWDLIHLQMGCGSVMGWPNLYRRIFAHLRPGAWFEQVEIDFEPRCDDRPLEGLA IRQWYQYLKQATQDAMRPINHNSRDTIRDLQEAGFTDIDHQMVGLPLNPWHQDEHERK VARWYNLAVSESIESLSMAPFSRIFNWDLDRIRRISSEVKSEAFNKEIHAYNILHIYQ ARKPAN AFLA_033300 MSLRDYRPVSHRPGLLNASRPKQGHLFGNVETASGLFCGSAYAA TPEFAVFGNGGEHSWAETLLWALTNPVATTAIH AFLA_033310 MHTLKATASSSLSLAQDNYIYSIASSSPGSFAAIASDDSLRVFD AASLSHVSVVAADAHKGVTSLKSYDAGQQLLATGGRDGKVKLWDLRNGKRSAVVEVET YAPVLSIACCPATNSLAAGTELVSYQAVVAFWDVRSPGQSRLQYVESHNDDVTELQYH PTRNNVVLSGSTDGLVNVYNTDITDEDEALVQVINHGSVHHAGFLSERTIYALSHDEV FSIHPATDPEEEVQEPNPVQFGDLRQPLGCEYIAQLCIGSQGPYVAAGHKIEKRLDLV PLTSNPSWQFDQENLWRLPGAHGEEVVRSIYLDEQVDRSPNRYLLAAKTDLSAHGNRS PERRRAMNPRTRLRGQKRIRIRDGSNPIKLGLVCFVTSPRALTATIECKIRDLGNTYA VILSRPSRMFQTTRLATVFHPPFFTGRDQYNPELHPSKSVIRRIGLLVVLSIISPFTT SGMSRYSTQTLPYIESHIATSRYQIFLLTVTIYFPTQTCHSPQFIRRMQNLRTYHTLH TSNLRGNFQCPFDSPPSPELANSNVLLAHNYEDAVSR AFLA_033320 MDAQAYLIRHGWSGPGNPLNPNRRPGAHSGLGLTKPILVSRRKG NLGVGQTTTKDPTNQWWLRGFEDALKGVGDENNAGVEKKPNALTSELYRYFVRGEVVP GTLGSKDEQEKKKEREEGSESKAKAESKKRKKDDVDGEEDREAKKLRKEERKRKKMGR AEEGEDSAVSRSDRDSKDRKESKEERRQRKEEKRRKKEAKELKKKLKKAKEAEDSTTD ENEKATRKSKKEKEGNNPEEDYPTPISIENDQTESSGREESSDSIEKSKKKKEKKEKK EKEKDKESKKRKKSEESSPEDGSKSKSRKSKDAKKSRKE AFLA_033330 MNAAAVEVMKDLPDLCIAYGVSDEYSFVFHPSCQLFERRSAKLV TTIVSTFTAHYVYLWGTYFPDNPLQFPYLPSFDGRAVMYPATRNLRDYMSWRQVDCHI NNLYNTTFWTMVLQGGMSNTDAEQELKGTVSSDKNEILFKRFGINYNNEEEIYKKGSV LYRQYQLEDIKPKSESKSGVLAEEEGNNVQEAKISRSQQDKLRKLRRKAQVVVDHVDI IKDEFWERRPWILSGKPGKLPTEA AFLA_033340 MYEPLHLSFDAYPSVVPRVRVRGVMALFLAVTILWALIWLLYRA WQVCQTPNDVLVEKLGLDIPPPPEVTLEQITAREIRIAWKQPEFHNSIHKHIIQVNGS KVGESKRAETAVEILNLAPGNIYHICVLSVSAANFQTPSAIIHVRTQSLPLSQAQQNA PVGGPTIRASVPRSTAGLAAPSAPVMSREHSGGQLPTKRSSAGRKQSPAASGPESSHG NIEDLQKNVTNGDRDETLEKLADRLKSLQQENENVEKQTADEEEEHIALLKELEKQRD DLRKRVKEKDEASGDLKKHVYKLESVNRTVQSEKAKRERLLQQKESERKKRKDDIVRW RERTSRMTVDAAQAREEKARIEEDGKKRADEVREKIAKEQAEMKVIDDEIQDKGGRVK KLEEERQGHQGPDSEDGKELDRIDNERARQWEIKLSNLHARYATLVNIHAQAQQQYQE AQERLKWLTTQGPGSTAPFSLPALDLDLSNTATIRPRRHRSSLTSNVSSPMNFTAMEP SFSGGINYNPPSTSSPTFGPSPAFFNINNGMTIPGLSSQAADAISELSFSNPQMSPRA DALLPSDLLGDEESPELPRPIVRPQMSDVEQSSGQLEGFPQGPPSPDSSESRPGSIFA SPHENRNQEADSQPIRLGDAAEAPKSASRRLSGLFGFHRPRGKTLADEPPLLGTLKPG QSQSFPRNLDEMDPIGARRRRLSYTGNWANPMSLFPRSNTTGVTTDSSSDHMPSRRAA LTSIFSPSRFGFGSGGGLMKSGEHSDLSTGYNQFSPRHDPIDPSSILGTVRRGSLSPR PSSTFSFENQLPHPSTDNQHFGWPSAEKPGHRSPLGFSWASPSTWSRAHSRRQSTSYG SSGHLPLGLTGEPDFLEDSSFERQGRPLQAPIGTRPSSSHRPITPKLNPTAPTFKTVI SRSSEKGKDKDGEMAIDNGADTSFEFLMSDGSPSEPRTSKESYSRSLTVFTGDSYESL EQVPSTASADNSSSKESFIRKITRKGSSGKFSSWKDRSGLFSKKGDTSQGDIEEDGNS ESLLAKSVDSTVSSAPSADRSTRSSLGFFSRKSKKTDKAASETSERPSEQASETGGEE HSEDIHA AFLA_033350 MLDMTGYKSNEEDALRAEVVARKILSEELEGCYDIGALIGADEH GNLWIYSSEEAKEAANRRAAFSSMRPGSAMSDNAISDPGYHSDGDKSVRDSPIQARHH RTQSDVQPGIPTPPQSPTPGETTRNYAKTLRLTSDQLKALNLKPGANDMSFSVNRATC TATMYLWNGNTPIVISDIDGTITKSDALGHVLNMIGRDWTHAGVAKLYTDIVNNGYNI MYLTSRSVGQADTTRAYIYGVNQDGYRLPKGPVITSPDRMIAALRREIYLRKPEVFKM ACLRDILNLFNGKENPFYAGFGNRLTDALSYRSVNIPSTRIFTINSNAEVSLDLLSLN KYKSSYVTMQELLDHFFPPVSLLVQAGGEEYTDFTYWREPPPELADFSSSDSEDDEDE EDEDEEDEEEDDYDAELSDEEGSEVDEDAEEDLGNSYISQASLELADSQSHLDDDEAD DEGADEVPNVSPKPSRFATAGASPSPKR AFLA_033360 MASPNQSQNAAPSTQPLTPPAEPSNTTTNASSQQQPATGSTTTA PVAQPTQVPSTSLKDSGKSRRPRDVRLIHMLLASLGVTAYQERVPLQLLDFAYRYTSG VLQDAVHLATEGYAGAMGEQAGSSRGPPEVNTVSLPALRLSIASRLHYQFQTGLPKEF LMDVAAERNRVALPGATRGYDQGQAKPTANQSVLMGGMRLPPERFCLTGVGWNMKMSG RVKGGGGGGRGAEGD AFLA_033370 MATTSTSTPTTKYNLRNPLPLSATQEQEVKKIFHKRVRAHCAEE IKAFAQCAVNRTITATWVCRDQRLTMNSCMLAHAKPEEEDRAREEWFATHEERRREKE EELRKVEVRREEIIRMMREDEARSKGR AFLA_033380 MSSMRNAVQRRNHKERGQVGGREKWGLLEKHKDYSLRAKDYNQK KAKLKRLEEKARDRNPDEFAFGMMSSHTQKAGKHGTAARQSAAGLSHDAIKLLKTQDA GYLRTTGERIRRQMDKLEQEIQLQDGMVQSLVGKRPKKKKAAVRDEDDDGFDFDFDEE SEEEEVGPKKTVFVDDKQEQRALKMKKVQEEGSGEEESFEDLERKKTARELEADRLAL QEARRARKIKQRAALARQNKLAALQKQYTDITAAERQLDWQRGRMDNTVGGTNKNGIK WKIRERKK AFLA_033390 MATPFLVSFDPSANGLSLKQIAYFGRVLIKASSVAQAEDFLRQN FRLLDVYVDATAVSTTGDLVDILNAGAAKIFITLDQLTALSQEQSVPSSRVVVYTSSD SQVDSFQKWVAEDSERKDAGLSTDSSAVKALIDKLGLNPEAQSLYRTYSGAVTEETLK DTLTQGAVSIVPAQALTLDRNQADGKIVAASLIAARAVADQSNGLYATTVTDERGTCL GLVYSSDESISEALRTGTGVYQSRKRGLWYKGQSSGDVQELIRVGFDCDSDCLVFVVN QIGRGFCHLGTASCFGPYNGLSRLQKTLQARKADAPAGSYTARLFNEPKLTQAKIMEE ADELCRANTKEEIAFEAADLLYFALTRCVAAGVSLEDVERNLDLKSLKVKRRKGDAKG PWAEKAGLAAPASAPAPTPAPVEKSQPSTKEDSRIEMTRVITASTPVEDVKAYLKRPS QKSNDAIIGLVRPIIQDVREHGDAGVLKYTHKFEKATSLTSPVINAPFAPELMQVSPE TKEAIDVSIANIAKFHSAQKGSNDGLQVETMPGVVCSRFSRAIERVGLYIPGGTAVLP STAMMLGVPAMVAGCKKIVLASPPRADGSVTPEIVYVAHKVGAESIVLAGGAQAVAAM AYGTESVSKVDKILGPGNQFVTAAKMLVANDTSAGVSIDMPAGPSEVLVIADKQANPA FVASDLLSQAEHGVDSQVILIAIDLNEQELQAIEDEVDKQARALPRMDIVKGSLEHSV TFVVRDLAEAMALSNEYAPEHLILQIENAEAAVEQVQNAGSVFIGQWTPESVGDYSAG VNHSLPTYGYAKQYSGVNLGSFLKHITSSNLTAEGLLGLSRTVEQLAAVEGLDAHKRA VSIRVAHMKGQ AFLA_033400 MHFSSLSLPLTALSLVTPSLAYPQFKFEQRVARSNSSESRANAV KEAFVHAWDGYMQYAYPHDELHPISNGVGDSRNGWGASAVDALSTAVIMGNETIVNQI LDHIATIDYSKTDDQVSLFETTIRYLGGMLSGYDLLKAKVKTLLDQSQNLADVLKFAF DTPSGIPYNNINITSHGNDGATTNGLAVTGTLVLEWTRLSDLTGDTEYPFEGLVGSHI NISNGAFADGQVSWNGGDDSFYEYLIKMYVYDPKRFSTYGDRWVKAAESSIKHLASHP EKRPDLTFLASYNDGQYGLSSQHLTCFDGGSFLLGGTVLDRDDFIQFGLDLVKGCHET YNQTLTGIGPESFGWDPKNVPSDQKELYERAGFYISSGAYILRPEVIESFYYAWRITG QEIYREWVWNAFVNINKYCRTDSGFAGLTNVNAANGGGRYDNQESFLFAEVLKYVYLT FAPDNEWQVQRGKGNKFVYNTEAHPVRVAA AFLA_033410 MCGIARPSTGHRIAVMFPSANTKSMTPYSLFFREMPDAGTKAVF RIEDASTFDVFRGCRGIDLRIERYGDLTSARMIEPLHQFRLQPGGKGNDEMEFELPER LDLGVSETGIVGRQVTVLVEGQSSVGVGMGIVGYD AFLA_033420 MATTFSLPPLPYAYDALEPVICKQIMEIHHQKHHQTYITNLNAA LSAQSTALAANNIPQLINLQQKIKFNGGGHINHSLFWKNLAPHASPETNIDQAAPVLK AAIEAQYGSVEKFKEAFGATLLGLQGSGWGWLVANGPGGKLEIVSTKDQDPVTDKVPV FGVDMWEHAYYLQYFNNKASYVEGIWKVLNWRTAEDRFKNGVEGSALLKL AFLA_033430 MSVADQVQLDNFGSIFSLEGKVAVVTGGSRGLGLHAASGSLHLP NTHTDKLLQAGCSKVYITSRKAQACDEAVAALNALPNKRPGAKAISVAADNSKISELD RLVEEVKKTTDHVDILFANAGATWGEKFDTHPEKMFSKVMDLNVKSVFYLVQKFAPLL TVKATRDEPSRVIVTGSVAGLGVGSLGENATFSYSASKAAVIHLTKNLAVDLGPRHIL CNAIAPGFFPSKMADGLISLQGGLKALEDYSPNKRLGRPEDIAGLVVFLGSRASSHLN GAVITTDGGSHLKGKL AFLA_033440 METFRFSDTLGNALPGGFDYQREARAAIAHQVTRCLSEPLFDRA LQRAKALDLHLQKSGKPIGPLHGLPVSVKDSFHVKGVDSTTGIVGLAFKPATQNSPLV DLLESLGAVIIGKTNVPQTMGALDSCNYLFGRTLNPLNRQWTVGGSTGGEGALIAMRG SMVGFGTDIGGSIRVPAMCNGIYGFKPSVGRVPFGGQEGGQMPGKGRVSLQAVAGPLA RSVADLGAIMEEVVPRAELFGEDCIPGRWHGEFPFRLPETQGRNVTIGVLRSDGIVEP LPPIAKVLDEVAQTLRKTPGVEVVEIPVPAALTKCQGLAGRLMGVDGGNAMMDLLEST GEPLIPWLQGRMKRGRELTLSQLGQLQAQRSIVERELLKMWTLNSGTGRRIDAIIHPV APHPVPEMDRYNAVGYTSSFVLLDYPAGTIPVRPFRESDLESGKEMDAPVLGSWDKAN RQLWNEKTVDRRVYLGSPLSIQVVTPKQHDYELFRAMKIIDRAVRVPDTKKTAAKL AFLA_033450 MATIARPEQWMNTSGETTPVWVHKMPFSKYPRFETLSHDIKTDV CVVGSGIAGISTAYELITRGKKVTMIEARNVLSGESGRTSGHLSNALDDGYSAIAKKH GKDGAKLAADSHTWAIDRAADIVKKLKLDCEFRYLPAIEISQYPRGDPKHDKEVGVMR EEVDAASKAGLHASFREGLAIQGWDGEIDQRDGALFTGQGTFHPTKYMVGMLEWLRNH PNFQCFTHTRMASVEENDLVQVRTANGNTITAKDVVQATCVPIQKLSVIAEMEYMRTY CIAIRVPKNYIEDCLIYDQADAYKYIRFTDCDEHDDYLVIGGCDHKVGQDQVEGRFQE LETWVRERFTKAGSVDYKWSGQIFEPVDYMAFIGKNQGMNHTYVVTGDSGNGLTHGIL AGKLIADEIEGVQNPWASLYNPERLTSIAKSLGSMLQHDIQINTQYKRYLQTDIKDIE DLAVGSGGVLNKADLSAPMAVYKDEGGQTHRFSAICPHMKAVLSWNAAEKSWDCPVHG SRFSCDGVCVEGPAKSNLTPLDDFSKTKQQEQEAL AFLA_033460 MPENTLPNPNRYITTNNDDGTSIFTQTIPESLPVVNNLNGALFR LGYTTNKPPVELTNNTDLHLYETSLQELPPLVPQGGGANVWYIDTPPESESPLHRTVS LDFVIQITGEIELTLSSGETRIVKPGDLTVQRSTLHKWRNPSKTKWSRMVGVMAECRP VVTGEGRTLGEEFPGH AFLA_033470 MRRSPPRYSTFYFLAGGLRPILLIFPVCGRCQRKRELQRTCTYI AASDHRSSTGNHHHSSAVVSPQLPTPATSAQINSGNLDAISPPSAHSPVNPGEFSFEV RAAVDARLGLPSPKKRCPIPLTDAPLFGLLSIPDSINPIANPADNVLPPRRHADHLVN LYWQCLDPLEPLLDQRSFWTTYEAIFDAKQCQQNFLPSSMASVASGGHPLASRLIGDC SMSDPYDTVSSVYFKLAPDMDGLGFRCPDCPEHRA AFLA_033480 MAHFCLPHPQPQKPNEPSLADHITQTHATLCVTNAQKLITLIQT CSQQDNPGLIPWWYRVFFLYIAMQHLIAAMLRPDVFATVVVESWNTAVSVLSAHEHLS LSVGRCLNKLRLMWGKVGDIQASMSHVVLADCDMGWRDVFQYLGFEAEMSLFGMDDSV GVGDIDWSL AFLA_033490 MPSKITVGVAQARTHNTVTETLSALNRITRDAASRGVHLLLFPE AYLGGYPRTCNFGTAIGARQPHGREQFLNYFHSAVDLGDTPTGAGDDWVQRKLPVAEG KNHRGDGTRETLERIANETGVFIVVGVIERAAGSLYCSALYVDPARGVLGKRRKVMPT GTERLVWAQGSPSTLKAVTTHLNGVPVTMAAAICWENYMPLLRQSLYSQNVNIYLAPT ADARDTWLPLIRTVAFESRAYVLSANQCVRYNELPEWVTGQQDEKISTEYVCRGGSSI VDPQGQVLAGPIWEVSADDASDSAAGAGGDGLIISEIDVEDCERGRLDMDVAGHYSRS DAFKLTVEGLDLNPPPL AFLA_033500 MATKKPNILYIMADQMAAPLLAFHDKDSPIKTPNLNRLADEGVV FDSAYCNSPLCAPSRFVMVTGQLPSKIGAYDNAADLPADIPTYAHYLRREGYHTALAG KMHFCGPDQLHGYEQRLTSDIYPGDYGWSVNWDEPEIRLDYYHNMSSVMDAGPVVRTN QLDFDEEVIYKSTQYLYNHVRQRGDQPFCLTVSMTHPHDPYAMTKEFWDLYEGVDIPL PKNGDMPQDQQDPHSQRVLKCIDLWGKEMPEERIKAARRAYYAACTYVDTNVGKLLKV LDDCGMTDDTIIVFTGDHGDMLGERGLWYKMTWYENSARVPMIVHAPKRFAPKRVPQN VSTMDLLPTFVDLVGAQLVRELPLDGVSLLPYLTGEDGLKTDTVLGEYMGEGTQSPVV MIRRGRWKFVYSLIDPPMLFDVKTDPEEKVNLAAGLPIPAQLSAAKHISGSQLQPASL PTPAESPRISPRPQRGASSAYPFPSPPRTPSPGKGLPEMPTTTEPAKVLAYFLEEAQA RWDLESITEDVLRSQRRRRLVYSALIKGNPAFWDYEPRIDPSTQYVRNQGKGVLDDVE FISRWPRVLQQAANATGTKI AFLA_033510 MMELRCLWCPRQWALWLLFVSYSCAFYIPGYSVKRYADDESIPL LVNKIFSDHTQLQYAYYDLPFVCPPSGKTHGGSPFGSGHSVSLNLGEILRGDRIMTSD FELQMGKNVECQALCTAEVGRKDVKWAHQLINEGYVAEWIVDNLPGATSFVTVDRSRK YYATGFKLGSRDPSPIDGKPHYYINNHFTIVIRWRSAPEGGKLIVGFEIYPKSIRAED HVENGCPKQVHEHHDGLELYIPPNTSKLREMYPGSSYIPEDDGVDDGTTLKIPYTYSI YFKEDNSIDWSSRWDLYFSNQDDSSMTHWFAILNSLTISSVLGVAVYVIWGRTVQGDI KGRGDGAMDEAKLKARSAAKAKTLERKGDGLLDHGSDLERDADISSDDEGLEDVSGWK LLHGDVFRVPEYSGLLAPLVGSGMQLLFMTSGLLLLSCLGILNPSFRGGFVSVGMGLF VFAGLFSGYFSARLYKTFGGANWRKNTLITALFIPGLTFCLIFILNLFVWAQASSTAI PFGTLIGLLALWLLIQVPLVYMGSWYGYVRTAPWEHPTKTMSIARQIPPQPWYLHNTY GPVLTGLAPFAVLFIELLYVFKNLWQDKSGYYYVFGFLSAVSTILMVTVSQVTIIATY SQLCSENYHWWWQSFLTGGSSAFWVFAYCIWYYFFHLHITGFVSSLLFFSYSFLACAV YGLLTGTVGFLTAYAFVRRIYSGVKVD AFLA_033520 MADDTATAEDSPVTFNIKSSNDAKYTITLPVSTQVSELKEKLAT SEYADTPAERQRLIYSGRVLKDNETLATYKIKDGHTIHLVKSAASNQRQAGTSQTASA STPSGTSATPAAGVPTNLAAGTGNNPLAGLTGARYAGFAQLPGAGMFGPDGGMGPPPD ADSMLNMLENPQFQSTINEALQNPAMIDMMIQQNPMLREMGPGVRQMMQSPEFRRMLT DPNSLRQAMQLQRAMGGGGGLGGGSAFPAPGVTNTTPEESQNGQNNNGATPAPGAPAF NPFMPPGLGAGNPFAALFGGNPAMGGANPPSTSTATGTGQTETAQRAAGDAAGGDTTT GEGQNQQNAQNPFGLLFNPAMFGAQGGQVNPFNPQQNPFLRDPALLSQMMQAMGAPPG EAGAGGLGANPLAALLGGSGFGTPPPQDNRPPEERYAEQLRQLNDMGFYEFERNIEAL RRAGGSVQGAVEYLLSHPS AFLA_033530 MATTSHMFMYSLTIQPPTAVTQAILGQFAGTKEQQIVTASGSKL TIHRPDPTQGKVTPLFSQDVFGIIRSLAAFRLAGSNKDYIIIGSDSGRITIIEYVPSQ NRFNRIHLETFGKSGVRRVIPGQYLAVDPKGRACLIASVEKNKLVYVLNRNSQAELTI SSPLEAHKPQTLVFAMSALDVGYENPIFAALEVDYSESDQDPTGQAYEEAEKLLVYYE LDLGLNHVVRKWADPVDRTSSMLFQVPGGADGPSGVLVCAEDSVTYRHSNQDAFRVPI PRRSGPTENPERKRFITAGVMHKMRGAFFFLLQTEDGDLFKLNIDMVEDDNGQLTGEV KRLKIKYFDTVPVASSLLILKSGFLYVASEAGNHHFYQFEKLGDDDEEIEFSSENFSA DPSVPLEPIYFRPRSAENLNLVETINSLNPLIDSKVANLSEEDAPQIYTISGTGARST FRTLKHGLEVSEIVDSELPSVPSAVWTTKLTRADEFDAYIILSFANGTLVLSIGETVE EVTDTGFLSSAPTLAVQQLGEDSLIQIHPRGIRHIMADRRVNEWPAPQHRSIVAAATN ERQVAVALSSGEIVYFEMDADGTLAEYDERRQMSGTVTCLSLGEVPEGRVRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTAAPSALNIMSMSDSSSGGTTLYLHIGLYSGVY LRTVLDEVTGELSDTRTRFLGSKPVKLFQVSVKGQTAVLALSSRPWLGYSDIQTKGFM LTPLDYVPLEWGWNFSSEQCLEGMVGIQGQNLRIFSIEKLDNNMLQQSISLAYTPRRF LKHPEQPLFYVIESDNNVLSPSTRAKLLEDSKARTGDETVLPPEEFGYPRGSGHWASC IQVVDPVHAKAVVSTIELEENEAAVSVAAVPFTSQDDETFLVVGTAKDMNVNPPSSAG GYIHIYRFQEDGRELEFIHKTKVEEPPLALLGFQGRLVAGIGPMLRIYDLGMKQLLRK CNAQVVPKTIVGLQTQGSRIVVSDVRESVTYVVYKYQENVLIPFVDDSVSRWTTSTTM VDYETTAGGDKFGNIWMLRCPKKISEQADEDGSGAHLIHERGYLHGTPNRLELMIHVY TQDIPTTLHKTQLVAGGRDILVWSGFHGTIGMLVPFVSREDVDFFQNLEMQLAAQNPP LAGRDHLIYRSYYAPVKGVIDGDLCETYFLLPNDTKMMIAAELDRSVREIERKISVCF TRYHAM AFLA_033540 MTSFVTRSSSTLESLTQSRPKVNIELAGQTEGLVNSYTTKDRIE GTAVITVDHDTRFDEVEITFEGTSRTSVERVAMPGRTGAYQTFLRLRQPIEDSAYPMP RVLEAGRTYKFPFTFVVPDRLLPHVCSHAKTNAHVERSHTLLPPSLGDPMLANDGKSL LNDLAPDMCRISYLIRVSVQRKPENAPSKALASVGKKVRIIPAVDEEPPLNITDDDSY CVRKEKDVKRGFMRGKLGRLVVASSQPKPVQLCPPNSEATDSVSTAATVHLRFDPVGN EEPPRLGTIWSKLRASSLFSAEPWGDYPSPRNVPWAQIGQGCYTETVPLSTMCVASAH WTKHTSPRGLSRCDSMESTSSSESLTGPSASFTGETYYTASVVVPITLPKTKAFVPTF HSCLISRIYCLELSLSYHTPNANILTPTATLKIPIQLTSRARSDAKTKDSEHEITQHE VNAEFFSPRSVAPPTLVQVAPPEYSENQGPILPPDRSIDLMSTARVPRVHAGSVGTAF AFLA_033550 MANIYGCVRQLGLLKKKNRHLKVLLSIGGYTNSQSWAGILNVEA NRKNFAESAVKLMHDVGFDGLDIDWEYPKEDSAKDMVSLLKEVREELDRCSKEHANGN HFLLTIACSAGASNYKVLPMSEMDQYLDFWNLMAYDYVGSWANTTGHAANLYPNTSNP ETTPANTDDAIRYYTSNGVPAEKIVLGMPLYGRSFINTTGLGQPYVEVGTGMGDPGIW HYKVLPLANAKVVELPRTGASYSYDEKNKMYVSYDTVNMTKVKAGYIKMKGLAGGMWW ETSMDRVGENSLIGTVCHLHFFISMYLYNLSLVKELGGTGALNKTENYIDFSWSKYAN VKNGFKEDEKS AFLA_033560 MGSNNEGRVGVYSSAHFIPRFQPAVPPVLERLRPRRRSRAPVPQ KRSHTTFPYEPLDPAKHEIRLFELWPGKPGSKVVGRLFHVSLDENPSFEALSYTWGPP KPTYNISINGYKAFPVQRNLRKALDDLRQPDKPRVLWTDAICINQGSKEEKEHQIKLM GSIYSRAQVVCSWLDHSVRPMDVSFDDLARLGKEIQIDDYDASHWYPVADIFRNPYWR RLWVQQELILAKEINIYCQRDVFDGQQLLEFQQRVNDDSFFKKIGEAGRLSKYIDRGR TPHQMLGSNILHARANRLLGSKLHHQQSSDGVTRFEVTGRYLGSSLLQLFFQTAGLNM TDPRDRVYGILGLALDIDESKVRVDYDAPVIEIHLQVFSLFIERHKSIDFLCFLGKGL HHAASRGDDFPTWVPHDSVNWGAVNASRACGSMTARNASIDLESRILYVQGLLVDTIE WIGPEEEFHELPILEWYRTLEEYCRRLWPEGAGHEPLYEREDVTMLLRDWVSEKRYRQ FYQHGLERPTHETTVALLRAIRVVAEQVDQDRLTLYDLAYRPRIDFLTEYQFMCRELL SLLVASVFVGTERRRLGTLSRSVGTIEPGDQVWVLHGCRMPMVLRPVPGKKARFTVIG PAIFPGLMRGEAMSNGEAELKSTVVKLE AFLA_033570 MASSLAAQLSQIAANSTNQLNLKAQRISHSQSLIFDRKVAGSQD FDTIYDICNEGFQELCELDPRFAQFERTIFSEQSKVQERTEMNAAQNKELDAVLETFL ALVGGKLLLSPAVKAVEWLVRRFRYDGFDRLTVRQPWANRPCRIHEYNTEFTILTFLP YHTTPLFLNLLSILPEDLTPTFKILNPYKKSSVNPPRHPLVHSATTNKPFFAALNRYT IQVSKEQAGHHALLTFWAGIVTESVAGMLDSARSGRRNIEKENHDDIIMRVLPVLNDG LAMKDVAELVIGCYMVCVAIAQKASLHDKVLDSLMEAVAESWTEETVNSGLVCLAVLA QKKPDTTLPKRVFKAILRLENPLQQLSETSKQHRASQLLLGLVAGCVQDLSKQKDTAR LDFLSLMFESELLGEAELGSGMAIVLRASSNSHKDGAMSLDAQTHLADLVQHFSRSES LRPIFQKTVAESSFDIAAIEQNLQTVIESAPAPKALEDIEMEDAEKEEEQDNFAPALK SLTGSSFKGSYLSTQSIPVYDNLVRAFALGIGAQEKLDAFANLPALDKGSAAKSPQYL SFFVRVFSGSYPIGTRVAALNMVSSFLTTASIDMDLQALLPFVLVTLADPSERVRREA AGILTIIGSLHKNKKGDAPGGVWARDTIYGQDKQPKNIQWTPGRDLQKVFERALLPGL EEYVIDPDHIGRVLEATLRGSSVSDSESSELKKAVRLSFFTCLCSHAVHVPLYAPKLG LLKLLNRVEKAGGTTRTKELGSLLKSWREMDRQQAKDVCEKERVPVSEMESQIVLTVT PKERDAITVLLSNVSPYSGSLSPSFVGAIFGRMKDVWAKVPEDRQALAAENLFEISLE QSDSPLVDGCKDVLRSVELPGAVLSQFLQKIPSTVTDMEGLGPAPKRRRTSQSNMVAM TVKDEAALSELMEKMTFILELVDSSSPETHPELADGLFQTLAALHHFKSQVQSGMSYL LSLALGSLLAIVSRSKTIGKPQFDTSVIRADLVVDCVRTTDSPQVQNAALLLVAGLSV IAPELVLHSVMPIFTFMGSSVLKKDDDYSVSVIDQTIDQVVPALIQSLRNQKRDVVSG TSELLLSFTAAFEHIPSHRRLRLFHALITKLGTQDFLFAVLSMLANRYSMDKDVLILM TGLVSDANAPVELATYSKYLGLVSDSLKAKPGISQVLLGIGSDDGREPQKVAVDLLRA LAYLFRHSSLKSKMAKAFATEEGDEPQQIRALFSQILEQTLAIGDNMQDMKSVGQASG EVLSALFGTLSLVDFLDTIEVLLQRPNDELRRKVLRLLEGRLRQNPERDSPSQTRMLD FLSVLVKIVESSPDILLKHAAVACIDRIADKYGKKDPSKVIPAARVVASEVCIGQEDD RIRIMGVLCLASMAEVLGQAMIPALPDTLSRSLALLGLSLEDGKENARLHDAVYSLFS ALFVHLPYMISASHLDKVLVLSYKSAMNDEFEEESRQEALRLMAKKVDASATFGAVDR NWQHAVQAGPEATKETLEVVSMAIEKHPKSSTSKNLPVITNILFKAFDLRREQLALGS DATFDLSDVDEIEETINEVTIKMIYKLNDSTFRPIFTKLLEWATTGVSKKDTQGSLAR LTTFYKFLQVFFGTLQSIVTGYASYIIENVVSVLSKASPSNPNTKSLWLATMRLLKNA FEHDQDGKLDSILKP AFLA_033580 MDSSSSQPEKKSIPRFASFKPRPAPPPEADRPPERRSRDSSEKE DKHGHHSKRHRSRHRHHRDHSRSRDRRRERKDIRHGHKEAYHSERELIPEHRSAPQDT VKLEEEASDLFVVDCKGDRYNIIYGTIHRYNVPFYHRIGRGSVLGLPSTYKIDRDTAE GDALIIKADAWRSDGSRTRSKSIISGVNTQKTKILRIRPAPTLDAAADASKDYLPLKA SVHQKPSDISGDEGSDDEKYGYRSIHGKAKHEDNLPSDMEEVSDTNLSGDETVRVDPD KEIKQRSVELSRNVERNPTDVRAWIDLVEHQESLLKGSEGETRTLTYAEKKSLADIKI SLYEKALKKIGDHASRDYLLLGLLEEGAKLWDTKKLSARWQTVLKSSPHFISLWVKYL DFRQTEFLDFTYERCYATFIDCMRLNRSASDNPEKSHVQVYLFLRLTLFMREAGFAEH AVGLWQAILELTLFQSGTIDSATAREEVLSAFMDFWDSEVARVGEVGAKGWRSGHNTL LEPRSFTPRLRVNSKSIFASWMSCEREHIHNARLPARSLDEENDDPYRVVLSTDLREV LSLVWGLASTDVLVDSFLYFCHLPPIAFSNDSKKTNHWMGDSFLRNEFMSSSDPALDR WVHKWNTNTRTTASVPVYFQNFVHSFDTLFADHEAWFSSIGPWATAVLNSQSDVDPEW VSKVLRSLVEAMPRNDHLAAYSIAVEFACDRIKAAKYAKSLLKKRPSSLWLYNVYALI ERRSGNLEAADRVWETTLSMSQNSKMFTEREKADSVLLWHTYIWEMLEAGSLDYVSYL FNSIPQSSPSLKAPVDPKQYIFSPTSLLKTHNIRALLADSISLFPHNTIFLSLFAWNE SRFRIEERVRDTIMDITTKAHNRADQILTTQVPITSHLFSIFTELNRPIYAGSTPHSV RAAFEKAIGDQDPSTPTHHNTVSTARSSLTLWKLYILFELSQHDINRAKDVFYRGMRA CPWSKELIMLAFSHLRADIIQERYPGASRKGDGMNFLELRSVYNVLIEKELRIHVDIE DELDELVAEMQQKTAALGLPIAMPEDADSEDERMQL AFLA_033590 MCAGLHDPAISSLSILRYFQANNSRLDALNALKYSVWISRGAGL VLTFDGTLILLPMCRNIVKTLRPRIRWLPLDESIWFHRQVSYALLIFTILHVAAHYVN FYNVEKDNIRPVTAVQIHFTEAGGITGHVMLLCMMLMYTTAHHRIRQQSFETFWYTHH LFVPFMLALYTHATGCFVRDSTDPYSPFAGKDFWNHCIGYEGWRWELVAGGLYLLERL YREIRARRGTVITKVIRHPYDAMEIQFQKESMRYKAGQWLFIQVPEVSSNQWHPFTIT SCPFDPYISIHVRQVGDFTRALGDALGCGPAQARDLEGLDPLGMYEVALENGQKMPQL RVDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKNIWHMRAGPNPPRRLRRVEFIW VCRDTSSFEWFQALLSSLESQSAYEAANEGRAEFLRIHTYLTQRLDDDTAANIYLNSV GQEVDPLTELKSRTNFGRPNFQRLFTAMRDGLQDGTYMPGLYAEFSTQIGVYFCGPNA AARQIREAAALSATKDIKFKFWKEHF AFLA_033600 MYDGSSLSDRGQANAFHRSTDQVGSAIEGFIWPKIFWDFMTKNL NGAVKPIPVLQILNLLMGLLGLAWEWPLKYFAGTLPHRSIEFRLILYPLSALLSMLLY QGTDPAIYYLVGIGVYFWAYSEGEYNDACSALELRPNDLKRHN AFLA_033610 MAKSMERKLLSCSEPVTINWARQSSHSYLEVGMSPPASTTLINE DIHVETTFATDDSEDVPVNKKTSFNGRLNQYFHTSKNISNSSIQDTSSKRKSESESNG QSSNDNDSNSKRRKKAISTTVLNLPQRITRSRSASSSPASFASPSPSPATEPSTPGRS RARRQPSSRKSTPASSSVSLLRDTIPPNLTLLLVGVNPGIMTGATGYVYAHPSNLYWK LLHWSGITAIRHPPSDTYRLPELYNIGNTNIVERPTRDASMLSKAEMDAGVPVLEEKV AKQQPEAVCLVGKSIWEAVWRVRKGRAIRKEEFRYGWQDESENMGRSERWNGAPVFVA TTTSGLAAGMSMAEKQAVWNELGKWVNSRRAAKKNNLPE AFLA_033620 MTRANEQTAKVFYKGSSEDFVVFVDDIEILNNWRKDRSIPLADV VNGFKIFVTHKHGAQGIMDGASKGILETEFGTSNEDECIKKILENGEYQSSVTKERQG GTNDAKDSPVVGR AFLA_033630 MEGLKEEVAEKHHLVMGDFDLEAKRRNLTDSHASEEHTSSFLPF KRWLNSFRAKRSYSPCQRLRYVEGWSDTTQTRCENTNALPCGGGQDLQWECLSGHSSN LETIKTSTLSVASQSVARSRGTTQSTNRSFGSDLRGSIESLRPALSLSIDEEAHNRAV KRRKVLREIITTESDYVFGLKALINVLFLFSARPEIYYNLHQIRELHEDLLARIRKVT PMSSLAAVEYDRLVPQGVHERFNPTALSPRALQNRSMRTRCFKRSVLSRFKALAAEAN EALEVAVEIGKLSASFATYMDFCSNYEQLTEDVDILRQSVPNWSVLENGIEALSKSVS SIENQALENNKSMLLHDLLIKV AFLA_033640 MLGPPFARVNSVAAASPADQAGLKAGDKIRSFGTINWINHERLS KVAESVQQNEGRTLIVKVLRQDNGDVTELDLELVPRRDWGGRGLLGCHLVPL AFLA_033650 MATPIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLGVEV HPLNFTTNLGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNWH RDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLW IARKLVGNTSLEFVAAPALAPPEAQVDPELLAKYKAEMDEATAQPLPDEDDADL AFLA_033660 MASSTDASIEDLLEDLQLNHVILQSLEEQRPNAVAERQEILDVI KTLETRLAELRGTATKSPPHSHFPPHQGPENSFSYRAQLDGSSDSSPVNSPRGLRQGP LTIRKRGREEDHDPNHAHDDGVETDWTDDLDLPLKRIATKKPSSSPSSLSIRSLQVQG QGQDDGFDDSIDELRRVLGLDSQDILELQDEQRKAEQWLESRKEQERLDEEYARRLEG LQESPRPTSARSTSSTHYSGSSLLSPPPRVNNGTPFPDINRSVPGAIQTPDIYRSPFL PPPLPETNRPGPQRLQPTLIPDSDDSDIAEISAQDFELLIGPSSKRPFPSHHSIGSSR YPLQDSFQQQRPYSSLYPYLESMPGPSGIGGPGPAYGPHVLQNTMARLSAGKQLLEHA GRSIFGGVPNPFSSYDSSFPPYPGPTGYDMNKLPEWMSDYYGADPTKVNEEIKQLLET IRPDSDISTENREGTPEALKVTLLEHQKLGLAWMKSMEEQEQKGGILADDMGLGKTIQ AIALMVSRPSTDEERKPTLIIAPVALMQQWKREIGRILKPGRHQLSVYILHGEKRAVS FRDLKKYDVVLTTFGTLSSELKRREKYDELQSSGANEETLSREIAKSLPCLGPSSKWY RVIIDEAQCIKNRNTKAALACCRLNATYRWCMSGTPMMNNVQELHSLLRFLRIKPYSN LERFNHDFTRPLKGSSVSAQRKAMRQLQVLLKAVLLRRTKDSKIDGKPILQLPRRISE KVHAVFSEDELELYSSLEARTQLQFNRYLEAGTVGRNYSNILVLLLRLRQACCHPHLI TDFSVKLNANTDELDLIANAKEFDQEVVIRLKSNNDDLECPICMDAVENPIIFFPCGH STCAECFSRISDPSLAVRQGHDGAVEVKCPNCRGKVDPKKITDHVSFRKVHDPDHSHD PVEEEPVKPAEEQDESDDSDDDDSLNRFIVNDEEDEESSSKRSRHKGKGKMAKAKKTL AELKKEASKNQKSKRKYLRRLEKTWVSSAKIEKAMDILRGIQEGEEKTIIFSQFTSLL DLLEVPIVRQGWGYRRYDGSMKPGDRNSAVLDFTDSPDCKIMLVSLKAGNSGLNLVAA SQVIIFDPFWNPYIEEQAIDRAHRIGQVREVQIHRILVQNTVEDRILELQDKKRELIE GALDENASKNISRLGTRELAYLFGVH AFLA_033670 MSLKNDAFPSSAAFDAINAALQSDAAERKEAVDKAKAIVAFNLK NDKGQEESWYLDLKEKGEVGKGAPSGGKKADVTLSLSDSDFASLVSGKANAQRLFMGG KLKIKGNIMKATKMEPVLKKAQGKAKL AFLA_033680 MSKRSAQGPQGDKDSNLFDFNMNSTPDEKPQRATAAQMAQRKIK DVRRRARPNTAAPSTTASFGGPFNSLDPNTVSTPSAPQPISNGFTFGQSQSFPGASAN PSQPSQNGSTPFSFGSGGSSSSSFNFSSSFGGSGSTTSNPFASMTTGSTTQSSDGGSF SGFKGNMFNVPPASGSTPAQQPLPSGGLFGTGSQQNSTTGGLFGSSTTSGSSGQPATT APATTGSIFGQNSASGSAPSTNVFGQSASNKPSPFGQSTAFGESMQTSPDAKNNGAQS KPPIFGGGASQTGFGSSTNFASPGAGSLFGGSTSKPAETPKPLFGAKPTEQSTPSTSL FGATTQPNSTPAPASSTPAASSTTAAPSPSIFGASSSAKPTASLQNPFQSTNLFGTPA SSTVAPALEDKEKQEKKPEEPQPKTGFQFTPSTGGPSLFSKSASSAAPLAPASGLFQP PSTGSLFAPKPSAEQNTSSAEQDKAKPAEENPFSSLFAPKPATPAKPVGEQKPFTEQK PLPSSGNAFGNLFAPKPSTPSEGSKTSQPEKAATPAPLFSTPTSGPDAPKSSGLFAQS PLFSAPTVGNKTQAPAVTAPTPSQSPFKVNGTSTASSASSASATEKSSTTSFEDIRPS GLPADLDKASKEEVETLYRMRMLNECFKREANRLDPTKDDFDALVQFYMRVRDTIGAP AGSQKRKAADESAAADGHSVKKVKPFGLNAASNKEDSSPATTTTATGSAATNIFGASQ ATPTTSKRKVADEDENTASPGKRVNEDSTTASIFAQSFSKSINSGSSDEPTKPNSTQS VTSSARPSTPDSDKPALFSTTPISSPPKPLFAASTTTKDNSTSTSLFSQSAPSFKPTF TACTNGTSTNSNPFVLKPSGDKEASSAPAPIPGMPKFGAGATNFFAQFKAQVDKDAEK EKEKRKAEDFDSDEEDEAEWEKRDAEERRKKLEALESQATKRSKFVPGKGFSFEDDEE TSDLDKKEETAPTSDASTSSIFDKKTDSSAKPNNIFGHLSATPSEAEENDDADDTEEA SAAGDEPEDMSKDTSLAPASEDESNEYVDAKAGAGSGAENSANDSSDDGDLTKALKKS KQEKTATNEQSASDTGASGRSLFDRVEYKQDGTLKRQDDEEQKPLSTFFNSSKYASSF NSPGTPNPFAPTPSKSDAEKDDAPTSKPATPNPFASLFGSPSPTPAAGTPSIFAPSAA KAGADNTWKMNSPIKFASDSNAASTSKLDSASATPAADSSKPFSTLFGAAPATKPASS GTGSPSPGFTFGGPAQPPSFLAPSTVSSAAASRASTPGITSDTGAEESGDGDAAEALP QANLAQSRAGEENEDIVIETRARGLKLTKDGWNSQGVGFLRVLKDRTTSRGRVILRAD PSGKIVLNASLIKQLDYTVKGTSVHFLVPQVDGPPEQWAIRVKKEEAERLGTAMEETK A AFLA_033690 MSTTVQTGKKQRSAIADVVSREYTINMHKRLHGVSFKKRAPRAI KEIKAFTERAMGTKDVRIDPALNKKVWEAGVKGVPFRLRVRISRKRNDEENAKEKLYS MVYAVNVKETKGLHTAVVDEE AFLA_033700 MAALLKQPLKLALVQLASGADKAVNLAHARTKVLEAAQAGAKLI VLPECFNSPYGTQYFPKYAETLLPSPPTEDQSPSYHALSAIAAEAKAYLVGGSIPELE PTTKKYYNTSLVFSPTGSLIGTHRKTHLFDIDIPGKITFKESEVLSPGNQLTIVDLPD YGKIGLAICYDIRFPEAAMIAARKGAFALIYPGAFNMTTGPMHWSLLARARAVDNQLY VGLCSPARDMEATYHAWGHSLIANPAAEVLVEAEDKETIVYADLDNDTIQSTRKGIPV YTQRRFDLYPDVSAEK AFLA_033710 MFEDFSFSSPSSTKPPRLAFDGDDNLMVDCDSSLISPLSSRCPS PRSTATHRIPRSLPRSRSSYFRSAQPPTSVPLSAYDDHQKRLSISTLTRKLHEHTIKT SDNETQFGRPATPTSPQSLDTSDRFPGYFLTPPDTDHDDEGSLDSPSLTSGSLSPQPQ SPFLSPTSVPCDLFPQTADMDPLSHSQDSWNIRAQRQNISRLQCNHSELEAIRRALIS DDEKLTTTFDPDACHPSSIPPQKSPRRRAATLQRSRFRPQLGPSLLDPPSSDSKGRRM SSVIPVQTTRIEKNYHSSSRDLRKKSEQGLRRKSLVSAALASMVEKEC AFLA_033720 MCMPGNRSLHEYRQYLSSCDSATSDSPDIKKLRRKNAALNLNQS QMSLDDHYEYPFSVSSAASSPPPLSPSQSPSALSEQPESLDGFLRMGYHRHISPVDQC LLADLAPGTPSSVDDHICLQQNPHKILDTFRDRLEKYPDPYPKPIPRNPPASIHSHTK RYSDSMLLNIKKPTTTIIHQGTSFEILNPHESLHFARIVSYIEDVDSFSTGHNRDSYI SFTEDTVIIESDPWSYDPPPQPHIHTQSHAEEAFEDENRKSQLDIGDTQGLHHHLMPS INELLEETTLNMTRYLASKPRECASPTNESDLGEPGDPVYDDNHPMNPHEGLWQFDIG INPATKPPSNEQTMTPRTEIKLHRRPTRRSTSSRKRRGPLRKLYGLFRRKTGK AFLA_033730 MAYFLPSFFQKRLLRYALSRLELVDTEALDLDSLGIRWGQRSTV ELRDIGLRLEKLATLLHLPPSSELLSARVQFLKLTVPADIYSSGIICEASGIDVHLRL PLEETRRAETHDATTDQKTSGRVDPGSGDEPILPNPTDLAESFLQAEPKEEKEELQAA ISSQSQVLQHTSTSSSDDEEELGLGNETVSLPSFVAAFLKGVVDRLQVQVDDISIRVD VETKQEGSSKRHPEEKPDLITGLLSVRQVSMGAVSTHSESGEASSSERRRLVSLSDIN LALISEPVVFSNYSRFAAPASPSTPVQPKSSRPPSRAQSPSPETSSESSLALAMTRST IFEPPQDLTRQELEEQHTPRLEGSVYTYDGRFSDADTEDGKRSYGSLEDSRQFEDDEK LLDNPAYLDSVIDYQFQDDDPERLDDMQTRVDGLFRRSRDNPRSQSPEHTAELTDQNS HPEGALIPLNDRHELEVARLPSHQHFLEAPEAITEPGSSGLTPEKDFRPGYKQQLPLV CAPSSEPDSSGSASESFKESELSESRLFSNEEAQSMYMSAISQGSTSHSFMPNIPGAW DSPESTYVRDTGFHETPTAMEQDAYSEQDETITTPKLTAQEEIYLSHASSIDNLQKTT TGTTGRESIQTSPGFNRLTDVAKRFVSVDKVLIWIPSVNHEKVPGDSQSASHQEMSSD GLKDSTAYLQDSVIDDDLLASRIHGFPGPRSGANDPSSPHEGVDHKASGYQEKTKHDA GFSSERDEATVEIHSAEVQFDIAIGWLVIKIGKRIVNAFGHGDGEPPKKHNSESKAPE QVQPKESFGLILNKFSIKFVEHVPGHANPFGESRQYSPTFFGLMHEDIVLQTTASGLK AHFSSTNDQTKLRLDITKFTLGVASEDLISFNQDLKMRESMRDVLSPMHGDISLSMSK SLESARIHVTTLPLHLNLNIQRLEEVVGWIGGLSTILELGSSISSASGAKTPKKDPPK RPRGVHFEAPPSPEKLPQDTSLPWKVNARIGGVALNIVGESHYLKLRTTAVKVVSRFE GVGVQIDKAKLSGPLPLDDSKDAPAKINLSNIRIEYLFAPKEVDLDRLLSLITPSKDK YDEDDDIMLDTLFRQRRQGSVLRTTIAGADIMISRITDFDSLPQLGDELSRLSNVAKY LPEDDRPGLLTLNLIRDFEARVNVGGKVGDITARLKNAEVAYISIPSLVAAQVGSATV LRNGTEELLGEALPLSAEQRSGQIPHPMLMARFIADEMEPTIKVKMHNLRAEYTVPAA IAFLGLNESSTTSDFAANMAQSIGNLAELQPSKESQSAIKPGSPKSPVRPTILALALR DCVIGLNPRGSEAKGLVVLTNANFSGAMDDGASSEATLDLRKASIMIIDDVRNMGSTD DSHRRNSTAPPTNQVQSFIDMGFVTVSSISSATASVKLLRSGEDGTQSLDVELRDDLL ILETCADSTQTLISIVNGLQPPTPPSVTKKYRTEVLPLQDMLASFSGDAFALNSSSSL EGVSETAGDSAENIQDKEGHIEDEVEYVSDFYPAKPTSGGGSLHEAMTASGSNELLDS FHSQYYVSSSISDLEFRDDHFATQSAVGGTAHRWDSTENTYGLSDDTKLQKSPLRIRV RDAHVIWNLFDGYDWQRTRDTISKAVKDVERKATDRRARANRASPSFDEDEESVIGDC LFNSIYIGIPANKDPRELRSDINRNIDDLVSETGSYATTTTVTGATVRQSQSPSFRKK LRLSRSKYHKMTFELKGICADLVVFPPDSGETQSSLDVRVNDLEIFDHVPTSTWKKFA TYMHEVGEKESGTSMVHLEILTVRPVPELAASEIVLKATLLPLRLHVDQDALDFLCRF FEFRDDSAPASSAPQDIPFLQRVEINAVPVKLDFKPKRVDYTGLRSGRTTEFMNFFVL DGADMVMRHVIIYGVSGFDKLGQTLNDIWMPDIKRNQLPGVLAGLAPIRSLVNVGGGV KDLVVVPMREYRKDGRIVRSIQKGALAFAKTTSNELVKLGAKLAIGTQTVLQGAEDLL TSPNTQLAGAEEELGDEEEAKKISLYADQPVGVVQGLRGAFRGLERDLLLTRDAIVAV PGEVVESGSAKAAAKAVWKRAPTVILRPAIGVSKAVGQTLLGAGNTLDPSNRRKMEDV SFAAPHSPNFL AFLA_033740 MSSNDIDWELEEGIPQVDDPFIQQYLKGRTSLVLEEQKQRYDTN LRKALSPVAARACEIVSKIRARDLTSLGLNEGNPRQLNTPSQTSRMSHLNRGEVQKTE LWRILQKMPKGSLLHAHMETMFDIDIVIEEAFKTPGIHIYAPKPFTTQRDYEEGIFYF RYSPPVQNSENEPTLWDPSYEPSILISLQKAAASFPDGGEAGFRDWLKKRCIFASEHS YHEHGSDDMLNAYKTLSSVVNSLLSYEPILRSCLRWMFSRLADDGISYVEIRNSFTFP YRREGNSTPEEDYSAWCQAFQEELELFRSTEEGRTLCGARIIWTASRTLSNREISGSM INCILAKQDFPEVICGFDVIGQGDDARSLVDLVPILFWFRKQCAEEGVDIPFLFHVGE KLCEGKQTEHDLFDAILLGTRRLGPGEPLYKHPLLLELIKEKKILVEVCPIVKGMTSR TDFTLDDPLSVLLSRGVPISLSSYFPDLSERGLNSLTFEFWQALQGPDNLELPGLAMM VENSIRWSCYEDQSTVEWLSDIREGILGEGIKATRLRDWYACFEKFCEWVALEFAEVD IS AFLA_033750 MVDEKKPVDNDTMPTMEEATPQHHGAVPNAQRDIEEDITYTKDV HSEKRELPDWWIAGLILHRYDLGWLIPFLIYLAITLRLIFLYVPISIVTRPVYWVWNQ TASRFVSLIPEKLRIPSGALLTIAVIIVGSFASPESADNTRANRAVSLFGLVVFLFAL WLTSRNRKKIIWHTVIVGMLVQFIVALFVLRTKAGYDIFNFISTLARELLEFSKQGVD FLVETGWANKHSSWFLVSVVPAIIFFVSIVQLLYYTGVLQWAIGKFAVFFFWAMRISG AEAVVAAASPFIGQGESAMLIKPFVPYLTMAEIHQIMCSGFATIAGSVLVSYIGMGLN PQALVSSCVMSIPASLAASKLRWPEEEETLTAGRIVVPEDDSHKAANALHAFSNGAWM GIKIAGMIATTLLCIISLVGLVNGLLTWWGHYLNIYEPDLTIELIVGYICYPIAFLLG VSRDGDLLKVAKLIGTKLVMNEFIAYDYLQNKEEFQSLSPRSRLIATYALCGFANIGS LGNQIGVLAQLAPSRAGDVSRVAVSAMLTGAISTLTSAAIAGLLITNEKQYIS AFLA_033760 MDYTMEDTQNSAPEALEASKLNSAPQRNDSQSVTKRLQSELMQL MLSPSPGISAFPDADGNLTSWTATISGPNETPYEGLTFKLSFAFPNNYPYSPPTVLFK TPIYHPNVDFSGRICLDILKDKWSAVYNVQSVLLSLQSLLGEPNNASPLNAQAAELWD TNQEEYKRHVLARHRDVEDIE AFLA_033770 MHHSQLAPLPNDLPFRIVSKTIGQGAYACIKKACPSHTDNPVFA VKFIHKEYAARHGKISSRQLQMEATVHKHIGDHNNIISFFQTGEDGVWRWIAMELAEG GDLFDKIEADEGVGEDIAHVYFSQLVSAVGYMHSKGVGHRDIKPENMLLTADGNLKIA DFGLATLFEYKGVTKLSTTFCGSPPYIAPEVITCSNKNNMKGLGYRPDLVDIWSCGIV LFVLLAGNTPWDSPTENSYEFHEYVATNARTTDELWQQLPVATLSLLRGMLNIDPASR FSLEDVRRHPWYTRQNRFLSPDGRLRDPINMATTMFESLHIDFSQDPLARRANSGVEP CRMDMDIGDLDTDFRISSTQPEMPSGHMLVDWDTPHLTDVFSSTQPMGQPLSVDNSYV ADTLEDEPSMSQFSPHPSVPLSRTQKAQQFRDIVPSRPLTRFYSIWELKILVPLICEA LHRLGVPVPSVPAVSASDASAMIRIVTRDGRMCTLQGKVIIECVSEGLFEIEFMKVKG DPLEWRRFFKRVAVLCKDAVYMPEG AFLA_033780 MEKLPVEILAKIIDYLTPHEQVQLQSVSKRFFGLARDNNLWRLH CYEQSWAAANASYSANRASARRVTDSTTPLSSLGQTSLRSLIQPDISLSNGDQNVSFA ERSRAAATWDPSYEGEDIDWYSEYIARNGPISFNWLQQPFTKEDEGKKTHREVKGMGL LRDWSSARQNKAIAPLDDGSVCIWDLNHSHSIGSQSTKGRILGVSQPGILMANLSGRR DNSAAKLGLEFINLGECVSVDSIRRRAYLAVSNVLNEVDLETLSVISQQRYPWSIFAL SQETDYSVPLTLATTLSLHIYDGRLSATEEEEAINLRCEKPTLSLVPKSRIYAPPDSP LLQLQPNGQPPHRIRSPNPLETGEDYAPLFQPGPLALLHPPAPHVNSIFLAGRFPSIL QYDRRFFPRLQNTIHSGGRLCGLASVPAPQFPLSSGLSCPDSHKVVACGEYKGKGSLE LYSLTPLGVQGENGPSDLSSSLSQVYQNRQSSASLKVLSVESHGTRIVYSDGDGNVKW VERDGRAEVRRFNINDYKPRRKNEQDSSGFNTNMQGESEEEARGLWNDSSSPRSNDEV ARKILPTGGNLTGDELLVWTGERVGRIRFADTHDYDEDEEEDDLIDVSEDMDSAKREE LRNRRRELRMREREYSSMMRRALERQADEVRRMGGLGL AFLA_033790 MPADTDPSLQFDSIEDTIKAFKNGEFIIVLDSQDRENEGDLIIA ADSITPAQMAFLVRFTSGLICAPVSPEIASRLSLPQMVIENADPKGTAYTISVDSSDP SVTTGISAQDRALACRTLASPTARAEDFRRPGHIIPLQARSGGVRERRGHTEAAVEFC RLTGKVQAGVIAELVEDGELVPGVPEIGGNNGMMRRDGCLKFGKKWGIKVCTIEDLVD YVEKTEGSSSVVTNGKQ AFLA_033800 MSHLQQQLKSFNAGVVAAAARMPQQRRFVHNNSASSSQVPSSTS TPTPSGDVKRKRQDADIVYSQPANTGTGKDIMTQVIFAIEHMKNKGIPLRFTDIVSYL SLQHRANDQGYVQALRSILQMHEKVLYDPSGANGEGTFSFRPPHNIRTAEQLLQKLQS QSTAAGMSVRELREGWPNVEDTINQLEKEGKLLVTRNKKDDHAKMVWANDPSLIQHFD DEFRQIWEKIRVPDQQAVKEELEKAGITPTNKNKVTKARPKIEHKKVKKPRRSGKTTN THMMGVLRDYSHLKR AFLA_033810 MASVTIELPYLSSHYSIAESTLTTLTQAPTVELVNQLLEAITKK AREYDDLKSDKLRLEVELENAVRSSESKVKVLKSSVEKGHAEVEESRKKLHESENIRS SLESEIASLKSSSTSNESEVSSLKSRISSLEASNRDTLALLESKSAAYDKLAEELSTQ HKKTIELRRELSSAEQNLQAANSASASARFREQSLQQDLDLTKKNNEWFETELKTKSA EYLKFRKEKSARIAELQRENEEASATIDSLRRSENALKSRLDEVEQRYEESLSSIQQL KEEAIQTAESFRIELDSANRLAELQGNAAETAKQRVQECQLALEKARDDAAEEISRLR VEVETEHSDKEAAERRIAELELTVSQLESEGVAGRRSMSPAPGLNGGPSTPVRPGTPV GTFSPRASRGKGGLTLTQMYTEYDKMRTLLAAEQKTSQELRSTLDEMVQDLEASKPEI DELRADHARLENAVVEMSNILETAGKERDDATKEARKWQGQVEGLAREGDILRQQLRD LSAQVKVLVLEIAVLKEGEGSYDREELEKIARKEVEDAAAELTPTGRFISQNLMTFKD LHELQEQNVTLRRMLRELGDKMEGAEAREKDAVRQQEQEELKELRIRVQTYRDEIANL VAQTKSYVKERDTFRSMLTRRRQTVGDSSAFSQSLPLGAAPPGAADEHMKDAPDYAEL LRKVQAHFDSFREESATDHSALKQQVNELSRKNSELMSEISRSSSQLGAATQRAELLQ SNFNMLKSENAELQKRYAALFENANRQDIKTQQAAEDLVETKGLVESLQRENANLKAE KELWKNIERRLIEDNETLRNERSRLDSLNANLQTILNEREHTDSESRRRLQLNVESLE SELQSTKRKLNDEVEESKKAALRREYEHEQSQKRIDDLVTSLGSTREELVSIKTTRDH LQSRVDELTVELRSAEERLQVMQSRPSVSAAPTEAPTTMEDGAQESGLTREQELGIQV AELKRDLDLAKGELEHAKEQVEDYRAISQGAEERLESVTETHEQYREETERLVEEKDK KIQDLEKRIEEISSELSTTNSELSKLRDEQGDVARRLEEQKSHLEAEITRLKDENERQ LAAAQYHQADLKAQAEISQHAQQNYESELVKHAEAAKNLQLVRSEANQLKLELVESRA QADTYKKDLTQKEESWNELKDRYESELSELQKRREEVLHQNSLLHSQLENITNQISAL QRDRANIAETEDEAESSAPNLEGLQEVIKFLRREKEIVDVQYHLSTQESKRLRQQLEY TQSQLDEARLKLEQQRRAAADSEHTALSHNKLMETLNELNLFRESSVTLRNQVKQAET ALSEKSARVDELVQQMEPLETRIRELENVVETKDGEMKLLQADRDRWQQRTQNILQKY DRVDPAEMEGLKEKLEILQKERDEAVSSRDTLQEQAAAFPEQLKHAEERVQELRAKLT EQFKARSKELTGRINAKQLELNTVVQEKEVIQEELKTTKEELSGLKAKLAEKPAAPAV EEKPAGSGVDSTPASQFPGPTTQLPVPSDDERVKALEEKVQRLEAALAEKEAALAEKD AAIAAKDAEHETKAKERIEKLKETFNNKMAEVRTAHRQEIEKLRTNQQAASQPQEPGT PVSKPNQAPATPAKTEGELPQLTDEQAKALVAKNETIRTIIRNNIRTMLAKEREKQGA QPSAGVSQETLASMEQKFNEEKEAIKKAHEEGVEERIKSAVELSDKKTLVKISMLDTR CRNALAKIDVVQKAATETPQKPVVEVWEIAKTTKAPPQTQKLASAASPAQVASPAPAP TPTPTPAAGVVPTPSPAPAPTPVPTPAPVNQQQGPAATGSVVTATQAKGPAPAEVQGQ GNVQQKQEQQPPQQSTEGTAPPAANAPVNPFGQSQNKQPTSLPSKPPAGANSGVLRAL QSGLPVARGGRTGGRGGHQQQNPFGGQAQQQEQPQQNQGQPQQQQQGQPSQRGTGLPR GRGGRGGHGRGGHQNVQTANLPQGQGQSSPRGNLNAQARQFVPQGNKRARDDGGEGGN EGAGKRMRGGGHARGS AFLA_033820 MDPRQSQVHLKPPPPQHVRPSASASSSPAPTPRAPVTIHPTTTV ADTVILHGTHPISIGAGTIVHPRAKFYSYEGPIIIGENCIISEKSTIGAAPTQPPSFL RESRTSDGLPVRISSCVTVGPLATILPGAHIHSAVTIEALATVHRRVSIGAHSKICSG CEVSDNVKIRDWTVVWGSGAGFGQRRRTRATEKMSSATATTQGIQALEGRVIEDARLM VLQKEREALVRLIGSGGGGRRR AFLA_033830 MNMMRAKRTNTQPLEDASTAPATFNDGLPLPKLIAFDLDYTLWP FWVDTHVSAPIKPRDNNSRCTDRWNESFAFYPAVSAIIYACKTRSIPLALASRTHTPD LARDMLKALHIIPTFSDNPAAKAKSVRALDYFTYVQIFPANKTQHFSKIHQASGINYE DMLFFDDEARNRNVETELGVTFCLVRDGMTKEEVDRGVWAWRKRNGIKPSAPKEHNGE QAN AFLA_033840 MGKLNYSARKIDGEKFARVLSRDLRKRLPDGPGFKAAARDPTLE IDIANKTLTDQDLSIFIDDLLECIREDLAKVVEFHIQGNSLTIKSLPKLGEAIALNAG EMRELDISNNNINISPSPEDKAMWCQFLNSFKNCYMLKKLDLGGNPLGPVGLEVLARV YIKSDLHFLEDDAYAIVEPKHEERSFNEDSTAVKVTAGKENERSTRGSRLGKSPNKGK KALRQNFGQFKSNPAKGTALDDLKRFACTRGLRSIAYLILSNVYMAKSGTVHLASMLS MQRTSDQLLKFLPGGKSPALPETANSKSIIWLPNDTLPQVAREFLGKAEAINEIKTNI DSDDDLSNDDEAQGPVSTIAQTNAEPNQKIDTAAQRELQNKKNTAYARLTKRVRMEAL HDEGVHGTDLWITALRMMNVSRILLWQGKESSVCSPNKEQGQQEDDDRDDPYPSAVHI EDITQQFDDLETAEHPSSSESPSSPVEIHITEPDRMGPFHPGTDPFDANFPILHPSNT ENADTSLTRNDEEQHIVAARNELSASPQPARSGKGNSRTTYGSRALRKEKETWRFGFT LEIWRRIIADAAGAEGILDLEQQTQIMRYATDSKTLEDEMGITGLEDHQQIWRILEKN NCFVYSPL AFLA_033850 MNRKHSNGGADRSNRLNQLTLSASITKHNTQIGNPGKRRTRKDS MTDSESQEMLQKPSQEPNLGLSDTASKDLICPDVNTKDSSSDYGDDSFSDLPSPSDLL IGRTTRLTDRRAQTTSKETYLNKNVRTKDDWIYTDEPWLTLPSSLPNSLVQGKDATST TAAETSRGSVLEPKACSSNGSQGAKNDNQATTETNEVEYIGRKRRRSLASGDKAHDKR VTKRHIDDQAAEACASLRQYHSTDTLSGYHQNPQPYEPADLPAIWDDIDSTLLDEFKD IVNFF AFLA_033860 MNSPDQYITCYAMCDDIAGTLRSAELRPALPSSLFSFCSNKESD ETNQKHMMNMSRRRSNTSLRAIPNKEYNPDSFDSDDSLFNDFLQDGATPHRFPSCSDL V AFLA_033870 MPVSIRGIVLVSVHELPDKYGSLFHFPAFNAIQSKCFQSVYKGD DNIVLAAPTGSGKTVVMELAICRLLNNLKDERFKVIYQAPTKSLCSERFRDWNRKFHS LGLQCAELTGDTDYTQMRSVQNSQIIITTPEKWDSVTRKWKDHARLMQLVKLFLIDEV HILKESRGATLEAVVSRMKTIGSNVRFVALSATIPNSEDIATWLGKDATNQHVPAHRE HFGEEFRPVRLQRFVYGYQSQGNDFAFDKMCSSKLPDILAMHSCRKPIMIFCCTRNSS VATAKELARLWSMSNPPARLWKGPSKSFEFNNIDLKSVAFHHAGLNPGDRQTIENGFL QGQINIICCTSTLAVGVNLPCHLVIIKNTVGWLDGGCKEYSDLEIMQMLGRAGRPQFD KDAVAVILTRKERVDYYERLVSGSESLESCLHLNLIDHLNAEIGLGNVTSVESAIRWL AGTFLFVRLRRNPTHYQLREGAKREDEDEMLRQICEKDIRLLQESNLVTTESLRSTQF GDAMARYYVRFETMKTFLTLKRHATMSQILSVISQAEEFRDVRLKAGEKSLYKEINRE TGIMFPVKVDIALPAHKTSLLIQSELGAVEFPNDEQFQKHKFAFQQDKGFVFSHVNRL IRCIIDCQISLQDSVATRNALELARSFGAKVWDRSPFQMKQIEQIGVVAVRKLAAAGI TSLEALECAEPHQIDMILSKNPPFGLKLLGRLSEFPKLRVSVKMIRKEAKHGNPVRIH FKAEVAFMNEKCPTTFQRRPVHVCFLAETSNGLMIDFRRMRLVERAHTFHSFFQD AFLA_033880 MGESAQRPSSGPGPVGKNFSWILLTAQYTTFVLLLHYSRVMPST NGKRYLTSTAVFFNEVVKLAISLTIALYEVSKTAPPSVPATSLFFSLTSAVFSGDSWK LAIPACLYTLANSLQYVALSNLQAAPFQVTYQLKLIATAIFSVILLNRSISLRRWGLL LLLLVGVGLVQMPISSSGDISLQEEAAAHHAFPRSLEEWKAAKLDRPNLHKRSATYEG IEEDMMTAFPRMNAVVGLLATLGACVASSLASVYFEKVLKDSAKSTSLWVRNVQLAVY SIFPALFIGVVFLDGEKIAANGFFGGYNWAVWSTVVTQAIGGIATSFCIGHAYRDAKN VATATSIFLTTLGSIWLFEFELTGNFILGTFAVLVATYLCEDPNSASSTGKRQGLRPP PIRIDQYEKESKSDDVSPASPPPNEFSIKLPGTPFLSDAGLSTSRPTSPGHARINAAR TASGGYFDKQLRDQ AFLA_033890 MRAPDIKAKKSGGFAAFPTPKPEETLPPPHLRVRASSPLLGQQY RSEDAMPPPIPTHSKRVQQSGSSSTLFSYFNSRNSTMDSNLSRNTTKDSTATEEKSSR MQHNVEPRYGLKQPKGPMKESKRKMRPPRIDLSLLFPKPRADAAPLLSPQRLVNSPSA ISMTSELPAAKPKNYDNPATTKRVTKTPPSGHETKGHKATDVDSESSPIYESGNTNWL DPSLERTVRTSEMDMALKRYSQFQKAPQPSEVARSSQLHLRPRDREQPQTSDTKSTGS SLRKVPSNSSAGEWSRELYLSPNSFSRPHNSRVSNSSNARHADSREKPSAYKSSMSKK SSKSTLKNVDLNKSSVLCLSSSEDEDDEEEEEPAKHDKNIRDSVTTYGEFEAEICTAS AAQTTKGTLKRVERPYSMSASSRGSQSVRRQQQTILRNPSMSSAGRSTLETRSHRSSG VPTISELDFLNTDPMVSQVRKPSQRARLQQSQQNRRSRVIAVTRQEEHLLEAMRQRKG KITPSLFHEARYQDSLEPDRNSMLSVPSRDSFYGSDISFLRLSPGLPPNMLRKDQGAN NFDKDGSISQGTASDAEQKTTNSSASPRVSLIYSESLPSPATSGASPLTPTLPIHRFS PLPSQKPPPRNPPPAIPSVQRRHSRRRTDSSEAIVLGDSEERKETDDFPIWALGWGGN DNANLTAVH AFLA_033900 MARIKFTTAPTSAVQVQSARVLHEKKSATSTRKKTQAEVENPAK SAVSEGLFLKQQQSLEMVQIMLHVSENGIFDALRKNILEAIQLTILVDKDAPQNVLES YTFSFKYAGGSGNTQSQGLVRCQCGWNGEETEMYVLKNPNEPDNLDPTSTSQELGPID VPTVQAGNMPFDGLRPSGHETQNSSGGLQDLPIKSRDNKRKSLSDNDKNESYESDDLD KNDRAAEEQLHNSFLTEESMNSKARGSSQRTSSSQGPRRSGRKRRKISNYSKLIDVGA ETSDHESV AFLA_033910 MEICQFAVSAGVLFPKVKTNRTLFSGRVYQPDILPSIALWNRSD TFTETFSILGGDY AFLA_033920 MDDQDNNSGLDNVPNTGSVGQFSFAPATRTTVVTTTTTTTTTFP PLFIKPPRATRELDPKLYPLASSPTPSSLRNIKFEIGGHSVVFNEPDDTTSAVNELRE KDDALRASNGLVRSVTSICSDDTQLPRRFAPPKGSSQPSSQQSSSKQRRALAAPETRH GYLRPYPARVPSEQSIRSTRSHGPTSTHPVVAGLATPETESNNYSAGESVLPRRRIHG VNASRRETLLRSPLSSGVESQEGSKAPSTRKDQLESTVVPERSRRLARPEGDPSRLAI PQEIETDGGPEDESGTSTSQDTGYETSQEAPQQSSLGSTSQFTAVGGVTVQDMCLPSP SLSPVAAMNAMNVDSSFDSAEDPEADTDSSFDNNDHRIVSSLRAEDPRTTAPHRSRPN ILPASSDTLRPTSLMDIPSVLDFFDSVPEGLKTYLMYQLLRRCPKPTLHFVADVVNPA LKCDFLALLPLELSLNIVKYFDAQTMCRAAQVSKKWRHIINSDEKSWKELFDRDGYVL PEGELERAIREGWGWQFPNGGEDYEKDLSVSSPVIKPDPESGSPSMQPLPGPSDRPSS AHRRPKRKACTRVSSRKLAKRKISSSGTDHSESSDWRKSVTAAEGPYGAANAAAAAVP YPDIGLPSLRGLHLYKSLYQRHHSIHNGWMKPSVKPRHIAFRAHDRHVVTCLQFDTDK VLTGSDDTNINVYDTRTGALRATLEGHEGGVWALEYYGNTLVSGSTDRSVRVWDIERA RCTQIFHGHTSTVRCLQIVLPVEVGKKADGTPEMMPKEPLIITGSRDSNLRIWKLPKP GDPVYYQNGPHVDDTDCPYFVRALIGHQHSVRTIAAHGDTLVSGSYDCTVRVWKISTG EALHRLQGHSLKVYSVVLDHKRNRCISGSMDNMVKVWSLETGSILYNLEGHSSLVGLL DLKCDRLVSAAADSTLRIWDPETGQCKNMLSAHTGAITCFQHDGQKVISGSDRTLKMW DVRTGECVRDLLTDLSGVWQVKFNDRKCVAAVQRDSLTYIEVGAVG AFLA_033930 MKHTIYRCTACSRQRLPFPLRRALSTAITPNTPSNPNKVPLHDL TPADISYYWDTQVPNETDLTYADKFFAPSRHSPIKIWSASKFRTTPMSSVEPEVAFLG RSNVGKSSLLNAIMGKEICWTSSKPGRTREMNAFGIGGTKGGESKIVLLDMPGYGKAS RTEWGIEIMKYLQGRKQLRRAFLLIDSLHGLKKTDEDILMLFRKYAIPHQVIMSKVDK ILAKKKSQVKSGASAAKVATLQTLLQSYRPILQPDGRLEGPGALGEILTCSAETPISP GKSLGISAIRWAILSAAGFDGNMKAHPVPTGSQVTNISPAAS AFLA_033940 MINAVLVFNNNGQPRLSKFYTQIDTQTKQSLIQQIYDLVAQRPP SACNFLPLPPLLSRGASSGAEGPSDAPTQVTYRTYATLSFIMISTSTESPLALIDLIQ VFVEALDRIFENVCELDLIFGYETMHAVLSEMIVGGVVVETNIDKIVSGVRSQEGSLG KKKAIQAASSSVGRGGFPGIGAWR AFLA_033950 MDHTAAAKDFRRFIQELEDEGELLTITKEVDPHLELAAIVRKVC ETGERAPLFTNPKGRKDDGLFRVIGASIGYSKRPGMQLCRLAKSLGLPSSATGHEIVQ KINEAKTKPPIPCRKLESGPVKDHIIHGDDIDLTQLPVPLLHEHDGGKFIETMGMHVV QSPDGKWTNWSISRGMVHGKRELVGLVIPKQDIGTIFNLWKEKGEDMPWAVCFGVPPA AIMVGGMPIPKWTNEPEFIGALTDAPVDIVKCETNDLWVPANAEIVLEGVVSISETAP EGPMVEYNGLVFPGHKTQCPIFKVNTITYRHDPILPICVAGRAPDENSTIWCTMQAAE VLNICQKAGLPINMVWCPFESHCLWFVLQVNHSKLVDMGTNMEEFCRKLGHVVFGSKP GWFIPKIFLVNDYIDPTNLPDVIWAEATRCEPGRHEFIFNEYSNIPLIPYVTHGLPSK TGMNGKVVKCCMLPNEFTEKTLQWKEGSFQGAYPEDVKKKVLDNWTAYGFQPL AFLA_033960 MGWIGSAIIVLVGSLLYLTNRFPNLRISPFSHKWDTKASASAAT EPSPQSTRLQTQPNPPTRPMRIIVAMTGATGAILGIRLLERLREMNVETHLVISRWAV ETIKYETKYTANDVRALATRCYPVNDAAAAISSGSFQADGMIIVPCSMRTLSAVRTGF ADDLICRAADVTLKERRKLVLVVRETPLSGIHLENMLDLTRYGAVIFPPMPAFYTMPE SVDDIVTQSVGRMLDMFGLDAGNFERWDGF AFLA_033970 MPPRRLLLVSYPRTASNLLLRILALSDQPNVVANEQGGYFFMKA YTTATKDSRVYRPGDQWTTEECDEVRSAFQECLNALEDYSSRAEKENKMLVTKEHAFW LCHPMAFSRMIHGTNGSYDSLFRLEFPATYGPSQTFSPNNETVFPDEYLRTWRLAFII RHPALVFPSLYRAMIKMVETGIIRKEELPGVLGTNMSLKWTRMLYDYGMESNDSDSKP LLLDAHDVIHNPHVIARFCELAGLDPNKLKFEWEKKSDANGAPSNGDPVEQREVTGED ARFRDQRAQAIMLSSLAGSSGVLKDKAPVMLDVPTEASKWREEFGEETSLLLEKAVLE AMPDYEYLKARRVQL AFLA_033980 MPPKSGKKAAPLPYPQGKAGSKKAPKNPLIEKRSRNFGIGQDIQ PKRNLGRFVKWPEYVRLQRQKKILNLRLKVPPAIAQFQSTLDRNSAAQTFKLLNKYRP ETKAEKKERLHAEATAVAEGKKKEDVSKKPYHVKYGLNHVVGLVENKKASLVLIAHDV DPIELVVFLPALCRKMGVPYAIVKGKARLGTVVHKKTAAVLALTEVRSEDQSEFSKLL STIKEGYTDKYEESRRHWGGGIMGAKAVARQEKKRKAVESAVKI AFLA_033990 MADGEEDFSSLPLPERFTHKNWKVRKGGYEDAKQQFEKSPDESD PVFTPFIQDAGLWKGAVADSNVAAQQDGLAAYCAFLKFGGVQACTRSRATTVFPIVEK GLPSARPAAKTNAQEALLLLVELDKADPVIEEMLPGLSHKVPKVIAATLTGLRTIYHN FGCKIVDPKPVLKALPKVFGHADKNVRAEAQSLTVEMYRWLKEAIKPLFWAELKPVQQ TDLEKLFENVKQEPPPKQERLTRAQQDAMATASAAAEDGEAEDGGEDYGDEDGEEVDA FDLAEPVDVMPKVPKDLHEQLSSSKWKDRKEALDALHSALNVPRIKDGPFDDIVRALA ARMKDANIAVVTVAANCVDLLAKGLRSGFGKYRSTIMAPILERLKEKKQSVAEALGQA LDSVFASTTLTECLEEILEFLKHKNPQVKQETLKFLIRCLRTTRDVPSKAEVKSIAEA ATKLLTESSEVNRSGGAEILGTLMKIMGERAMNPYLEGLDDIRKTKIKEFFETAEVKA KDRPKPIVGAPKAVPAAGKKVVGGKKPALGMKKPAPAAAASPPEEPAPAPSPPKKAVP SRLGGPKTGGLPAPGSGLKKKLGGPGGIASPQRRVVSPPSEEQPAAPAAPKFGLGRGL AGRPIAKPAAPREPSPPPAAPPLTGMSAIERAELEELRLEQEKFTRLVEDLKSERTKL KSQVTELQDQNAQLIEDHTRDVLSIKAKETQLVRARSDAETAEQTVQKQQREIDRLKR ELARALRASAISPPNTLPEGISMAYGDAGSVYQDTASNGHGPLARGYHSGSRFESSRP RSYASASPSEEKENSGLESPGLGSRDGGLGRRKLSPTFGTGYSGMGSPTRSSMLGSSN ASGDDQPTRSTEPAENWKRAAEVTSQLKARIEQMKVRISDAQNMDHL AFLA_034000 MNDFLLGVMVLCLVIHIHRKQASWTGTIDSAIQKEVLSLLEQSY EGKFYPEGKPNKDEVPVSEVNGTEIRPGKYYVFRSCGGAHSSSDTEGNFNLKSNGTAD KNIYWDSPWGVGGNKLKVTTPHGEDPEWGYEVT AFLA_034010 MSSNPIVLITGANTGLGLETVKALLRSPKAYTILLGGRNIDKAN AAAKAVQEEYPQSRSVVKTIQVDVEYDDSISKAFEHVADEYGRVDILINNAGALLDTQ FYSGDLTMREMWKKSWNVNTVGTHILTHTFVPLLLKSSDPRLLFITSGTSALGETEDT SYRFNKSPAKGWPKEEPTFGAYRSSKTGMNMMMCEWVRILREDGVKVFGISPGFLATG LGGNPELYKKLGALDPVIGAEFVRDVVEGARDQDAGKVIRRDKIQAWRCWKVVTSLAS TAKVTKPQRASLVCEQCRKSKLRCDRGQPCSSCIRRHESDACSYRQRSGPTVNSGDHS TIESRLTHLESLLNALMRNKELPSEHEVVPPDLSRCSVDAATIQSQGDSVDDTAYVGS THWSAILDDIHELQVALSGSIDSQGVNKLATPGAPTLGTELIFGLPHIYSLQQVISRY LPSKLDIDRYLSWYFQGETFVIPFIHTYHFQRQYREFWADPTKVDPLWLSILFSICCL SSLTRETAGPGRPLQRDALPESPKFHTAAGQCLVIGEYHRPQRLAIEALAVYAQCKNL TTLDPSREAGMILGMVVRMAYELGYHRDPDSFGSLSVFEGEMRRRFWAACKHMDIMIS FQQGLPSNICLESCDTKSPRNLLDSDFDVDTQVLPESRPETEPTKLLWFIVKDRQITS FSKVCKYMLSFKEQSEADLHRLDEEIRQTYATIPDILRTRPLSESIADPPFLIITRLY IEFICLKNLCVLHRGYMVQGNVNSTKACVEAAKRLVSQFINMYKSFHLADNYTRNNGC AFLA_034020 MRPSYLFRAMQPLRSSIVRPTSTSSPAAHIYSAISTPIRRLNST TATPTETQSSTAPSTPSVAPPSLRNYPYTLKTGTVVSVGRMDRTVRVAHRHTMWDSHI RKTYPKVTTYLVSDPKNSLREGDVIEFSSGYPKSRHVRHVVERIIAPFGEAIEDRPAV LTREERDAERVAKRTVKWERREARRAEGGEGQSLGGQEHVGRIRRLVYERTRAQ AFLA_034030 MSSGGLVSGLSGLSKSTTFQWYGWPGLEVPEEEIPVVKQRLKDE YNAVPVFIDDELADRHYNGFSNSILWPLFHYHPGEITFDESAWDAYKDANRLFARAVA KEVQDGDLIWVHDYHLMLLPEMLREEIGDQKQNVKIGFFLHTPFPSSEIYRILPVRNE LLLGVLHCDLIGFHTYDYTRHFLSACSRLLGLATTPNGIEFQGKIIACGAFPIGIDPE KFQEGLKKEKVQKRIAQLEQKFQGVKLMVGVDRLDYIKGVPQKLHALEVFLSDHPEWV GKVVLVQVAVPSRQDVEEYQNLRAVVNELVGRINGKFGTVEFMPIHFLHKSVNFDELI ALYAVSDACIVSSTRDGMNLVAYEYIAAQQKRHGVLVLSEFAGAAQSLNGSIIINPWN TEELAGAYQEAVTMSDEQRALNFSKLDKYVNKYTSAFWGQSFVTELTRISSQSAEKFQ SKRASLTGTYHEQVNGVESEGSA AFLA_034040 MATQLVPLPEVERLSASVVRILGGNPGKFTLQGTNTYLIGRGPQ RILIDTGEGKPSWAAHLKTILSEENATVHKALLTHWHHDHVNGIPDLRKLCPQVTIYK NQPNEGQSGIEDGQVFSVEGATLKAFHTPGHTVDHMIFVLEEEDAIFTGDNVLGHGTA VFEDLKVYLSSLQRMQDRVSGRGYPGHGAVIDNATAKITEYIKHRQQREDEVIRVLRY GKLDVPDDEPSPERKASWTPLEIVKVIYHNVPESLHLPASHGVLQVLMKLEAEGKTIH DTESGKWRLETGKSAL AFLA_034050 MISTRLARMGALAPKSRLFLGARGLATASEHPLDKKVEMSNVEK GNYINYKKMSENLEIVRKRLSRPLTYAEKILYSHLDNPHEQDIERGVSYLKLRPDRVA CQDATAQMAILQFMSAGMPSVATPTTVHCDHLIEAQVGGEKDLARANEINKEVYDFLA SATAKYNIGFWKPGSGIIHQIVLENYAFPGGLMIGTDSHTPNGGGLGMAAIGVGGADA VDVMAGLPWELKAPKVIGVKLTGELSGWTTPKDIILKVAGLLTVKGGTGAIVEYHGPG VNSLSCTGMGTICNMGAEIGATTSLFPYNDRMYDYLKATKRQHIGDFARSYQKELRED EGAEYDQLIEINLSELEPHINGPFTPDLATPISKFKEAVEANKWPEELKVGLIGSCTN SSYEDMSRAASIARDALDHGLKSKSLFTITPGSEQIRATIERDGQLQTLEEYGGVILA NACGPCIGQWDRKDVKKGEANSIISSYNRNFTGRNDANPATHSFVTSPDLVVAMTVAG TLKFNPLTDTLKDKDGKEFKLKPPTGEGLPAKGYDPGRNTYQAPPVDRSTVNVAVSPT SDRLQVLQGFQAWDGKDATNIPILIKCQGKTTTDHISMAGPWLKYRGHLDNISNNMLI GAVNAENGEANKVKNAFTGEYDAVPATARDYKARGVKWVVIGDWNYGEGSSREHAALE PRHLGGLAIITRSFARIHETNLKKQGMLPLTFAEPADYDKIQPDDKVDLLCTELEVGK PMTLRVHPKDGKTFDIKLNHTFNESQIEWFKDGSALNTMARKGGN AFLA_034060 MGALRRIKTKRRTRYYNPQVVYYILEIYESILTRVIFAGITIRS ELISNPPNTSRSTKPPRTPRIYQDSESITALSAQNGLRVNITWLPTRRVKTINEGQIR LLREEPHTQKVAEAAVGLGTDNGLRSEGTVVDMEE AFLA_034070 MSSDFWAGYLSGAIGIIIGNPLDLIKVRLQASHATDAAASFSHQ QLSRFESTGSLVRGAAAPIVGYGALNAILFVAYNRSLMLLDSSVTDPTDPQGIPLYKL WLAGAAGGVASWTVSSPTEFIKCRTQLDSRPGASSWTVAKDIVRTLGWRGLYFGGAIT CARDSIGYGFYFWTYEYCKRLMASKDDDAQQTAMKVLLCGGVAGVATWASVYPLDMIK TRLQAQGLGAHPEDQPLVRSQNDRRALNSFQLAREAYRTEGLKAFYRGLGVCSVRAFI VNAVQWASYEWLMRYLNNPWNQTHAQVS AFLA_034080 MRQGATFVVKPLHDPSGEFAIVLYDPTVDDADENSETKLPEDGK PEEQQPKLDAPLVHKSLADILGLKKKVETGPRVPVVIDPRLAKVLRPHQIEGVKFLYR CTTGMVDKNAHGCIMADGMGLGKTLQCISLMWTLLKQSPEAGKTLIQKCIIACPSSLV GNWANELVKWLGKDAITPFAVDGKASKTELTSQIKQWAIASGRAVVRPVLIVSYETLR MYVEALKDSPIGLLLCDEGHRLKNKDSLTWTALNSLNVQRRVILSGTPIQNDLSEYFA LLNFANPDLLGSQNEFRKRFELPILRGRDAAGSDEDKKKGDECLAELSTIVNKFIIRR TNDILTKYLPVKYEHVVFCNLSQFQLDLYNHFIQSPEIRSLLRGKGSQPLKAIGLLKK LCNHPDLLNLSTDLPGCEFAFPEDYVPPEARGRDRDIKSWYSGKMMVLDRMLARIRQD TNDKIVLISNYTQTLDLFEKLCRSRGYGSLRLDGTMNVNKRQKLVDKFNNPDGEEFVF LLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCFVYRFIATGSI EEKIFQRQSHKQSLSSCVVDSAEDVERHFSLESLRELFQFKPETRSDTHDTFKCKRCR PDGAQFIKAQAMLYGDTSTWNHFVNDGEKGALSKIQDLLIRQETGERDVSAVFQYISH AFLA_034090 MRSLVFTAVSCLPAIIAAANPPDLGFDKLWSLENNIWTNFLYPA NLKQINATDDSVFTEDVRSKPQPRVPYLCIDTNRNKVQGRVDITRTFPGRELNNEYIF GLFSQPESLSLTGVAINYTITQFVANQNMASATTVITFNSTSFGVLLPLTVDSWMAFN EDGKVTQYDATFRWFDWFVKTLFEAAAVKFNTTDPVVVKSTLTELLARAICETSDKYC TGDNKQYDSQEQCMQVLTKEKRFGDPYELGRDTLLCREVHKHMVQYRPTEHCPHIGPS GGDMCVDDKSYVQTVLESYFPQSWIANGYGDDNIWVKK AFLA_034100 MNVDFAAIGGTAYTVAGSMIIAYGVTRIGATLFQELRNAVFASV AQKAIRRVARNVFEHLLRLDLNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVVPTA LEISLVCGILTYQYGAQFAAITAATMVAYSAFTITTTAWRTKFRKQANAADNRGATVA VDSLINYEAVKYFNNEKFEVARYDKALKAYEDASIKVTTSLAFLNSGQNMIFSSALAG MMYLAANGVASGSLTVGDLVMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQK VNVNITEKPNAKPLQLHRGGEIKFENVTFGYHPDRPILKNASFTIPAGQKFAIVGPSG CGKSTILRLLFRYYDVQEGRILVDGQDVRDVTLESLRKAIGVVPQDTPLFNDSIAHNI RYGRIDATDEEVRKAAQRAHIHELIEKLPEGYKTAVGERGMMISGGEKQRLAISRLIL KDPELLFFDEATSALDTYTEQALLQNINSVLKDKARTSVFVAHRLRTICDSDQILVLK EGRVAETGSHRELLELDGIYAELWNGKEPIVCLCLVY AFLA_034110 MGLIEKLQAKQRYARRKHRSTFSGVQYVDGEYVYTNGSNSPGSV SKHSTGSYWKSPTWGVSSTDSRWR AFLA_034120 MYNIAKVAKGEILLFYNEIFDALSKLASDSELSVKNGAELLDRL VKDIVSESAASYVSVLQLSEKQETDPEALEDPDLPTAFSLPKFIPLLKERIHVISPFT RMFLVSWLTLLDTIPDLELVSYLPEFLGGLIKFLGDPNRDVNVATQNLLDRFLSEIKR IARLKKGIEESRKGQGSENRQSTTSDSVSTTIDQTVAAETETETEMQLTALRWIDSFF EISPEDILPFVPRLLTQVLPAMSSGSDQVRQAANRVNTSLLEYIVSLSEDTLSDETRQ ESAPNAKPSDVSITASRKQSVQESTQEQTPRSSVMSTPVPPADLDYASAVNSLTLQFL NENEATRVAALSWLIMLHRKAPKKVVAFNDGTFPALLKTLSDPAEAVVTKDLQLLSQI SRNSEDSYFKSFMVNLLQLFSTDRHLLEVRGNLIIRQLCMNLSPERIYRTLADCLEKE EDLEFASIMVQNLNNNLITAPELSGLRKRLRNLDTREGQMFFVALFRSWCHNSVSTFS LCLLAQAYEQAYNLLQVFAELEMTVNNLIQIDKLVQLLESPVFTYLRLQLLEPESYPY LYKCLYGVLMLLPQSSAFAALKNRLNSVSNIGLLHTPRLSTMVSASGSGAYDRSTGSR SKREENSIRWVELLEKFKTVQERARRALRARERPFDDGVAGFQGQSLAAALSAADQAR NKERATLPDTPRTGLGLGAGAEGRRSPADVGNQKGGSILGAAHRHKTSLPNLGRLGIG SRKSKR AFLA_034130 MSQPLRRGVRAVSWTRVLPPRARQGQTRCLQIRAAAAEQPSSAN GNNLPVVGTPSSAESADARFDVIGAPYSLLSVSLSASQNLFTRRGTLVGLSGKADNVV STLSVLEPFRRAVVGVPFLYQKVSSASPVTALVSVRSPTTSFAVVHLDGSVDWMVAQR RALLAWTGRSLSIKPTINTSLSVSHWGSSEVTGRGLLALVGAGQLYQVEVKAGEQYIV HPSNVVAYTMTNNPPRPYRFKSTTLKFQVPGLKGWPSFIQDSKFIRDMSGSDTWKTAM NIFHKIRTWSRMTIWGDRLFLQFDGPATILIQTRGPRINEVLTSHEVNEIASAPRGLT IGPAKPAEEKKPSADEEYRKAAEEAVNAAPAPTRTVEQLEQEIRGSAQSIATLTKEGK VIFEKPGQQN AFLA_034140 MPSIPEIQHSAESVVTESIEQSPSTTPVYSSSAHLNPEDAKQEH PHKEIQPGPQTILEDESSQTGDPEKSGATTQSVPAKAPDAPPDGGLKAWMVVLGAFCG LFVSFGWINCIGVFLDYYKTHQLQDLPTSTVTWITSLEIFMMFFGGPIVGVFFDNFGP RWVLIAGTFFHVFGLMMVSISKEYYQFILAQGVCSPIGTSAIFHGCLTSVSTWFRRRR ALALGVTTCGSSVGGVIFPIMVARLIPIVGFGWTMRICGFLSLGLLVIANLTVQSRLQ HHRKPFRPLDFVRPLRELPFVLTTAGTFFVYWGLFLPFAFIPTQAERYGMSSYLASYL IPILNAASILGRLVPPYLADLFGRFNLMMLTSLFSVIIVLALWLPSRSNAPAIVFTSL YGFSSGAAVSLAPALVAQISDLREIGVRSGTYFCIVSFAALTGMPIAGALLPDPLHGS YLKLEIFCGVVMFGGVVFYILAKGRISGWGLMHKV AFLA_034150 MSVRVVARVRPLLKSERELDIILRTGSTTQAAPSKTEKQSSQEK KLAALRDRDTIVRIPNPKNVNEEYSFQFNAVYDADSPQQELFDAEVAPTVKHLFYGFD VTLFAYGVTGTGKTHTMRGGKSLADRGVIPRLLSSIYRRSRKLEKDGDGETTVNVSLS YYEIYNDKVFDLFEPPEKRTLAGLPLRDNGGKTVVVGLTERPCTSLKEFESLYDQANT NRSTSATKLNAHSSRSHAILCVKVAVSSGGKTRISTASAIDLAGSEDNRRTDNDKERM VESASINKSLFVLAQCVEAISKKHHRIPYRESKMTRILSLGQNNGLTVMILNLAPIKS YHLDTLSSLNFANRTKKIEVREVENEPMFKGPPRPAARPSVTALRQPLRPLTATANVN LPALANKDKDASKAGEKPVKAFHVYSDKPRSRDSTQFRKPEPPKRPSLDSNHRLLKPS RITQPLQSQKQYEDISAAKIEEMVEKKVEEILAVRAVSEKSRQTQVRELNEQVQKRLE MLEQRIEGTEDARAEGLSFLLMAKQHQARGEDSFALKMYQLALPFFPDNEKLARKIST LKQRIQSKSCPDADTTGNHTLTASKREFGSLLSIKRQSVGTNLKRQAEDSDGEYNPED RAEELSDDDIEEITQTRRKKRTKTSSPSDEGSSVDCYEAPSPRTIHLLSIINSRDVSQ IKLLKGVGVKKAEAIVDCLCEMDQHLEEQDSDRQVQINSLAELSTLRGVGVKTVESMR NGVLA AFLA_034160 MAAPQGGYPPQEGYGQPAGYESPSQQAAGLAPAPAQHGGRKKRA YAGEAFELGSGANAGLGGQLPAGGTYGGYPAQPQAAGYQQPVYGADPTQMQAAAQGYA APAAPAVAQMTQQFGAMGVTDPHLMPPQPVPQAAQAPRPVLNHLYPTDLLTQPFNVAE LDYPPPPIVLPQGTSVYPSPTANCPPKYVRSTLNAVPTTHSLLKKSKLPFALVIQPYG ALHDSEDQVPVIPDQVISRCRRCRSYINPFVTFLDHGHRWRCNMCNLTNDVPQAFDWD TTLQRPADRALRPDLNHAVVEFVAPQEYMVRPPQPLVYLFLIDVSYASVTNGLLATSA RCIKESLERIPNADRRTRLGFIAVDSSLHYFSIPRDGSENSDPRMLVVSDLDEPFLPI PGDLLVTLSECRENIETFLDKLQEMFQNTQNNGCAMGSALRAGYKLIAPVGGKMTVLS SSLPNIGHGALTMREDKKVLGTSKESGLLQTANSFYKSFAVECSKAQVSVDMFLFSSQ YQDVASLSNLPRYTGGQTYFYPGWNAARGEDAIKFAREFSEYLSSEIGLEAVLRVRAT TGLRMSTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCMQTAVLHTTCN GERRIRVLTLALPTTQSLADVYASADQQAIATYFSHKAVERALGSGLEPAREALQAKA VELLATYRKELAGGSVSGGGLQFPANLRGLPVLFLALIKNLGLRKSAQIPTDMRSAAL CLLSTLPLPLLIQYIYPKMYSLHDMPDNAGLPDEQTGEIVLPPPVNLSSERVVPYGLY LIDDGQTQFLWVGRDAVPQLIVDVFGLPDKSQLRVGKQNLPDLDNDMNQRVRAVIEKS RDHRSKGCGSIVVPHLYVVKEDGEPGLRLWAQTMLVEDRADQGVSLVQWMGNLQEKV AFLA_034170 MVQQLPPQGGSRKISFNVSDQYEIQDVIGEGAYGVVCSAIHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQRPRNYESFNEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPFKALF PKANDLALDLLERLLAFNPAKRITVEEALRHPYLEPYHDPEDEPTAPPIPEGFFDFDK NKDALSKEQLKILIYEEIMR AFLA_034180 MPPQFTFNTSSTPILLLKTKSSPTDSYEEYFSAHSYNPTFIPVL EHNFHTPNLTTVKQLFQSGALNPGPGRKYGGLIFTSQRAVEGFATILNDIGESTKQTS SQSLILYTVGPATSRSLTSIRDDHLPHATILGSETGNGENLAHFILSHYNPLYDSQDG PKPPLLFLVGEQRRDIIPKTLMAGSLPPEQRIGVDELVVYETGVMEGFEKSFGEAVRA SEEFLGGGVERAVWVVVFSPTGCDAMVRVLKGLGDGERRVFVATIGPTTRDHLKNKYG FEADVCAEKPSQEGVGMGIVEFMENRRKNRAGQ AFLA_034190 MQKCRAVNSALGSRAFAPAPKVQQVQRRNLQDVAITRTGKPILK VQGGRSSLGGHTATVFGATGFLGRYIVNRLASQGCTVVVPYREEMTKRHLKVTGDLGR VNFLEYDLRNTQSIEESVRHSDIVYNLVGRQYPTKNFSYTDVHVDGTERIVEAVAKYD VDRYIHVSSYNASRDSPSEFFATKAWGEEVARSIYPETTIVRPAPMFGFEDNLLHKLA KVTNLLTSNHMQERYWPVHAPDVGNALERMLHDDSTAGQTFELYGPKEYSTAEIAELV DREIVKHRRHINVPKPILKPVAHYLNKLLWWPIISPDEVEREFIDQVIDPNAKTFKDL GIEPVDLATLTFHYLLGYRSASYYDLPPATERERQEEKKYLHVLDDQ AFLA_034200 MSEFTEKNKEVWGNLAKTYKTRFEKGTKLIYRLTQEKRLWGSDV WTDTEAGQGKEIKVLEYACGPGVVSTALAPFATKVVGIDVADGMVDEYNASAREAGFE DKMIGFKGDLLAEPMPEEFSRPEYSDFDVAFVSMALHHFEKPDLAMKRLGERLKKGGV CLIIDVLPHGKHDHNAHEMHNPDHETTHTIKTHGFTLEDMRKLYETAGLGGGFDYQVI EEPLVFERDGKAISKTIFIARGQRQ AFLA_034210 MENQKISDFLADQLQQAPEQCQAYFLTFEDYWERKLWHQLTDSL IEFFRLPESAPQRLPIFKAFVLSFADKINQLKFVSLGLMASTECADDKERLSFLTSLA DKVNKPDTQEAYIYALADVANVKQRLNDLDGAQKDLGTCQKVLDNFDSVETVVHASFY KVNADYYHKKQEFASFYKNALLYLACINLEDISESERVSRAYNLSVAALVSDSIYNFG ELLLHPILDSLTETPHSWLRDLLFAFNRGDLTAYDVLAGNISKNQLLEQHRFFLYQKI SLSALTEMVFRRPPHDRNLTFEAISSETKVKPEEIEHLIMKALSLGLLKGAIDQVAGV AQINWVQPKVLDMTQIEGMRNRLKDWDAGVNQLGHWIEGVGKDVWAA AFLA_034220 MTAAIRKLRNNPHFLFVHLPHILSFLCVLAGVVWLLLLPLNDYS RQTYISENALLPGQVHAYFSGSEQNIFRGYRKELEGLLPNGAPGEGPERNDIELTPEI SDKIQSVLRASGLKVATQKYEYTSAGITHQGQNVYAIIQAPRGDATEAIVLVTAWKTA DGELNLNGVTLALTLARYFKRWSLWSKDIIFLITPDSKSGTQAWIDAYHDMQPPSVQP LPLKSGALQGGLVVEYPFDHRFESLHIVYDGVNGQLPNLDLINTAVSIAGGQMGIGAN LQEMWDHNDSYEARLQTILRGMAKQGFGYATGAHSSFMPYHIDAITLQTKGDGWQDEM ALGRTVESLCRSLNNLLEHLHQSFFFYLLMQTNRFVSIGTYLPSAMLIAGNFTIMAIA LWLRTGYYMGSKPQPSVQTGASQDEKKEQAASSQDKSKTEATNVEQKSDANSIIERQL ALPLSFVVGLHLLGLVPLFIFNNLSYKYFTTATYTFIVVDFVLPLLLAVLLTQGFTPK PRQYLLMKSFSLLLLGLFLSTLATLNFSLSFMIGLLCTPLSFVNRVSPSTSAPIRYAL AFIGLVLLNLLSPPVVLLGGCWYTGVSVETILTQAAFGWDVWGMWTQVVVWCVWWPAW MIGCALF AFLA_034230 MATPAALPPLPFNPARVRSYLLRLPLFTRLVVLAIIVFWLLELQ TVWSVVQWGALAPDEIGFGSMYRLNTYPFIHNGFFHAFLNLVALTPLVERFEAEHGTL TAVALFLGPLSTFPAGLYLLVEKFLLHRNTAVLGASVWVFLLLGTEAIKTFKSHPYFS LGNYKIPTWTSPLFACIVVSILMSNTSFLGHLCAILIGYLFGLGYLKVFVPPEKVLRW IEGKLNLLGRLPHYVSVDQKTYGRYGVLPTTNTVGERGTPMSYLGSSQRLGP AFLA_034240 MASNPPGPCCATGFKHEGNPVGEIKNVNGVDTYIVYPQDKSTEK VVVFLSDIFGIYVNAQLLADEFAANGYTCVIPDLFQGDAIKLSDMESGKADLPAWLPN HQPSHVDPVVESTVKYVREELGAKRVAGVGYCFGAKYVCRHMKEGKIDVGFNAHPSFV THEELGAITGPLSIAASEIDQIFTTQLRHESEETLKKTGQHWQINLFSGVSHGFAVRA DLSNKHFKFAKEQAFCQAINWFRQYL AFLA_034250 MWQKASHAAIAAASLFASLASAIPHGDDHAMNMDMGMGMNSTQK QPESHAAASDDSPMSYFAYGKHSSTIIAHIGLMVLAWCFILPVAVMFSVARSRFALPS QFLFLVFNALGLLLGIIYNSQTPDLYENNAHHKIGWIATWVISAQVTMSLIFAYAGRG ESDATSYERAAFLPVSTDEMAETPTHPTGIHHEYRWSRDSGQDTEVNSASLHSRPSSS TCASPSEEYDTFVKPEAQYPEQPAQSRGWLHSTFVNRFLASRVPRMVSSRALRILTIF YLVIDRIILPFGFIAIATGAVTYGGIMRGREIFNGLAHFIKGGIFFWYGLLTLGRFMG CWADLGWAWNVKPSSDIVGKWKAKIPTGEFTESFVIFLYGASNMFLEHLTSWGGKWSA TDLEHVSISIMFFGGGLCGMLFESKRVKSWLNSTVVQYPSNLRRHGPSDTAWQLPDTQ GVSLNPMPALVILLLGSMMGSHHQSSMVSTMVHKQWGNLLVGFSFARCMTYVITYLKP PTSYLPSRPPTEIVAAFCLISGGLIFMLSTRNVVDAMEFYELDAMFIFTVAMGVTAFI MACEILAIAIKAWATKKETRPQLPPFQFPA AFLA_034260 MVMAQAALNILHIKTMDFPEPHIYLPRSSHTHTAILLHGRGSNG PEFAEELFSSMTSKGHNLASCLPNWRWVFPTSRDRWSDRFQEEMCAWFDAYSLDDIHE QQDLQIAGLRESVTHILGILSHEIGILGGDTSHVYLGGISQGMATALWTLFCATNQVH QPLGGFVGFCGWLPFARQVEDLVQGSQGSCAVDASSKQLIQRSVAGFFLNTISGTEIS QTHETIDISILSTPVFLSHGSDDAWVPVDLGRQAARVLQQIQIPVQWHGFIGAEGDGH WVKEPEGFDQILHFLEECCSHT AFLA_034270 MSDQTRPFSPDSYNGFFYHKSWSEPWALSDFSPDQGIVKFYDRL ESQPWWGRTLSRQFLKRSVHWGHGWPWGYFIYRTAYSSDEDWNQALAKLNRYIHCAIR YDDDPEPAEIVWEGCKNVIIDDQRLLEGASPVKVRQLFQDWVERHPDYNHRSTPRSAF CLMIDGHALQSILASPEPCLENKNWITKKTGYVILIDRYFPDKGYRHEPYNVGWVRLK ICGIWPFSRSWDVVEFEERYPAIGRPGLIPYYDYYETWVEDVNGQKLTDVDSSGDEDE DEDEDDLDSGYGVHDIYQYPREGGHI AFLA_034280 MTHESVWYSRPRTYGKGSRGCRVCTHRAGLIRKYGMNICRQCFR EKSQDIGFHKVRDMACAIEDYHPQPSNHDERKDIWKNKQRNTLGWGVQMEKERTLSLT HMFYQTTVPLNQIPTGNSCSRNSLRPRRSVTTLGNKRKGHRFDSLGIPTGKQIWNNKS CWRKIMHGCRC AFLA_034290 MESRSRTLFCQGCGDFVYDYGLERLRSSTPESTLKLAQKRRFSE SSTDELYVRSNANKRSCAKQGVRGLFNLGQTCYLNVILQTLLHDPILNTYFLGSGHQS HDCTMSDCIACAVAEAFADFNSSDKAEGFAALSLLLASWRASSALAGYQQQDAHEYYQ FLVDKLHSSTDGHHENHEKGCPCFFHKTFYGKLRSSVTCDKCGNVTRTDDPMVDLSLD VQVQAKKRAMGGAGPSSTPTLSGCLESFTSPEKLMAGVYNCSGCGGSAQKATKQLRIK KLPAILCMQLKRYEHTFSVSEKLEGRIDFPLSINMLPYTTNPNSHVDKSRYIYDLSSA VVHKGKLDAGHYYAYCRQGDEWILFNDDQVTSVTEADVLSADAYLLFYNLRSLAGAPS Q AFLA_034300 MDPTAFSRSSSRPRPSSRPTTPLRPSSRSSLREAHGYGGSISNA GYTQPAINALEPQFAELADSMADLEANFMHLQLMHESLTRFSESFASFLYGLNMNAFC VDFPEAPIPESFKRAKQAEAQKEAEVEQTRQANEGETTFMTTDTTFVENPSSTTPPKP VRKTPTSSRGTTRGSSTRGRYTTRGTSRARPSALPRGRGLR AFLA_034310 MPIQAAPGTVGNLTPEQEVKLQEFWVLLLKVCGVNVEGIESNGD VATPPSPSSQKKAAPKRRFTFFGGKSNDEEEDTTANGVTTSIASINITDGDDKYGQSK EFQQAITDMKPEEIRVTLWNMVKQDNPDSLLLRFLRARKWDIKKALIMLVSTIRWRLQ DVKVDDDIVKNGELAALEQSKSSDPEEKRKGEEFLKQMRMGKGYIHGVDKDGRPICVI RVRLHKPADQSTDTLDRFTVYTIESARMMLSPPVETAGWLDPVVASKINFTKNISDLE KFIPKDRIYKELEGDENWEYSYVEPKADENKTMEDTAKRDDSKHNILALISARVTAN AFLA_034320 MSSAACIFCKIIKGDIPSFKLFESDKVFAFLDIQPLSRGHALVI PKFHGEKLTDIPDEYLNEVLVCSHPPGTVLALNKPVAKKLAQAADAKDFNVLQNNGTI AHQVVPHVHFHMIPKPNEKEGLGVGWPAQATDMDKLKALHEELKSKI AFLA_034330 MASFIFSKSATVSLKGLPAKSRVLPFTRIGLIQPRRTFSLSLKQ AMLTTELSEAEVSALRANKDRLANDLHHSCQWGFGIRWGDGPTDTGMQRLTLSEEDKS VRDWFIKTTKALKCDITIDEMGNIFAVRPGRRKDVPPTFIGSHLDTQPTGGRYDGILG VLSGIEALKVIDEMGLETEGGIGVVNWTNEEGARFPISMVASGVWAESIPLSRAHGLI EVPTVASLPTASSAPESLKSALEKIGYLGDVPCSYKATPMAAHFELHIEQGPHLISAG QRVGIVTAVQAYRWYRVNVTGRDTHTGTTAFQHRADALYAFAQMMVRAREVASSHGCL ASVGIVEAKPGSVNTVPGLVSFSLDIRGPETELVATVEEKLRKEFDAIAAEEGKGIGK PCRVEWTVEFDSPAVKFHPDCIDCVQQSAEAVVADAPEPKSLVRTIMSGAGHDSVFTS KRVPTSMIFVPCKDGLSHHPEEFCSADDCATGASVILQAVVRYDRKRFSS AFLA_034340 MHALPKEESRTFNLTASRSTRSHSSFKPTTTLFALLERFPEERQ ELATMLIPKDDRKKIHEYLFREGVLVAKKDFESKHADIDTKNLYVIKALQSLNSRGYV KTQFSWQYYYYTLTPEGLDYLREWLHLPAEVVPATHIKQQRSHAPPRGMMGGEERERR GPRPTREGGYRRRDQEKEGGAPGEFAPNFRGGFGRGRGAPSS AFLA_034350 MAPQNVNVLLSTFPGLSLPSTLSFSLPSTSSISDLTEKVSSYIP SSVPLLSLILTTTNNKQILPSSDLPISHLVAPNGELTATSNLLPLRLSVPLCGGKGGF GSQLRAAGGRMSSKRKRNQGDDNGSSRNLDGRRIRTVNEAKALAEYLAVKPEMDRKEK EERRRRWQAVVEAAEKREEELKNGGGKQKIDGQWMEDKEEMSEKAREAVLAAMKDGMW TDNLHDAIRDNILGGSSTSASEGSAQDSASASDEESEDEQEMKDAPGPSEPAPKSAVP RKFIGFDDDDEFMSDSEEEEIDQIDETEGKGKAKA AFLA_034360 MSLYLGRILPRTHRLKYRSPCQLRQLPGAQFSRSFFQQPRVLPV RNANGLINEPQRRLLSSGPSLSAKSSELTERVLPVCCPGCGAYAQTIEPGEPGYYSKT RKQTRKLLSETERATGVQDGETGEVADLKTEGEKAAGTIQQLIKESEEEAAAPKPIHG ALLENAAATAKEYIEKSRPPVQVCDRCHDLVHHNKAVPAISPTIYSIGAYLDESPYKY NRIYHVIDAADFPMSLVDNIYEALSIEEQRSRNRRASTEKYRGGKKLPTISFIITRSD LLAPTKEQVDSKMEYVRSVLREALAKSTENFRLGNVHMISAHRGWWTKKVKEEIKNHG GGVWVVGKANVGKSSFIEACFPKDSKNLEKIAELVERRQAESDISPRDATAVDSDGLL PPAPREDLYPILPVVSSLPGTTVSPIRIPFGRGCGEMIDLPGMDRGDLADYVRDEHKR DTIMTKRRKPERYTIKSGQSLLLGGGLVRITSVNPDDVLMAACFIPIEAHVTKTEKAV EMQAEQRPYPGENIMIEGIGSQITSAGIFDLKWDVTQSHLPTTIAKAVEDKGIKPPPL PYKVMSADILIEGCGWVELTAQIRAKSTDGESARSLPQVEIFTPNGRHVGVRRPIECW KYVAEKQAVEKRKKGARGRQSIGQKKRAHHSSKV AFLA_034370 MSEDSHPQHHRGFFDRILHPEQHHEDQEQHRGQHDSQDPESHKK ESEIDKIKEDIKEDQEEVAEGDTYAGLM AFLA_034380 MAERYYTLAEATALPFNCGTTLVADFLCSKIHNSLRLSPISLVR EFPSEWSTPRRRAGKKSPVLLRVSTVGPEAGSADTTRDVHGWAMKIYTDEGNLDWVFN NTPVFFVRDPIKFPSLNRSHKRHPTTHLPDANMFWDFHVGNPEGIHELLHLFSDRGTP KSIRHMNAYSGHTYKLTKEDGSFKYVKFHIKTAQGVKNMTAEESLKIAGEDPDYLIRD MFEAIEKGDYPVWNVYVQVMDPADAEKYRWNIFDMTKVWSHKDYPLRQIGKLTMNRNP KNYFTDIEQAAFSPSTMVPGIAPSADPMLQARMFAYPDAARYRLGVNYQQLPTNAAKA PVYCPYQRDGAMRFDDNYGGDPNYVGSSLQPTKFYQEVKNSGAARLSGLTEHEKWVGE VTNFQSHITDDDFVQPAALWEVIGREPGHQDRTIANLSGHIKNVRSPQLRNAVYELFA KVNADLGERLKKATEAAVSA AFLA_034390 MYQILVAYTTQNPIDYHDAIVPLHSFIYTRIIIFLFGTMGPSLS FSKNVNSPFVYAEAVFGGALIAIGHCTRPSAIIVYLLLVHAVGRVSTFAGWRAWMGRT KKPPQDPGLLVKILKFVGFFKDHEDWADEKVASSHETPQIGNLPMDKLGHQYTRLGFE G AFLA_034400 MLPPCPNPDNIEICIICASLQESNAVCSIFDETYKSGSTDRHAQ DQITNPQKYDYIMGRIAGHNVVLARLPGMGIVYSAGESHRLKERFKRIKLALLVGVCG AVPKRPDGQKISLGDVVISRDVIRYDLGKQYPFGFQWTDASGDSCMRPNGHIREFVAK LEMRREKLEQRACEFLRKTAGIPCVDQGCDLNLLLPRNPPKAETSDNKPVLHFENFAS EEIVMDYAEDRDEIAQLENVIAFETIAVGVWDNLPCMIVKGVGDHADGHVSEEWEQYA AATAAACAKAILEEWITSARLNYDCVSSSIPWILETGELTFGALESNPS AFLA_034410 MLSSRGIKKAELPKIPWRYAPPHTYNKESNPAGLISFGMAEHIP MRSEIAKYINNKVNNPKLYWNYSSISPNAQVTFTEDSVGYGSSSSTPNRLPKAVASHL NNILKPLSPIEPETVIVASGITAIGSMLSFTLAEPTDGILVSRPVYGRFELDYGVEAD VQIVYADTDPEEAFTPAVVDKYEAALKRAEENGTKVRAVLIVNPHNPVGRCYPAETLK EILRFCNKHKLHLISDEVYASCVFDSADPNAVPFTSVLSISTPDLIDPDLVHLLYGFS KDFAAGGLHLGFLITQNTALRQACKAMLRLHNASTASITIGATILEDQDFITQFTQES RQSLASTYRIATSILNHEGINYVKGGNAGFFIYIDLSSYLPYPDRSVREREFALAQRF LDAGVFLHPGEEHGKESGWFRLVFAHEEQVLREGLWRYVFSFI AFLA_034420 MAAAAASAAALDPSNGTKNTLKLENTEKRDTLIAIEKKYQAQWK ENKVFEVDAPSFAEVPEGSMSAAELREKYPKFFGTMAYPYMNGTLHAGHSFTASKVEF MAGVARMDGKRALFPLGFHCTGMPIKACADKLSDEVKKFGQNFEGYKEDDEEEEKAPA APTQEVKNEQQEKFSGKKSKAAAKTVKMKYQFQIMLAIGIPLEEIHKFADAGHWLHHF PPLAIRDLDSLGARIDWRRQMVTTDANPYYDAFVRWQMNRLHELGKIQYGSRYTIYSP KDGQPCMDHDRTKGEGVGPQEYTAMKLKVKEWAPQIAEIVKGKIEEDASVYFVPATLR PETMYGQTCCFLGPKINYGIFKVKEKEYYVCTKRAAWNMAFQGTFFTSDNFPKSQDQL PLVAEAPGSAYVGTLVNAPLSFHTEGVRILPMEGVSASKGTGVVTSVPSDSPDDYATL ADLAKKPDYYGIQKEWAELEIFPLIETPTYGNLTAPALVKQLKINSPKDVTQLAKAKE LAYSEAFYKGTMCVGEYKGEPVSAAKDKIRKSLYESGDAFPFADPMGEVVSRSGDDCV VAYLGQWFLNYGENDAKWQKDTLNHVVNTLNTYTNETKNGFEKNLDWLNRWACARTYG LGSKLPWDPQFLVESLSDSTVYMAYYTIAHLLHGDRYGETLGPLNVKAEQMIDEVWDY VFTRRELSDELISKSGISKEALQQMRREFEYWYPLDVRVSGKDLIQNHLTFFLYIHVA LFPPEYWPRGVRANGHLLLNGEKMSKSTGNFLTLKDSVDKFGADATRIAFADAGDGIE DANFDETVANSNILRLHTLKEWVEEISKDETLRTGPADAFWDKIFNNEMNGLVREARK HYQNTDFKLALKSGLYDLVSARDTYREAATSAGVGMHRDVILRYIELQALMLAVIAPH WAEYVWLEILKKPESIHHARFPEVPEQSPELTAISTYVRATSSSITSAEAQLAKKASK GKSAGYDPRKPKKLTIYAAKKYPSWQEKYIDLVREAFDGLNLSINDKELNAKVGKMGE MKKAMPFVQTLKRRLIQSREEPETVFSRKLPFDEFAVLKEMLVNLQKTTGCKVIEIIA VDEGGKTGEVVGSGEKKEGLSAENAVPGQPTFQFTNIEESN AFLA_034430 MVNVFKRMRKLKTILNVRVGTGAAVFPSATSATQEFPAITRLHL TYAQKIYGGHQGARHFWRNCLPRLKYHNPGLQMTVKQTQEQEGPAALTIYFAERASNA ASLTAAKVEDKHAPAPESTEKTAVLDLKNLDYKEIWNKVKMVTGAQDVPATAEEEAEL QKLEQMRQQSEKDRVRLAAIRQAKKDQERMLQEARGEIEKLKQL AFLA_034440 MALRVNIPPATRTCLITLLTLSLLYNIARWRQIDATGGHPLTTP VVPYLTLVPSEFFYYPWTFLTATFVEQNIFTVLLNGATLFYGGKYLERAWGSREFAKF ILTIAVIPNVTIIPLYLLGTTIRGGSTGGVTQICGGMSIQTSFLVAFKQLVPEHTVTI FKGLVKMRVKHFPALFLLLNTISGIVFGTHVAAILSWLGLLTSWTYLRFFKRQPDLTG TSTDGLGFKGDASETFAFACFFPDAIQPPISFVSEQVYSLLVALKICTPFSEEDIASG NQQVLARGEAGLPTLNNHRGGTRGAAKREEAERRRALALKALDQRLQAAAAGRAHSPS PLNQQGSSQTQTATPTVPAGQSMLGETSYTPDHA AFLA_034450 MSHPDLTSHHVNYLIWSQGGPGENGTDQAPVSPTSKVARDSVIN GHLTGEPAAPQVIPNAKKSRKSDRAETNGDEIPMEIDSNGVANETKSAVAASPSLADT AVDGDGDVSMGIRPDSQDQEPTAAPTFTLTNGHSVGVQITPAKAADLSPDTALLNVAD DCHVTRTLWRPNDSTVVVAVGETFCSLWKMPSSASPVQEKLVEGKGDSICVSAVAWDP TGQKLAVATYNDMRGSITMYDVAGNAVDLLPEVPRMITGLHWTESGTHLIVVASDSKV SELALWDDSVRPDEFPSPQVIDGSIYDLSWLGRNEAYASGNGTVYQCEVDSSIHISKT FTSETDRPWTFIRCANVNVSSVAVAASSAAAAFWIPTHDMHLDGAHEGDITAIELKPN TLDQPQANHPLVLASSSTDDTVKIWHIDLDTKRFDCIHRLFLGPSSPALASSFSPDGY ALAAASKEKLFIWNSQRGGTAMATWSVPGTDGTKIEEGQSRLANGHNGDAESIPDRSL AWDTDGKRLAFGFGKQLAIINMQS AFLA_034460 MENSPYPACLDQLSSPHSLPEHTWETDMSRPTSPSDTHDTGEDA TPLPQRLAKIAHMVSQNADVSSEDTIAAHHCLNTLEALLDPRPKLTQEVVKCRPTRIY PGTSHPVASAASCSAPMKDRASLAFEPSSSQLIALLNEVTALNADLNQRRKESSQIYD LLRRECQGLSRRISELEAVVHDLEIDIVEGSAEREALHGTVRGLEAWVDGWQNEPKLR TSRQNKARRWIRRKPEERYETDSEALIEGITAWMRGWKDVEEGFRVRERGRQERREER QRRTRLAIDPADNT AFLA_034470 MTQITVVPSLETAKDVISHSKDAKYPPNLLPLTASIPADLLTPT VAYLKVAEKSKLSFLYESAATTETIGRYSFVGADPRKVLKTGPGHGPECDPLPILEKE IAEYRVATVPGLTLPPLTGGAIGYVGYDCVKYFEPKTARPLKDVLGIPESLFMLFDTI VAFDHFYQVIKIITFIPITHVDSDFETEYRKGEDVIKRYIERLLRPETPLPPQGPIIP NQEYTSNIGREGYERHVVKLKEHISKGDIFQTVPSQRLSRPTSLHPFNLFRHLRTVNP SPYLFYIDCEDFQLVGASPELLAKEEKGRIITHPIAGTVKRGKSPEEDEALADELRGS LKDRAEHVMLVDLARNDVNRVCDPTTTQVDRLMVVEKFSHVQHLVSQVSGILRPDKTR FDAFRSIFPAGTVSGAPKVRAMQLIAELEGEKRGVYAGAVGYFGYNIASTDGATEMPG AMDTCIALRTMMVKDGVAYLQAGGGIVFDSDPYDEYMETINKLGANIACIKGAEAKYL SMEGEQS AFLA_034480 MGSGGIVGELRRWRRISSGSVWKEAVERKKSRDDEQESSSGFLV ATGRWLTTTNAQSEEAERDNEVRDEKEEETGTVTLRAARGSGI AFLA_034490 MSKVVRSVKNVTKGYSSVQVKVRNATSNDPWGPTGTEMAEIAAL TFSSPTDFYEIMDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYII KTLREFQYVDEDGRDVGQNAQPTAFDQNPYGQPQNNFLQPQATLQPQATLQPQHTAFN TNNPYGTTDMFAQQQQQPQQQQQQQQQQPQDNFLSSGSNNPWASSSPQPGDMLKPMQT GSNNPFAQRTQTQQFQTRPATSGHPSLNTLAEERATTAFNPIANYQAPVAPAPPKSTP PQMNDPHHARLNALLASGDGQDTFGNVGDLRIPAQHTAPGTFVNSAGQGLDRLRANQT GSNPFFAQQRFVPQQTGFAQQQTGFAQPTNNPWGAQKSYQQQPQAGGSLIDL AFLA_034500 MAGRPGLRKTQSSSAKTTSSRNSSPERRKAMPSTLRNADLKKPA KKKVSPRRKDLRPAAASQAAGLAWGSLYRTPSSEKTVSDAALASSRLTAANDPSFRKE SIPPRSKSGDGVPPRKSVPPEDSGEERRSGRKLRVPGQTPRRSPAKSTPAPTSTPTST PQAPAGIRQPSGNRTHSASVSTGSKDTVQPRASPKPSVKPKPVALRSSYQPPTPSGGS AGAATTSNNLQAGSASTPRRITPASTGEDALSDNIDRMSISRTSPERRATPRIRRAVT PPSSSDPESLGPKSTDADEDDVDVEDEDDAIMDILNKLPKGVDVATARQILNDIVVRG DEVHWDDIAGLDGAKKALKEAVVYPFLRPDLFSGLREPARGMLLFGPPGTGKTMLARA VATESKSTFFSVSASTLTSKWHGESEKLVRALFGLAKALAPSIIFVDEIDSLLSARSS GTENEASRRSKTEFLIQWSDLQRAAAGRESPRDKKAGGDPSRVLVLAATNMPWDIDEA ARRRFVRRQYIPLPEHHVREKQLRTLLSHQVHDLTDQDIDALVQLTDGIVPIYSSAST TSFSGSDITALAKDAAMGPLRNLGEALLHTPMDQIRAIRFQDFEASLSSIRPSVSQEG LKEYEDWARQFGERGG AFLA_034510 MLAGAFAGIAEHAVMYPVDLLKTRMQILHPANGGLYTGLTNAFS TIYRIEGWRTLWKGVSSVIVGAGPAHAVYFGTYEIVKDLAGGNVDDGHHPLAAALSGA SATIASDALMNPFDVIKQRMQVHGSVHKTIVQCARSVYRTEGLQAFYVSYPTTLCMTV PFTATQFVAYESISKVMNPSGDYDPFTHCIAGGLAGAFAAGLTTPLDVVKTLLQTRGL AQNEEIRSAKGLFNAASIIKRQFGWSGFLRGARPRIISTMPSTAICWTSYEMAKAYFK RQEVA AFLA_034520 MNDLAAWLLPKHIPACRNTTVFTTPPQTRKRKAAEAAITDFHSA TKDSVARSQAATRPPPRKSARKTKAESPDIAELNPAPDLTSVVSGEFSVSAEKKGPDL VTPASTSMESDDDFMSVASSGDDFLDTQASDDESLGEDFGDDFDGGFSKDKDIVATSR KPYEVEFKVLSPDDIDRDQNQQINEVSSILSLPPESSAILLRYGRWNREKLIEGYMDH PEETLEEAGLGTNFEGTPKTEVIPGFVCDICCEDGDNLETYAMRCGHRFCVDCYRHYL AQKIRGEGEAARIECPGDGCNMIVDSKSLSLLVTPALKDRYYTLLQRTYVDDKENLKW CPAPNCEYAVDCSVKQRDLRRIVPTVQCNCKHHFCFGCTLNDHQPAPCQLVKMWLKKC EDDSETANWISANTKECPRCHSTIEKNGGCNHMTCRKCKHEFCWMCMGLWSEHGTSWY NCNRYEEKSGSEARTAQAKSRASLERYLHYYNRYANHEQSAKLDKDLYLKTEKKMTSL QSQSGLSWIEVQFLDTASQALQQCRQTLKWTYAFAYYLARNNLTEIFEDNQKDLELAV ENLSEMFEKPVPELANLKVDILDKTAYCNKRRVILLSDTAENLKNGEWSFNVEW AFLA_034530 MGPKQKGGGSKQRGNAAEEVEETLQAVVLADTFETRFEPFTLDK PRCLLPLANTPLIEYTLEFLANAGVEDVFLYGGAHSDQLEKYINASKWRSLSSPFKQL AFLKSTSTSVGDVMRDLDGKHLITGDFIVVSGDVISNLPIEGALTQHRARRAANKDAI MTMILREAGRNHRTKSSSSSPVFIIDPTKDRCLHYEEIDHHSRETTRLNIDSEIILEN AELDIRQDLIDCGIDICTPDVLSLWSDSFDYQTPRTQFLYGVLKDYELNGKTIHTHIV KDHYAARVRNLKAYDAVTKDVISRWAYPLCPDTNLLPGHNYELRKGNLYQEQGVTLAR SCVIGRRTVIGQGTSIGDKTTVKDTVLGRNCKIGKNVTLDGAFIWDGAVIGDGTTVRH AIVADNVQVGSKCIVEPGALLSFGVKIADGMTVSEGKRITNAPREEDGGAPESEPEVV GEGGEGYEYVPYEDEDDSDTESNASSGLVYNMAQLSLSTDSISTLSSDVSDYGHSRSG SFSSSGGDDDEEHFVHDAATSVYDSLRDGVTSDVVQLELVSLRMTANASDHQVRRAVV SAFMKRTQQLMEGGKAAGDIVRELFGKYREIIERSLFDRDRDAKPDQVDLLLLLQQDL VHRNRGDTVLLFVAKELYDLEILEEEAYEQWWDDERSSSTDEMKQVRSQTQQFVDWLA NAEEEESSEEEDDDEEEESDDE AFLA_034540 MLEDPSYAEIVRWGDEGDSFVVLECEKFTKTILPKHFKHSNFAS FVRQLNKYDFHKVRQNNEENGQSPYGQNAWEFKHPEFRANSKESLDNIRRKAPAPRKQ TQNNEDSVPTQQIDLLNQQIVAQQQQIQHLSDRYAQLTVDHQIMLQEVMRVQKTVLNH EHVIHQLMTYLLSVDARQRRDSKASGPFQAQGQGGSTLSPSQVASMDDEPSSPLQHAS KLLNDMNAEIQFNLGGLDSLGEPPKTGPVVATTPAMDAAPRNGVVRPSTAAAATPANP ANPTNAATTALVYPKMSGEIEPVVYPVGATNGIDPMYSEHVNNVPYPMPPKQEVDDAR RQFADNRKKSNHVDPGWMRSPHILLVEDDATCRQIGGKFLYSFSCVIDTAFDGLEAVN KIQDGSKYDLILMDIIMPNLDGVSACHLIRQFDRTPIIAMTSNIRSDDIQLYFQHGMD DVLPKPFTRKSLLDMLEKHLVHLKTMPQGMETAQPTAAVTMAAQSSAAQSVKEDSSPS QSPATSINNWQTPGQFQGMAAVHPNLQQVQGQYVPATPATAAAYAVDQNGVQYPTAPV ALTAAAAAAARPQPRRQVSDMASAAENPNLAKRQRVYAPQPQAMVNPVQAARTG AFLA_034550 MDPDEIEPSPAIDLSNENGVGCAVLKAAENCAAKMLRLVMRMAY SDLAGYWFSWSRIGFATVSSFMLLLLVQAPSKDHAIRARRLVHMWRQALRRQSEGSSL MNLALVRLDGIYWTGLCRNYYLSKHVKEALDETVYQ AFLA_034560 MPVHLLAAIYASAQPFAKFDEYLSVLNAYSTPPTEQLWRIVWEI LLQEIHTPHLASLQAGLLYLHKAPEKSQSAVADSASVWSFVGLLVGLATSLGLQLECG PMGLPAWERRLRRRLWWAIYAEDKWRSLLMGRPPYIRNDEWDVTELDDKDFHIDEAQI VLLPPPSSPLAQDVLQAQQFQCFARLSRIADERSAVRTTLIIEFLGISQNRSSTSSKA EGMVRPLTSTAQTA AFLA_034570 MGSLEGTSPESGSAEGPKERIGSEWHRAKSSVLTEETLDSHRGV LPIADEQLGGHRKYTKNQKFDIFDNYFLSPLDILTSFDDMSHKADVS AFLA_034580 MSCYVFSNLFVTAGMLIPGMKWKGTLAWQIANQSLNVAINSANA NKSTPLSTTGMIKSYFMAVSASCSVALGLNSIVPRLKSVSPSTKVILGRLVPFAAVAS AGALNVFLMRSEEIRQGIDVYPFNSATSEGNTGEKVPATSLGKSQKAATIAVGETAIS RVVTSTPVMVIPPLLLLRFQKTELLKKRPYLAMPINIG AFLA_034590 MKSLTGHAIQETPKKVLQSLDTPKTYQCVFSNLHLPFPAELVTQ SNKVCVETL AFLA_034600 MSSKEDRSSAPSRTSESPELANNESYPEGGPRAWLVVFGAWCAM IPSMGLLNSLGILHAWTSTHQLQGYSESSIGWIYGAYGFFLYFAGAQAGIGTVTELCA GPIFDAYGPAYVVIPGSIGIVAALICFSFSEEYYQIFLSFSVLGGLSACTLFTPAVSC VGHWFNIRRGYATGIACTAGGLGGVIFPIIILFAAPKIGFPWAIRIIALLCAILCTLA CLLMKTRLPRNETAGASIDFKALRDIKYATTTAAIFLVEFAVFIPITYIASYAVHVGI NNTLSYALIVFLNLGAIPGRFLPGLIADHLGRFNVMVLTSFICGVLTLGLWLKAGVNI AAIICYAVLFGFWSGAAISLTPVCISQVCATEDYGKRNGTTFTIVSVGTLTGIPIAGA IQQNNGGDYWGLIVFGGVLYLAATVAFAVARGVCAGWALRIRF AFLA_034610 MDRSERPKRTSNACKRCRSRKVKCSGTHPCDKCRQRRQDCVFED DRKIVVSEELFLSLKRRVEEIECTPPPRKRTRTLESSSEPTPEQSGGNRYDERFASNP LALASPGYVKHTGRRQRTWLFLGPTSTWSFSRRILNTIQARLSPHNSTPLPLAVDGDA YQLQWRQASSEELPDISGLPSLEHAIYILNTVQFHFSHLYLLFDEDEFLRHLYEFYDN AEVKVQESRLCWTKYFLRAMSFLPDITGLWQDPVLAIEVLTLIGLYFHSIDMRDTAYC YIGHAMRMALVEGHHRAPPVEQLGQKLVDRCQNIWWTVYILDRKFSSLIGSPNAVKDH EITTPLYDPKSCHQKEAALSLHVRITQVITRVLDTVYSPDGKLGGIFLRKVRSVLQEM TDLSRELEDVFAHQFSNSVESLSGVTTRLTLSCHQCIIVTTRPLVLALLWERLSCFEQ GEVFRTLSSPVHTLLHASTDSALKSLRILTALRDQNLLETFLPFDLENLFSSFFILSL ISAILPDIIPDPSYRDMGFSLLDDMIARGNRVAQLRKSEIVQLEELVQPLLQPNLQPL TPGSSAKQAGEERTSEQVNDSVAVLNAGISPALSVPEAADVVQDDEMHFDWRDCGLSL DQMLSVTDQLNANNLVLDAEREGLQTDLWLWSDG AFLA_034620 MASLPHPFDPITPGEIQLATKIVQAAFPGVSLRYKKIDIQEPIK KEVVPFIEAERLGKPLPRRPTRLLQVLFHRLDNGAFYKALLDAGKQSVISAKELPKDI QANVDVDEMIEMEQLCLNHPAVQAEVAKLQLPEGVTVCNDPWIYGTDDPKETRRLFQC YMYIVATDHPQNNQYSTPCKFSPVFDGLTKQLVRMDYLPSGSDVQTTETQPWKPVETI QYAHDLLQEPLRTDLKPYIVQQPHGASFDVEGNVVSWQKWRFRVGFNSREGLVIYNLT YDGRNVFYRLSVSEMTVPYGDPRAPYHRKQAFDVGDVGFGITANQLSLGCDCLGHIKY FDGYRSDSKGNPIHLPNVICLHEQDNGLQHKHTNYRSGAATVVRNRQLVVQMICTVAN YEYIFAFIFDQAANIELEVRATGILSTVPFDNEEFGKTVPWGTNVGPGVMAPYHQHMF SFRMDPALDGFQNTVYYEDSVPMPEDENNPWNVGYTTEQTVVRTSGTANTSVDRHRVF KIRNDSQINPITYKPIAYKLQAVPSQMLLASPKSFGAKRAAFATKPIWVTKYQDDELF AAGEFTNQSKESQGVEKWVQRNDPVENEDIVLWHTFGLTHNPRIEDFPVMPMERISVM LKPDGFFTKNPALDVPQSSQSFNRSTQHPEPAACCGPAKGKL AFLA_034630 MKATCSTCHKTSWWGCGAHIPSVLDAVPESERCTCTPKVERDGK EYPPKAEK AFLA_034640 MRSLLWASLLSGVLAGRALVSPDEFPEDIQLEDLLEGSQQLEDF AYAYPERNRVFGGKAHDDTVNYLYEELKKTGYYDVYKQPQVHLWSNADQTLKVGDEEI EAKTMTYSPSVEVTADVAVVKNLGCSEADYPSDVEGKVALIKRGECPFGDKSVLAAKA KAAASIVYNNVAGSMAGTLGAAQSDKGPYSAIVGISLEDGQKLIKLAEAGSVSVDLWV DSKQENRTTYNVVAQTKGGDPNNVVALGGHTDSVEAGPGINDDGSGIISNLVIAKALT QYSVKNAVRFLFWTAEEFGLLGSNYYVSHLNATELNKIRLYLNFDMIASPNYALMIYD GDGSAFNQSGPAGSAQIEKLFEDYYDSIDLPHIPTQFDGRSDYEAFILNGIPSGGLFT GAEGIMSEENASRWGGQAGVAYDANYHAAGDNMTNLNHEAFLINSKATAFAVATYAND LSSIPKRNTTSSLHRRARTMRPFGKRAPKTHAHVSGSGCWHSQVEA AFLA_034650 MATDKGVTTKLVDDHNGRYYTEPATDSKGTYQVETRPYEESTNS HASNPFADPEVAERYALIYEKAQYECRHVFDPTLTWTPEEERALVRKLDWRVCLWACV MFFGLQVDRGNLIQAVSDNLLDDLNLSSNDYNTGNTIFYISFLLAELPSQLISKKIGP DRWIPMQISLWSIVATCQAGLMGRSSFYATRALLGILEGGFIPDIVLWLSYFYTSKEL PTRLSIFWTALSLTTIVTSFMAFGILHMRGVLGWAGWRWLFLIEGLITLLIGLASFFR MPASAVETKKWFRPKGWFTDREVRIVVNRVLRDDPSKGDMHNRQAITLPRLWNALCDY DLWPIYLIGLIAYTPMVPVKSYITLTLKDLGFNTFVTNLLTIPNNVGHIILLLALTRL SVWLNERSLTSMLQCVWTLPCVIALRFWPGTMENAWGTFSVVTVLLSYPYCHAIVVGW ASKNSNNVGTRTVSAALYNMCVQLGNIIGNNVYREDDKPKYRRGNAVLLALNILGVLL FIGTKVYYILRNRHRERVWNSMTEEQRQDYLNNTTDTGSKRLDFRFAH AFLA_034660 MGQLGDILACAKRIMHVWMRWEGVLLQVSGDELLVKCRNGIILP QDLINLALACVTVLNVGDIGVSEL AFLA_034670 MARSYWKIGKNQSDKVLIDLDKNPDRFGKSSRQSKLLWGWCLRP GVVTTNQRPADVKKLVEGQGAKFCTTVTGDCTHFVTTQREVDNNNSKYKQACNVYDCN IVSIDWLLESIDAKKPIPEKPYLLNRKDAKSDDKKDDEKNDKKEKKKRTLEEALDVSE ESSNKKAKDAQKLGSKTLNVPVDEGCYLSGFAVYIDPAGLIWDATLNQTVSANNANKF YRIQLLVDRSGTNFKTWTRWGRVGETGQHGLLGSGALSEAQLQFQKKFKDKSGLSWDD RLDPPKKGKYTFIERNYEEDSDEEDGDDKGTAKKNDEEKPEVKSELPEQVQDLMSFIF NQSHFMNTMASMDYDAKKLPLGKLSKRTLRQGFLKLKELSELIADPNLAATQYGTTYN AAAEDLSNQYFTTIPHVFGRNRPPVLNSDQHIKKEIELLEALTDMEVANGIMKESKDA DTIHQLDRQFQSLKMQEMTPLDHSSTEFIELENYLNQSRASTHHFRYNVVNIFRIERD GENDRFNSSKYGKIKNSDRRLLWHGSRSTNFGGILSQGLRIAPPEAPVSGYMFGKGVY FADMSSKSANYCCSYNSGGMALLVLCDVELGDPMLELDHSNYNAGEDAKRDGKIATLG KGRTVPAAWKDAGSVNPQLQGVKMPDVSASSKSANAQSLMYNEYIVYDVAQIRQKYLF QVHMR AFLA_034680 MSPQRKNFHVAIVGGGIAGLTLAIALYHRNIPVTIYEQAEAFGE VGAGVSFGPNAVEAMKACHSGIYEAFEKVFTQNLWPSKQKVWFDYLDGYNKGTSTTAK NASRQDIAFTISNSLGQTGVHRAHFLDELIKLIPGDIARFHKRLESIVERETDGKLLL KFADGTQDEADLVIGCDGIKSQVRQVIVGAEHPSAKPSYTHKYAYRGLVPMEKAIEAV GEELASNSCMHVRHPDLMGPGGHMLTFPVNQGRTLNIVAFHTSPDEWADYPRLTRQGT RDEALRDFAGYGPNVINLLKLTDAELSVWAIFDLGENPVPTFYKGRVAISGDAAHATS PHHGAGAGFCIEDTAVLATLLADERVQTHKDLEAVLAAYDISRRERSQWLVQSSRFIG DSYEWRAEGVGSDFKKIEEAINYRNGVITNVDIPQMCADATKCLERRLSSVTKASI AFLA_034690 MACDTNQHFGKAGGTASPLWRFATAAALKSDHVQPTPPDPSHLS SSPQLRMLSFPCNPAQSSKLELSQVFWCSVGLSRIRFNSVATFQLSQSSGAATPVPYS RPSGAGVETEKKKKRTRQQNRN AFLA_034700 MPPRASLTSSFSVTDANNEVVCPLKNNDGSNCRKRCLGVSISPI FPLCVGRSIGAPLPATPAIAAEKRYRSMQEHIRRAHPNNYIPKLPATEESFILMVTTP PEQRAQISPPNQAQSRRRNAQLHHNRLASDWDTDMETHSDNDIGRDRMRSSIELPSLR DHFKQESLPPFSPRPRELLPSILNHSPPGRSSTLPPIQRRDKLPRPRKSSISAARKPK HDRVKSKEYGRRPSLGDRKALSAEPQTAAWAQGKRWEDLIEAATSATEADDDRQSEVG RSPTLAPLISNITSAPSAPGNRSSLPPAFQSAGGLPPPNSHRPFPPHSFGASPLHKSL TPPPYETARSRDNDLEPFPSIESSLDSASTASGKNFAFSGHLGPLAKPDSSPGLNLFP RQHHRFSNPTPASFRQKDVQVYCASCHRPWALSECYACTECICGVCRDCVGMYISSPT ASFRNVTSSPGSAMSHGPTSYPPRGCPRCRTIGSKWKAFQLEFK AFLA_034710 MFLQSVLALSLALPSLSTAARLFATHYDGNVYSLNLEEKGDKFS LTKTHNLTTCGGAGSTSALTVDSARGLVWCVGEGTPGALTALKVWKDGKMFEEVVTVE TPPGGVDSVTYGIDKQFLAIAHYGNSSISLFNIPFADKQQVKPFDVVKLPPPKNVTEK QPKSQPHQVLLDPTESFILSPDLGSDVMHVFAIDHKSGKLNKCGSNSTIYYDKGSGPR HGVFVTSSEGHHARRARSPHRERLMGREGKKTTLYTVEELRGNVCSFDVSYVNKGCPV FKPLTCFRPYPGSNFPSNTTTLGEIRAAGPTLHISVRKDGKFDGKDSLVTLKPGQKTV TDGDLFSSGGKTPRSFVINRKGDLVAVGNQDSSTIVIIKRDPKTGELLNEVASLLVGE APAEGTWGGLSSIVWYE AFLA_034720 MSQERCIFIDKLILCLVAWANAPRGDTGRACWCPVVALGEGFAA DAMGNAHHGLKQEDAQPSWWMAGLI AFLA_034730 MVGVPHSIGCETCRKRKKKHARPVSPLGAFAQATKQSISLSMKM HLSWLIIGRRSTS AFLA_034740 MVFPLSSHGGFYQYIPGRLGRNVALDSAVACLCTVYADLLASEG TISKDSWRKYAQSLEALRLCLDDPGRCFQSETICASIVLQLCELLTNADNGRWNQLSH GTKALIQNCDIGRFQQPFERAMLESQRAFFIVQDMNLRQPCFLARSPWREFLRETEET ALTPASWACVLRSKLCDWLVDIPVLLEEITGILRSGNYGMKLKRLVQRAMVIHDQING WYLAEVVPAVPYVQQHGDNSAPLRPSAEYSQPLMGVLDCVTNSTLITLEDAISTSVSL FSESDGFHKPIDFSITISKRQQTISNALKYVRGYSLVAAKPLEFGLQQLRSLRAD AFLA_034750 MAPKIGLIICSQRTPRAGLHIGITILNDLQSAGSVRTNAVTLSL IDLTEWNLPMYNEPGIPSQIHSSDQYLHPHTQRWSEEIASYAAFVFVTPQYNWGYPAS IKNAIDYLYHEWKGKPAMIVSYGGHGGGKAAEQLKQVLCGVRMRPLERTVGMTFPSKE VLMVAAEGQELDLSFWEGERREVVEVFGEMVKLLVEA AFLA_034760 MKNLLPVISFAVLTAAQTIELGAPTDGAVLSRGSEFTAQVLKPG SLQPWIEVGIALAVNSCNDGVCPQPSDQLGNVLYAGPWTPTAHPSSGNYQNFTLQVPE YMPEGPATFTLTHLCLIGVSAHNIADISNSLADGTGVLGFNYLRRRALSDSSARAATP ILRRDAAKVQGDITQKIEPQINTLYNDVRGFPTSGLTGAMTIRSDLQSLATTVNDATA DIKSTSSLDTPSGTTISADIQSLMPTSLVTLTHVGAEAPAWEDIQGGPALILSDLRSL KTALDNFANALISNEPLLLQAKALAIKTQIDGGLDIAIAPYSV AFLA_034770 MWLITKRCLTTEVIMEFAFARSANMLEEEESTFDSWFLRAFDSV ASDIWTAHEWPVLRRIGSCLPKSIVKIMNKKVASFFEVINFAESCMNYYEKHGNTTSH PVVFDHLTSLSYPQKITEAMDILIAGADTTASTLTAALLHILADKKIQTKLVQALQSV QPNEQGILPLMELEKIGYLTACVKESLRVGMPVPGRLPRIVPDNLAQPFTVDGKIIPP GAVISMSAYTMHYSEELWGSDARSFNPERWLQPDSKNLDQYLCTFSKGARMCIGQNVA FAEITIVMAYIFQNYKLSLPSDFQRPKQKDLFTMEYGKPGLPVKFEAVN AFLA_034780 MSNIANMADGLGVPVLKTQGASILITLVIGIGTLHIVRSIYRRH FHPLSQFSGPPEAALSTKWLYKTNQAGFPEHEFERLHEKYQTKALRIAPNELHLSDVH QYKVIYSQSKPFLKDPPFYSSFNIDHSLFAETDPALHKERRKMLNPLFSRAGIFKLEG VIHTKAGIMMKKIDRLREKHLINVYDAFR AFLA_034790 MIQMMGFTKNTPTFFCDVCRETFRRQEHLDRHLRGHLGVRPFSC SLCSKSFSRRYGLLHMESRHLAHRHIETHCNGIPARIAISRIRLFWRRGLKDLEAICS TRFVSYFMICHQAIQWMILSTDSLLRTNSGAARMLTPGSHIFNPARSHRKSL AFLA_034800 MRLLNVHTLLLEEFNESKAPPYAILSHTWGEGEVTFDDIQDTSD KYTKKAGYEKIHSTCKQAISDKLEYVWIDTCCIDKSSSAELSESINSMFRWYEKAEIC YAFLADVPEIPFRMSRWFTRGWTLQELLAPRKVAFFGADWSFIGTRAELNEKISEATG IDRMFLWAVNPRDNDSRVTRAPSGEGLVFNGFKNFNTGGVVSDLTVLEGVYEDTEGHI FAFVPTNQPGIVFSHNWNNIGLRLTESGSVKIENVPITWDDALGWSKETKAPIPEVLQ VPFTALLLPTIQLVFSNFYIGIAQGALRTARHYTLTQTRAWPFAHDPKQSPTDEHYVL ARYGKFFASLRAADALADRAGKEIADAFNEHGSKRDLPARKRGEVAEWVASVKVVATH TSLEVTSGVFEVTGARSTAEKYGFDRFWKDVRTHTLHDPVAYKESELGRFWLLDQVPT PTWYT AFLA_034810 MKVFTPIFVLMAFATSQAIAAPAAEAESLEARADYCVDIKVCHG YNYEGGCYKECKKPGEPVDIRKGYKKNAGSFKLGTKGYSCQVGSCCPGGLEVISTGEE MLLADELTRKV AFLA_034820 MSSTAAERRQVFLACKGKAIFSGPYASYRNTGAIIKRIVKHKTS LRALFPKFSVGRVCRISKELLEAGVFESDIKAQLIFPDLLAPSTTKEREHNAFEDETA HTQAKTVKEADSVCEEEESEIKQSPSISMSMKVETSVEEETHANQENTSVPLPPPSAE PELPIPSLHPSYFPYHAQHSILSQVQQVLEEGCFDFTKKWLPSELEDNGWDCAAAVEL TKWTKLFKKWSSQLPDGSLQCSGPEFHARLAEVAGIRHTAVHRAPITARAVDAFIVSA VRLTEALRDSQRTSQLENLHLDIQAKVNAMEFSKNALEGDLRREWEAIQRQGEELERK KVELRTKIIANDNEIKVFMGLLVKKSIERIFRGGAHENSSTGFVTADEKVEGD AFLA_034830 MSFHIAVLDADVPVPAVYNARGLYSSQFRHLLQSAAARLSEAGH KITIHTSAYDVVGGTFPPLESLRTTKRPETRSNETSTSTSNPLAQPIDGILITGAAAG AYDTYPWIKPLETWIQRVYTQYPHVKFFGSCFGHQIIAQALLSTSAPQCAPGPAMKVE LCPDGRETGLVPIDLSPEFVAAFPEALEKLPGAGRQMRLQMIHGDWVVPVAGPKKELP AGWVNVGSTELCPVQGLYCPGRVLTYQGHFEFDVFVNRETCLAFGRRLKWSEEETARF VELIEVGEDDDSKVAAEVVAMFFCGLNE AFLA_034840 MRVMNTITDKPDWDKKVFDEAITSKWREEIAQSGQDVTPKMMDY ILKELQWKTKDFQKTGFLSVYDAGVVKSDTAIPEDLKQALKNSVAPFEQVPEDQKDYH PGSDMKVVDLTIPLWDKSLTHVQERRHARIVYDSVDYHPTSTKEPAYDDYSDDEEFDR KYQEWQRSQEIILPEPGEFTPPEITEKINLREQFHESGLQIIVKLANIELTPEKPEYE GGTWHVEGQLNERICATAIYYYDSENITQSTLAFRQRADKDELSEIAYEQDRHEFLQQ VYGFGPEVSSRDDTQVTQDLGSVVCQEGRLLTFPNILQHRVSPFSLTDRSKPGHRKIL ALFLVDPHMRIISSANIPPQQEDWGKEKRELVTGMLSQRLPVELQDMVSEDILYPSIS LEEAKVYRKELMQERSATTSEQNQQFETGEFSLCEH AFLA_034850 MDSPIRLLPLSHSHQPCCISLSILDATVVRFAPTGAIWLFDDFP SDQKTFLHNLQTSLIQTLDHFPQWAGQLQWVPFQPGRTHRPMITYNTASDPGVEWSVV RLAEPITSYAPTASERASQGFWVGDAFKQNTFLSQTRLALANLRDYEGLPSMTIQITL LEGGGYGIGIKLSHCLADAQALMVFMQQWASACRILHGHTNSNSLSHTDKLNPIFNPS LLDTKASAGINTPTPDPTLITKARNLPLHRYDWWKTSDPAYPPIMIPNTTNSKPPPEY LTPNPLSPSTPAPWATWDFTKPVSYTQIHFTGPELDHLRQQACTQLEPTQTHTPISRL DALLAHIWTLINQARGYDQLKEKVYLNITLGARTRLNPPLPETFIGSPIFITHVSTSG EEICTSTISKTASQIRSTIQQFTPDNLGAMLYDAGLEVSPQRLWQGFMGQRHTLITSW SRLGVYGVDFDGRGRRPRYVHAVMQMMDGCVQVMDSGVEDGGVDLGVYLDREVLGRVL EGIRLFGNEGD AFLA_034860 MPPELVPEGSSTIPAVTHTESHIQSNSQPSQHPDDPLLGIFADL QNLLPLPLTDPLGSLVGVNSIPPGFDHGGDGSVADAGRTAVSEICGFIDQLSRRLNLE LSGSQSAKDGFDSAQIVLTALLGQTYAYLSSDSSIRTTAFVFHGLGFLWARVRGMHTV DDCKWETLAADASDAEKQSLWDTWAAKEVQRRAVLGHYILDGLLSQASGSPTSARHMT NRLTFAASDAAFAATNVGDWIRAMQETNRTDCSFSESFHRICSSQYCLTPLQLSPFSV MVILEGLQSLIAELSEVDQAGVGIVSRQEVVRGLMNLYQANITTIPTTTETHVQLLIQ WHAVCIEASVSSAGLYYALCKHFDLPQQIAGMRAEAAGSQIDIEAWCASSSAFRSLLH ADGINKLLKDVTISNICMIHFPSAIFSSAVVYATLCIFRQDVIRFPKSWVWHDIWKPV LDGTAPQEKHLPADGGYLGQGFANGDNVSSLENVLQPIELLHEINFLQLTLKMIGSRW GVAEQMASAVSRFAALAQERYDLGVYPNFVHL AFLA_034870 MAALAQAPVAIPLDVFPDGLKTTGQHAPLYDHIKSFEQFPKEIS GPTVWKAEEYRDAPEKWTHQFTAEEIAELSATADQFLANKIPLTGISKSNFPLPNLSK RLAELRADLIDGKGFILFKGFPVQEWGNHKSAVAYMGLGTYLGYFVSQNSRGHVLGHV KDLGEDPTQIDSVRIYRTNARQFFHADDSDIVGLLCIARALEGGESDIVSSHHVYNTL AKERPDVLKTLTEPIWYFDRKGETSKGQEEYIRTSVMYLERGENPRVYTKWDPYYVRS LSRFSEAGIVPPLSAAQVEALEVLEATCNRLSLHMILEVGDIQFLSNSHVLHARTAYT DHAPPTPRRHLMRLWLATPEHEGGWKLPFWDSNEKKRGGIQVDDQAPVAPLDAE AFLA_034880 MEYLLPLLGAVEQEKVFVQRRRGFHLMVAYTLPWQHVSPLLTRE MMTHAVTILGIDTLEDDTVEDDEDSMEVDRRYSVSYRDWRDEPSAEVRVQMMTEGEDT RVTAARERLLSLFNDLELVGLGGVRAQKVFASVMNTMMTEFIRAAYSGQWEGPSKVSQ HLRHWIENVYARLAVQVLAIINVPESGTKESDRLDVKLSDVEKWQEIGLSRLGALRTG ELFDIIVEWPASSGAIEDLRHFTAYPAGRFQVTHSFSAVLDHRLLHPGASTVEILQIY ISIIRAFNLLDPKGVLLDRIARPIRRYLRDRPDTVKVIVGGLMADPADARDQTSSGDT LVELSAELTKAHQNSLRSDRGELDWDDMNWMPDPIDAAPDYRKSKTSDVIGSLISLFD SKEAFVKEMQRMLADRLLQKRTDFDQEMSVLELLKLRFGDNALQACEVMMRDIFDSRR VDAVVRNDQDLTRKPAVSTSSSDDEDIPELHAKILSHFFWPEIQEQDFNVPEEILELQ QRYAAGFASLKQSRKLTWLNGLGQVTVELDLEDRVFVDEVSTWQATVIYAFDSPSDDA VSKTANELAEELDMSVALVRSACLFWVSKRILAEVQRDTFRVLEVLPNEEDEAVGGTG VSGTDDNAGDASADTAAAAEAAAAAAAKESAEAAAMEKMNLYWQFIMGMLTNQGAMPL QRIVMMLKIAVPGGFPFSNEELREFLAGMVAKGKLEIVSGGNYKIVQ AFLA_034890 MASEEQVQTKDTLDGKSDIQGFSHHAEDILNDREPYGPPGLAGL VANPFVLMCAACSTLGGLLFGYDQGVVSVILVMDQFLERFPEVSPDSSGSGFWKGLMT AMIELGALLGALNQGWIADKISRRYSIIVAVIIFTIGSALQTGAVDYAMLTVARLIGG VGIGMLSMVAPLYISEISPPECRGTLLVLEEFCIVLGIVIAYWITYGTRFMAGEWSWR LPFLLQMVPGFVLIGGVAILPFSPRWLASKDRYEEALQSLSKLRRLPTTDKRVRQEFL DIQAEVRFHQEMNAEKHPNLQGGGLKDAFLLEMASWADCFKPGCWKRTHIGVGLMFFQ QFVGINALIYYSPTLFETMGLDYDMQLLMSGILNVTQLVGVITTIWTMDSLGRRSLLL SGALLMTISHVIIAVLVGLYSDNWPAHRPQGWASVALLLVYMIAFGASWGPVGWAMPS EVFPSSLRAKGVALSTCSNWLNNFIIGLITPPLVQNTGFGAYTFFAVFCLLAFVWTFF FVPETKGRTLEQMDHVFKDNSSEAEEARRHAIEVELLRAEAERYAMEA AFLA_034900 MDPPAASETKDEDTQRIGVAKFVQDVIIHGKEERAMGPIVPVVG VTNVDLGILSNHAEAYYGVAGERIGG AFLA_034910 MGSFVEDLWASVFTPGPTPTLLVAANATFAALQLVLFLLLLATY SIHFIVLSVLSASLWWSINWFAQELAAVQAQEAEKEKTESKELLTEEDDDDKRKSEAS RKTPGALDSTESDTETESLMERKISAVSAAAPPSTTAAATTTATLQPPEQQGGIRKRL SMSGESSGYVSTDSEWEKVDDKTTS AFLA_034920 MKIKPFVLGIASMLSSLVDALPSAGNDTSQPQASDRMVFAHFMI GIVSNRKSAADFDSDMKRAKELGIDAFALNIGVDRVDPFTDTQLEFAYQSAANNDMKV FISFDFNWWKEDSEASQVGQKIAKFGGKPAQLMMDGKIFVSSFAGDRVDVNAIRSAAG RPIYWAPNYHRPESADISKVDALLNWMAWPNDGNNKAPKPGRLVTVQDGDKKYMNALG GKPYIAPVSPWFSTHFGPEVPYSKNWVFPSDNLWYERWVEMLNLKPRFIEIVTWNDYG ESHYIAPLASPHTDDGCSKYVNDMPHNGWMELARPFIAAYKAGASSVNEYIKEEQLIY WYRPSPRGANCDATDTCMVPADNSSGNYFMGRPNGWESMDDVVFVVSMLKSPANIQIS SGNSQQVFEAKAGATAFKAPMGVGKQSFAVVRDNKMVLAGTSPKDIIEGCVCGIYNFN AFVGMLPPEPLDPLQPDSLSRFAQGLKVQCEAKPSLKPPPPPPSTINPNPPTNPPTNP PPTNNPPPPSTTQNPPPQPPPTNNPPPPNQPPPNQPPGTVCTGGTGENNYKGLCHFCC HFGYCPPGPCTCNAYGAPIPAPPSTGQRGVPADGMDDSYKGLCSFACDHGYCSQGACK LV AFLA_034930 MQCNSSTSPALSAASPNKGNTVLPTWARTGCTRSGIAQLPAICQ LSRISALKIQKHWTLFSCPECDLEACRAYVVPLWAKRYHSSLGSNCCSSHPIPSTSIS IRKFECSRDPVRRHILEKSRHQACLLISGGYAIEAFAECGVPICNLELNIGSSTILVA AVRLHIPHRDELSVNMWSGMRPEHAMLLHIVSGRLLDPGRNTQMY AFLA_034940 MSDPQTGFDQPFFIVTWVETGLALVLLSARCFTSWKIVQYTGPD LILTIITFTFGIASMVMATVGAAYGLGTPSATLTNNNDKDALLFGWINQFLALIAIGL GKVALVAFIDHLQKHEAKAKRAFLWFIAGSNFIVNMTAAILVFVQCSPAKKLWDERIP GRCPGRKRVQTFGYIQGPYSAFCDFALAVYPVLIFRKVQAFSLPTKIGLSVLMGLGVV AGAWTLAELILWNQTEMWVVFIVSCMPPTTAFFRFAYRESASRVSSILHRIRSGDEV AFLA_034950 MRNNLLFSLNAIAGAVAHPSFPIHKRQSDLNAFIETQTPIAKQG VLNNIGADGKLVEGAAAGIVVASPSKSNPDYFYTWTRDAGLTMEEVIEQFIGGDATLE STIQNYVDSQAKQQAVSNPSGSLSDGSGLAEPKFYVNISQFTDSWGRPQRDGPALRAS ALIAYGNSLISSDKQSVVKANIWPIVQNDLSYVGQYWNQTGFDLWEEVQGSSFFTVAV QHKALVEGDAFAKALGEECQACSVAPQILCHLQDFWNGSAVLSNLPTNGRSGLDTNSL LGSIHTFDPAAACDDTTFQPCSSRALSNHKLVVDSFRSVYGINNGRGAGKAAAVGRYA EDTYQGGNPWYLTTLVAAELLYDALYQWDKQGQVNVTETSLPFFKDLSSNVTTGSYAK SSSAYESLTSAVKTYADGFISVVQEYTPDGGALAEQYSRDQGTPVSASDLTWSYAAFL SAVGRRNGTVPASWGSSTANAVPSQCSGGTVSGSYTTPTVGSW AFLA_034960 MVSEPFKATQPSPGEAINPQVSATEALWWVALQARSNWKWARPA ASPDNLLDKGGGDSTWKFTQDELHAVPDKLRKKKRKKKKKKKKKKKKKKKKKKKKKKK KKKKKNETSISTVSNYQAGECSVFISSTRLLSRQRFFKLSCS AFLA_034970 MVRQTNMTRCFHRLTRIRADGVVGDVHGKDRPGSRTFMFDNRSA GTRSHGKRIEYIILVRLMSGTARGCCYRRQ AFLA_034980 MAIMADNNTHSYGWQSRPSHPLHPSSMAMDQQPFPQPQTLPPST GYHVGYHTPQATTQGFYQPGIPAPQYLCSPHPGMLQSRQHPRSSSIPYSFPGSHIAGS PPTIAEHQFTSS AFLA_034990 MSTGHLVGGSYHSRLPLSTSVPTSSSCESPSKQSQVRSTQSRQQ RLQQLTTQLLWRLQQSSPFHSSTAANLVLPVLPEAALELGIPQKPARLLPGLEESQGA LYEIGVADDGTFVGLTHDELEESLLNLQVMAGSLGCKVEILRRVIVGNCEWTEDACVP NAEVGKVHAEELWVAEALVSPDWDYYRIESPKNGSHEGKSSSLAARQGELEGDHTKTE QIRISITGPTTAGKSSLLGTLTSSVLDNGRGTSRLGLLKHRHEISSGITSSVAHELIG YAANELSEDTLDVVNYSSGNVAAWDDVHAASAQGRLAFVSDLPGSVRYLKSTLRGLVS WAPHYVLLCIPANCDDEALKESQPDSAEQTTDINLALSHLDLCNKLEIPTIVVITKMD LASRASLKQNLTKVLSALKLSGKRPAILPVQSGSSEASLDLHHVGPKDSVEIKKLIAT TEDWSSTVPIILTSAVDGSGIGRLHALLGNLPIPARPSLRTISPSKALPPPALPTNIF DSSKENHGDELVIGPLLDNALPEHGVKVTCMALADDEVVPSPPSPTEPEFFSFDSDVN DRDKGDPSELNHMIKSSTNLNVGDVKITCCFVTSVEWVEVGSRVLLMPGASAALASAH NSGSLRDLRVLLVGFVTWSPPQIPVNNTPLSTIKVIRCRKDHVITCLGFLILFSSHTD VCFPECFGCSVLRLFVFDVDHT AFLA_035000 MTSSVNNMAEASSAYAGYRISAETQHSDDDDEFLSSASTTSEGA QEHAVEYKQPALESMNCQQGALCDSTAVPSSNSLRAQEVEMSEVKPVEENRVCDGKAV EVTRQPLNLLDLPMDLLQIIIKEVTHTNDLTSLALTCSSLHALAIPQMYSRFDIVWPE TMSPSIHPTGVDALSYGLATLVMGEDVFHELPPPHRPVVPCHHCGRSAQHVQQGSRLE TDGIRRIRRGNHYAQYTRKFSVGNGPQDCVQEYSVTKETGKMLGTLVALAIARMVNLE SFIWDMPTGVLRDVWIALSSLADRPGHDCRLERVWVRWHDNSMIRIVRTPSDMTLPGI PSPFISPSARSTRLQNYAHVEYPTLSILPPLKSLSVLDIDESSYLEEMAVLIERSRER LKELRIGISSRVYQYNWLKALGGWSPVQQDASNPVVSGWPKVGGVLGVLLGNPHTQFP FELAADQPSSKEAQLGQHGSHQGLNGPVPPAGDVASSGTSSGHSTTQLSDTVPLGHSP KTTGAPRMDCVTSHQAIEGPHPAQPSRLGDLPEPSNTGLGVNKPIASASTTSSKPDRL KLEVFELERVCLSIPVMMHALDWTRITTLTILRCDGHEKLWRALRRQFSPSAALRGSP KPENKDTNSSSSEYSLKLKHIHTDAVSPYLLLFIKDSIAPNTLETLFLHEAPLYDSIV HVDAIYRNVIRNHRMSLKHILVDSTERSPNGNEIASSHRWRKWMFTREMISFITSGRM PRLRELSMTMHSKDWRLPNMPQLRALHIPHITDSIPRDPKELALQILDIVTIRPEVGI SYIGMINKCYEILEVKRSEKYDYDDADDSHSEGFVPGSDDWAPSDTEDDDSDDGGAGS AIESNSELSSDDHSSSEGYDSDLESNKSRVSFRLREILFYDDKISIFKARHGVL AFLA_035010 MKLVRFLMKCANETVTIELKNGTILHGTITSVSPQMNTSLRTVK MTPKGRDPISLDTINIRGSTIRYYILPDSLPLDTLLVDDQPKPKNKARKEADRGGRGG GGRGGPRGRGRGRGRGRGRGF AFLA_035020 MLPTPCTSHVSFDTIYEPSEDSYLFLDTLASPSESAWLTQRFNA TSSSPNQSTASPLVVELGTGSGVVLGFVAANSQVIFGRRDILPLGIDVNRNACIATRE TANKAIKERQTDNESEANCQKTVYLSSVMADLGSSLRPGSVDVLMFNPPYVPSEDLPR LPSVTEQDVDESGMSRSAKFERDSYFLSLTYAGGRDGMETTDRLLEEIPGLLAPGRGV AYVLFCAQNRPQEVKERIRAWGDGWQAETVGNSGQQAGWEKLVIVRIWKETA AFLA_035030 MRALSRLLMATPSTMGSKSSLSEALALLPPLQLYRRILRVHRKK LDPEMRILGDSYLKSEFRAHRNVENPLHIIGFLTEWQLYAQKLEGDTWVGEKLDKNKL DKMSDQQIGQLYELMNAIKNDGEGEGEGEGEQK AFLA_035040 MPSILEDPTTTLPPPKTALQASQTLTPRTSHLKDGTPVTLYPIA NGPQSIPADLVALLHREFSAEIKAGCTYPMEEPMTLERFAEYWFGTFAVVAVLGEEGL VEGRDWEKECLGTFYIKPNYPGRCSHVCNAGFLTTVAARGRGVGIVMGETYLQFAPKL GYKYSVFNLVFENNVASVKIWERLGFKIIGRVPGAARLANSEELVDALIIGRELV AFLA_035050 MFTTSTVTTAPTHPDSGPKTPTTSSLSQLLSSPPPPPPPAVAVS PPENSSPRRIKSKSSLRSLRSLGSSLHDDDLDDFHDQAGSDKSLIRPSILRRLSPGLA ARVKLLDGSHRNATPTRNPGAVGRIPEEHIKELDNRNKDLSIKIEKRGRSWNAIHLGG KGRRPQQIESTYLEVHDPDTELPSPVESESVEPVEETSTSVANQDPLEETLVEQAPDA SATQEVEELEVEEPEEAPVAMAAVASPRASVGIESGASPNTQVEHAQTDFEKYIQSTS DNEAEQPPPPPPKDLPRPTSANSNVQSYFNPQGLQRPESIYSFSRASFSHQLTQLTSI PLPQPSSLEASIAAIPNAPLAVKSLTGSAAQIQIWIKKASDVLSGLDAEDEVEWAAAG GREGLDGIDKAITRFEGLMNIYVKAIEDVQLRDDICNVSADSLKTIVIQMDSILQSWA DIKNRLRNVKEQVELAMEWEELWNTILGDVSAEVESLSRLLFEIEEKRHINMANAWAA DQESNSGLDISELETIVEETPNNGSFSNSNRHSILFDAPPTLDTPLIQTPQDDSEHAD LIAVFARIQPLRASLAFLPMRLSMFQCRAERIFPSACAEMEERRKGLEKSYRTLEADA EALRKEFSEDRWVLVFRNAGMQARKMFLSVERSIAKLQEALETGAHVHNPAGLAKRVE SYEAKKQHYVPAIERVISLIEKGVKDQLTVNGETRSVEVMDSSLTEYNVAPGQHLRDS ISTIVTMDSPATSLIDTPGSSPPSSVVMTPANKGSGASMGSSSRRGSSVGSVARSTVA KVRRYSGIPQPTATLTVKKSAIPKPILTAPSPSKPSGLYTPTPAAKKVPRPPPPAKDN RPRWNSSVNTNDLEVGHVYKSNTPFRKSSAPGRTSRPLSMMSRRDFAVSPAPSTTRSP SRVSSRVSSRLASRSPNRTGSPTPNRSLLDPPPYSKLRRPPGAEGINNTPRNRQSFAG LSFGRSVSHDYNRGLLSPTKAERPGTALGHGGSRRISLLPLPRNKSGRDSSAGTRSKP SERPPWR AFLA_035060 MDESIESESVASPVRASSPSPSIIPTPAISSCPSPSDRTVSTVS TLSSRSVSSATSADARSSVSTVSSRRRGYIRPQGVEFAESARHRESVMSLGSIAHLQY YFARTGLLEGKGGQAREWKKKQKPEDIPRLLLTPNARFIEDLTESPTDESSEPADEEF DEHEVMLPPTVSTYSVKTFHIPPPPDLVALRKDLRDALDEAGKIMETIGSEKEPPRNM KPPRINVDELSDTDDSRSKMLAGATPLGWHEIQGMRILDVVTLAIRAARIYYTAHERP ERLASIKSEREIRQELFDMLEVLKRWASRNFTGGLREDERSSIIGWMANVRSMLAQEV HMEEAEAQERQGWAWARGDWSGKERAREEAFLRSLMETDALPTWTAPEGQNLPTPMLE WFRDGRGLVQIHNQAVKKSKRPFCEIKSFHEDVAKPYRRADNIRYWTKAAEIRWETKL EMDVMGVVYGNSDEAWKKFDTALLTWCKAVREELMRDWRGPDPGNADAVPPHDGVAVD PA AFLA_035070 MRLMVLGAQGVFFNGFFLSYLMSPRICHRFVGYLEEEAVLTYTR AIQDIEHGKLPKWTKLEAPEIAVQYWKMPEGQRTMKDLLMYVRADEAKHREVNHTLGN LNQAADPNPYSVKYKDPSKAHPGKGIANLKATGWEREEVI AFLA_035080 MFSSLRGSQRTTHFWLRALIYWTFVSSLVCAETPFSPVMGGLYK ELPEGLDEVDVIIAGGGTAGCVVASRLSDAYPTLSILVVEGGRDNRGLQNVTYPILLL NNILPGNTDTLFYEGIPEKAVGNRSLVVPSGGVLGGGSSINLLTYSRAQAVDYDQWGV EGWFSKDLVPYLQRLETYKGEGTPETHGYSGPMLVTPGNYTAKASEEGFIKAAAKLGY PEARDIQDLETINATQRNIRYVNGGKRQDAASNYLHPRLGDGFHPNLHVLTQHQVIRV LFNGNKASGVEFRPNPKFNDGVERPVQRVSAKKLVVLSSGALGTPLVLERSGVGDPEI LGKAGVDVVAEVPGVGAEYQDHQLMTYAYYSSLLPNETFDAIYSGRTNVDELIQKNDP IIGWTAADVYSKLRPSDEEAKALGSAFESAWNRDYKTNPTKPLSMITSLNGFPGDSTG QPEVQYFSCSTFTPYPYSRGHLHITSKDLDASLDFATGFFADEDDIDIKKSVWSYKKQ REIIRRMDIYRGEFAPLHPAFAADSDAATPSEPLDGPLPDDVPDIVYTAEDDAVLEQW LRAHVGTTWHSLGTCKMAPKSEGGVVDSSLSVYGVEKLKIADLSVPPGNVGANTANTA YMIGEKAADIFIQELKA AFLA_035090 MSTQRIAFKVSGTVQGVGFRDFTQRRASEFGLKGFVRNTTCGRV EGEAQGTPESIQKLLKEINNGPRLAHVVKVEKKDLAVQDSETHFGVRRTSESAFDDTN AFLA_035100 MTSILSWFRRLYSLDTLDTRFIVSSNTPLKAVATDTRSAPAKDA RANAIASNAAPSKWRTPEFWDNSDAQYSSFRDNIPYLLALLVAHPLLRRVYEHFAQPV DAGSTQTKANATTAQGDARLARRVRFDFYFALIFIVALHGVSAIKVLGILYVNYKIAK SLPRQYVPTITWTFNIAILFANELCTGYPFERIATMLSSEGNAGQESPLILWGRYLDS FGGIMPRWEVLFKVTILRQISFNMDYYWSLDYPASSPIEKKQADPTALSERDRVNIPA EPSAFNGRNYLAYVLYSPLYLAGPILTFNDYISQQRFPPLSLTRSRVTRYAVRFLLTV LAMEFILHFIYAVAISQAHPDWSLYSPGQLSMLGFFNLHIIWLKLLIPWRFFRLWALV DGVDPPENMVRCVSNNYSAFAFWRAWHRSFNRWIVRYVYVPLGGGRGRARGDDNKSSS VLFAKARQIFNFLIVFTFVALWHDLNLRLLMWGWLITLFVLPEIIATLLFPAHKWRSR PNTYRVLCGIGAVGNILMMMIANLVGFALGLDGIQGLLSEMLGSYSGLVYLATACAAL FVGVQVMFEIRQEELRAGINLKC AFLA_035110 MYHVELLPSTTTHLTPGWTYVPDRGFDPSKAAITPSIGRKRGIR DPGSRGDVSSRQANAIIRHLAELDRENHKDVHIPIPVKQKDAAGRGTRGKVTSNVRRI LQSQKTFRNYLDDEEAALAQAAQSTTQRPPANKVTKPSSLRRSSTPATTPRPESSRQK KQSSTIPAPQRASATPAQPPSTTATEDTEKEPKKEPEDKQALIKTEHDNDPLLRSYIP SAPSERIMQALLAEPPLTYNASRVGPPVTMKSQRYFCCVCGYWGKIRCKNCPSRTCGL DCYKLHEDSRCGAFY AFLA_035120 MANTNVTSSFTEPPSLELTSGAFMIMSAYRMAFPGLRSVATRRA VIPVRRSFSTSPSVRDAAGSTLPAKKPVGAFRGGIFGFLTGTIVAGASVYYYILGEYR VTNEMLTEDIYALQSATQKLQTYIGELETKVDQLRKK AFLA_035130 MDTQRPGGDVNTSGQYFWQCLFLASITSPSRRSGVLAYLNRYLP KLGVTDRRPSKSSSDGSNDMPHDMQMAADSVVLPEPGLLIRCFASGLTDEQVLVQRNF LDLLVTHLPLSSPILQSRITVDDLQRLLIAAVGVVARRDMSLNRRLWAWLLGPDPASD RTSFEARNSISENADASTGSGQELSQSEYFRQFGLEPLVGGLLEIIGQKTVVPSEKTR PFRISLSLMDRWEVGGHIVTAVFLPIIRNVQAFEKVASKPHFDEVFRSASAFFDGVES GVIFSELLKLIDWTPESLDRDNGQVMDNLRLAQFILENFNVREEDMVLNHVPLLTLSV LIKMNELSPKNHPKIAHDQLRLVSQGLFKVISSLTGLLTERAFSKKLASEKITKNHPT DDLNMPEVLEKIHGFYKQSTSSLDPHPLPFASKQLAELIISKAYELVISALAGDNDIA SIQENVNLLIILLKKLPKSRIPRDRRLYLAICNRVSANHTEQSTATFSAISSIATGVK NLYSIQSPGFYISYEDISDLIPSLVKQIWQYLSPLSPKFHVEAVRCLWHLHSVSWLDH LVEASITSLMVNSSNTSRQLLSEEQVGRYFVLWNHSHHGAYELPSKHAQDSALTQVSY QSSLLERPLFIVLDSLSQAPNEASEVVQRWLQDLPSVHKVFHIVVSRLESLFTQADPS VANQTSLSISSDDYKECSYLLETIHNIISVIPHNGWVALLAQASAQGDRHHDVSASED NTGTQTLHSSIFHAILRIVSGPKTTAQTSFDEVKLQQTSLLVMRQLLLGPGAEEVAES GIDSLLVERLSSALDEGGSVAVQEALIDTLLAALKVRFAQAYLPQPPPRPKHQRASSR ERLTSPSILSFTSDKAEKAPPIPTMPQPPQQLLECLLKGISSPNSRGTVEKWTMLLCE VLPLYSGSIFQIILMLVDCFCKEIQIAYANLQLSFKHTKGWPEDRSEHATIALLAGLE TCIATAHDRLLVDEANVTAVKSPDQPQGFFGNMVSGVFASDASHGRSTAANNRLTVLL CFQDAVRLCFSIWAWGAVERSTLPQDAESLASFQYTSVRMRNRSRRILEHLFTAEALE CLETLVEMWTKTDSNTSSLIFSLLHTLDGSRPKITIPAVFNAIYTRTNPSALDPSRKS TLSSTLTENELAGFLVTYARSLDDDVLDEIWTDCTTFLRDVLSNPFPHRQILPRLVEF AAILGAKLENTSFGEDRRMRKELGDVLLRLLTAIFTSKPLGLSQESGLLGRGSLEHDN LPAPHIGPDDMLSILASSMPAFTITLGDSDRITTAVSGISTNVIGPLLRSRLFPNNIS HSFMALLQHIAKVPAAAKIWKKDIADAFNDPRFFSSHLELVKGGWMNLLRQWVLADKD RLSELMSRLPPPSTAGIMFGVGASAARLDADRKAQLNLRRITLLILSANNDYFIGELP GLLQKLEDLLGATSSSSPSSTTRAEIFMVLRALALKSSTTALAPFWPLINTELQEAIA AVPLGLQQEVYNPYALLQACKLLDTLLVLAPDDFQLLEWLYVTDTIDAVYPPERWEPM ALADEISQSFGTRSAAADGPRESNELGYSVKQPGLTADWIRETAKDELIDRVLRPWFE QLSIHAFESTYSMSSPGLTTSYDDLLADLFNESTMAN AFLA_035140 MDSEIKNQLQDSLGGNDDSKDDITCSSKSSPTMAASSVRERSTS PEGPPPPLPPRPNTLNLLDEGASSSRTLRQSTQSALQSRATTAVSLTDIASHDGGKES YPARGLPGTLRAKASLSHLASPRGSDTADSASNWKSKRKHYLILSAAGKPIWTRHGDG GLISTYIGVIQTIISFYEDSQDRLNSFTAGDTKFVIVAKGPLYLVAISRILESETQLK LQLEALYMQILSTLTLPSLTHLFSVRPSTDLKRPLQGSETLLSTLADSFTKGSPSTLL SALECLKIRKAHRQTINNALLKTRTNSLLYGLVVAGGRLVSVVRPRKHSLHPGDLQLI FNMVFEAEAVKAGGGESWIPVCLPGFNSSGYLYMYVSFVDLREDAGNVADDTATKEES VAVILISTDKERFFELQEMRNSFIEQLEKDGSLKIMKEAIDKGRPKTTDIVPGTVLHH FLYKSRGNVQFTMSSYEPDFSSVSRRRRLMSTYNNLHASIHSKHAHVRVHHCVSQSST SLAWVTPVFQLYCVAGPNANRNALAHSASKIVQWVQQEEERLFIIGGAVRYLALVIIS GLI AFLA_035150 MAAKLVDKKLHNVPGKLRVAELLFDVPVNYSRPNDGTLRLFARS VRRLTASFDAPKEDKQLPWLVYLQGGPGYGCRPPQENGWIGTALDKGYQVLFLDQRGT GLSSTISAGTLALKGNAIKQAEYLKNFRADNIVRDCEAVRRCLTVDYPEDKRKWSIIG QSFGGFCAVTYLSMFPEGLAEAFICGGLPPLVNDPDPVYARTYEKLEERNKAYYSKFP EDVERVKRIMQYLEENDVSVPSGRLTPARFQQLGLIFGMHGGLDSIHELVLRAWNDLE IFGFLTHPTRTSIDAAGGFDGAVIYAILHESIYCQGQASNWSADRLRSANANFSIDAS RPEIWFTGEMIYKDMFDSYDELNEIKEAADILATTKDWPALYDEAQLAKNEVPVYAAT YIDDMYVHFSHASNTAAKIKGIKQFITNTMYHNALRAKSDEVMQQLFALRDDSID AFLA_035160 MSDKSVMTAMELKDVTVTAETQASLQQQLWEITQTPWKSGILPS ANHLARARASLPSCLANEGVGFEDAKQHILNDIVPAFNGSSISPNYYGFVTGGITPAA LFADNIVSAYDQNVQVHLPDHSIATDVESNALGLLVDLLHLERADWHNGIFTTGATAS NILGLACGREYVLNVAARKAGVPEESVGEHGLYEVIQASGLSGIQVLSTLPHSSLVKA AGILGIGRANVKNICQESNPLLFDMENLERELARPDKASIVALSCGEVNTGRFATTSI DDMRELRRLCDKYSAWLHVDGAFGIFNRVLDDSPEFATIKKGVAGIELADCIAGDGHK FLNVPYDCGFFLCRHPNEAFNVFQNANAAYLTGNSNGPPSIPSPLNIGIENSRRFRAL PVYTSLVAYGRTGYQSMLKKQIRLARMIAGWLFDHSEYNVLPEATTKEELMDQTFMVV LLSAKQDELNKVLAAKINETSKMFVSGTSWQGRPACRIAISNWRVQGDKEFEIVTDVL KSVVEGGTTN AFLA_035170 MTYYKNYIILFGGFQDTSQQTKYLQDLWIYDCSKYTWYNPILPP ASQKPDPRSSFSFLPHEAGAVILGGYSRVKATTSVGGKQMKGGAQRMTMKPMVHQDTW LLRITPPAADAPASATPTIRWERRKKPANAPNPPRAGATMAYHKGRGIMFGGVHDVEL SEEGIDSEFFNTLYAWNTDRNRFFPLSLRRPRAQGKKQANQVKSRDRTKADEEELLQN LRALEAKKGIRSQDDDDEMELNTPPVEEESDKPEKPSIVRFEMPHMRFNAQLTVQDDT LFIFGGTYEKGDREFTFNDMYSIDLVKLDGVKEIFYNEPENWNLLNEEEESDDEMDDD EDDEEEEEGDEEEDAMSLDTASPAPTETTVPSVTQEMEQLEVEEPEGEPSVQDSRPLP RPFESLREFFNRTSEEWQKILLETLKAKGLEPEMNIKELRKDAFNIAEEKWWDSREEV MALEDEQEEAGIGEVVSLAERENAGGAGRRR AFLA_035180 MTRHNLEVHLKWLLQQGPSLYPSLSPSARENRNSIRENHTQNRL IPALHATGNQVPEIAIGDSQPLQKKPVDNVEKDFEVESDEDMARLLMAPQSASKPRLF SRPTDAVNRSPSKVNGRTHAESPTRRREALKSPTVKSTQGDTLSSFDSVTKTIVTPFR TKHKFDVESSAFDIDTIDLTGDFDKTTTSPSIVDEFGEPHRLRNEEPPNRKDAMEKRG KKRKSEEYISDLVSPRKNAPRVRSPLPNGGTTDAAPSGLTRLTKTYITSSPTKSFIRE APYSHSSVLNQIIADSDEDGDETLFDDLMSDNDAPVINNDKSLYPVLPQDTSAENERR EKVAKNAIHRSPLSSEMDLTSSVTDTSVSKTFTKAGDSGLTYALGTPGSQPKNSDVTK FLTLSDEILDQRISGFEDTLRKNSEIVFEQAMKGEPAPGLIAENKSITARIEAIKSLK NKKAAYHACESTRDQLKKALMQVISQGGSPNTMPEELEQSRKATLQLEQIESDIQRLL VQADLLSASSSSKGPSRQGSERLQSPPRSATNMNPKKSVHPQDLEAIETASSRLPSGP TCRVSQADIPARATNPSCRSAGSWSKTFDYDEPMISDDDHAFTRTMGSPVRAEQFDEF DLDADDEEMLEAAGFLDDGYSVSTASNSLQIRKVFAETSGNVARTPTTQKSQTHNGLW HHHPWSKDVKSVLKDRFHLRGFRLNQLEAIDATLSGKDTFVLMPTGGGKSLCYQLPSI VKSGTTRGVTIVISPLLSLMQDQVYHLRQLEIKAYLLNGETQKTERQWIMSTLSSSDA EGHIELLYITPEMVNKNQTLIRNLERLNNRHRLARIVIDEAHCVSQWGHDFRPDYKEL GGLRAQLPGVPMMALTATATENVKVDVIHNLKMEGCDIFTQSFNRPNLTYEVRQKKKG NELLASIADTIKSSYHNKSGIVYCLSRDTCQKVAKSLRDDYRIKAEHYHAGMKPDERA EVQQRWQAGRSHVIVATIAFGMGIDKPDVRFVIHHSLPKSLEGYYQETGRAGRDGKRS GCYMYYCYRDSMTITRMIDSSDGSKQQKNRQRQMLRNVVQFCENKSDCRRVQILAYFN EHFRREDCNASCDNCKSDSVFELRDFSQHAASVIKVVRYFEQSKENVTLSYCVNIFRG SAKKFRSPQHRQAPGYGEGSSLEMGEAERLFYHLLSEGALFEENVVNGSRFAVQYIKL GRRAPDFESGRRQLQLHVRVSPHKKAQPSRSVNRKDYHPQSTNVSSPVQAANQRHLAR YRYEANSDSDRDSDGFERIRIAGKPRRDKPFTPGPPITQDARFDRLDPLHKAVAEDFM VYAKNYCQDLVLKKGLRNQPFTDGVLREMVIAFPKDLSELSRIPGIDKDKVNRYGAQI LKLVRDTQRRYAELKKDRDDADGVVPDPNHHNVINLTSDSEEYDDGDILDDASNLDMD NNVISSRYFTTEPIPEDDSSDGPGGASSSKPRKRQATKRTRRKSAGESKPRNKTPRPR KKTASRADSRPPRKGSKAKEPMSRIGMMPI AFLA_035190 MDVPQETVDKIQRFAVKREKAEESYDQQISPATLQAYNKKLDET LKNLQEQVKRQEDDLRKLRSVNAVDLSKIGADPSSRVSQVRRAKKAYDSLLGSETKLP SSGSPLPSLLAVEEISRLVKESKLSVTMTADKLSTNRQRLKVEEANLRDAQAINDGLQ KRIARIREQQAKKEQKSPSQLAHDLVDQQQEKKEELDKATEELQTSLHNFIDETLAPM LAAEDLGGPTAGDAMSVSDETLERGYTSHGKPKKPKASAANHDSGQRRIDELVRGQTS QGENQERNPSNRREAAAAEMHELLTSLLDAGTSYIDLPRESAAARFLVRAKVAQFHPR DARRLRLIDFGRSLND AFLA_035200 MLGITGLGFEQKASRVQSYARKERRREEKIGSGTIKRRDVDGDS GGEDSGQAVDSTVATELKMPSKGKKVTMMDGGEEVAMSR AFLA_035210 MSASPSALQSTKRPLEDPSSPSGPNDQPEAKRPALDKVVKGDES EAYAEVKTESSGVPSASADGQGDTVVPDAPNAKGTNPETQPIQSTASHAETGSQSEQH RPQDESSWIHIRAVISSQEAATVIGKGGENVSQIRRLSGAKCTVSDYSRGAVERILTV SGPQDAVAKAFGLIIRTLNNEPLDAPSTAQSKTYPLRLLIPHILIGSIIGKGGGRIRE IQEASGARLNASDACLPLSTERSLVILGVADAVHIATYYVAVTLVEQLTERFGGPAAS AYATRSGGPAGAVPGGMQVVPYVPQPAGGQYGHPDTFKRHHPHPNRAAAGAYGVPYLH GQPAPAPVAQPAMHYGGAPQPYAGAGPHQPAPYGAPQAAPARGGPTPVAPVGGAMPGQ PLTQQIYIPNDMVGAIIGKGGAKINEIRHLSGSVIKINEPQENSNERLVTITGTQECN QMALYMLYSRLESEKHRI AFLA_035220 MALPVSEFIHFQLKSSVKPEDPSNEEGQALLQLFQTAKHQSGYK SSAWGRTVEDENIVVWVVNWADAHEGIQPQFLAPYIEPNTQVSVIFATLTPSITETES LTTNPVTELVALTVPSSLTPDEQKKLNADLIEFRAALTEKLPEGGRPKSWAMAQVERP GTLEHEKSPSGQAVLHLLAVGWESVDVHKAARETEEFKRTIAPIREKVIPSVPPLGMK HVSFRKV AFLA_035230 MAFSFGASSPAGGNIPAELGPELPDVSAEVRRALMPEVGFKGVS SDSNVRLLPTPWPDNALPAPSSSLLAVAPTKGVIVGAGPDSLAVASSDAVRKAISAPT EDKAKTKPFQPQATIPLPARPTHVAFASGDDALILATENSSQLLVYETTSLTTGNAQP ALSIPTNGATFRSLAPNPAPPSDEAHSSLVALITTNGELLVANLKAGNLVSGPNGNVL KTGVSSVCWSNKGKQLVAGLADGTGYQMTPDGTQKDLIPRPSDLEGNCHVSSIAWLEN DIFLMVYTPNDAEDESGQTPPSSYYVITRRKQAPFLIRKLPELCFPFGVKRAPAYQFI ARLRDYKPHLKDVLIISSTASGDIGLVTRSEQPLASGDTAKATIDLFTTTELSDDTKR ASPPLTDSGEETSVIGLGIDLSSTEIVVSPIAGEDISESSTPLPNLLLLNNEGILCSW WFIYSESIRQKLPYHGLASVAQPQPQQQAQPQPPKQPAFGQSAFGSSSALGASSPFGK PSAAPAFGSPSTLGSRSQPTFGAPSFGATSQIGSQAGPSFGTPSAINRGSAQFGKSSF GSMGTSAFGQPSTPGKGFSSFSLGTPGATSGGGFGSFASSGGFAGLAASKPAGESPFA KTTGESPFSKPSGSSVFGNQTDTSTAFGSQKKEPAKNPFGLPPSGFKLESSFKGDGTA INDGPKPERPSGGLFGSFDEMVSTPTKASPPTESMDDMEDEPTAAQQQKPEANPPAPS LFGAPTKLNTPAPSSLFGTPNQPQQPFGATQTNKSPFSILGNSDKQASTPLSPPSDKT PIASPPLKKEELVDDQKTPDASGEPPLPPDPTSKASYGPGDTSASSNVSKSSIDDAPL PPDFTTQLKPAPQDDGGEPPLPPDFTLESKKEALAEAEPEEAPLPPDFTAAKPSPTPA EVPGPVPDDSEAEADESEKELSDEEDESDFADSGEDVADEAEQQEEESEAETPEISPE SSFGAGFSGKTSAGGLFTGVSQSSQTLNQPPRQLFGEVRKPFLPPAPSTNRDFPRSPS PVRNGAPKSLFKPQAPGSTLAARKATLGDLAKRENQFRQHSAQAIEEAQAQQKLQAQR QQEETLSLSDDDEDERLRADLARPIEPVPTLDPFLPHQDYTGQTSKPGIPGQIERLYR DINSMVDTLGINARSLSAFLMYQQSSSNSDWVNVLQGDHPADLLDEKLRLSEIEQLDD ALVMLAGELEKQRVQGVEKKLEDCRELLSKDILTLRGQCASIRKTLDAHTDSAAIVSA PLSSEQANLQQDLRTAYTNIQARLTDLESAVSLLRAKIAEAPRSDSASRQSVKRPTVE AVTSTISTMMNMAESKSSDIDFLEVQLKKLGIDTAASPASREGSPFATPKKSVGRLPT TPGSRGSIDGPLSAYHTPDSAARFRSSINGSARASRLRSVEGVGDLASKEESAQWKAK VQRRQHIVGNLKKAISEKKSKVRSVDDL AFLA_035240 MTGFDFSNYNRNAALHAKGVPLPKATSTGTTIVGCIFDNGVVIA ADTRATSGPIVADKNCEKLHYISPKIWCAGAGTAADTEFTTALISSNVELHSLSTGRD PRVITCMTMLKQHLFRYQGHIGAYLVVAGVDPTGTGLYTVHAHGSTDKLPYVTMGSGS LAAMSVFESTWKANLNREEAVELCAEAIKAGIFNDLGSGSNVDVCVIEKDKPTQLLRN YIKPNERGEKERNYRFPRGTTAYLNQKVISKEDMRKYVTVEEVSGDPNLMEVDS AFLA_035250 MSFSRHAYRHAVPPSSHSLVDHVWISEDFLASTFRRFANGQRRY ESRVPGPLEARRRLAKRRNTALASLAGSGPLDDIGCLFGRNGREHMKWVGGQSRNAPR EAQGSATYAIGSSAYPFPFYNETTESNEFSRSSGWPGSSYIANKASLEQCLAESLKDC QTITAIKDVVRKLRIDLQQEPAYSRSIFEHLVSQSIDHKCAADELVRFLDDPHLNTRG AGNYLCTVEHFVSRGADLSKRSALLGNVTAALELGRVPEDELCQIIRVLFERVIGGTV VRQRDARILTAYFREMWDAIGRCNIYEYENLDKETIDTWLEGLEKMDLYDSFVLAKDI ISATQDRYWAPLFITRFLKFSLDHETDRDYARGLLSCFTPDEASRCIITVTELLAPSR KQHLFEMWQDHLRRLQNIPSLVSSSPWSDIRVTASPSTSCLTQQQRIILRLWVLRTFS EYLPEGPLWRQVVRATDYPVSRLLSLYKSRVDRVNTESFLSSLVGGIHDLGIPPSGLL MLTVQLKTGKRMTKATRRTFNRLESSNVSLLDIFANGDAYRKTVPHLFSDFERLVRQI NVTSPSFVENSIHIASTGDSQNVWTLIRLLRCHTPLKIALSRSWGPIPDPSEKALVRY YPEARTSECPDPHAALDMVHLIAISISSSKQLTARRAYGLIQWLYRFLVKHGAPVKPS LVRAMYHVGVVRYRREGLRLPSMQYAYILDLVEEVEGPEFLDIMVPKVGQSDSYVSQL ELQ AFLA_035260 MDKIGLRCLRILELTTENSDTQSLTASVTDYPIENGRRYHKYHE GSYIYPNDEQELDRLDMQHHLIKMVNGGRLFFAPLEHPKRILDIGTGSGIWPIEMAPI FPEAEIIGTDLSPVQPNEVPENVHFLVDDATEDEWLWGPDHFDLIHTGHMSGSLPSFK ELLRKALNHLKPGGYMECHEFDPKPKCDDGTMPPDDPEKFSEFALQDWCDLNVRSGQI TDPPRQFRVAHRIARWMREVGFVDVQERIKKVPNNPWPTDPRMKEIGKWNETNWLEAL SGWSYKPLTALGWSKPEIEVFLVDVRKSIQNRDVHSYLNFFVVTGRKPLPGEQKP AFLA_035270 MVVGILQLSELTTYSSSRSSGPYTAGKKSFRRTALFKTTLSNPK AVDTFLIDFLF AFLA_035280 MATTNLTTPSTCIADFSLIPIGSQNASFSKQIAEIQELLQKSGL KYQMTATGTAVEGPWDQVARVIGYAHTLIHEEGIPRIQTDIRITTRTDKDQPMEGSLQ SVERILAT AFLA_035290 MLSRSLKRAGPVPWVRPVLSCTCRRSLAHVASLEDIPSEDDKPF SVPIAEDSFDTYHLDPPPYSVETTKRELKQLYHDMTMIRRMELAADGLYKDRKIRGFC HLSTGQEAVAVGIEHALTKQDKLITAYRSHGFTLMRGGTVKSIIGELLGRRDGISYGK GGSMHMFCESFFGGNGIVGASVPVGAGIAFAQQYNDANNVTIDLYGDGAANQGQVHEA FNMAKLWNLPVIFGCENNKYGMGTSVERASAMTEYYKRGQYIPGLRINGMDVLAVLSA VRYGKNFIQAGNGPLVYEYMTYRYAGHSMSDPGIAYRSREELKDQRANDPISNFKERL IEWGVFSEEDAKAIDKNVRSKVNDEVAEAEKMPEPDTKLDILFEDIYVRGSEPQQRRG RTIDETYYRG AFLA_035300 MPRTTRARSKKNGARGGRQSREQDDEVPEVYREMLAEAEARDPG NLENDRPIKKRKVQGQSLASPAPSQTTKQEDQHPSKQQENVNLQVQTVYDSSSSDESE MEWEEVDILQAPAAPIQTAPAPGENETMQITLDPHQDQKRKVIRRRKPITATEREMRL HVHKAHLLCLLSHAQLRNLWCNDEEIQGFLKQMLTKRVISLLNPSQEQPQYTRSTTFI DGLNQASDAFLKRFRVIKSGLKRAHWADDSLALKQRVEDIMSDAEMFLSKDDFQKQAK TLQGSRDFGAQLFCALLRSVAVEARLVCSLQPLPFTGTTKQMTPTKPGRQVIVMSSDD LDTSTDDLAKRGASPTPSRTRRLGRPQFKPSTQLKSFVANSRPSIRESSFPVFWVEAF NEAMQKWVPVDPLVTKSIAKSFKFEPPSSDPYNCMSYVIAFEEDASARDVTRRYSKAF NAKTRKLRVESTKNGERWWGRVMRFYEKPFLEDRDEVEISELTAKIAAEPMPRNLQDF KDHPIYALGRHLRRHEAIFPKRVVGQVSVGKSGSRNQVLEPVYRRSDVHALRSANRWF RLGRDIKVGEQPLKRVQSHRNQVMAIEEDAGDSEQYTETALYAYHQTELYRPPSVIQG KVPKNAFGNLDVYVPSMVPSGGVHIKHSDARHAAKLLGIDYADAVTGFDFKGRHGTAV IQGVVIAKEYEEALKEVLGCLEDQKLQAELEQKSAESLRLWKHFLLKLRIAERVQSYA VEGEEADDEESVASSNNDHGSPEETGGGFIPEPNQETIGSQYVAQERHFESPAAPKDD DLLGGRFIPNDGERHQETVQESVLEVASGPSHTNREEQSLYSLVVMSDDTNTARSTTP YQGATMLPEASAPGSRDKEGHRDGDRKIPEGVPSDTPILVESSTAADTPSGSVKVVSQ PLSATQSRIESPVTSDEESNSNDEGSLLSHDPEDEDAIPEWLMSD AFLA_035310 MRRGDTSGTNAQTNQEQFQTPDKLPPGDSLRGSLTTKKRIGTPA SSSSTSRSWKRSSFAGARDQPTLTQIDFVTQVSQHQSDDDDDLDYIAEAPKHGTRKRK EVIEIADESGNDADYRPPSNSRVPRARGVRFDQDTNSVGSKQSKAVSRGDGPSKRGLR KSGDGVKGNKKGKDKQQKDKTLTQMDYVRRYLKIEPDEDVKLEYTYTTPQKHERNSHD LQPPNAGDTAPNPVDTYSTSSESKRRKLNDESRSSGEAESAGHPKPAEPFAGPVTPRK PRRSEIPSSQSPESPGLAIITSSQFRSATRSPLKRESPTPTIKRIKEESPGYEQVEGA LKSPEETLSHDSTPPSMIPHPPPTVKLTSKESLETNASSTQSYSTKGTTVPKEGIDTH QELDENPKTTQRTVVYETDAETDTGDFQDNDFNIPGSPPERRSDNHFHTYEDDNELPN EDSQELPPIPPSGLDVESGPLQSETNLPSDASVYYRRPQQATQFPLEPIPVLNTQKMA ELFPDYQQESATVSEPPSTPQRLLYTQTQTQSQTQDQDKASTEIVPESSPIVRCESGV NPKDAIRRGHIARESVVQVESSQPADRFQRKADTDRSSQPRGILSRSDLLTSSVMESI PLPAFMLGSQDSIGEPYSDI AFLA_035320 MNYAIRSGIAITAKYAIRQSSRLLKNVENAEEREELLVLQQRLE SKIQVISPAIDMIELIAARGNTSLESAVSLTKSLRWDIQALGQRLANAAASEEIARKG GQSSISRSQIDDEIKSIIKDIRRLLVRIEDAVPLMNLAITTSGAKLSTNLPATISPSR LLQASTFLTAGDTQYSMSPSQAVQIGPTFTLSMYMLFASHLRSHDDEGIRETTWKEVM HKARLKLRRVPMDLAVNSQTQLPRTQIAAEARVDEYAYQLLIIEDLDDGRVHTFEEDE PQPQTFEGVVSAGLREILPIHQISKIFYADTGKILNISPDGEVNNPVILLKRDINAIP PRRMVEREEAFDYSNEDSDQDEEEVDEVQAQLDAQLNGAGAPSTYPNFHESSIPEEWR LPKDLDPEWIAFEVYNEDDESDSESDDENLRPSAKGDSIDPELMAKLSLDSDATPSRQ GALGESPSQHMATTTVTNPHFNNIRTSLSLLETLLRLTSLQQFQQQSHLSISDELLNF FLEESSTTGAGGDEQHRQRLRADARRRVGWDPYDESPMKRRGEDYQYGWVPEGTPSAY PRESSEFPYSPSDRLRGFQLRSRENTPETPPRSRRNTPRQPDMRQHSTLRSTPAYMDK GSRKNSPLPNRSTSASGDDSERAGHESSEEKP AFLA_035330 MAGQTPESWEDELSQQTEGVNLNAQNRPQPQAPSFRPGVASFQP GAASFVPGQSFQGYGGFQQYGQYGQQAYGGYPYGQQQAYGQYGAYAQQPGGYNQPYNQ QYGGYQQPQQQQQPAQQQPQATQQPKAAESQPAQSAPKPAAVAAPKAKVLSIGGASDS PAAPKTKVLSIGTPSPAPASNAPSSGSATPGDSKGPDAAQAAAKVTATKAIEKTEKKA EQKAAASGKSSPAPASGRSSPGRSSPSRAEVAKAARAADAVAKEQQADVDEATLKEIY GEKKEHVNIVFIGHVDAGKSTLGGSILYVTGMVDERTLDKYKRDAKEAGRETWYLSWA LDLTNEERAKGKTVEVGRAHFKLDVQSPDGPIERHFSILDAPGHKAYVHHMIGGASQA DVGVLVISARKGEYETGFEKGGQTREHALLARNTGVQKLVVAVNKMDDPTVEWSHARY KECTVKVSKFLENLGYKKDDLTFMPISAQKTYGIKDRVSKDLAPWYDGPSLLEYLSNM KLPERKINAPFMMPITAKYRDMGTMVEGRVESGVIKKNANCIIMPNRTKVEITALYGE TEDEIPTGTCGDQVRMRLRGVEEEDLLPGFVLCSPKRLVNCVSSFEAKIRILDLKSIL TAGYNCVMHVHSAVEEVTFTSLLHKCEPGTGRRSKRPPPFASKGQTIIARLDVTSTAG AVCVERFEDYNQMGRFTLRDQGQTIAIGMITKLIKSDEDN AFLA_035340 MSQQLPQENQPNSASSYLDLGITLAIHNWPALTLAVQSNWGGPT SSDKRDWLCGAISDMLNDRPETDAEDLEDVLIQVMNDEFDVVIDDESAVPVAAEIMEV RGLVAKGDFGPIKQMWENYQTKSQQKASNVAAAFKRGEDEDQDSDEDDEEDEEDVDME EAPALVRAPKEKVEPEVDEDGFTKVVGKKKR AFLA_035350 MVVPPQAQYLSLALEIYSRCPPKALQSSLVNCAPPVLLAEPLPR TISFRLASEKTSPLQEGKCLHIAYSKSQDQRWIGVAWSDNSGALQRTISYNLRYRNAS AVRSISDVRSEIWVATKDILDRIQARWKVFVVSTEPVDQDEVDAWTSFIEQYNKANSI PLELTILSVNTAPDLHLEPPFLPMSMSIFNPQTSSTPVATPNASGNVFSPDQSGSAPT PPSGGNAPTNAPTPTEPTLEAETESVLTDICDESWGVILSHRLNNSPHLTEYRPALAS GYLLRRKGDTDGDGVYAMTLNLIYTQRPSSCEAILRETLGMYRDLGTLARARGTRTVQ RNTLPWHIATAVRAQEMLSHVL AFLA_035360 MDFPGGSVTNIRVLDGFSNIYWRIYTEDPNITNLPGEAPANGFT ILKHLSRLKDLELRLRNSDCLVSSYPRRLGLWVFSATPEFESVRSLRSDESKGEQSRL AVGSSTLKVSASGSVTPRELVKNLSTDPQTAGGSTGSQRPQGTPTPTRRVDSYSSSVA IYAAFISAITGSLNLQLIRRSSAIPLGSRTLFTIIERDYYETSGIVNDDPSSISALTT LQVQLTSVGKLTVSLQTTSQPGIAPLCRLGESPSDICDVAPGADIWLSPSGSVARLVS TKPGPPNTSSPFPPTGSIGIDSLGAAGRKQWKANVLEWLRNFGLPMDSVHETAWVEVE VWEPFYSRLAGETLRLNEDNSSTLPLKRVLWPAVYCFRRTKSASPGSSQWIENACPVV GDPLDFAENWRVVEKPKQDETSPKPPSSHPEQQSRNPEPSAATTDILEGIESLSRASE YPDLQTVSLVYPTPPDGAAAMGLNLAGPSDTFAEEPDLVPSLLQNQSKPKYYEQLTTK DRSEADPSAGFGPLGGLAVGSGLYDTNEDDDLFGDMDERDFGTKGITDADFNFFDDPS FAAMDTDVPADDAQEVPGMVDLEVTEAHPTISEGALLEDFAAQKTPAEILEVAQASPD EVTPKVQPEHMDAEETTVAASPHIEQNQTISPPLSPVEIKRILLPEPEGDNHVPTKGS RKQSYYNPVAFKPNMSAWDQKYGADGKFRFTTAGPSASKVYTNSDIPTVGMPRRNKKY PTAGAGLMSLDGHASPSSEGQHLQTVSDSSSDTSDDSDGSASESDAPPLPSRKRKRAR SNSVGSPAISQVKSLGEAEQEIPVHRPEHSIFLGNLLSTFSDWSMTGYFSLTENRLFP VLTRKDMQIQIAQLFVDQITQSSLDHKLDGGFCLSDLDNKAYSVQTFFEEEGILGTIE RLDLNSWISLQENEQASPAPNGAVSRQSSQRKEMGKGSITKLSPPHLRVRRGKEYLEA LPPAISFWETFGLEPADGPKDISAYCIHPQIAADAADVFLERLGLLYASCNLGKHVRG CRSSAFERGLCPWDVGSLETAHFLPAMQSLKLICEDLGTLVIR AFLA_035370 MNSVYISKQSNHDRGANIRDFDNGWRSRYRMSQVAVSIQVSDAM KPDGGSPGPRAPIINDRHPLGHVGPAIAISKGFFFFFFGVGALPVAATPGSFPLKEQH CAKMKLSNQSEVPVYTISGSNTARPLPEWLARRRKRSLKNDPEYANRVELLQDFEFEE ASQCVRVSEDGEWVMSTGTYKPQIHTHHLPQLSLSWARHTDALNTTFLLLSSDYSKSL HLQSDRSLQFHTPAGCHYTTRLPRYGRDLVYDRQSTEALVPSVGVNQDGMGEVFRLNL EMGRYMRSFEIDVGGDDFTSAGGGTLQGGINTGAVNTGAIAEESHNLLAFGTTLGTVE LWDPRAKGRAGILLPPTQSVPDEGRHEITALEFHRSGLTLGTGSSNGLIHLYDLRSPV PLLKKDQGYGFPIHTLKFLQPSSLTREQTMEPKILSSDKKIIKIWDPRDGSPWTSVEP AVDINSVAWCKDSGMILTANEGRQQHAFFIPQLGPAPKWCSFLDNLVEEMAEDPNDPN AFSSGQANSVYDNYKFLTIPQLRTLNLEHLIGRTNLLRPYMHGYFVAQRLYEEARLIT NPYVWEEERAKRVKEKIDKERESRIRGKKKAAVKVNKKLADRLMAIEEKNERKRAQRV LQKGGDEDMVDAPASAPAAEKPGQGLLGDSRFAKLFEDEDFAVDEASHEFKLLNPSTV TDVPERKERGLTAVEQEEIDDVPGSSSDDSDSESEAERPVKEKSSGKISTSSYKRTNR SQQPRMQVSSSSAVNSTRDRSFGSRAQNMRTKERPSRRGGVVGEREVTFTPHVKSKQN RAPAPSRDTSFRAKERRSASGNTFRKM AFLA_035380 MPWAYHSLSFGALYIKMSSLPHVLDIHAKGNPAQLTEWTVHCNA IPFNNTG AFLA_035390 MHQHPRSPAPTAPTSSARPNSSRSRELDYRPNSPAADVRVHNSR GLGIETEPDSSGQTVQPAKEAIAKLNQIISNYHTKAALIILHSRIELPPSFNKGSDTP RVNRWFNVEIEDTDVLREQLRTWRTCDATENRPPPLIIETYLDTKGLTNNQTLVALDE NGKRWDVLEALAASQQAHPVRPPSASSDDVILERWRVELGDTSNALPADLGSILPTVY KKSIVLFRSLFTYSKFLPAWKFAKRNGRLRANPALRIKYRIIIGSPNQVSSKPDHLTM PLYESSSKVVETYSFGVTDSPAGPFSAQVTYRTSCDFRVDDSEALLSSRFMGADDEIF RPSLPTRVVDSKVPPPEIGSLPQERRTIEDPDPGRAYGSLSTFHQVGPTTSASPISAL RAKGELGTSSPSSPGSSSRKALAVAKASPVGRAAVLASEGSPGVVRRPSISFQPFKAP PLSASPSLVDPPLSSPRSVSGPRPHPPMAASARKSFPAVQDNSIASPSSASPRPSSIS RYSSAFSHRRGRPSSGGINKLEDDTSSGKASATSSAQPGSGLLAEPTGTSADSIHADD ENISEFLKMLDLRKDLLNTSGSAALDATARRTTVTSAALTRFQRMRDSNAALSDSMSS SLLLQRSSNSSSKQLSGVPPMVAGTSISTASSPGKPISPHTPHTPAIPSRLSSNSIVD YTHSEGNGTELSQGHGSPLDENTSDGTTMEHGPSAVNAIDIPTSPRLFPPVYRRSSSA AHRPRTVAVDDDEIFPFNRSVSLGAEERSNLSLGALHRQHEYESSDTATHRAQREPRP MSSNEDAVVPPSSITRGQGSHKGVTPGPTVASSSSSHHHVYQPRFSHSRGRGSSGGHH SLSSGSSSLARGAAITPGLAERESERDGNGSGSNSVTSAMEDRRGVGRRPSAGRGGPP QSAQLEEDEPLLFAMSDFGASRRSFEEGKHVNHAHDPTGNIAGSRRGGSSRRGGGFHA WS AFLA_035400 MSSKLWEFFLRDDVDSFRRVLATASYTSGSQRASGPAGSSSFKI GSPGGIIASSGLSLKSKKLSGTSPGSPVPDRSNTPRSGATLSREELNARDQLGRTLLH LVASSTKPTATDFAVALLEAPFIDIYAQDWESGWTALHRALYAGNAAIAQALLIRDVR DATDFSKSGNTSNASGTLIKIKDREGYSPFDVYGATIASRDIKSIVSSTADVSKLADL DNADTASIASSQLDDFDGDGHFAKRVLKPRTNVLGDEVFTFGSNKNLNLGVGDQDDRQ YPERITFRRPDHLLQRFFSDFQEQQLDEGSSDFQQVRGVTDLPALIKNKPIKIQNIAM SKLHTAILTNDPESNLFLCGFGPGGRLGTGDESTRFSFVCIETGGLASKKVISVALGQ DHTLAITENGEIFSWGSNKFGQLGYGLPRTNNRDDVPMQKTPRQIFNPFKKETILGAA ASAIHSVVFSNFGLYTFGKNEGQLGLVDSDARSLETQTTPRRVGASLFSAPIQSVSAI DQATTILLQNHEVWVFSQYGYSRLSFPLDISSSFIRNSFMSTRYDTSINRIVKVTSGG NTICALSSSGEVFTVQVNRTENPPSSTSTTNPTKTRNSLSTPARVWSVKKAHMAVKDV DVGQDGSIIICTASGSAWRKEKRGKAKDSSSKDHKFARVPGLSRVVSVCSNAFGAFAA VQQNCDVTREQINVDQSTLWKDMLPLSPFNSVVGSLATDQDGVLDPEPIINIKKAVMS ESDVESYFLPLQTGRFPGTVWVMTTTSDIRIPVHEFILTGRSPVLRKAFHDLRHAQSF SIPDVLIIKYDGEGYAQLQLQGVDFLTVLNLAFYSYTDNVFEVWQLVKHTPESASRYR QVRSEVMRIATCLGLPSLERSARLMIEPTKALKSDMERAINDITFFDSGDVIVELKNG TMRVHSQVICQRCPFFDALFRGRSGGRWLSSRRIDPTDDVHVDLKHIDRHVFEFVLRY MYADTEEQLFDQVRTNDLEEFIDLVLDVAFVANELMIDRLGQICQKMLGRFVTTRNVC HLLNAIAPCCVSEFKDAALEYICLNLEDLLSNRFLGDLDEFLLGALDSVCHENQLSSF PVSRGRNSEEYVFEKYPELVFLAERDRRRKIDTMRLRSHINQIEANEGKLRATLTPSG QKAQQTPTKGASAATSRSPSLKPKHSISDLMFQMDDENLLTPGELIKGKAAVRGVKPN DANDSRSYPDSPALGSSLAERGSLEGRSYLDDQMASPQDAMLAESPTELRASALNGKK KGSLTPPDASQKPWSASVVSGSKKNLKDIMDEASENRVSNLSLGISSVPRESNSNNSN NLPPKLSQKERKKIQQQQMQEMLAAQKKAKEPPQNPWKMATPTKPIPLGATSGQDNQT PGSVKSTPKGPSMTLRQTVAGTPPPSKPGTPPVQIQNRKASTTITQTPSRPSISGPST APSPNPPATPLPQPRPAIQSVRHIPRPEPYQTSFTSPSPNSLSLATILMQQQTEKDEI REAATAKHNLQDIQAEQEFQEWWDKESRRIQGLPPVDQKENERDGRSGRGGRGKGGQG QGQQRKRRGGGGKGSGNTTSTPAPSQQLPRGNGPDQPGQKNSASTPRQQRTVHVGAAA GGNNARRGGRGGGRGRGKDRDRNT AFLA_035410 MPTSNTSSPVKRPGLGRRAVSSHAVVTRSTSTQSELANSNTAHQ QSSKLSHRPHRAHVVGGGHRNHHRNPSFGKNLNKQLQRHLSHTQIDSERPARHHQRKK SAPATPAAVTPATSPRGTHHVRWDGALGDSQQTTTSMKKNNSSPALRRNSSSVVGKVG KKALVTDRPHTSSGKKKTVGFELADSDDNDEGDWEDTTQSPESTRRSSVAQSKDSVEN TTVLVDPLTFVKRSYPQFPRSTSLPESSLNNFGHNNPLPDDDSDDEPDEDGDTQQPLK TEEREEGSRATDHGDIASRLLSPAHAAKAPPAMSSISATAKPATVDSLSRNASLTNIA SGHDGLRRPPLSSSQNALANTPGNLTQATSSSIEGGVSRFIKTGFHATSRTDSDPNTP SSFLPHYHPQTPPSPGRGASSKRARASPPTRPPGAEPHSRTQQKLWLQRTAALNTSPP DGHGVAATASPSAMDPTFMAAAHARPGAGFDAGRGIVNGVSRAGPAYDNEAKHVRKAY EKTALELTVVRRFQSPTGDSFRRLASLVNDTKSGSSHQRHSSLGKPIKSAPALTLLQN GKQQSPSQNSASPEPKQLISRKRSDLKTSASQTYLQEPADLANEPTDSSQRPKSQHPS HRVLSTSDEAAHPTPAGEENPEEPSFMASEAELMIRRLWESREVAIAG AFLA_035420 MGYGAGTDSWPEDNRTDWSLESIYQLLVYSTMYYKDWSNRFPMR LEEILRKKKDPMKTMGVQGREMVTGFKGKIYDPPQCGLDSRIRNA AFLA_035430 MDLFSLTDRTALVTGGTRGIGQQMAIAMAEAGADIILVQRDTSN QDTRQKIEDLGRKATIYTADLSSRESVSSLVSNVLNDGHDIDILLNCAGIQRRHPSHI FPDNDWDEVLQVNLSTIFTLCRDIGAYMLTRTPDSSGHRGNIINIASLVSFQGGLTVP AYAAAKGGVAQLTKALSNEWAAKGINVNAIAPGYVATDMNTALLQDPERSASILARIP AGRWATPEDFKGVTIFLASRASGYVSGEILTVDGGWMGR AFLA_035440 MAKIKSIEYFRVKPRWLFVKVTDEQGRFGWGEGTLEGHTQAVEG ALDELIARLVGYEADDIEHIWQTAWRLGFYRGGPVFMSALSGIDIALWDLKGRVLGVP VYQLLGGKVRQKVQVYAWIGGDRPSDVEVAAKARIAQGLKCVKMNATEDVNWLDSPSA VDSCVERLKQVKALGLDAGLDFHGRLHKPMAKQLAKALEPYRPLFIEEPLLCEHPEAL KQLSDLTTIPIAFGERLYTRWDAKRFLEDASVDVLQPDIAHAGGISETKRIATMAETY DVAIAPHCPLGPIALAASIQVALSTPNFVIQEMSLGMHYNVEAGDIDLNSYLVDKSVF DINEGYVKAPSGVGLGIEIDEAMVRRISAETEPWLPKEFYGPDGGIREW AFLA_035450 MTAEQPTPAPPQPAGHGIKPSAMHVEAIPGQPGKIQNGDTALAL FENFDELHEDVDPGELKRLVRKIDFMILPFLAVCYAFYYIDKTTLSYAAIFGINEDLG LSGEQYSWLSSVFYFGFLVWAFPTNFLMQKFPVGKYLGANIFLWGFFLMLQAAAKNFV QLAVLRVISGAAEACSDPAFMLITSMWYTRRQQPIRIGLWYTANGFGIALGGLLGYGI GHIKGALSSWKYEFLIIGALCSLWGILIVIFLPDSPVTTRYLSPREKRLTVERLRENQ TGVENKTLKPAQIYEAFLDWKVWVFLLLGLSGNIPNGGISNFGTLILKGFGFSTLVTT LMQIPYGAFIALMILFSIWLNDRLPQNNRCYVTILFLLPNLAGSFGLCYLPESNKVGR LICYYLTGSYNASFVLILSILTANIAGHTKKVVTNAMIFIGVCAGNIAGPFFYKEAQA PRYPLGIWSMIVSHFVEILLVIVLRTALAWENRRRDRLQGIGPGGQGEEARQWEMDRT AFSDLTDKENLNFRYVY AFLA_035460 MSNQIDILLYGLGAIGSFYAFILTRNDRVRLTVVARSNYDAVKE NGIFLDSGNHGQHRFRPHNVIKSLDEVSGPFDYVVCAHKAIDQEAVVTRLQPAINEKT TIVIIQNGVGNEEPFRNTFPMSSIITCVTWVGATQTSPGTVKHTKSEDMQIGLFLNAS VDETLERTRLNTFASLLEEGGTKFQVLEDMQRQRWEKVVWNAAWNPLTTLTLLDTQSW LHSSTDATPLTRRLMREVIDVGRRCGVPLEYGLVDELMDRINSLPGVGSSMQTDYKNG RPMEVDVILGFPAKKSKEFGMETPVLDMIHALIRAVDGRVRASL AFLA_035470 MRLTRAEVEGHNSKASCWVAIHGSVYDVTDFVDSHPGGPNVILR CAGKDATEDFDSVHEQEILTQSLAPSALRGHIEPGTLVKSNDINETKIPNKDASLPPP LSSLLNLHDFEIVAEKHLPPNAWAYYASGAEGEISKRQNFKAFQKVSLRPRILRSIPT VVTTTTILGKQVSLPVYMSAVGIAKLAHPDGERALAAAAGKEGLAQVLANGANNVIES VMDARTSPEQPIFQQLYVNRDITKSEDVVRRAERAGASAIWITVDSPVVGKREMDERF NLQVEARDDPSRKGQGVAKTMANFISPFIDWDILLWLRGLTKLPIVIKGIQCVEDAVQ AYHYGVQGIVLSNHGGRSQDTAQAPLLTLLEIRRYAPFLIESKMQIFIDGGIRRGTDV LKAIALGATAVGLGRPTLYSLAAGYGEQGVRRAVEILRQEIESNMVFLGVTNLKELGP HLLNTARLERDVVGSVKL AFLA_035480 MIRWRRPPHLLCMFTHDVFSSLGFIVEWQSRSNPGSNHYTREDI ALNLEVSDLIRSKSVQPKDAMRSLKRRLENKNPNVQLATLKLTDTCVKNGGTHFLAEI ASREFMDNLVSLLKAEGSPLNTEVKEKMLELIQDWAMAAQGRMDLSYVGETYRKLQDE GFRFPPKTQISGSMLESSAPPEWIDSDVCMRCRTPFSFMNRKHHCRNCGNVFDAQCSS KNLPLPHLGILQPVRVDDGCYAKLTSKPFTSSGLSDRSAFKNNSITKSSVMEPRTARA EGGFDDDLRRALQMSLEEAQGKGSTGYVPQPKVAQEPPRASSQSRIEEEEDADLKAAI EASLRDMEQHKQKHAAALKNTPTTEPSTSRDAPGTTSLPKNPYELSPVEVENIHLFAT LVDRLQHQPPGTILREPQIQELYESIGTLRPKLARSYGETMSKHDTLLDLHSKLSTVV RYYDRMLEERLSSAYSQHSLGYGTVPSGPRYPNVYPSMPPHAPEGKSGVENFYYGNPS AEKPPISGTPYTQQQPEGEGLDRAGMRGGTMSPSMYSQPPQAMPQNTSWNGNTQAASP QISAANTPYPQSPSAYPAPGAPTHFYTAPNQPDQDVNPYQSPRQSEVDPSYMPSPVTR RDSLYQPAAHSNVPAPSVPEQPPSAEKMAYLQLSESHPMQPGSQPALHRQPTDPAAQS YYYQQQPPPTHPAATYPQGQPVYHGAYPANDASPAGGTAPPTHYQQPPPSRPAVEESL IEL AFLA_035490 MPQGSPYSPNLASPSRRPLGPRSMGPSHNGPVLPPKVPLDTSLP RAPDPAYSPIWTVPSQPPSNPPRTSTEISRPVNPRYSHLANSPRGSPSRNGFDDNPYR SRTPNGIHQLKEDRSNTADLPHSTAISAQDLLDYLRRYNVLLIDVRPRDQYDSGHVYA KSIICIEPVALKENVSAEELEERLVVSPEHEQSLFEKRNEFDMIVYYDQSTDSVSYLA GSPVGTTAPHLRALYDTLYEFNAYKPLKDGRPPALLLGGLDAWIDLLGQQSLATSSTA TVLGSLQKKRPVARPGRPLGRVPTMVSANSSLEVRKRRLREYKPLNPDELTAWMEKSK NEEIDTTTYIEEEPMAEEPEGQETNEPPTPFVHTYEDFLRRFPEPHAIQESMVTPHAR PPVPPMPNYAAPVPVAPSRPPPAVPRPSYSGVTDGRQIQPTLARQNSATKTALYTPSS IYRAKLPRTGLANFGVTCYMNSTIQCLNATMMLSRFFVDNRFRYYVQKNWKGSQGVMP GLFANLIRSLWKGDVEVIVPTSFRNFCGRLNQEWAIDRQQDAKEFFDFTVDCLHEDLN INWQRSPLRPLTFEEEMQRERMPVAKVSKIEWDRYCHREESFISSLFAGQHASRLRCT TCKRTSTTYEAFYSISVEIPSSGTGDIYQCLRSYCKEEMLSGDEVWKCPYCKCERVAT KQIIITRAPQILVIHFKRFSASKTQTARKIHTPIEFPLHGLRMDDFVYSPPSPESNGD PKATMPHDTTLATVPPFTYDAYGVLRHIGSSMSSGHYISLVRDGARQCWRRFDDERTV DFNPRDLRNKDRLQNEQAYIVFYERVPAK AFLA_035500 MSENKEFTFQEVSGHNTKKDLYMVIHDKVYDCTSFVDEHPGGEE VLLDVGGQDATEAFEDVGHSDEAREILDGLLVGNLKRVPGDPAPRSHAQATTNASSNS GSSTGLGVGLYAFLLIGGAVAYGAYQYLNAASEAQ AFLA_035510 MASDLSNADVNGGIPKSRAAMLEEQHARDEVHKPTVEDVVDEED LKHPPPSSSVQDQKVDAPAQSPAPTEVPAPKAAPKKAPAFDVQSEELFPALGSGPKPK APAASAWGARGPSAAAAVANGIPGGSPAAQGPRIMSLPGKHVEQLRLAPSQMLPRGQL KKPLRDILRDISRRSKANVDMRGGPGGSIIFEGKGSVDAVRQALKEVAQQVGSKPPPQ EADPGHVQFVAIPEKHIHISGERAAAQEARAEIERLAADLQRQLTLRQLAINRGQHQF ILGDNADALHEFLADTGCAIVLPPASDDSEFLTITGPLDSIENGINRAMDLATSMQMA SIDLSRQHPNAPSGAHAHARALTRYLRQRQIIKELESMYDARIALPPSSDGPVTWEVY SRDGKNTIRARSDIMNLVHAHPPARLRHISVDPYFHPYIRSRAIPKLQEEYGVHVLTP EELDCPDVVLVYEGPSATASQFEVPRQRPTAAELAAFEKALQEAQEYLSSVLGDQNDI VAKSVTVPAKYQEKVRKFIAREEQAKGEDLIPVRALVAEPSGRGAECEVALRGPSRLV EELISKLQAFVTEQEKDDLERGYTTSFDFPQKFANFLIGKKGENINKLREEFDVDIKV ENGKVEVKGPKAKADAAKARIINLGKKLEDETTHILKIPAQYHRELIGQQGSQVNRLQ DRYHVRVQFPRAAPIVADDQSVEASSEAGGSRPSRPQQGADEVIVKGPSKGADATRDE LLSLLQWVVDHSHSGSVSVAQSQIPSLIGQRGREMDKLRADTGARIDVPGADDTPDAS GRVQIKIKGTKKQVEEAKKILEQRSNEFDATVTKTIDIDKKYHKSLIGGGANDPTQPA TIPWVLAGNRDNVAKAKAALEKAIANASQQSATGYLILPDPKTYRFVVGQGGSQINAI RKQTGCRINVPKDQAKGEAIEVKGNKESLEQAKDMILEAVRAGLEGNSR AFLA_035520 MTIRNMETYTLEAGKEAQAELSAAPFEEETPTKPRGLFARIRYY EEYLDRKLGIESHSLDRVLPEGRNPPNSLAMAFMWASATMNISCFSTGFLGKQFGLSL GQTIPIIICSTLMGAAVTGWCATMGPETGLRQVAISRYSLGFYPSSIIALLNVIEQLG WASVNCITGGLALSAVSDGRVSIAVGVVIVACISFLFSFIGLKGVLMYEQYAWMVFFV IFMIIYGESAHRANLAAPATVSGITRSGNVLSLISVVYGSSASWSSIVSDFYVHYPVN ISKVKVFLYTTLGITIPTCIGMLLGACISSALDTNPEWAAAYDRGIGEILQEIIYPNG FAKFLLVLLVLSGIGVNCIAIYSGALSAQLFAKPCEKVPRAIWSTLVFGCILALGIAG RDHLLDVLENFLSLLGYWNTSFFVILFCEHYVFRGGNVANYDLDAWNTPSKMPIGFAG LTAFLCGAAGWIVGMVETYYVGVIAKLIGADGGDIANELALVFTSVSYIPLRKLELKY IGR AFLA_035530 MNHDSFSSLKFRRPSSKLHKDPPSIGSRMLKSQQSNTSLKRHPS APVYPRSSASRSREHSRTRSNAYGSSTSSLDQNSGGPSPVLANNESGYFSGNHNTTKS RPPHSGRFSLNDQSSDELIGSPFDSRGMLSALQENTAESDRQPIQKPPTLRSQTTPDT RGLRQSASFTALHNRMDALVNRTDSDRSTNTKRYSDEGNGTKPVGRSKKASFSSFVNS MLGSPRGIKISAPENPVHVTHVGYDNQTGQFTGLPKEWQRLLQESGISKKEQEEHPQT MVDIMRFYEKNAQGDDEVWHKFDHAYAHHHPVTTSSSQPSSGGSTPYGTVGQRASSPT SPRFPQNHEGSFENPRAPPPIPRGAPAATQAMSPPVGGLVPNRAPPRPPAAANMTPAR PAPQPPTTASYATTRPVQDPWPQFGTIPENAQPFGTPPIPESEPLPSGPQLSRSNSKA NGATAPWVSPAVTPSPTQYQQQQEQAMATAQQAIASKQLDRSQSLRQQQAQQPKQKQA THPTPQQVSPVEDPSAALQQSARAVPAARPRQRARQSNAMDIRSRLVAICTPGDPTKM YYNLNKIGQGASGGVFTAYHNGTGSCVAIKQMNLDLQPKKDLIINEIIVMKDSKHKNI VNFLDSYLHGLDLWVVMEYMEGGSLTDVVTFNIMSEGQIAAVCRETLNGLQHLHSKGV IHRDIKSDNILLSLDGNIKLTDFGFCAQINDSHNKRNTMVGTPYWMAPEVVTRKEYGR KVDIWSLGIMAIEMIEGEPPYLTESPLRALYLIATNGTPTIKDEQSLTPVFRDFLHLA LKVDPEKRASAHDLLKHPFMSFCAPLSHLAPLVKAARLSRAQEKAQKGGH AFLA_035540 MFRAQQNAFDDAVGTISQLHFFHTGMQCVGTRYQTRCFVELADV TSFAQLAKATDENLTSENWEYILDVCDKVAAEESGAKDAVAALIKRLAHRNANVQLYT LELGNALAQNCGPKIHRELASRSFTDALLRLASDRNTHQQVKAKILERMQEWTEMFSS NPDFGIMEQAYMKLKTQNPNLQPPSKPVKTEITDADRQKEEEELQMALALSIREKNPV APEPQPESSTSSAAPASQAQAPAHQAVPSGTSAATVSRVRALYDFQPSEPGELQFRRG DVIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLPDPTVEELQREAQMEGEVFGQIKNV EKLLTLLSTRSTEPNVQDNEEITALYHSTLAIRPKLIELIGKYSQKKDEFTQLNEKFI KARRDYESLLEASMSHPAQPPYGRPGPSQYGYPGPAASMGYPQGPPQSEPQRYFSPRP QETQSHQPNTSPYYGADQAPMYPPTSQSPDPRNRTPPAGASYQPVHHRPESTYEHPQE LGTSVYDSPVEHPSASQRLPYPASGQAPPAAQPPFQQQQQQQQQEYPPYAPEDAAKPQ PPYPIGPASNQQPPMHQPPPVPGTTSTPTPYHSLTPGAAGYQAYNPSQGTAPNSNPAS FYR AFLA_035550 MEADWDELSRIQVPPPSPHGMPTIATAIAFDDVMELLWAGNEYG RITSFCGPELQRYTSVRAHPVSEGPVRQILFHDRGVISLSSKSVHMITRRGLTQWHIT HEDMTDLRCMSFTAQLNKVIVAGCQKAMFTIDIDKGHIVDKLPTEYNYTMMKKSRYLC AATDTGSVNALSLTDFRVVKSWKAHGTAVNDMDARNDLLVTCGFSVRHLGSPIVDPLA NVYDLKTLSPLPPIPFHAGAAYVRMHPKLHTTSFVASQTGQLQVVDLMNPNAINLRQA NVSFMLGIDLSPSGEALAINDAECAIHLWGSPSKVHFNEMSKEVEFADVPARPPPLDW SPDTPLSMIGMPYYHERLFSAWPSHLVFEIGSPPAPIDQALIPYLRPAEIGHYAPNPK KTRRNQVENTRALANSEPALIAPKFLSEKAREQSKAKSDGLVTDAAETLAGTKLNGEA EDDPLLKYSNVEIKYSRFGVDDFDFRFYNQTKFSGLETHIANSFTNALLQLFKFIPLI RNVALQHAASACIFENCLLCEMGYLFDMLEKADGQNCQATNLLKTFGSFREASSLGLL EENLTNKSLSTSIQSVNRFFLGQISHDFRMILPSSDDLDHKLATVASESIRCMFCQKE IVRPGNSLVNELIYPAIDIKQIRRNPAYRFSNILRASIERETQNRGWCNYCRRYQQVA IRKTVHRMPLVMMLNTALNNPIYRRLWAIPGWLPEAVGLVVDAGQILCFEGEDLRMRM QNNMPGLVVYELVGVVSEIDIPEHQKAHLVSFINVSISSREPETTNKWHLFNDFLVTE VDKDEALRFNQPWKVPCVLAYQVKDARHAMDDNWKNVLDTTLLYRDWSLNGGRSVESL ATLSEEEKPTPGTPVALDTEFVDLEKAEIDVKADGSQEIVRPSKSGLARVSVLRGSGT REGVPFIDDYITIKETIVDYVTQYSGIKPGDLDPRTSQHNLVPLKVAYKKLWLLLNLG CVFVGHGLASDFRKINIQVPKCQTVDTQYLFFHPGKNRRLSLRYLAWAVFKEYIQEEP TDNNQGHDSIEDARMALRLWKKFQEYEDAGVVSQILEELFREGSKLGFRPPARNGATA VLSRPGTAVTMQNNSGRNTPSTPEVTAPTASAPTTPRQGFRRSVALTPSNGSFAPGTG DFFGGSPLK AFLA_035560 MSRHRVKAVAYDEDDFDDGYDSPDPEEQEFLEQCTAEVLSQLLA GSPSVTATRDEVQEALWHYYNDVEKSVNYLRNKKAKETKKKESAPAPAAKAKVPAYPL PPDLVLQEPPHFSAADFFRDSPWLNVPAHRKADILIEPLYPRLGLLGGAPESGGKVSK LAALAAARKKKEGDKASTAAPAQNAEVNKTPVPSTEQKGASLSLRERLAGNGKIPKPE GAQSPRPLGKLSHPGSHSPQKKPSPELVKQNGASQVNSANVQQELTAEPLVKKEEKEQ PTVNIRASPSTFASTIVGDVTRPKLTEPSPLFSTSLDLMEIYGQNLTEPFDFTGPSPD DVVLNAQSSAKGFKSKQPASKPAGDKKNQTDLAGGMNNLSVAEKVTVKSKNLDVLSEY QKSKRKNAMNFAVIGHVDAGKSTLMGRLLADLKAIDQRTLDKYRREAEKIGKGSFALA WVLDQGSEERARGVTIDIATNKFETEKTVFTIVDAPGHRDFVPNMIAGASQADFAVLV IDSGTGNFESGLKGQTKEHALLVRSMGVQRIIVAVNKMDSVQWNKDRYDEIEQQVSAF LTTAGFQAKNIAFVPCSGISGDNVTKRSEDPNVSWYTGRTLIEELEATEPYSHALDKP LRMTIGDVFLDSEPSDWAVAGQNVVLNLANIDPIHLRSGDVICRASAPIANITSFTAK VLAFEHLMPSMVDVHRGRLHVPGRISRLVATLDKGSGASIKKKPKIVAPGSVARIVVE MDHAVPLEAPTRVVLRAGGDTVAAGLLE AFLA_035570 MGDPGSQSERAIWKSSEPDKFNESFPVLESWLNKCKKEHSCPTF EPQPLLRRLLCISNSGPRVKLRLMEQESNKRGLYAALSYCSGLSSDFCTTRGNYHEYL QSISAWQLPKTISDAVEVARRLGFSYLWVDRLCII AFLA_035580 MRMTIHPVFEYEDEHGSRLEPRDLFILWLSTLVLHRVIEETTEK TISRFIMGARQPTSDPIPSTQTVALVSELGGNVEFREGYPVPTPGEDEVLAKVLYTGV CQSDLHTKNGTAAGPSGDPITKIKLPHVGGHEGVGRIVALGPRCGSDLKVGGLVGIRF SSRICRRCEFCLAGTEQYCIKSTNHLHHEDGSFQEFIALDADYLTILPDDIDPVVIGP VLCAGVTAYKRVHEITGLGAHAVVVTAGSAKAFARACEMLRVGGTLSCVGIPPGRPVL ETPICTIVIKGLRITGNLVGSLKECMEAVDLVRRGVVKPEIKVRKFKELPQVYEEMEN GDIAGRIVLQVSE AFLA_035590 MRPKLTKRACDECITRKVKCSGAWPCDTCRSAPKQVKCTYLKPA RRRGPKVRRQTGNIESVSSVSETNASTSLNGGASNESHDGHRTISRPVLEKVVRWYQH SSYSVWPVIDAEVLVWRFADGDGYDTSIYCLATALCAATMAQLQLPPLIDDGGLVDCA AMASECIRVREESNYRENLDMKSILVSFFLHVYHAKINKRNSAMMFIQEAISGARLLK LDEWDCGTRAGDDRVIANDEILFTLLWVTERLFAAFDSVSLKRSTGTLNPDAISADCL TETEAALSMLSLGEGGRASVRMADYCITREWMRTIIWQEALSRQMLSSKAYAELLTFK FPARVSRDLLYSLQGFSESDLLPLGRDQDFLHALYQKLLPFLEQDVMLKSILHAKTAE ALVTAPARLLRFYHEQTADDESIMNEYLDLRI AFLA_035600 MSLLEDSVKTAYSPSSDESPQALSIAKAFVLSFVTFCSAADRRE HMSYPVDGERCAFEAETSALNINTPP AFLA_035610 MEDKPLAPASKDPRELTAQESSAAVIFPSFTSNTAWSLGLALRS RILSLPADQRKPALISIALTGGSEPHVVFQAATEPGTFADNEVWVRRKRNTVLRWGVS SWLMRNKMLTSTGLGADQVEAAFVRKHALTSTGGGGAADDFAIHGGAFPVRVKGVDGV IGVVVVSGLKQEDDHQVVLETIQEFIQQGGQ AFLA_035620 MARLSSRNGAAKPFTAWTTIFYLLLVFIAPLAFFGTAHAEEDSV QDNYGTVIGIDLGTTYSCVGVMQNGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKN QYAANPVRTIFDIKRLIGRKYDDKDVTKDTKNFPFKVVNKDGKPVVKVDVNKTPKTFT PEEVSAMVLGKMKEIAEGYLGKSVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRVV NEPTAAAIAYGLDKTGDERQVIVYDLGGGTFDVSLLSIDNGVFEVLATAGDTHLGGED FDHRVMDYFVKQYNKKNNVDITKDLKSMGKLKREVEKAKRTLSSQMSTRIEIESFHNG EDFSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKVKKSEVDDIVLVGGSTRIPKVQA LLEEFFGGKKASKGINPDEAVAFGAAVQGGVLSGEAGTEDVVLMDVNPLTLGIETTGG VMTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVYEGERSLTKDNNLLGKFELTSIPP APRGVPQIEVSFDLDANGILKVSASDKGTGKAESITITNDKGRLSQEEIDRMVAEAEE FAEEDKAIKSKIEARNSLENYAFSLKNQVNDENGLGGQIDEDDKQTILDAVKEVTDWL EDNAAEATTEDFEEQKEQLSNVAYPITSKLYGSAPADEDDEPSGHDEL AFLA_035630 MSPSEDRITRPTDPDDLVLEAWGQGLMVGSLVVMAAVTFANMKR HILLHKLIFAELILAMAHGTFIFPKEPAYGWYLSCSAIGLNVSWTLHNVIAWMKNKPF LSRRVSQAYIITVLLVQPYWVVEIYANFTYFNNINKIFLTTRPMEPLFRDPWWVFTTC SLFYTIKRLYNFGIIELVTVSPRFGIMLASMCLSIAFIIIDTCVVLNAFPAHTLPTGV EPFWKLSFIFKCLCDTVILDDFKTALDRMRNYWLRKQARNGEVLLPETQYEPGSRRHE EDDLEAFGSGRRGSGLRKPDSAVPRVHTREDVGIAL AFLA_035640 MGRKLGLFRATYLASASCMGSFAFAFDTGVISGVLTLPSFQRDF RYSTSQKTTVNSNAVSILQAGAFFGCFFTMPIASKLGRRSGLILSSLVFTVGTILQII NAHTLGTFYAGRVIAGLGIGAATTLIPMYAAEMAPKEIRGRLGACFQLFFATGVMVAY WVTYAVSKDQPDATKQWQIALGLQLLPSTLLLLGMCTVKESARWLAAKGKKDKAWESL KWVRGGEETEELQKEFDEIIAGIEEEARVKESFTIRELLLPVNRYRIFIAFTIQLCAQ LTGNTSLAYYANQIFEAVGAEGEAKLVTGFFGVVKVVGVSIFQLFVLDRVGRRVPFMV GAFAMGSFMLIIACVLATHPTQSGGSNSSPTKAGIAMIIMTYAEAFSFNMSWGPLPWL YVGEIFSSRTREVGVAVGAASQWLFNFMMSQITPHAITNIGWRMFLMFAIFNYAIIVY SWFFLKEVCSKVYFFPKENQLMVYSLFRHPTIHWKKCKQSLGEVTPQRRPVSLERRAK LTPRCDHEWDACPHDC AFLA_035650 MDAKMATEIFNTTSAQPESPQSWAYKTSQLQGALPDFLAEWSAW QYVVTFLVGLVLYDQVLYLKRKGALPGPTFKIPLMGPFIQAIHPTFDGYLRQWASGPL SCVSIFHRFVVLASDRDLAHKVFKSPAYAEPCLVPVARDIIGHKAWVFLQGRDHAEYR RGLVPLFTSRAIATYLPVQERVLDDYYNQFVAATKANQGKPMAFMTLFREINCALSCR TFFGDYISDDQVKKIADDFYLATDALDLVNIPLAMYVPFTKTWLGKRTADAVHRVFAE CAARCKANMAKGATPTCIVDHWVLHMMESNRYRERVAAGETNLEKPKNMIREFTNEEI SDTLFTFLFASQDASSSATTWLFQILAQRPDVLDKLREENLAARGGDRNKPFDLPMLE SLTYTNAVIKELLRYKPPVILVPYLATKDFPVTPDYTVPKGSMIIPSCYPALHDPEAY PNPDVFDPERWISGDAESKTKNWLVFGAGPHDCLARKYVPLSLAGMIGKASLELDWEH HPTPRSEEIRVFATLFPMVSTGYSKFLLYALVRNLLTVITGWMQSGFQEAALVWHLAI WTSILLSRGRGTCKNKSL AFLA_035660 MRQTLRRSCTACAKSKHSCDLRTPRCSRCVKRDVPCNYANEPLT ALPAAPGWGDGGSSRPLETSGTLTAYRFGSLDPFDSYPQTRLPRERVQRLIYSFIHKI AFQYYPLDLNPTTNPFLISWWPLALGDPALFHVSLQTACLDEELLAQKGFQTSELLMA DSVSLLRRKVQDTSLAVQDGTMNSVITLAAIEFGKGNIKVSQMHVDGAIRLVNMRGGI NSVRQTSPLTARMISWVSMIVMGHPQFETQDDVGIGDGIPPIPEWQMDPTALYDDLSE LISMEVDYAVRNVFVRLRSAFQQAQRIHFPTTRLHDLTCFVIHRLLLTAPDTESSHSS SITECIRYAIILYMFIIHGPTYYSHAAMMHSIVIRFIDQLKQLESTPRVYGSLDAWLL AIGLVASTGTPDYQWFIERARIMAASLRLSNWNDVLVRIKCILWMETLQGEDIFRPHW DAIFSTMNHPGACISPGNAGGELLCISPSSLVPSKPEPASTNGRQWDMSVASP AFLA_035670 MPTVPSFRKMKHNPDLLKNLPADFLAGHDKLSREQAGHLRHFHN LATQRDGEWGVMGSQDPGQEWLDAYRYQLATMAYAAGAAHFHRLPALRSIFQSLLSGL IHKMLRREVWGYWFLTSHSGKFVDPDIKRLRQPWADPVVKENIMYSGHLLLMVSLYTM LFNDDKYNQEGALTFNWSPIFWGMGPEKFSYTRESLQKAILAEMEREKWLGIIAMRYN DVRDGTNVATEVLSKYTAAWEAKGMAGPNGLFISWYSPKQDTKRPALDLGFTAWATAF MNAWNPILANETFQAQSIGFLTRADHDRISINRGPVAFAIRELAEKKGVDPYSLSTMQ KARDIVASSPTTGEHREPFPRPMFGYILMAMSELGDESKLAGLLNHVDRFFQPTWQNG GLYYPVNAEQYDKDGNWTEVEPFTGNGAVGYARLTVLGGQRKMWEEPWSAEQVSRAPH ISGIDLGSGVDFLRGCWDESHQAMVVTMRTWDQTEKL AFLA_035680 MSSTQLKKLPLPVSKAHVFYRESGAQSAPVVLLLHGFPSSSHQY RNLIPILATKYRVIAPDLPGFGFTEFEDAKIREGIHYTFDTLATVVLEFLDVLSITKF SMFIFDYGSPTGLRLALKRPQSIQAIITQNGNAYEDGLGQFWSQIRELWESNNDPKVR AKLATSLLSLEATKWQYEEGTKGLVAPEAYMLDYALLQRPGNAEIQLDLFWDYQNNIK LYPEFHRYFRESQVPLLAVWGERDQIFIPSGAEAFKLDLPKAEVHLLDAGHFAAETET AEIGALVLDFLARNNI AFLA_035690 MADSTPLMLIRGPTIRRGTEDASLVRSQLMRQRYREKRLRVLRK DTHTNNIPINIQCYENETQALLAGTNLRQISPCRIDPFLSDDRRTGYFDFLLHNCLHV VLPTARPDGFAERCLQAYLHPDRDPMVIQSLFYSATLSLHALPILRGTQNFIVAPGLA SSAVIPPTHHLQLKGFVLNRIRQKLPTMNGNNPHDDIIDDILLSILYLAANENLDRIL PPEKSPFLPPFRRLQSMEFYGSCEFQPLHWQTVQHIISQRGGLSTVKLYGLAWLICIL PDQTDRPTSIFHKRTCTAEDQARATTVYIISRKTALLYSAHVVLPLPQTSLIRRKMTL EIHEYMLLLKGQRPEAELEILLWCSIVAGICADAMLAIQSWFVREARELCDGLKITTW DELSETLQSFAWLDCASDEAGKALWSQIQLCDGSTI AFLA_035700 MSKPQQILVIGAGELGAQVIQALVQHPLRTTAHISVLLRPSSIT PPDRDRAQELSHLRIQGVKYIPADIALDTESHLTSIFRTFDTVVGCTGFSAGRGMQVK IARAVLAAKVPRYIPWQFGVNYDAIGRGSAQDLFDEQLDVRDMLRCAAGTSDSGDNHS KTQWVIISTGMFTSFLFEPSFGVVDILNRRVTALGHQDNQVSVTAVEDIGRVTAEVVL GPESDSAFDNKVIYVAGDTLTYAKLGDLVESLVPGKSRIERNVKTVQEARDDLAREPN NTLFKYQVVFGEGRGVAWDLAETWNRKVGIKTMTVEEWARTHSLV AFLA_035710 MPFEKPIVVVTGATGGQGGSVIDALLESGRYQIRAVLRNLTPAK TQPLRDRGVEIVHGDLNDEASLVEAFRGAHAIFAVTDFFEPFMKYGPQEAEKRELAQA KNLAKAAAETSGLSHYIWSTLPSSATLSQGKYHTPHFESKASVDEYILKQFPDLAAKT TFLWVAYYASNLTFPLFTPSLLKTSGKYAWVQPVGPSTPITTIGDHRKNVGIFVEAVL RQPALTRGRYVHAEVETLTNGELLERWGKVTGKPTSYVPSTLEAYNQLFPAWGLEMGV MLQFWEAVGEASWRKPGVTLLRKDDLGIDTAQLTGLDTAFAQIDWNQV AFLA_035720 MTPLQQPFTSPSLTLNHRVVLAPMTRMRSSDITAIPNASSATYY AERTTQGSLLISEGTVIHPRGKGFPNTPGLWTHEQALAWKPITDAVHERGGIFFVQLW HVGRVSVPSQIGGLAPLSSTSAHLPGMHMLFGDKNGTEPYVESHAMTGKDIKEVVDAF AHAARLAVGIAGFDGVEIHGANGYLLDSFVHDNINTRNDEYGGPAIEARLKFPLEVVD AVTQAIGNHRTAIRLAPYHVLQETHDSDRLATFSAFSRSLEERKLAYVHVVEPRYDQL SNEGAFSGSINRENSAESISSTLSVSIWPFRRLLKNTPLIGAGGYDAESANEAIAEGR IDLAAFD AFLA_035730 MTRGFLAVFGTLLLSMGALADDTWPYMTLQTADFTPPQLEVKKT GTTDPGYIFIGPRGNQPNGTAALIYDEDGNLVYQGPTEVSANFKVQNLYNKDVITFWA GNMMELGFGYGTVHILDDTYEEIYTVTLPGNFISPDGVVRDSYIDLHESHVTSRNTLL VTAYNITQYDLTSIGGKMDDYMLDGMFFEIDIATNNIVYQWSALEHIQAIPLEQSKQG LGDDYGTQEKPWDAYHINSVELVDGGWIISLRHYWSGYFIAENGTVMWRLSGEKSVGD FEIDDGAIFSWQHDMRVYNQTNEGMVLDLFNNANTPTETVAPTTGLSLSLDLVNRKVT TLRTMNDGDDVIHSVSQGSYQLMSEETNHVFMGYGSISKVKEFDADNNAVLTAQFGDD NAVASYRGYKCQWKATPFWKPAVVIERHSTSATVHMSWNGATEYDNWAIYTASSPEAT DHTFITSAKRTGFETAVDVNNLRSPYFQVVARKGNVPLSSIIVPVA AFLA_035740 MHFPLTLLTTLTTTLLSLPPLTPANPLPKPQNQTNYQNQTSSQN QTQTQTHQLGHAIVRNNCAFPVYLWSVSSTVSEQQNMTQGALYAETFRRDSQTGGVGI KLTTVPNGLETSAPQTIFAYNLVADRVWYDLSDVFGDPFRGSRVFLDGEVTDIIWERG VPPAGSRVGNQRAGVDLILTVC AFLA_035750 MASTPHASFQQLEKLGEGTYATVFKGRNNQTGEMVALKEIHLDT EEGTPSTAIREISLMKELQHENILSLYDVVHTENKLMLVFEYMDKDLKRYMDTYGNRG QLEPGIIKSFVYQLLRGVAHCHENRILHRDLKPQNLLINTKGQLKLADFGLARAFGIP VNTFSNEVVTLWYRAPDVLLGSRSYNTSIDIWSIGCILAEMYTGRPLFPGTTNEDQLL KIFRVMGTPSEISWPGISKFPEYKPDFPVYATQDLRQVVSRIDHLGVDLLRRMLQMRP EMRISAASALKHAWFNDIPRPIPSNAQ AFLA_035760 MVAPSIRVAPSAANRALNLLRTVQYTHPPSCPCHSNPNHHHHHR TPTLADHVRRHMATPVDPSRQKEYAFEMAASSIRFGPGATKEVGMDFANMQAKRVCIV TDQNVSKLDAMKQAVEGLSREGIEFTVFDKVRIEPKDYSVKEAIAFAKPYNPDAFLAV GGGSVIDTAKLMNLYTVYPEADFLDFVNAPLGKGLPVERKLKPLVAVPTTAGTGSETT GTAIFDLVSKKAKTGIAHRNLKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLESWTA IPYNERTPRPTNPINRPAYQGANPISDIFSLQALRDTVKYLPRAVKDPDDFEAQSKML LAATLAGVGFGNAGVHLCHGMSYPISSQNPGYKHAGYDVEHPIIPHGVSVAVTAPAVF RFTAASNPDRHLAAAEAFGADISNVKRESAGEVLGEAIAKFLAELGDQPRGLKDLGFK SSDIDSLVEGTIPQKRVLMLAPNLNEELEAEKIELRGLLEQSMDY AFLA_035770 MEQVQPQVSLSADEPCEIRQQQRLAFTVFINNAFPISHVFNNFR ETNYPSFADYITSMFEQSVCLDISAYCVCLVFRNRIGVEASLLNKGRNAYIYALQALQ QALRTEHTSNKADMIGASILLFIYEMRVPSEDHGGWASHCDGVAALMKEMGAQSFTHG FARSCYIFFRGFLIAYAFHKEQPCFLEEDQWQQLAEKVRAEDSQKPGLSRMFADVTER IVMELVKCPRYVHDAQLHQSTQNSQQALVLYSRILCTKNNLGFLVTQLKDLISIYQPE NTASAPEFLLNGAVDAINLLNTLVQKLIMDPIPPIRLYSSLARLLDNKYIVQDARCLD RLGCSMGISGSRLD AFLA_035780 MPPVIHCVRHAQGFHNLCTENHVIRDPLLTDLGNEQCRKLSENF PFHDKIDLVTASPLRRTIYTALQSFGPVFEAHKDTKLLLLPDVQETSDVPCDTGSDPV DLRKEIEENGLPVDPSLVHEGWNNKTGRYAPTHDAIRKRAREARRWLKARPEKEIVVV THGGFLHYFTEDWEDGNQYQGTAWANTEYRTYEFSSEAHKTDVEGHELEGEDATLVET ISSRERRGKEGPAPDREAQKALYKKGIQGWDDQGLQLSTAERKAAKVPEGKEVDGVRV AFLA_035790 MLDENLPTFFLKKTQQKFVWTILHSHRGTEPEPAYTLRHPDPAS PSSKNRYAAALVDPYVPDIVYGEVLLIPEWTQPSLSAEAIRQNGGVTPSPEPILPTRF TVHLYNPDQQVTVQYKPKTWNSPATWSFEMPQQSFRQPSSSTLDRTQTDPAAADTTPK LRFSWRKDSKLSKDLTCLLSGKTTALSGTKAKSKEPDITISIFQALRELTLYEPNLYR VEMEDFKGLEVVLLLGAITIRDVYFTTMKDAFKLDATSVPVGPTPAAVANSPNGHTTA PAAGKDKQPVSAGSGALNANTTPTIPEEPTVENPPRRKQEQDKEEERRTKKLLEEEEK ARRKRQAEIDKETRRLQRLYGEEEQRVRHSTPSLPPRPLQSPPPSERPAAAGRGAPAQ RYYHTHHHSPSVPHIGHSPYLQTPGGNPHRQSVAFLPTQPQPAAVSKPQKLQQKKSFF GFRRSSSDENKLSKKRSSMF AFLA_035800 MHLKPIQTLLPTLLLFLHLPTPALTTQSPLSPSTPKSGNPVING WYADPEARIFDTTYWLYPTYSAAYEAQTFFDAFSSPDLLTWTKHPTILNLTAIPWSTN RAAWAPSVTRSPSTGEYFMYFSAGDGAGIGVAKSLSGRPEGPFEDVLGRPLIGETVFG AEPIDAQVFVDEEDGNRIWLYFGGWSHAVVVELGADMVSLKGEYLEITPKEYVEGPWV LRRGGVYYFMYSVGGGGELGLGFGLDLVAVWKFANDCRWGDNSYGVSYVTGPSPTGPF SSTPTKILQGDDTVGQSTGHNSVFTPDGKDYYIVYHRRPVNDTERDHRVTCIDRMEFD EQGNILPVKITSDGVEGRPLA AFLA_035810 MSVQYVDFESPDDPSIPFNWPIRKRVYISGLLGLLTMVVAMASS IFTSAIPTVIMMYNIDREVATLGVSLYVLGFATGPLCWAPFSELKGRKLPLVTSAFGF TVFCFATAVSKDLQSLMILRYFTGFFGAGPLTLSGAVYADIFPPHQRGIAMVGFCLMV FSGPLTAPFIGGFTVMNHSLGWRWTAYIPGILGSGIFVLLLTTMRETYVPVLLSWKAD RLRREQGDWSIHAKHEEISLDLRAIIADYVSLPLKMLALDPIVLCMSVFASFVYGLLY LFLTAYPIIFQQIHGMNPGVGGLPYIGIIVGQLFGALGVFAMQPWVLRKMERNGGIIM PEWRLPIAIPGALAFSGGLFWLGWSGYRRSISWVAPTVSGLLTGFGLLTMFLPSIAYV VEARPKKAASAVAAHTFLRSLAGAIFPLFASYMFDALGVEWACTLLGCVAALLIPIPL LLYIYGARIRNRSGLSNES AFLA_035820 MPPPKDLINISGISKDALRKELLEALKSSIIKEDTREFLKDHRK LSWLIDEGLRYAVKVYVKPKDFVGASGLGERCDADTVREVVKRLLQDYPLGPSA AFLA_035830 MSSAKSSRVNIAGIPKKELLLELLKNAEVASFFCTREPPSLDID EIWKEYEELTRYISLVDYLRGRCLKVDISGNTMNPSTFDSEYGEGKFAEIVDKLRGRY PLPRDRKEGNNSVEDHKTN AFLA_035840 MRFRIVAPINPSFIGRRSRQTRRKLSRRIPSMYRTPHRSCSGTG MSDDDILIFWFADHHRRSKRRSRASTNDSRKECSGSSVVGQGATDGYSVAQTSDGQGD FWDNAGRTSSPGFALQAEAECIPANEDQSTQPLKDPKSFTQNLFDTLSLRMIEWLPLR RSPSTFSADSDHSYSRSSSRSPKPSTVHGKSHSRTEKHRQERERTSRHNTSTRGNPLT PSSRTITNTTAAVELKLPNQQIKRLSLADVDQRRQSPRSSIDEKVYPELKPARKLSIN THASADGLVNIPSPPALRHRPQKHRGRTGDGACLHEQPKKERRVSWDGAKLLNGVHVP DDHNPLSADDDQPASEPRSPSAPSLKHNGIHQSEDMPTIQSLTHLTSEIIDELGKLVA LSDDEAERWKDELAYIESMGTFDDSEWRFATSRQREAFTFVSQSVFYALSSTRQILRS FRDNTAGPNDIGQSNSNLKLNLQQLQPSLRKLFMICPRELVFHSLWSALESLFVPPKE LSHPRQSRRSSYNSTTGAVSAPIIIRRASDALGNEHVTDSCAADVATVALFALASSLP EIDATTWRGILQMRATGAVASSADMQKLPLRNTRAVVDVTDALEHDLALRLVDRLVRA LTARMAFYEISKVRHPYSHASRKSKNSVLDFLINNLSDNHRLVTADVDDQNQVRPPGA AAVIAEWLRTLLLKEWDGSPEMSKSSATGGAVQILSLMYKERNRLGLVPEDFHTPFLS ERLEPLEMPVEWIGRSPNNKTLHLLSYPFLFPPSALVIYFRALNYAAMSRFYEAAMTT TRHVMQTAFGAIQIQDDVGMLARLKTSMTAYLVLVVRRDNLLPDALNQLWRRERRELM RPLKVQMGMDEGEEGLDHGGVQQEFFRVLMAEALDQSYGMFATDSRTRVSWFQPCSLE PLYKFELLGLLMSLAVYNGLTLPINFPTAFYRKLLGLKVKHLDHIRDGWPELTKGLEQ LLTWEDGDVEDVFMRTYEFSFEAFGAIETVDMQKVDRDAPWPLPSALARSGGGNRSLG SPTWSEVRRYTDCVNLSPPSSMGAEATESFADMAKSLDGSVVMQSPTPPAEEASFVTN RNRSQFVRDYIFWLTDKSIRPQFEAFAQGFYTCLDRSALSIFTPEALKTVVEGIQEIN MDELEHHARYEGGFGPSHRTIRDFWSIARRFSVEKKAQLLEFVTASDRVPVNGIASIM FVIQKNGVGDARLPTSLTCFGRLLLPEYSSRSVLEDKLNKALENARGFGAA AFLA_035850 MASIDPNVPTTTLPYTCNTCLVAFRGSDAQRDHMRKDWHLYNMK RRIASLPPVSQEVFNDKVLAAKATTSAAAAKASFEKTCVACQKTFFSENSYQNHVKSS KHKAREAQMLRDSADDASSVMSSTFSLGEPVNKPRERSEVSKVTESLKNATIEEDDED EEMEEQGFSASRCLFCNEKSSDLQQNTEHMFKTHGMFIPEKDYLVDLEGLVHYLYRKI NENSECLYCHAVRNNPEGARTHMRDKGHCMIAFEKQDEQVEIGQYYDFRSTYSDGEGE DEEDSIMEDGGVKVDGEDDEGWETETSASSMDDDEDELDDTKGQVYATEFELHLPSGR TAGHRSLAKYYRQNLRNYPTAEERAARQLAIENGEIEEEEPKPRGRDLNRAVVSRGNG GMGMIGATDSQKQFAAELERKDRTRAQRQEKRYTARVNRAANNQKHFRDPLLQ AFLA_035860 MATPSAVRLLAIRPVIVGFAKLLMLALGGLPLVSAAPATYIAYS EESPKAPNDPDLWLYLGVAAILVLSGGAFAGLTIALMGQDEVYLQVIKTSGEGSERKN AESVLKLLKRGKHWVLVTLLLSNVITNETLPIVLDRSLGGGWPAVLGSTVLIVIFGEV VPQSICVRYGLPIGAWMAPCVLALMYIMAPVAWPIAKLLDRLLGEDHGTIYKKAGLKT LVTLHKTLGEAGEQLNSDEVTIISAVLDLKDKPVGSIMTPMDDVFTMSADTVLDEDTM DLILSQGYSRIPIHAPDNPTNFVGMLLVKMLITYDPEDCRRVREFALATLPETRPETS CLDIVNFFQEGKSHMVLVSEYPGEDRGALGVVTLEDVIEELIGEEIVDESDVFIDVHK AIRRMTPAPIKSRVPKGKIVEEPPSLPPQKTGTLVDVDGEPQVSKPPANVRRRSSVEP PLPRFQLRMPQSDRNSDSTDGLVTKRGTTDEIREHLKHLGPSNLASRPRQTRYQNVKI KRASVSPTRSAQTDMDSGHSMSGSQALHPNSTALPGGIGEGLVQPGLDARDGAYAVRV GYGTMTGPKPADAGTQTVGKDVSIPEAVHEEQDEPPRPPGSAAISVNSESSNSQKFKP TSYQHQGPARSGSITEHVVDVNGIRKVVLHTTSTSSSDHEGRTSPKSKFPVRQDGEVV DLNGKRSDGTQGDGTKKRRRRRKRGQASKHADNHVDEESPLLPQ AFLA_035870 MDCWKGEHDFPPEITKQVTNSLPPYYIGHDSRCLVPFSVTNVHA KDQLDQITSRLDWNTAIESNTPNAPVQALSSGRDSNNPLNSLLEVLASHLGRYTRQKL AQGIIPTDEMLQQESRRLVYDSEDPWDQTIFDNPEWLAAFRREHIERPNNPANNEQAL SLFDSLSFTQSLHTDYVGEMAPSNNENDPIDIKNGLNLVLDKVRSTGSFMTSANIDTA VNPGLYIPDVGNIGLPISTEHAKAIIQSCHPSPYGKGTETLVDESVRKSWQLDASQFA LQNPRWQLQVELFVDKAVTGLGLTANGREVKAKLYKLLIYEEGAFFLPHRDSEKADGM FGTLAVCLPSKHEGGDVIVSHSRDQLKFQTAPTSEFGISWAAWYADVTHEVKPVTSGY RVVLIYNLIHRPSTALLESRGSSTENITRLLDPWARAAGVDPIPYLDGWNNRIHDTCP SALIYVLGHQYTNAALNFSRLKGVDQCRFAELRGACQRAGFDIFLANIEKKEMGAVED DGSFYGGSYGRGGNGTHRIDYLIESSLTLSHVVDSGGIAVGRDIPFPKDMLIQTSVFD RDPDKEDFQGFTGNEGASATHFYHETVSFYVVHSDPYALC AFLA_035880 MSGRSNDKQSLSRYKEMFSDLVNEHYEMKFDKLLPTHNGGSESE GHDLAKVISDYPEKGVLSSIMPSLETKLDNTAFLVAFITSAHDYSLSGKFDKEEADAV LKALFSKVVASFNIESVTSSHHTRGLYGGTSGYDGPSNNLRISPSLVVKLIQFADATG NDSTKLINTLTEYTLDVKEGTEESTFHDFLFPVANGICAHIDTTERSSTGSERRFMKH MLAKYVRDYVKKAPPSPPPDWKKKTTIRCIYLNCASLRDFIDDPSSTTKDFALGEKRR NHLDQQIDKTFFTTTIVRANPHKLRVEKTQALLVSDFKAWVARVQIANSVLDKLSQKG YLEDMLGNQYHSILSHQNLVLPDDLPALPAPPGLRNMVQRTVPAKRPFGQ AFLA_035890 MPSGWQSRSPNDPVIIDGEVIGNDPRGTNIAVHSVAVLPEYQGT GVGKCLVKAYVEYIRNAGIEADQIMLICHDYLIRFYESAGFMNRGPSPSRFAGGGWYD MVLEV AFLA_035900 MTSPAEQMFLFGEIIDPGPISVLALFGYADLTAGSAIIFATITI SAYIASDWKFLSPWISALLTAVVRPIRAVVVDTSWVLMQLAAVRSLDDVFTTLRNLED GVKSRIVESRPSRSVCVVVNWYFPFNRPLATYTLAKAVPSRRAAKLQPAVSRVDSTGH ETQLRGMPPTPREIDLWNMIDQCEGVIAKQKGLIIAKTEELRVVKQRMEDGWALVKKL SARQALIEETRPRETTVIVELRQELAVKKGQLIIAQGKLRHVQDDTTAKERSLCERVA QLEQQLAREREQAEADSLSEHRSLRAELQTRKDQLVTLEHRLAAAEARERQSRGHIDT EHQNLVIRNNELVAQNQELMAQCQASQTEHDRLELQVRDSSVAIQERDAALGRVILLE TELVTTKSSAESVVRQAQESLAHAYKADVALRQSMPDLQHTCDLTLAQERATADQAQK RASDLTAEVNVLQGKIGMAMRDAQRSHNQAAAAERTIQILEHRLSRWEASHSSQEIPK RPSRDVGSISTALAQSQVTVSQQQTEINDLRRQLGEAKQGFLWPSEQVAQEGVQKLWE VLSNEKRARTEDNIRWSRKTRELEDECQKLRISLSNAAASTPVRR AFLA_035910 MPNPPNTRTGQLPLTKNLRGKEGDVTISTRRVLVPQKGTPSLPP PHTECYVAEEESVIISKRTPCVPNFGNPTASSSPRVARTVIMIETSTHCERSQKLPGA QDE AFLA_035920 MPCCGDREKGPVSLEEQWDYINLNDFKSESCLSPFSYFFLWVFL LISIAVYAVDTFTAVNLLAFSKWAGQIEPAIPFTVSRWIFAVCIIISFVLLVLRWIRA IRAIRSGSISQSYLDSLAVRLQSIRLWSSGHGWKRFLVFAELTKSKKGAEYVALFAYF SFETWMNVLIADGPRQVVNAITLYSVMRMDLLPGGENASKTDDSSPFIQFFDNVKILA ENNTLRALVLFGMLFTLVIWVLSILKLALAIILYLIFLFHHIPSQDGSLKAYCRRKIK TRLTRIVRRKVDKALAKGMVLQERKPTQPNMGLNTNPTLPTVGSDDKAPTVTTLSRST TQTTLRSYSSRPGTAAPDRAPTLPSVAAFEDKPPLSRTMTQSSAYSASTSLSGSTAVS GYSPLDRQSSPVPPVPPLPTQIPMPVSRIQTPMSRFNNTPGPATAPSVGGVSGMASAH SFGDTGDTQSPYDTYAPHDLASTAPYHSYSPTETTARTMTPGRSMSPGENGPLRTFSP PGPSGTPTLPPLTGYPARSFGAAGQPPARPQPSFSPVDGAVMRNFSPVASRGDPRPSG SDGYAPFNPPSRNSPAPFTREFTSDSPVYQQAGPSAFASPVEYDDRPAPARAYSNRAH PPQSQNYGQDYI AFLA_035930 MKKASTENWRNQQNETMCARTYHASVLRCSVCQDSHSRGVDLDI KDYILPLLPPTRYKRGF AFLA_035940 MVSPLLSPLAPKQDEFPQILVASDDDRKSRNFPTSRLEGSAVAR MMDGLHKGSGKQQDTDIFAGSSLSESVSPSPGQRQTEAIAETRNQEKMPRRSRQLQPS PLNLLDDGFEAPVVSEAPDATKRRSLGAEPHRNGSQVNGHSASHHRSPSQRTTNGDQK NAQYSLPPDQAFGMVFEHSQGPGGVNGNTPHRTSLVDVIDGPEVATVLNQWSGEVSPV RNQDTDRERVPKLSPAKIEELTSSPQSIPYRAADSEHSRRVVSDQTHASNSQTTSTDE ASPLLNDIKFPPDHATKSRTNKEFTLDGGLARPPTSSTRHRSQSSRAVSTPTSARRQT LPSNERLAQTWASRSKHDRPSIGRGSESKHLNPAPEMSDSTLPSPMPPSIPLPPLSIP TYLQLELASGRPSPLYIHPSASSDFPYESSRVKLERLMNFFMLPPVLEQVFWFGILAC LDSWLYSFTILPLRFVKALYILLESWMVNLGVEFRFLSGFIIKGVGRVWRRRNKISGD GTDEQRLVSEAEGRSRQESAGTERDVKTKAAEARRRCRSDTHHKYQHRRQKSIPSTLL PDDKADILKGLLMIATCAVLMYFDASRMYHWIRGQAAIKLYVIYNVLEVSDRLFAAIG QDVLECLFSREALERRPNGRSKVFRPFGLFLLALAYTVVHATALFYQVMTLNVAVNSY SNALLSLLLSNQFVEIKSTVFKKFEKENLFQLTCADVVERFQLWLMLTIIASRNIVET GAFNFIGNLGSSFTSQSTSTNSTPLSTPPRTMSSILPQSFTIVPSSIIASFSHVNSFL PTLAQVLGPFLVVLGSEMLVDWLKHAYIGKFNNTRPVIYKRFLDILTKDYYTNAFGDQ NLTRRLGLPVIPLSCLFFRVSVQTYQMFLAALLPQHPSSTAVESTSLSSIYSHYVPAP IPSPPPLTLRTIVPASAAHMNAFFQQLLANTMPSPAQSVYIFTVVLILTGYVVLLIVK LLLGMVLLAYARSRYRAMKQRETEQSSHENSGPPRAREYAVEGSRRFGGWGVVEVNDD HRRWIYTDDPEGLRRLKAKEEKEKNSKEELNMDHVRRYEMVAKRIW AFLA_035950 MMVGFVHTLLLRLWRRLEKVLACTGSVSLDDEVYLLNRSPKESA RLNAQHNFLVDLIGGKPIHPAIPIENITAIADVATGTGIWLSSLITAPKVHPTDRLYL HGFDISSAQYPFSKDIAPTHELHLSTHDMRNRFPPKHRGRYDLVHLRLLVGALKEEDY LQSMRNIFELLKPGGYLQWDDCDTTAFSTAESSPDPFILRMQETVASAAVNLGLCPTA PVLIEKLAKLVGFEDVSRQSYNTIDKPYLHNSARAWLVQVLRSLLPKSMLGTGEVTEE KDAVDRTERLVEELETRCRNVLPVVNLHVVIGRKPLSG AFLA_035960 MECPKTFSSSFFNTVLTPTTSSPRLPQTSPEPDYLNPTYNLTQN IPPIHPFTAPKTLPPIHTSDKHTITDRIKFASSLLSHPHYNPPLQTRLRLQEYKTLCN NLLSLTQPTTQTGLQAYKAWLQKRTASMQPGFQIKALHPKIHQIETDLKTKFLITEPL STTPATTVLDLNLSFSKTDLQAFSNETLTLHLQVLLHRYKNFIPPSTKKEMDFFNPIR VLHYAPSLYHTHSHPTTNQPTWNRPFWTTINKYLTKELPTFNQLLTTTTPTSALLNSV PVSLLFTRTCHDHNLDIVEMNHVLAHILSPDSIPLPEMDIGAFIRDRDPLGLAKRLKR DLSVVPGCGVESPLGRDLEVVFDVVGGLQEGFFELGRSRLLSVPRNWDGACVGGLDYG SLEREDDEVVDREKGGEEEVEEKRSTDEDDSEETEESEESEESEESEESEESEESEES EESEESEESEESEESEESEESEESEEDDDNDSDSDTLCNRDDAEVNNGARWSALLRKD IA AFLA_035970 MDHTVLHESDSTSEIDEQTKTWESDPRSITTHLTDDDANDSNAM IECGIGHRVQASRSVLALVLDDDCVFAGLQGGDIVAWSLQTYELVLSVHAHQESVLDL HLSEDKELLFSSGGDSVVNVWSTRTFDRLYSIHSHHDVGDIFAVAYSSSLKTIYCAGQ NTSIQWCDISQADAAATQLSAAHLSRRTHRFFDSRGPDGTRAPRPEAGADGSHSVTQG GQVLTFKRDHHRIFSHHGYVYTMLLVRGLVESAPSEEVLITGAGDGVVKLWSLDQDKT NAAPSQMAKLQNGDPVLSIAVDGSFLYCGLAGGALNIWNLDSHQLVKRITRHTGDLWA VDIIHGVAVCGDSNGIVKVKFNSRFEEVGSWAAHEGTMLASAAGRHKDRFIYASGGND NTVGIWDLTDVSLKQNELPPINNDEMVNCLAKFVAFKTVSASPKFAGECNQGAAFLRR HCVYLGAKTKLLTTGKDTNPIVYARFNATSSEKIDKTILFYGHYDVVGADANLTKWKT DPYQLTSMDGFLYGRGVSDNKGPILAALYAAADLARQKALRCNVAFLIEGEEESGSQG FHETVRQHKEQIGSVDYILLANSYWLDDYNPCLTYGQRGVVHANLIVTSDHPDLHSGI DGSALLDEPLKDLTLLIGTLVGPKGRINLPDFRDRVLPLPEAEKQRYADIAQILLQQH PEITDRDALIDSLMHRWREPALTIHSIEVPGNSKSTTTTISRRAKASVSIRLVPNQEA DDVAASLTMYAQEQFDLLESQNDLTVEITGKSDPWLGDPDNEMFETLAEAITAAWTPD RQGQKHQYPPVQRNLQDRTVSKLSKEPGPRLTRKDSSDSLASHIDRIIMSSTTSSARK AETRQRSSLSMGVPTSSTLTSKSSPAVVSRDSTRETSPSPQGETPPPPEPVPGPSDVR PIYIREGGSIPTIRFLEKEFSAPAANLPCGQASDNAHLYNERLRVQNLYKSREIFSYV FSRLPERERK AFLA_035980 MAPFGETIAVIDKSGKVVSTSKQLFGVFSNAKNAYSARKAQFQS ERNAIIAEREALKAIQNYTIDDAPSVASSRRNRSRHHSGRSHHARHYYDDDYEYEQDR GSVASRPDSYYDRPQDLVRRHTHHDIAMRGPEARPTTSRSKSDAHIDMDLAYGDYNPH VLTKAPPQQNQLQKIEDPELSGLVNRAQWLMEEANCVHHSATATIAHLQKNPDAMAAV ALTLAEISKIASKMAPAALSSLKSAAPAVFALLASPQFLIAAGVGLTATIVMFGGYKI IKQMSGNGNEVSRGPDRGLGPRPGESVGMDDMVEINTECLSGVEMWRRGVADAADESI GTSVDGEFITPTAAMMSGIDVTTARMMRDPRFKFDDEESRASSHRSHRSRPPRSRAPT RLDERPESYVASKAPTKSFFGISSKAPSKAPSKAPSRAPSKAPSKAPSRAPSRAPSKA PSKADSHHSHHSHHSHHSDRDAQPKEKKKRSSRLRLMFTA AFLA_035990 MDTMIPQRPLPSKEALKQFYMHKTLTEIPKPAAVLDLAIIKRHC NSMLQTIQTLGVGFRAHVKSHKTTELSRLQVGTSSPEINYVASTVLEIESLVPLLREF QSKGRKVNILYGIPLVPSQVSRLALAAAELGPDSVSVMIDHPDQIKFLDTFSRIAGFA AGVFLKVDCGYHRAGLPPRAMDKGGLFSKLEELEKTGAGRLVGIYSHSSLSYGGRNRG DALRHLRGEVEACLLALDSYAGVLPVDRELVVSVGATPQVVAAEAVAEGDDAELEELR KFLKEPDTGAFKGRVRVELHAGNYPLLDMQQMSTNAGGVRERFFDEVGAYVVAETCSV YNDGEREYPEALVAVGTLGMGREPCPSYKGWGVVGPWGLPTTPQRDRLYIDRISQEHS ILRWESEDVAKKIPLSVGQTVKIYPNHACITGAMYGWYLVVDSSSDPDATKVVDVWVR WLGW AFLA_036000 MVVQAKTPLQVIVVGKDLTLMDTGIGGMAAALTLGSKGHHVVIL ESAPKLLEVGAGIQVSPNMLRIFDRWGVSPLIHSKDVALEHIHVRRWQDGSLLGTMPV NKTYGQQVVIHRADLHNALIEQALALPNVELRVNSTVTDVQFDPASVTLADDTVVQGD VVIAADGIKSTIRGHLLGEDSPSKAIPTGDAAYRIMLPRSVMEKDPELKELVDEPQAT RWLGPDRHIIAYPVRKHELFNVVLLHPDGHGVEESWTTKGSKQEMVDNYRGWDRRVRK LIDMVAEDEVLEWKLCLHSPLKTWIKGSVALIGDACHPMLPYVAQGAAQAVEDAAALG VLLSTISSKHEIPIALRAYEKSRKQRAETVQQSGSANRITLHLPDGPEQQARDEQFRL SMTGGSNPDRWTDRETQNFLWGWDAEKAALEAWNGKFPKVAHFNPFLCH AFLA_036010 MTSRELPDIVNKAAPGISYFTPAQEPPAGTAADPQSDGTAPPKL FQPLSVRGLTFHNRIGLSPLCQYSGDDGHMTDWHMAHLGGIAQRGPGFLMVEATAVEP EGRITPEDLGLWKDSQIEPLRRIIEFVHSQNQLIGVQIAHAGRKASTVAPWLSMNDTA SRNVGGWPDHVKGPTDAPFNDRNPTPKEMTKQDIEDLKVAWVSAVKRAVKAGADFVEI HNAHGYLLMSFLSPAVNKRTDEYGGSFENRIRLSMEIAKLTREAVPEDKPVFLRVSAT DWLEESRPSEPSWKSEDTVKFAQALAESGYIDLIDISSGGTHQAQHIHAKPGFQAPFA VAVKRAVGDKLKVGSVGMIDSAQLANSLLEKEGLDFVMVGRGFQKNPGLVWAWADDLN VEISMANQIRWGFSRRGAGPFLRKRQEKI AFLA_036020 MMGLPWATVYIVIAGYAIAKSTFGNFILLSILVSLLKVFYNWVL YPDFFTPIKKIPSPPVSLLFRSPILVAGRSWITGNSDTVFLEAPYEHMRKWLENVPND GLIRYYVSINLERILPVGPRALKEILVTKSYDFPKPEFIRASLKRLAGEHGLLLVEGD DHKKSKKNLLPAFAYRHVKEMYPIFWSKSIEMVRVMDEDLRKKADPTDNVLRMGAYAS RAALDIVGVAGMDHDFQSLRDPNNKLVRTYQNLMSEPPLYMKIIFLLTLLLGDPAVVH DLPLERNRSIERSSETIRDVARQMIRQKRAKWESGSSTAEDIDIVSVALRSGNFTEEE LVDQMMTFLGAGHETTSTAYSGTRLREEIRANLPPISTENPQPPAATDIDNLPYLNAV CNEVLRYHPSVPATIRCASRDTTIIGEPIPKGTLFLIAPEIIGKSKELWGPDADKFNP ERWLGPGRANNGGADSNYANLTFLHGPRSCIGQGFAKAELACMVAVVVGKYHMELKNP NAPLEIRQQATVCPKDGVLAKFTNIEGW AFLA_036030 MAEPKPNSQQRKPLFKPTLIIHGGAGALKRSTLPPSLYNQYQTS LLTYLRSTHSLLKSGSTALDAAVHAVILLEDDELFNCGRGSVFTTAGTIEMEASLMVT SLVSDDSSPDYPGSIKRGAGVMGLKNVRHPILAAKESLLRTGNGSQDGGSMHSQLVAP YVEEKAREWGLEFMDDEWFWTQRRWDEHLRGLQGEPEPVSFSQGTVGCVCLDMWGGVA VATSTGGLTNKWPGRIGDTPTLGGGFWAEEWEVQCDTVDDGEGADGGGLLDGMLGDCL SFFNSRGRSGDYVSLPMEVPLERGLKEKMVMCSSPENRVKRRAVAVSGTGNGDSFLRV AAARTACAMVRFSERMPTLADAVTAVAGPGGELQRSAGRRWGLTGEGGGGIIGIEAEV EVDEDTGVPVSGMELGRGKVVFDFNCGGMWRAWVEEDGDGNDMENVMVFREPY AFLA_036040 MDHSRDPCPWVALSDFGGAFCMGAIGGAVWHGIKGFRNSPYGER RIGALTAIKARAPVLGGNFGVWGGMFSTFDCAIKGIRKKEDPYNAIIAGFFTGGALAV RGGVKAARNSAIMCAVFLAVIEGVGIGFQRMMADNTKLELPPAPPSGDKVAA AFLA_036050 MSDLSPILTDYYADHNNHRWLVSHDPVLCCTILMISSRYHLLPG AGGGSRNFFIHHRLWQHCQQLVMRLMFGQEKSSQSKVRNIGTIEALMLMSEWHPRSLH FPPESDGWDFDLTSVPPEPQELEDSSSTNRWLEDMIEPARRSDQMSWMLLGSALSLAH ELGIFELDEKKLGYASGYEGFISGEQIKLRRQRVQRLLYVYINQLAWRIGCVSLMPQS LNHAILGRRATKELNQTGDEWLIFMDSWMDLTKLAKSVTDMFFPTVSFARQQLHSGRY IELLDHFRPILDKWKEEHLQVRSFKKQYFDILFIEYHFVRVYTHSVGMQAVVERVLAD SDPRAEEVRALNIDPIDYEYIQEVIDGCCQILQKVIQLAENGVLRFCPVRIFLRITSS SIFLMKALSLGTRQSTLRESLDVLERSIQALRSNALDDIHLSTRYAALLDMHVARLRR NLLASSKTVKSNQGTASRPSMGVSSSTDHGNNTPMMDISMSQNISDMGYIPSLNDIAA DDWLSLPFDPSMAPFGISSAGQFPAYEGGALNFIWNLPS AFLA_036060 MEVRRQSRNGHATFQRTYKACLACRQRKAKCELGTGPDGLALGP PCAKCRREQRECVFSEKKAWERQKKRANSMMRTVVSSGNDALNILFEAAAAHSKEHGN GLSESSTPSRNARSSTGRSNNYESSLNQSIVPPEVLAKAAQPVEVSQASKEVLSVWGA CRFVRMGWFTAREAVTFIDLFV AFLA_036070 MTDETPKITLYTYFRSSSAARLRIALNLKSIPYTSIPVNLLKGE QSSPANRALNPSGTVPTLVVERPASQGATVTITQSLAALEYLEEITPASSHALLPPVS DPESRAVVRTLCEIIGCDVQPVTNLKILKRVGPLGFDRETWSKELIEDGFRAYETIVS RTAGVFSVADTVTMADVCLLPAVWGAQRSGVNLAEYPTIQRIAERLEKEDAVKKAHWR TQDDTPEEFRLKESS AFLA_036080 MASWLQIPKNSPFSLANIPFGIISSTKSTSRVAAIAIGEYALNL STFASSGGFAQLPDFQPHLSVFSQPTLNAFAALGRPVHRQVREYIQNVFRADTPFPQV LKDNASLQKEALLPLSEVTNHVPMQIGDYTDFYAGLNHAYNVGVLFRGPENALQPNYK HLPVAYHSRASSVVPSGTPIHRPNGQILANPAATPKLPTFSPCKKLDIELELAAFVSK PNDLGKPININEAEDHIFGLVLMNDWSARDIQAWEYIPLGPFNAKNFATTITPWVVLL DALEPFRATGLEPGDRDSLLPYLREKRAANVYDIPLEVEITNAGGKPTIISNSNAKNL LYSFPQMLAHHTITGCNMNPGDLLGSGTISGTEPKTQGSLLEQTNGKNPLKLDDGSER LFLEDGDTIVLRGKAGTEGNYVGFGDCTGTILPAIKLEY AFLA_036090 MPVTKFSHPDPYSYQTGFDSYHETEAIEGALPVGQNSPQKAPYG LYAEKLSGTAFTAPRHENKQTWVYRIIPAAAHENFKVENGDSYHTHMTTETTKLHHIP NQLRWNPFDLDETVDWVHGLHLIAGSGDPTLKQGLGILMYAAGKDMGKEAFYSADGDF LIVPQHGVLDIQTELGRLIVRPNEICVIPRGVRYRVTLPAGPVRGYICELYQGHYQLP ELGPIGSNCLANARDFQAPVASFDDEEEPSEYRLYSKFNNTLFSARQDHTPFDIVAWH GNYYPYKYDLGRFNTIGSISFDHPDPSIFTVLTGPSDHVGTAIADFVIFPPRWLVQEN TFRPPWYHRNTMSEFMGLISGNYDAKTGGGFQPAGASLHNVMSAHGPDTDAFEGASNA ELKPQKVGDGSMAFMFESSLMVGVSEWGLKTCQKVQEEYNEHSWRPLKRHFKNPNKA AFLA_036100 MDSITISPTKPNLTTNDSAVLQALFDAESSPSNGITINPSLPPF PAHLNIDPTLHETLKAREITIVRTLASPNPTPETIQSAIDQLSTLITEHPTYPPAYVN RAQALRMLISTHNGSQAEETETDLFTPQNAETVSSLLSDLGEAIGLATPRSPADPVSE VQARLLADAHTHRGYLLLRLAKVKKSGEAFEGTGRWSQLDADRLEEMASRDFFFGGRF GNKVAQQLAVQTNPYAKMCGAIVKEALRKEVEG AFLA_036110 MAPISTSPPPTDRNSLASYRGYDHVHWYVGNAKQAATYYITRMG FKRVAYRGLETGNRSICSHVVRNGDITFILTSPLRSIDQIDRFSSEEQEQLREIHHHL EQHGDGVKDVAFEVDSVDAVFNAAVSNGAKAVSNPQTLKDENGEVRVATIQTYGQTTH TLLERSNYRGAFLPGYRLETAEDPVSKFLPGVHLNRIDHCVGNQDWDEMDKVCEYYEK ALGFHRFWSVDDKQICTEFSALKSIVMASPNEIVKMPINEPAKGKKQSQIEEYVDFYN GAGVQHIALLTDDIIRDITNLKARGVEFIKVPSTYYDDVKIRLKKAGLTLHEDFETIQ KLDILIDFDENGYLLQLFTKHLMDRPTVFIEIIQRHNFEGFGAGNFKSLFEAIEREQE LRGNLV AFLA_036120 MTSTSSTAAQRPISKAESSNPREFQINQLRRRFRPTESTDDVGT TLTFGMAPSDPDFPFEMDKLQCVLHVPLSYPGRERPTLKVTNSEMGSAFQDNIARGFD DIVDSTLRSGGRATLLTWMNALDRHLERLLTTTERGPTLKFVPNVGSKQKPESQAIVE GVKSLAVSTPASTQDTKPKSLPVPEAPSRIYTAEEKSQAEKRRAVETKQIEARLGRLP LFQKSKDGLSFVIPIQPPKPDRLPISIRSVKTVKLLVPLLYPLEQSSVELQGVDRAEA RPVEVGFAQWVEGNSKLNLMSQINYLTANMHNFAKTPLETPQEPVFDASPEPFTGSKA PENTSTTTRGPTLEDRPHVHVVPRPPEWTVGERSSESEGTDMTESEDDFTGEDEEDGG APVPALPETTVERGVALSFPFLELYGIELLELVGLSITVKCDRCKEQMDVKNVAQVKD KADALSPKVESCKKCANTMSFGFRRQLIHPNSNRAGYLDLDGCTIADLLPSSFIPTCA ECSSSFPAPGVVAVRGESASASCRQCHRKMVFKLPEVKFLRVGSAAFTSRNQALPRKK PKEALGIVAGQELPRRGRCSHYGKSYRWFRFSCCAKVFPCDKCHDAETDHPNEHANRM ICGFCSREQIYRPENCGVCRAVLIGKAGSGFWEGGKGTRNKVLMSRKDPRKYKRRPGS TPGGSSSKKK AFLA_036130 MIFSRSMASFTLVSAYAAAGLLAIIVLNLLRQLLFRNKTDPPLV FHWIPFLGSTVTYGMDPYAFFFSCRQKYGDIFTFILLGRKITVYLGIQGNEFILNGKL KDVNAEEIYSPLTTPVFGSDIVYDCPNSKLMEQKKFIKFGLTQAALESHVPLIEKEVL DYLKTSPNFKGTSGRVEITDAMAEITIFTAGRALQGEEVRKKLTAEFADLYHDLDRGF TPINFMLPWAPLPRNRKRDAAHARMREIYMDIINERRKNPDRETSDMIWNLMHCTYKN GQPLPDKEIAHMMITLLMAGQHSSSSISSWIMLRLASEPAVMEELYQEQITKLSPDGR TLPPLQYRDLDLLPLHQNLIKETLRLHLSIHSLMRKVKNPMPVPGTPYVVPADHVLLA SPGVTALSDEYFPNASRWDPHRWENRVEKEDEEDIVDYGYGTVSKGTSSPYLPFGAGR HRCIGEKFAYVNLGVIVATMARHMKLFNVDGKKGVPATDYSSMFSGPSKPAIIGWERR FPEKS AFLA_036140 MPSRLTTPVVTVDTAKIHKVDTANAQSLHGMWMVFSKCADYMEE GRRLENLSWRLWTRETFCVEPATSNDASVLPLLRQESADMPELSASVESAASEQAERI EHHIKRPKCEIKPAVVRDDSLASLGRGKEKHITSMGLERMVLNIKEKKDLEPISSAMA TVSPPVVDITPRPSTPTPTPPSESTARRTPSPSPSFPVIQQPNHSTESCSTTAPDCND SDAANANASDTSVSSSGILPSRCELIKSPSIIRGFSPSLISSSYRSQPRMAAEPSPAK ASAQLKPTPFKKKGGMFTLGGSSGDDDESSFEDRMVLRGPHRSSLSDELSKSGTMQPS PKKKVTSFKEHDGTIKPVKEPSGDNDEDAIESEDEVDESAIEDEDSDWEDSITESGRS SLDERDMFQRVDSRPNLVSRRSLLTMMMHQPTKMGAPTRSSPALQRSRLTSPNGPSIP ASPPEDDEDNLTMRGPGVPRSKPIVMKPTPQSVAHSPRTTRRNMLATELTESLRRHLL WERQQKSATANAFLKRRHTAHDMANLQEYPNPKGAQKGQGVGVSNPGADKDKDMAKTA SFNQYTDFGPWEYHAKGW AFLA_036150 MKEISPIHSSPITQNPFVPSPSRLLSFFPFFLPFSFFQLPVSPR VTHFARFVNHVMIHVKGTSPEEHLIDEFDKSQKTETGMEEMIDGGRGPVARLIVTFLK AL AFLA_036160 MSRKGHLEPEEWLVEEARLCFGHRLPIVFSKVTFSPLSRPLGSW GLARSGVSTSLVLIEVKQRELCFYLPSLYLFAVEEEPCSKHYT AFLA_036170 MAMQLDLSQASVMKDEQGRPFIVVRDQGKKKRQHGTDAVKSHIV AAKTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILSQMEITNNVAKLLVELSKS QDEEIGDGTTGVVVLAAAMLEQASDLIDKGIHPIRIADGYDQACEIAVAELDKISDEI PFTKEDTSNLLKVAKTSLGSKIVSKSHDQFAKIAIDAVLSVADLERKDVDFELIKVDG KVGGALEDSMLVKGVIVDKDFSHPQMPDEVKDAKLAILTCPFEPPKPKTKHKLDITSV EEFKKLQDYEREKFTEMIQHLKDSGANLVICQWGFDDEANHLLLQNNLPAVRWVGGPE IELIAIATNGRIVPRFEDLNAEKLGTAGSVREMTFGTTREKMLVIEDCANSRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSIAVEDAAVKSPGIEQ YAMRAFADALDAVPLALAENSGLSPIETLASIKSRQVKEKNTRLGVDCMMTGNNDMRE HFAIDPLIGKRQQLLLATQLCRMVLKVTMMEHELTEGRLTTSLFPVMMSRSSRFLVYN SILMAKSETFGCGC AFLA_036180 MPPPVENLSDDESTGESIPYNDAKEDRDSGAENQEDNDEEVEEE DEEGVYVVEKILGHDFAKNGTLLLQVKWKGYDDPADETMEPEENLLEGAKDLVEEYYR AQGGRPEKPQRGKRKSMTGPKQTTEKSEPKRRRKSRAEAATETPDEDDDLPNWVPRSK NWENEVQSVDTILRDAETSTLIAYLHWKNGKKSKVSLETCYEKCPRKMLKFYEEHLVF KEG AFLA_036190 MIGQLPQMTPTSSISVDNEAHIAHDPVTNISEPVQYDKTETSDH DPENAIDPLFLELPFLPSSPEPEPEEPPEQDIDTWIDHRLQTGRAENEEQIIEALRCT SMDPYLADQVLDYLIAGKGIPDNMPGVWTAEDDRCLEAKETRTIEQVLKKHGSDAFNS RWEYLGMARAAGLDDIDS AFLA_036200 MESAGPEPEKFTFQCGVSVFPAQFVILSQRLLSHSVCPVKMADR NSADIKPKCTPAELMLKVILTGTLSHYETRGMIDDKRLEHMLSAGKQILYKTHQESDV R AFLA_036210 MAAEKGFDQRILALVDTCVRVTARGFDGETNARNEERWQKVVNL YKRLLITCLQFLHNFIMHNEQRKMVLWLDLFGYHSTGDSNIIQPREPLDPASGQPEGA APIVKTGERIVNPPIRALYDQTAEDLLLETISNFPREPATIKEEAAMLLLANIKDHME KLLGRDLTAIQEMGKDPEQVKEIRAALTAILGAKVDGFSDLQDRAKDLPPALPEDEPP RKKAILTIDRSTTAGFPRICWADLPDLNAYGALAAGDATIMEEDTSMPRSAQSAAETL QEAKDELMARLQESSQIGGDGDQDYDTGDAGTVGDDDSRSLEAVADGSMEEEEEEDDE DDDDYRGRPGDQQRGLLTDIPLVLGPAEIEALPMIIQAGIVDSFGLKGGERTGSRNMQ ALRCHILLTQETGRNLLRELLIFIAAWDLPDDELYFKMMVQIMDAVLRNGLMSHAYSD FGQPKDIISPAQAVVVKILTHIFRAKYSPASVTGSTQANTTKNPAPLSRVDILTVRYI FTIFRGNIIPETCALIYLQGQIRAGRALPEDFPLNLWDMERVYEGVYQFLEFFAVLTE NNDWKNLLVKWEIVYDLVTLIKELEASIPKGQLSQLSLGPRSPSKESQPNTIPGPVAV ERPYDPSDPDPVDGGAPSRAESPPITEDPSEFEWRNLKKLVVLVLSSLVWKCPEVQDQ IRRHGGVETILSCTNFDAHNPYIKEHAVMCLKFLLEGNRENQKLVEELEAREVVKDEN GLLERSGFEAVIDKTGKLAIRPKDGTGEKR AFLA_036220 MSTYEVEHNTTDPGTATPSTHRRRPDLSTFFATLSEISPDEART REHAVPVPRDVSAAFYSLAEAFDLMRREGDHSVPTSGEGDNDLLTQMIQSLLSSAETP PREVEGVSEEFCDVLDRVPKASLKPSQSCPICGNAFLEDQYPLVVRLPCHSTHLFDLE CVRPWLRLRGTCPLDRTDFAKQEREKAEARRKKPVEDDEEEWDGMYG AFLA_036230 MASLLTDTLPWHDGEIQMRSLLRIPPIINPTVPSLSYGATYLLL NSPLLAIGAVDREGRPWSTLWGGEVGFAKPTSQSKIEIRTPVDSKHDPLADILLHNSS GESGQLVSGLVVDLATRKRAKLFGRKITGSIQVDEENHIDSSRVGFAHLLVQIEASLD TLFISSRHGDVDMDTNIRGGPPGFVRVISNEPNGAVFAYPEYSGNRLYQTLGNLQTTP LAGFVFPDFETGDALYVTGQTEVLVGKEAAALLPRSNLAVKVTVTAARKG AFLA_036240 MRSKPRSVKPSAYPAIPFHSIRAVGFISTLVVGIILAVFIYNLH QGGFKLPWAFLVLLIAVILSLLNYVLTTITHCCYGLSPRLSLLSNTICLLLWLISLGL LSWSMSHTILTTCNATYWATSTGITVCRIYKALFAFTVLGNISYIAAIALDVIVRRRQ TRLGEYDPMASNPALNDYKMHDRSSSVLSGGMGPYGGLEEQHPAFRSNNHADEVYNDI PAPGNYAGQTMPPPVYGASSTLEQHHGGEAQDYYQPTPTRPRVRFSAYGHDGYSHPSE QTHYDPAAYR AFLA_036250 MLQVPVREHTNVASTKAIILVGGPSRGTRFRPLSLDLPKPLFEV AGHPIIYHGLKALAKIPDIRDVYLVGYYDETVFRDFIKDSTKEFPQFRIQYLREYTSL GTAGGLYHFRDAILKGKPERIFVLNADVCCSFPLGEMLRLFEEKDAEAVILGTRVNND AATNFGCIVSDSHTKRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSAIKRRTTR PRLVSYPSSENLESSFVAAEEDSEKSEVLRLEQDILSDLADSNRFFVHETKDFWRQIK TAGSAVPANALYLQKAFQAQSDELTPPSATIVPPVFIHPSATVDPTAKLGPNVSIGPR AVVGAGARVKDSIVLEDAEIKHDACVMHSIIGWSSRVGAWARVEGTPIANGTHSTSIV KHGIKVQSITILGKECAVGDEVRVQNCVCLPYKELKRVCASSIPQMFS AFLA_036260 MRSLENKAIQVIARDMKALVRKIQDLRHIGIEDNRIALPKICVI GDQSTGKSSLIEGMSEIKVPRSAGTCTRCPMEINLSEGEPGQPWACQIYLSRRYMYDG SRKFRTPRKAQPLGPWIEQDQEDEHFITLSEKDQVQEAIKWAQLAILNPGQSSREYIP GENAGTNTHYQVKFSPNIVRLDISAPDFPNLSFYDLPGVISQAELDEEKYLILLIENL VKEYISQQNCIVLLTLPMTDDATNSSAARIMRNVRGAHQRTLGVLTKPDRIQAGESYS QWVDILEGYKFKLGHGYYIVRNNPDPEIEHSQAREEEDDFFDSPPWTAEELAPYQDRF GTRRLQTSLSSLLLEQIQGCLPGIVEQINTKAARINEELKELPDPPSANVLYILCKLL YDLGDRIRANFEGGSSGYPLLKIWGHIAQDFRAALIRTRPTVSLLSQSDRTSFPVQPD GDSDCEMTSAPRPVKRKSPSVPPDTQMPKPGPSGYSTNHFNQFIQPARVFTWEDIREI NEDSCRAGIPDQADPKALEKLRQLSVQHWDGPMLVFLKATHNLVKEMLLKQLREVFFQ YYQTTLFRELKRIIEKYLGELQEKHTRYAIDNYNIEHHKPFTMATAQLEHATKDAYHF LSTRRHLARADLYLELQGKCPENESKRAAELKKLTTAELGPDRFFQEVKMMASTRGYY EVASSRFVDSTCQGIHTKLFSKCREELVSVIEKELHISDTDGMSLERCQELMAPDLER QRRRQYLIKEKKKITQAQEWLSATKKEEDEEKFTLPLVFRSQTPEKLPKGI AFLA_036270 MSEANAVKEAEASMANLLLDEVTGEKVSKSELKRRQKLREKEAK KKEKEAAAPPKPAKKTSAEEDEANLTPNQYFEIRSKRINKLRETKQPDPYPHKFQVTD DLRQFLKDYDHLGKGDQLPDKTVRIAGRIYTKRSSGAKLIFYDIRAEGVKVQVVCQAQ NAAGDVSFEAQHEHLRRGDVVGIVGFPGRSNPKNRPDGELSIFASEIVLLAPCLHAIP SEHYGFQDKEQRFRQRYLDLIMNDRSRNVFVTRSKIVSYIRNFFDTRDFVEVETPMMN AIAGGATAKPFVTHHNDLDMNLFMRVAPELYLKMLIVGGLERVYEMGRQFRNEGIDLT HNPEFTTCEFYWAYADVYDVMNLTEELVSGLVKHVTGGYETVFHTQTGEEYNVNWKAP WRRVEMIPALEEATGEKFPPGDQLHTAETGEFLKKVLKKTGVECSPPLTNARMLDKLV GEFIEETCVNPTFITGHPQMMSPLAKYHRQNVGLCERFEAFVCKKEIVNAYTELNDPF DQRLRFEEQARQKDQGDDEAQLIDENFCTSLEYGLPPTGGWGMGIDRLVMFLTDNYSI KEVLAFPFMKEDKSAAETKSAAEVVGIEPQPEEGIPHK AFLA_036280 MIDRDETGDNTDPFRQLRYLPPPQTSQYHQTSQHLFHPQPIRQT VGPACLSRDPNGAIDSFSLRESLFGQGELNPGARHFTLESQNIHRTTPRFPPEYVNWR FGDPIPSNWRITVTPRAVNSPRPEQHCILMPDIGTPESYERCRDISLSSLLCPQPDRT VLEHAAILHHMRNSEPEFVTVGNPKIVSRPGSAREDTLMDCGDPHEQSSPRETTVPVT TNAPDDMFPFAMPAMGKEVILCEFEELATHTAKCDIYRIKQSDPDTWDVDSILDDDAT EYLPEDDQLEEEEASTWSPSNTPLSQGSLHNWNLQQAQRGHEIRRQKNIRSQKVKTSR ETDADTPLTKSKASGQRTKAQAYCRKQPGRYSRL AFLA_036290 MVHSTPVPSSGFQALILCGPGESLNTISSNPEENPKCLIPIALR PMVYYPLDWCKRAGITDIILITPPSALTPLKAALQQNPYLTSLPSPSPTVIAPKDLKM TTGTAELLRLPEVQACIRSNFLLLSCDLLCDIPGEHLLEAWLVSQGELGPASQGGLSV FYPAKGIKDEVKKEPTDFMAITSLEQDEVPAVSRYKLSKLVLSMPMDTLKEQIEEDKT FLLRHTLVEKHASVKMLTSYRDAHLYILPKWVQSLAQYQERFESVSEDLIGYWAKAEW QRGLSEKLGINKILGGQNENQEDHGSNDGDLLEEEIDLRGMTTTHAQGSEARSTNSTP NDQSSVEVPSILAYMTKSSEQLVRRVDSSALVLAMSLRLAKLESVEEVGRAAASPFSH ASKIATPEGIASKSIVTKGDCLLGSNVTVEEKCVIKESCISPNAKICSGARLTRCVIM DNAVIGPKCVLTGCIVGRYSQVGRDSVLKDCEVQDGVVVEEETDAKNEQFMSFEGLDE DDEDDMDVEDDEDNNDEAVF AFLA_036300 MRQKRPPGSILKYTQSFNLNPFKLNLEHGGLEINDQFQIEVGDF VARVIPDSISMERINHFREQNSDALFDLLALSITPRHFLYGKESPEGTEDRGISRRVA LACARLKSWIKQTSQFRSLSLSLSVGH AFLA_036310 MMAPVTSLDTLVRPFANFIIDSLEAAPFLSFVILALIYIFLAST VISLVFRLCCLAPATDNESQRACPTCDGSGVVDTTVERVYVYTSLGQVS AFLA_036320 MIGSRRSGSRPLVCPQLPAFYLSRRTKQFLQTSIAAISLIMASL GSSVSFMRSFTPRLSKEFFTCRQCLGRSQNYATKSTFRKNFAVSPFTQNTSKLNTPAS FPKTKSAIPNIFRRSASGSAAANAVEEGASNAKSSFPKVSDKTVAYWLLGSAASVFGI VVFGGLTRLTESGLSITEWRPVTGSLPPMNAEDWESEFAKYRASPEFQLLNPHMTLSE FKSIYYMEWIHRLWGRFVGLSFVLPALYFVARKKVSKPMALRLGGIAGLIGFQGFIGW WMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVCYVAMLWNGLAILRSHRLLADPEA GIKLLDSLRDPKLKIFRRSVAGLAALVFVTAMSGALVAGLDAGLIYNEFPFMGTGLAP PKSELFDERYSRHEDRSDLWWRNMLENPSLVQLDHRILAMTTFTTIMALWAYTRRSPT MKRLLPPAAKKGVHGVVAFAFCQVGLGISTLLYLVPTPLASAHQAGSLFLLTWVLVLG SRVWHPSRTAKLLQMAVKARGQAISSATAHGPRNL AFLA_036330 MSYAVETKKRKFHRVLESLTKPSNAESSSKPTPAATTATTTTTA NTNERISADLAAKKARLSDRQDGNDLASVRKTVLRVARSSSRDSSVSSSSRPSYVPWD RERFLERLETFRRVDRWSPKPSAINELEWAKRGWICTDVSRVACVGGCGGSVVVKLPD ELDELDGYDSEKVQERKEVRAKLVEEYASLLVQGHGENCPWRNKGCDATIHRLALSNP DTAISGLRTRYLNIAKMADQLPFQEIIQTPEPFDLETIIKILPDDFERLEEHTEIAVP QTPQRVDENQLASVQSPSNRGISINRTAFALAFFGWDSVADGAAGLAGCGACFRRLGL WMYKPKNNGDVSVYDALDVATEHMEYCPWVSGKAQSGTGKSSEKSAELRSGWELLAQA LKVKHRRHIRSTVSVDSRAVSETPSADGLAVDEANNEAKKASDREWWAKLRRMRQVLN VKSPKKPTAP AFLA_036340 MADENPQAQGAEGGGKGRSSSMYDPARDSWEAQQSSAIPPTQPQ SAQSLSTLDNPPAQESFPSAVQEEPRPGYSKRRRLQERHQQLRKRGRTPPSAYARRDM NETAQQHNRNESSNRSPSPLAPPRSPSPDAQARKRKRPGGGARMGLVDRETLRRRQEE RERSQQEEAMRFSQNRGVTDIVRHHYNAVPQRGREWRKTESKIKGLRSFNNWIKSTLI QKFSPDEEFVARSIGTKDWADETAPPPMEDKRLLVVDLGCGKGGDLGKWQLAPQPVDL YVGLDPAEVSIVQARERYNGMRTGRGPRGRRGPLFHAEFAPKDCFGEYLGDVPIVQQV GIDPNAGPGGSVMSSRWGGGGFDVVASMFTIHYAFESEEKARQMLRNVAGCLKKGGRF LGVCPNSDIISARVAEMNAKRKERETAAKKEEAEPEDGEVEEDDNKIEWGNSIYRVRF SGDTPEDGIFRPPFGWKYSYFMEEAVEEIPEYVVPWEAFRALTEDYNLELQYRKPFLE VWKDEKDDQELGPLSERMGVRDRNTGALLMTEEEKEAASEYSSWSETICLLTWTGFYH AFCFYKV AFLA_036350 MTTPTPTPSSSRHISRHPSSAQRQSQRPRATTPPLPAYEAPIAP LHSAAQIKLLSLLKSNSLRPLKTHLQHAEEKLTDSAGEVNERLTDARVRLQRLKERRG ERKNFNEGEENGARQQGDTDDWEERLRELEERVEGATERLEGQMRGTIDGEVRVDGLV GVLGTIEREVEQESSFGRSTRSTRRTTRRRGGEEDDDDDEEDGDYEEAEEERGEESVP PSRRLDKLLGENYADWDKLSLTERYSSNNAYIGFYRIIHEAKHPGEDIPPLPHASTWF SHLEDPTTGSAQLADSSARRTRRRRSPSPADSDEIAIESERISLKCPLTLLYFRDPVT SSKCPHSFEREAIEDMIAHSSTTVPAPQPAGAAGRSARRVRSVKCPVCSVVLTAADLR PDPVLVRRVRRHEAALRREAEEDELSDGARRRRSGARKSGITLVDDDGAEDANEMDVD SDETSDEDDRQNKESSSSQDHVRIKQEMSTAPVDDD AFLA_036360 MASPTTKESQAAMDFLHHPYTRAALPFINGGLAGMTATTVIQPI DMIKVRLQLAGEGVRTGPRPTAFGVARDIIAGGKILDLYTGLSAGLLRQAVYTTARLG FFDTFSKTLNKRAEAANRKITFAERAGAGLTAGGIAAMIGNPADLALVRMQSDGLKPL EARANYRSVVDALFRISKNEGIPALWAGALPTVVRAMALNVGQLTFFAESKAQLKAHT SLSAQNQTFAASAIAGFFASFLSLPFDFIKTRLQKQQKDPKTGQVPYKGVLDCARKVA KDEGWLRFYRGFGTYYVRIAPHAMVTLIVADYLNLITK AFLA_036370 MAPAENINIPRASPVEPGPLYTDFFQQQVAKQRNNNYHSTSLRN MVATSVNRTALHPGGVQPGKGHTELEEELHEHAHIDYERVAIIANPAVPALYEDALVY ETGTAITSSGALTAYSGAKTGRSPSDKRIVKEESSEQDIWWGPVNKPMTPDVWRINRE RAVDYLNTRNRIYVIDGFAGWDERYRISVRVVCARAYHALFMRNMLIRPSQEELKHFH PDYVIYNAGSFPANRFTEGMTSATSVAINFAEKEMVILGTEYAGEMKKGVFTVLFYEM PVKHNVLTLHSSANEGKNGDVTVFFGLSGTGKTTLSADPNRALIGDDEHCWTDRGVFN IEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENVVFDPISRVVNYDDSTLTENTRCAYP IEYIDNAKIPCISDKHPTNIILLTCDARGVLPPISKLTTEQTMFHFISGYTSKMAGTE DGVTEPQATFSSCFAQPFLALHPMRYATMLAEKISQHKANAWLLNTGWVGAGATTGGK RCPLKYTRAILDAIHSGELANAEYEVYDVFNLHVPKSCPNVPSELLNPKNSWTASTSF KDEVNKLAKLFNENFTKYADQATKEVIEAGPVVA AFLA_036380 MDDSILFHWIEDTCLEMIREASLVHHDRKDELRLDSPDDAGNED SHILSALLCQGAA AFLA_036390 MKVPSSYVHIVLRGGSVIDSSHSIKASISFFSAIYLYNSSKDGI WVKLSPPFLLAFPLDLLALTRLVIGIQLVKVGYQLPKRYIKQRSIELTFCLLPLMGIG WVITSSCIMLMVPNLSFLAALIIGSCVTCTDPILSQAIAKGPFADKYVRRHLREFISS EAGGNDGFGFTFLLLAVSLLRYAETPANAESLREFDLVRGIPDVLGAADVGRFGGGVG KALKHWFVEGVLYMIIVGATYGALIGFMTRKLLVIALKRRWIENESLSLVPMAIGMLV VGTCGCVGSDETLACFIAGSFLNWDGVYNSEMQARHDTFNPTLETLLNFGTFMYLGAV MPWEQFHMPHDTGITLPRLFGLGFLILVLRRIPTILLGYRFIPAVCHDWTEALFMGYF GPIGIGAISYVEYARRLFPDSGESDNEINNLTAAMIPEETIPNGKQVVYWLVLFSILV HGLSVPILNALYKLCNVPCICDHPVEVLLLSDNEPLPNNSTANRARHSVMVNNRFSRP PDSDDGTDDGQPEDDTAAILRRSEDSASTDNDRPESRNTIQMIDRAVDTRDIV AFLA_036400 MYTSIYFILNTLLASNLASQTYASPFQPQVDQIRVPEPVSPNTH WPNFNDIISQVTQPTEKEESLSGSLGLRYGSCYYIENRQGDTLGSDGGVYSYYKFGSS KRIFQVWYSRGFPWKDGSSYQLVPDGGKFYLWDPKGSSVTNGGSWIATNLGGNTYPGF YSYYYYLHFKGRRDDHGSGAMPQSENEMHMMGSRYPVTLEIAKVEPPNGIPNYKGLTI SNGYLQNRNNEDSVDVRFHEVSCPVDGADD AFLA_036410 MVANALAYHPTLAHYLRFVATTVGRDKILRTLQYFSRFYAWYLY RTNRPQSSIDPYNAVKKQFGTTRKILRIGKFAEHLKAAAVAADNKSPVDPVLRYLAVG RQLGYAGYLTLDTITVIDVIGFRKLAAAKRLQDTAYRSWLAGLICSAIASVYSLWRLR EKERTLDRTEGEGVVEAKKLEKERSAARIQLFSDLCDLTIPVSGLGLANLDDGIVGIG GTISSLLGVVSQWRKTA AFLA_036420 MDPQKHSEEPPEKAVASPDAVPIDVAPSENMQKGRWERSWPTIA CGAGLFSDGYLNGVIGSVNTILGKIYPDSYSNSPASQNVSSITFAGTVLGQLVFGYVS DHWSRKWSLMISTIILIIFSALCAGAYGLNGSQYGLFAALTAYRFFLGIGIGGEYPAG SVAAAENTGELKKGHRNRWFIMFTNFQIDFAYVVSALVPMILVLICTENHLRLVWRIA LGLGVIPPLSLFYLRLKLEEPEEFNRERMHKFPVWLIIRFYWKRLVCPQCCHFRTVVS LIWFIYDFSAYSFNIYSSKWVGIILGDSAPLWKTFGWTTVTNAFYIPGSFLGALVSDW IGPRNTLAIGVGLQGVIGFVMSGCYEYLSIPKNVAGFVVVFGIFSALGEFGPGDNIGL CAAKSSATAIRGQYYAIAAAAGKIGAFVGTYVIPIIQKNAPNEVRSGQDPFFVSSSLC LLSAAMAYFLLPHIGQMKSSALSSRPTDMTPRRWATEKHGSRLSPFASPPANSYVRSL TPTYQVPAPLRFLATFPTKRRPLQPSRPVGPRSRTCDTSGRGFPQPMLGHINPHRVTG PCATRD AFLA_036430 MGSDPQYIKFPDLTLAQHVFNLSNPSCPQTVRQTSLKKVQDAIS ENKMAPFYRHLAHPVEGILNHSGEGVPQHQASSTKSLITSNMLASRKSPQKIDFPWDE SLYQSLVEDNKKELDAFQKEEDEAEEAAGDTEVLAARGKRAEFWARVGDKDKAIESHE ALLEKTTFLGTKIDLVLAMIRIGLFFGDTLSVRKNIERANTLIESGGDWDRRNRLKAY KGLHLLTIRSYSVAAPLLLDSLSTFTSYELCSYSALVIYSVLAGSLSLKRVDFKAKVV DAPEIKAILGSGEDRVAALTGEVSSGPGAKDEEMKDASTSRATPGAATTAVNLTTLGA GSGIQAEAEAPVDFSPLANLVSSLYNGNYRSFFVALAAVEDNFLTQDRYLYEHRAWFV REMRLRAYQQLLQSYRVVGLNSMASDFGVTVDFLDRDLAKFIASNRIACTIDRVNGII ETNRPDDKNKQYADVVKHGDALITKLQKYGQAVRLRGSERS AFLA_036440 MATQTTVSYTTTRTLSTPARCLNPDNINPHVTEAKYAVRGELAV KAEEYRVKLANGDKSLPFDSVIFANIGNPQQLDQKPITFFRQVLSLLENPQLLNNTEA LRTSFGYEQDVVDRAKKLLADVQSVGAYSHSQGAPVIRQSIAKFIEERDGFPANPQDL FCCAGASSGVSTILNIICNGPQAGVLVPIPQYPLYTATLSLLNAQCVPYLLEEQKAWG TDVTAIRNSLAQARSTGTDVRSIVVINPGNPTGASLSAEDIKNVLDLAAEEKLVVIAD EVYQTNVFEGEFISFKKRLRQLQQETPGKYDYVELVSLHSVSKGMVGECGHRGGYFEL VGFDPEVQAQIYKLVSIGLCPPVIGQCLLELMVNPPKEGEGSYELYQKEYNGISEGLH KRAFALYEAFQQMEGVECQKPQGAMYLFPTITLPPKAIEAAKAENRAADEFYCLRLLD ATGVCVVPGSGFGQKENTLHFRTTFLAPGTDWVERIVKFHSEFMAKYK AFLA_036450 MDPVAPVQEDIRRVGRKELYTDFGKRMEYIKTFLDFTDDDVIIF NKGSKYLKTVIPELTHRLYEKMLEFDITARALRTRSTTSEAQIEDLFTIDSPQVQRRK IFWKWYLTRLCSDPGQPSYWEYLRKVGEMHTGKVLMHPLTIEYIHMNACLGYVKQLLF ETISLHPDMSVKFKFALIRSMSKVFCIQNDLISKCYINEGQEFAEEASNTTNADNAPA KGNTDNASIATGITDNASAVTGTTDTVSMTTTDSMTTTDTVSIANSEVSSMTRDKQSH PHCLIPGFNTSRPSSAGDTQSSISRDRSGSVDLDRVNSTAETAVSSDCPSTSSSHMIS PNVVSNPSAFASPFAVGHIHNFETKIWSSGMKQKGSGYK AFLA_036460 MLIDGEKWACEACVRGHRVSSCHHSDRPLTHINKKGRPVSQCAH CRGLRKSRTTHTKCECEDSRPRCGCTHGQRCTCALKKEPHLNTVPETGLPPPQHTILS EPPKKPQLTSTKSESTLTIFRDGHHKPAHKHNDMAHKCGLPYTIPRSHTIHSTSDVSR RSVDQMPLTQAALMNEPFATQPFSEQQPTHGPQRRVKSEHGSPESAPVVSTEDGPTTV PPLDLSSFFPQPQPMNKPTEAEPVSLSMGKTPLNPLMTSVPPLDVSSFSTFPTTTTSP VNTMAFQDPYKEQFFTSPDNDMTLGPTGFNAPPVDWSSFPLYSSDVPAATSTQAPSYA SFDYNSMSHGLPAPSSSGDISEVEDFAPFSGFGNAGNDLQDLASGSEGSDLDHFRISS ASSFIGLPQAQLLSSNQLDSINIDDFLKSANESTAALEHQLQANMGMEPKPLPSQDAY AISDAQTFKPMTTPTTSLSMTTSAADPMWPAALFDPAAASVDDNNFYPPSWVQ AFLA_036470 MNSREHSDMPPTASYPSPNAAQMGQGAMQYYANRQLTADELLSA ELSRETSGPGLADGSSNGVHHGQSMVLGSSNPGGGDMGRPSSPDQHQQQHMLQFTPSQ QVGVDPNHDLSYGDQSARRKRSKISRACDECRRKKVRCDASSESGVETCSNCRRLGVV CQFSRVPMKRGPSKGYIKELAERLHTLESQMQPAMVHPDMPYQSMNEVSSPRAYQDFS PPMDAGSIGRKRTYSVFEGLPSSSFTQPQFNSRSQNAFDTGETSTDPYNPSAVSGTAP KPGNLFWTTGNETELPHGLEIPEVPKQAMEEDMTPLDVDEGSLNAYYQKIHPVFPILS HSKERLLEILHQCSREVQEIFLYSLYTVTRTNMDRVISTFERVTSFDNAQDLLLYYTR QPALARPTGVNLIWLQTMLLMILDCDSRGPDNFVLKDGVPKHSLIQSASKLGSDLAKG LGQLKSKRSSDPDVDSEANLVRRNWVALAILSRWHAISVADPSILGTYEIGGREDERV VGPVATGIGSYSTFLVEMVTLGAIENNVCQTNTGLGRMVGANMLASLERLTQVEDFHK SNETLESATSQSFLDSLQNQLEWTIRLLIKRHIYVYSPYEIIHSAVEVINEMHKSNTQ SRLTTPFDLHSLALASMTLLEATVIPEHANECWDALKKVEEILDYRAKRSGEATEFSD IFATPGWDSKIRIFLEWRRTKSQESQLQDPSLGKSGSAAPPVMGPNEQRSLQHLADLA VGAEGTVAANAGSPPPGLSTESNLNATSPNLTSVSQPQGRVVVDFTLLTKEGYLNVFS GLIYRRSR AFLA_036480 MSTATPRTSLREGLRQAPKANQPFIPDTAPVRRSHVHAHPQSPQ PVSMSQTHTNSVASNSPHPTIDSWEGREQKVPMSTREVATPGNRPGLFIGLNDRGKTA KQPDFYDPYFPLRYLEVPKSDHIYKRAHYGLQSGISDEVDFALYHLVQISNQRWDKFK FEGFPLLAETLMEKAIDISILCTGVKWELQYDFRQPTDRVNVLNSLHGTRDILEKIKQ IPVTLPDDTLETYEFNHRLRNVKEATLVLRNMVLLKENAFYVSRYAKGLLRDFLVILI NLPNQPRLNEIKNDALDIAEEVTKFMRTDPEDPLWISLLGCLESPDRAHVIRALWALT HFSTELDDQEANRAMERVPKDTLQQLYFHTLLDQDRDILSGALDFWYQYTLSRENIEN LIDIFNLPIVFAPRMIALLTHDARPSKKETVLQEEKVAPPPSEIPRVPPELLKDLMEL SEPERSSRWLRCCFVEDADCEITQIALWQAYQSRFADPRVPGGGVLPAAEFIKNVSTT FTNAQAQVINGPGATTRFIIKGIRPLETAYTFQGFPYLFCKWTDNSKPSKTCQRAFKT PTDLRNHVFSDHMNLATSGEEPGHYNLDKAESPIHTCLWDNCTKFRSSGPSANTAMVA GHVSSHLPEERPADAEAPSSKRPVLQERIVRKWFYLDTPVNERGEPVGVAYKAALVLR NLARNLPDRVAQQYDGLSWKKAVFLSHRPRIVEIWDRNRSLRKELTELIMILEREEYY AFLA_036490 MADYRRPIPTGKELPQSVTSTPRVRLSCELCRQRKVKCDKLDPC TNCQRFGATCVPVERARLPRGRSGRVTGKNASGQDTGLKDRVDRLEELLRELTEHDDG TIAAQLASRSQDSSRRVWTMLSMALRIAQALLLHLPELPFPVRPFERELRRRLWTYIG LLDIQCSLERASEPMMQAKWVDSNPPSNVNDCDIFFGMDGPVQESEGFTEMTFALMTL KAQNTVRLLNFSDFIDKTVSCVNKRQQLVLEFQETASKLLQNSQPDKIPFHWYTRQVA EIISASLQLIVLRPLQRNAKFIPPRVRGDRLLQIAVDVLKKSKTIRNDPRGQSWRWCE FMFVPWHSLAVAIAELCVCEDHSLMESFWGPVRDAYENLGDLIADSRRGMIWKPMEKI MAQAEAKRNELLAVSNVVSYPTHFPGTAAPLQVPVCSQRSMQPSVALTGDIIPNTGAY TEAVPIVTLPETVELGPWPSVWDAVDFGCPVATNEMSWLNYENFIEDVYETMDYTLLS H AFLA_036500 MSRRATPGQAAQNQQTIKGLLKLEHNKICADCKRNKHPRWASWN LGIFVCIRCSGIHRGMGTHISRVKSVDLDSWTDEQLQSVMRWGNARANKYWEAKLAPG HVPSEAKIENFIRTKYESKRWIMDGPMPDPSTLDDGDDDVEKAKIERSASQRVAASSQ PPAAHRQQASIDLFADDDIAPPAPSPSSNLGGLTDAFSGLSFPSTTSPPPQKPAEKSS PFANLTSFATKKSSPAAPKVSSPTASAGSGGGSLFDSLASPTIPAAKPQSRTTSISSN GFDSGFTSFASPPPSKPNPPPSSSLSNDLFGLSSPAPVAPSKVSSPPAPTAVSPQNEL KAAFNLNPPVPAPVSAAPKPSMSATTASIASALPASIDPWGGGNAWSTPDPAPAAEPS GPSMMKVPDTLTANDIGAGWGATASTSGGSKQAPTVAADEDFGGWTSAAPISSTTAAT TTNMSVPSKPAGGFSGADDLFSNVWE AFLA_036510 MRIQRFSTALRTFTSSFRYRPTPLITKAASQHPSKPSFPISKST ALKAAPGILPFLSSFFTSTAKPETENNSKMSYPDQRSTEEWRAVLSPEQFRILREKGT ERPGTGEYDSHYPSEGVYNCAGCNAPLYKATHKFKSGCGWPAYFDSIPGAVTRHTDNT FGMQRTEIVCSNCGGHLGHVFKGEGFPTPTDERHCVNSVSLRFQEGEEGVKAKA AFLA_036520 MVDRADNQLRELEKDYCPPLDPALFTAIACDYDLSDSAQLQQLR ETLDTLKLSAWEQEDLPFDPSGTSGLGANGVDSEGIPSEHSVSQNGTVRSRETDITSL ASEFSSFSVGDKGSHNGKKVSQRLAYTVNADGSLCLSGATEEDKIGYLSEMFPSVDKF TIQHALRKSNGDVDRSMDVLLNLTFFSEQPSIEDGDKVAIPKGIDGFQDGSNGETGRK KNRKRKGKTKSGRNYERSSPLDSEPGCFLQDEPCTVNKWDAAQKDIEFIHSRTSPVLK KEMVTSTYHANGASLTATIRSLAETHAPKDERAISQDTVTEAQVAELIQELPSIPPTT FAGLLKITRSSVSAASELAAAMVTGPVSPSMSELIKFTTSPPPVDVDVETPRRRNEPR VIRDYDRVRSSAGAHFAASSEALAKASAAYRRGKSDRLMGGAAAYYSAVGRDHLERAK RDAAEAADALVDSQSTHNTLDLHGVSVQDAVRIASERVSDWWESFGDAKYVRGGEIAR SGYRIVTGLGRHSHDGTSRLGPAVGKMLAREGWKVEVGEGVLTVVGVVRRH AFLA_036530 MASKHPASEIHRVIDLLIDNLINIRDDKGEFLLHLKDGRTIQAK CWNGWEWTHGIGLYGVWKFYEITGQTKYLKIIEDWFAERFAEGGTTKNINTMSVFLTL AYVYEKTGNLTYLPWLDAWGEWAMYELPRTKYGGMQHITYVAENDQELWDDTLMMTVM PLAKIGKLLNRPEYIAEAKRQCLIHVKYLFDTKTGLFFHGWKFENGGHGNGGHNFADA RWARGNSWCTIVIPEILELLELEPNDAIRTHLCDTLEAQCEALQQSQSVSGAWHTLID HPDSYLEASATAGFAYGILKAVRRRYIGSQYRAMGEKAISSVLKDVDEKGELQNTSFG TPMGHDLQFYKDIPLTAMPYGQAMAIMALGEYL AFLA_036540 MRIQSIALFLGLCTAVLAVPHKPHNARYMGKDWQESSSSTATLT LTPSPTPRPTPRPTPTTPPGDDDDSGEFPFPWPTEWPPIFDDFPDIGSNDDSSSGDVP DVGDKSTSKIPDVEGDERLRKGSK AFLA_036550 MLCETNSMREHSKSHTMFVLASLPRRTEPWYLSENDGDMSGLST TAVSFFTSLSCLFSSLANDEFCQGNQISASASLTI AFLA_036560 MRFFQIASIVAYAATSLAVTITSPQNGDKVDFSKPYTVKWTTVP SDPEQVNIVLKNQTSSEKEIAKNVKTSDGKYTIDSIWDIETGTGYQFNFISNSTKNTG ILAQSQIFNVTAVADPPKPCKQYFSTKITKTSSSTTPSCTCTSISTTIKTRTTSRPCI IHSTLSTSKSSPSSSRAPCSPSSSTALPSASVNKASSATSSASSTSATSTESTGGSAA LTIPAAGSLMLSLFALVL AFLA_036570 MARTYLETGGWGKDMQHEEIYSRITRNTARQFPDLRSPSSPWDF YTLFTGENLRWEFIGIIFSFAGLGALSGERKLFKINGQGPMSANAFAEEMTAASTIYE LLGDLVSHVYALGLHRFQSSDNDVPFFISETRKRLFGTSFRWDKNLATLLVERIRCRE NQDATKDLLDTSMKVISVVNDLMKHREQAGNHIIKSFFCILLFNAIPTAGALATEVHR CTIAEIPLPCSASRSEIIRKLSVFVSWLGSADPSISHTHRTCVDINKAITKLLDDTLN YRPSPRSAEQIPDRTQGHGSIEESSSVLPWANVEDLPDLATFGTSADFLSWLDDLGFG STLPELLV AFLA_036580 MAQGPSCASELHYYRALDHANAGFATGTYHLKDDLHLATPPPHP SEAPVVNPNPLATVPTPPTSGVKLSLVSVGQRNKLPVFTSKEKVTAPPFADGNPALAA IPTKDGLKRRKPKNNIIKSSSSFVSRVITHEASSKRLNDRNPDGLFAFANINRAFQWL DLSSKNKEEPLAKILFTKAHMLTHDINELTKSSSHIDIAMGSSAGDIIWYEPISQKYA RINKNGVVSNSPVTHIKWIPGSENMFMAAHANGQLVVYDKEKEDALFTPEISNHSAEA MKASSRLPLQVLKSVNSRNQKTNPVALWKLANQKISQFAFSPDQRHLAVVLEDGSLRV MDYLKEEVLDIFRSYYGGLICVCWSPDGKYIVTGGQDDLVTIWSFPERKIVARCQGHN SWVSTVAFDPWRCDERTYRFGSVGDDCRLLLWDFSVGMLHRPRAHQASARQRTSMIAS NTQHFNRHRADSASNRMRSDSQRTADTYNDYDSAVRHPVEPRARTALLPPIMSKIVGD DPICWLGFQEDSIMTSSLEGHIRTWDRPREGINDSYNGNTSSPAISTSAAGSGSGIAD SAMGSL AFLA_036590 MATVRICVCGDEGTGKSSLITSLVKGVFVTNKIQPILPQITIPP TIGTPENVTTTTVVDTSALPQERSNLAREIRKSNVILLVYSDHYSYERVALFWLPYFR SLGVNVPVVLCANKSDLAADHSEAQVIEEEMLPLMAEFKEIDSCIRTSAREHRNVNEA FFLCQKAVTHPIAPLFDSKESALKPAAVAALQRIFYLSDKDRDGYLSDKELEDFQMRC FEKPLSEEDLVHIKETIQKTHPTSVAPSGIDCRGFIHLNKMYAEKGRHETVWIILRAF QYTDNLSLQESFLHPRFEVPPYASAELSPEGYRFFVNLFLLSDKDNDGGLNDAELASL FAPTPGLPASWADGSFPSSTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLEYLAYLGFE SSDRSNPSTTAALKVTRPRKRRKRPGRVGRNVVLGHVLGPPGSGKSALLDAFLARGFS TTYHPTIQPRTAVNTVELPGGKQCYLILDELGELEPAILENQVKLLDQCDVIVYTYDS SDPDSFAYIPELRSKYPHLEELPSVFVALKADLDRTTQRAEYQPHEYTAMLNMPSSPL HVSVTWSSMQEVFVHIAEAAMEPSTAFPRSEEDVEGKWMAWGIALGAVVCAGAAAVMI WRRVSGSGT AFLA_036600 MPLGIHNPLPSSLNSECRKAGKILASFIDPRQAFGPDKIIPPEI LAGAKGLAVLTVLKAGFLGSARFGSGVVVARLADGSWSAPSAIATAGAGFGGQIGFEL TDFVFILNDAAAVRTFSQVGTLTLGGNVSLAAGPVGRNAEAAGAASTKGVAAVFSYSK TKGLFAGISLEGSMLVERKDANEKLYNSRVSARQLLSGTIRPPPSAEPLMRVLNSRAF YGNTRNGDGMYNDIPIYDDHHDDVVWEGRRGDAYGQGLRRDRTGANDVDTYEYRDRPR RANTWADDIYDRPAGGLSRSSTTRSPRNDTFDTYGRNRSNTAPFEEDYVYSDHKPSRP TAPKPVFGQRTGQAPSLRQDQAIALYTFDADQEGDLGFKKGDIITIVKRTEKKEDWWT GRIGDRVGIFPA AFLA_036610 MQATSSPSEAGGGYATRRGHASHLSISDPSHHVTEAIGHMYDDD YDRRNSQRLSYISSPLSESISIIPPNLAGAASPTSPQSIQLQNHLNAVNNHHPVNGKS RPPTDGATSLDRESSSPASPSSTASPGSTDTATTSFPLNDIDYESDPAAVAQELNNLA AIRRMSMDVAATGDPDLPSFNSDFSVPPSPSADENDAARLFWVPARLHPELAPKEFKS FLESKTEQIKRRSGDFNSLGPERQGSSGGLRRKRSMLSRQIDNSHGYTDGAERLERKR SQSRRDPLTPNLQELESLVDNSKPRSTSPVTLLNEIQNLGITADEDRPILPPAPPGHS LRRSTRTQYRKAGSLKKGEKLPYSKRFAKASDLKEGTLSTANSFGEQATSGLTRVSTD PTPSITRNQAWGIHAQSAASPSNETTRSAPESVSDQPQSGNDRPSESSTVETGGQTNA SSQTRQWHSRLSSNGRSTLNIPPTEQKIPEIIETPPPESNAAPTTQTSLSSATSGRGF AHDSPSPSSSPAKGSGNYDQSSSKWSLHNRMHSKDSASTLSDFANSPQALPGNSTRTD SLSFIPTLSEERKPETKKSKDKKESEGSRKSSWHWLLGSEEKDKDKDKEKKKDKESDA KKIKAKLVDKVHETANALPSSNDSGQRGRESLVLDRLDPKLEEERRKDHVRRTSGESK KEKESGLFSSLFGGGKKKSSTDSHHKKSSSRTLSPDPPPRELRPDVDYPWTRFTILEE RAIYRMAHIKLANPRRALYSQVLLSNFMYSYLAKVQQMHPLMLASSASQRHQKARDQQ DDYSGYQQYQEAQMQHYSDSSYDDPQMYEYGDDSHDSYRQHTRGSKSGYENGHAYGPG HYQYGNSTFGDDVQLDDDDDDMW AFLA_036620 MGDAYEREQQNNALLNSLSSKVSALKSVTIDIHDNARDQDTLDH SVFSSLSTSLTGSASRLTRMARQGDTVAVLKVAGIVIAIGLALWVILGWIF AFLA_036630 MALSFFSGGGSASHAKYFDIRLDEDYIVFRGGEQEAASAHLSGK LLLCLSEPLSIKHIRLHLTGISRVCWHLPSSSAGGGRKSWRERVIYEKTWRFRDPGKG KTEILPAGNYEYPFNLVLEGNMPESIEGLSDTYITYRFKAEIGRKYAKDIIVRKPLRI IRTLEPSALELAHAMSVENIWPNKIEYSISTPTKAVIFGTSIRVDFKLIPLLKGLTIG QIVSQLIESHDLTLNPEDPDSIRNTYKNTRTILNDEFELDHDNALEIIDEAAEGYQFS RYLDLPKTLTRCLQDTDTKGIKVRHKLKFRVQLMNPDGHISELRATLPVSIFISPNLA IDENNNLVDQTPQSAQRAINDIAQQAPPLYGEHQFDQLYSELDPNGYRTPGPGSGPGT PFGTLSRNLSAENLASMNALTNTDISASALHSRLSNLSNLNITRPHQPSPTDHESQND SEHRRLGVPADYFGPSSGSNSHSPSSPVLSRRPSDEVDHEHVPSGMATPFHPQYAEVE TLSRVPSYSTAVRTTVRPHDSDLPDYDAVVAEDIPVPPPLQSPQQAHIRNAGRGSSQL FSSLDILHHRPGLGHSHSSSHDDEDRRLRLVQARARV AFLA_036640 MSTPTSPVDKLPHRSSTLTSSIAPDRRASMSDDEAIPDSDSSET TNLLNERLRALKHMCGYLEDYVTVTSKVQRSHSKDYEKVLKTVSEPLKEGHHFSQNTG GVASMFENIRANTQGMVNMYLETEKNLKGTVSPTLERLHKEIKAKSKELTSGASKSAK AVDKARAVTQKHIELLAQQTASMDAAAGNKLEQHHDPYILRRGVNHRLNKQIIEENNN RKDIIAVQNNFQQFEAHVLQTIQGAMQEFVQIVSGQLEHQGTMYQDMLGSAQKIPPDF EWVNFITKNDNVLINPDAPPRTLSNITFPNMDHRATMALIEGSLERKSRAMLKGYSSG YYVVTPARYLHEFKDDDDFRRDPAPELSLYLPDCVIGAIDGVKFSVKGKDVSGSKVGN AFHTTTELSFKAHTANDAEKWWTVIKDCTRGPVHTAAAATSSQPASPAVAQPPAYSEK ANEATSPAQATAPQSATQATAPESATQAAQPTQPAASEAAAQSPVSPPAVSRTASTAS GHFHTAPGGTATTGDKA AFLA_036650 MAEYQLSVRLGYSSKESAALYEAEHCPYWAQSPVKYAQNLLEYS DEPIEYDHHDSDYSDNCFFFPEDCSWSPPRDWTLENEESEDDISNSDDHDTMIPGLPD IKMLDAEALSDLLEDNLSPPEITTILVFGTNGAIFAYASSLPSRQLRNLTATYGAAYT AYAKNASSGNLTGVNPASHPSSYVTAQSVSLGDVGSIVFELDELVAVVTRIADRVLLA AVGPSKLEPEGETGPSNGAQNGSLNASADEFPLHEPRTGANGTSTNQTPTNGTPNSIS RTHSEANMQSDAQLETQYEIDRSNDLARLASLNLSSSPSILLALESKSAALGKFLSQK LEDLESPEDF AFLA_036660 MATDTSTYKLNHTMIRVKDPKKSLEFYKFLGLTQIQQLDFPENK FSLYFLAYNGPKSLQGDRHWTDRNAVLELTHNYGTENDPNYSVANGNTEPHRGFGHIA ISVDNIESACKRIEDAGYPFQKKLTDGRMKHIAFAKDPDGYWVELIRRHNEDVGTTTD TANYRLNHSMLRVKCAETSLKFYQEVMGMTLLRTAENKDAGFNLYFLGYPAGNPKVQE DAKNPVAEWEGLLELTWNYGTEKQEGPVYHNGNAEPQGFGHICVAVDDLNAACERFES LNVNWKKRLTDGRMKDVAFVLDPDGYWIEVIQNQALKRTSNW AFLA_036670 MRPEDEAGVNRVLDTLQIPRGLAKKRETSAANEIISLPQKAVAH SPAHSSPSAVPDANERPPEIPTPPQNALSNQKLVPSAEKGRETINSFGVNSIPASHYV PANWGFTLPTAESLDTIYANLNDGTSLSQENSLSPESLQLTPDMQQQPGELLRQARYD RECESDSGDEDEAEKDVIEQISNRIGTLKIAGDGHLRFYRATSNLNLVDVSATHNATS AFLA_036680 MTPYVEKGEIGAFEADVRRIAFWGSYTADHFWGFYLGRPFRMNA GDITVPKLASDLGAEKESTWYPYGLPTKSDILKHGLRNPNELISRQFAVLWEIISPVG HILYGCSDIPRHDLQRLCHRVTDDLFAWKANLPSILDINLENDTIPQLPQLLMLHMQY HQIVIFTHRPWVSKSYIQPRAPRQGPGYHHARRMCIESSTAIARLLHIYEKFYTFRRM NNQVVAIIFSAALMLLYVTISNTSTSGRNPSDNPNNNAEMVAYLNLCFRALDELGQSF ENAKRTRDFLVSLQRRWQAHMRRSGSALKRQISNRPSSQHLVGLSSDADASRKKTRIT APRNQINYPVSATAASQATTAVPNQPQSQPQPSGQHPLDATQHIGVPGEFDWIRNSDL QLLSGNFGDSSFSQFGNVNTFGEDPALPSLSDIEPWWDTPNGNTFGGSSL AFLA_036690 MEQTTSQVSIISILEYNGWDFENPRCPLWLVKGRSLLLSKVPAT TRLSSSSQQHKARLISVSQPFNSCR AFLA_036700 MADPQESLVDIVKKNKFTAKSDDEIVELFKNAFKTELNHLKNAS PTVESGATKKWNGTPSQKVFGDDYHEVNRTLTSMLAIKWVLTGDYKTFTSGQDTGKLS EKSFVKMQEFFRDRLPTPEDVYALIVALMIDDIGKDKALAENVEIPEENHGEVLLKAV EKGLVPALEAITDQAKKQNIIQSLTIGSKLDISQIVQGETVPHSMLALNDSRNLHDAF NIKAMVTLLDVGGAAAHSDPRGCIVMTQPIFDHYMKAIELLDEYRRKENPGWPECYNK YLAYRADILKDNGFALLSTKDSEERALLRLLCMGRVETKSKAEQFQRAFSDLPSSTKT ALVEGMSVNGIDDGTAILPYYAPGILSEVLRDVPDERTIPYLIAFMKFLTGVYDGSKP EPGEPGALKERDLAPMQGLVKSPEFKKNPEILAKATLE AFLA_036710 MDHDDDLTDMVFTPPLSTRSGGRKRRASQTPQETLRQFWNQFNS KFPGRVYTVLPDNPYARTKAERAPKGVIQGQDAGKSYEEARKECRRAVDRIVKECERL NQKYTDPHFDIEVDLKSGKRNCLDTLEEENMEMRPRGVKRVTEIFEKPRFFVNGPTAS DVRQGRDGDCWFMAALCTMGNKQGLIEQICVARDEKIGVYGFVFYRDGEWQQCIVDDK LYLRAADYDESVDERPIWDDINRADTEEEYRKVWQTGSRALYFARCVDENETWLPLLE KAYAKAHGDFSAIEGGFVGEAIEDLTGGVTSEILSSSILDKDRFWKEELMKVNKEFLF GCGTGLYSNWLDPKYRGPPRDRKGISENHSYSIMDAKEIDGERLLRLRNPWGKKEWTG AWSDGSEQWTPEWMEKLGHKFGNDGFFWISYDDLLKKYQHFDRTRLFGPEWSIAQQWT TVNVPWSADYHSTKFMVNVTKAGPVVLVLSQFRVQKEGEDDYMVRSQSSHLICRSVNA EVDLEPGRYHVLMKVTAYRNGEMESTEEALSLEVVRSVSKRDTGAALDMDDPLKSASF SRLEAN AFLA_036720 MTGRKEIRLLDIARSVVLIFKGYSKKGRNGTTPYHVVDHGFGML SGVSSATQAIGQ AFLA_036730 MENYQKIEKIGEGTYGVVYKARELTHPNRIVALKKIRLEAEDEG VPSTAIREISLLKEMSDPNIVRLLNIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGKALPDGSGLSKSMGLGEAMVKKFMAQLIEGIRYCHSHRILHRDLKPQNLLIDRDGN LKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSCGAIFAEMCT RKPLFPGDSEIDEIFKIFRLLGTPDEVAWPGVTSFPDYKPTFPKWKREETRALVPGLE ENGLDLLDALLEYDPARRISAKQACMHPYFQYGSSHYSGRTRRH AFLA_036740 MAHIKELNAHERPPEAVRHRYKEIQKATLSDIDSDHKIIDLQAL NPDKLPSDISLAQWMPGEQVQPVFHQLVRAYGESQNDEDTSHKDIPVYTHQSISGLQM IPSLVPPAVQVELLSRLLHRDLSNKEHQTNLHLHYNITYPGETEIAPIEGGTSTSSAG NSPEGNGVLSFFEDDPARVVYPKDPAVHKPLTVQQMLNKKLRWATLGGQYNWTTKEYP TECPPAFPEDVASVLHAAFPQTEAQAAILNVYSPGDTLSPHRDVSEECDVGLISISFG CDGLFLISHDDGKGCEIVRLRSGDAVYMDGTSRFAWHAVPKIVPGTCPEWLADWPLCP VDDADTSKYGRWKGWMSGKRVNLNVRQMTVTDTHT AFLA_036750 MTLLSGSTLGTSLPDNFMSRVSKFSLYFVYIGIARLGCTYIYSS LLTYVAYHLTRNIRYKYLRAALSQETGYFDQGTGGSISTQATSNGKLIHSGISEKLGI FIQAIATFIAAFIIAFVSHWKLTLIICCIVPALIAVGGGLSFVDAGYETNILKVNAQS ASYAENILSGIRAVHAFSLRPRITHKYGQYLQSVFKIGMKKNPIYGLMFGSEYFIIYA GMGLAFWQGIHMLARGNIPDIGTVFTVLFSVVIAASTITAIAPHTVTFTRAASAAAEL FALIDRESEINPLDESGDKPQDTYGVINIDNITFNYPSRPNVCVLKDFSLHVPAGKVT ALVGASGSGKSTIVGLLERWYKPLAGSIKLDGRDITQLNLRWLRTNVRLVQQEPVLFN GSVFDNIMNGLIGTQWETAPYEEQRKRVEDAAKLAFAHDFIMNLPNGYDSSIGERGGL LSGGQKQRIAIARSIISEPKILLLDEATSALDPHAEGIVQQALDRASKNRTTIVIAHK LATIRNADNIVVMSKGRIVEQGQHSELITRGGAYASLVKAQDLSAARSADDEEQSTED EIPDKESEPVQSLARYQTAEAQQLTMLQHREDFGLYKKSGILRSIAKLVIRTPKLKFW YFLTIICCAAGAAIFPGQALLLANVMDIFSAPNMVERGNFIALMYFVMSLGCFVVYFC LGWATNIIAQVRHLSALNMTFSLTRVIRLSTRSSATTS AFLA_036760 MEGDTDDSVTDASAPDNSQKEEHGRLLERQARACNRCRQRKIRC DRSVPCSQCIRAKTRCMYSGRYKPREKRQRVLISAQYEQRMEYMVQKLDELSQKVAAL SNAPFIPSGSHQPAIIEPSPVGERVPDTCPSTFVSHPQVKNDLRSCTAASKTEYEGGS SLSAHAIFATRYLQDAVSSTNSAQIAQEMTSILDTLHDIIDDQKQQRDTLENLYPHAK PIPHGSSIRHLPMPPVEMTLACLRMAKGAAHHLHNACAFY AFLA_036780 MTNTTYEQLGDLKRRSILGAIPAKWRLQNPIPQADELRDVTGAY IQQFLTPQEIEITETDAAGITEQTTSGSWTAVEVAEAFCHRAALAHQLVNCLHEVFFD AAIEDAKRLDAYFAEHKKPIGPLHGLPISLKDQFHVKGVETTMGYVGWIGTFQGKKDD PRRATFESELVKELRNLGAVLYCKTSVPATLMAGETVNNIISYTWNPKNRLLSSGGSS GGEGALIALKGSPGGFGTDIGGSIRIPAVFNGVFGIRPSSGRMPYEGAANSIDGQNMI LSVVGPLATTARSLTLLFKTVLSQQPWYHDPLVLELPWRADVEERTRALIRKSADGSP SLAFAIMRHDGMVRPHPPIARAVEIVEQTLKRLGHKVIEWKPPAHQIGIDIFGRVCVA DGGADIAHHLGLSGEPKSLQCIVGEGIPHMSALEIAALNVEKRQYQKLYMDYWNSTAE ITGTGRPVDGVICPCAPHAAVIPHKYAHVGYTAFVNLLDYTSVVFPVTQADKKVDVPE PKDDFLSEIDKIVHEQYDPEMYDGAPAGLQIVGRRLEEEKMLTLADYISQEVSLHSGI SQN AFLA_036790 MDESASNRPIDTPEGSAGADDAQSGAAKNAAVKDRKCQYCHQAF TSSSLGRHLDQFLFKKKPDGVHDVEEIRRIRSGITRRQARTSSGKRDTPERIIGKGQL DPYASDSGAKPRDGVRMMFNTPTWHATGVINDIPNPSQSHDVSSTSRFSASQTRAPKP LPDYASRGASANNPDTMRALELALREVLDNIKAATSRMRPRLSPFDFDIQSQTFPSLC LQLLPPPPSLFATSPFPSPSSFPLQPPGVEHVEIIRQALRAKIDQWQSDQLSTDSMSN SQSGRPSIGLDANMIARSAQQHEDISLRHLELAFKHWASLPSDTRRDAWQLEITRAFA REMEKRKSLDDQLARVQQEANQLRAQVERLGSCQWPREFALFPPDTLPLPRDVARDLD TKESKISPDSSRWDYDNVVAKWKRVVMHDKSMGRVGVGHSSPVLDDYGTADSKRVGDD SGSMSRSRILQPPAGMSPPAPSPIQTGGPSASSSQQTSPYLPHDVTRSPNAGPQAKRP RLMNGHHSGAAPEGSNSPSTSQTAGTSKPWNPQQSLTVSNLTGPSGPTPPPSSSGP AFLA_036800 MDVHHGHLSRDTASDLSSVSASSSNLSSNSCPDQNAELNETEKS SRPGSLNRRLTEDEIVRVLSRRRTGGSGENTEGKSEDMTQIMKLVSRMFGHERKSNSD EEKTRHLGVVWKHLTVKGVGLGAAIQPTNSEILLALPRKIKSLLTRGRNKPPLRTIID DFTGCVRPGEMLLVLGRPGSGCSTFLKVVGNQRSGYKSVEGDVRYGGADAQTMADKYR SEVLYNPEDDLHYPTLTVRDTLLFALKTRTPNKESRLPGESRKEYQETFLSAIAKLFW IEHALDTKVGNELIRGISGGEKKRVSIAEALVTRASTQSWDNSTKGLDASTALEYVQS LRSLTDMANVSTLVALYQASENLYKLFDKVIFIEEGKCVYYGRAESARHYFESLGFEC APRWTTPDFLLSVTDPQARRVRQGWEDRIPRTAEEFRKIYRKSDIYKAALADNESFEE ELESHQEEREAARKQSEKKNYTVSFYQQVAILTHRQFLIMYGDKTTLIGKWVILTGQA LITGSLFYDLPQTSAGVFTRGGVMFYVLLFNALLAMAELTSFFDTRPVILKHKSFSFY RPSAFALAQVIVDIPIIFVQVTLFELIVYFMANLSRTASQFFINFLFIFTLTMTMYSF FRTIGALCGSLDIATRITGVAIQALVVYTGYLIPPWKMHPWLKWLIWINPVQYAFEGI MSNEFYNLDIQCEPPSIVPDGPNASPGHQTCAIQGSSANQLIVRGSNYIKSAFTYSRS HLWRNFGIIIAWLALFIALTMLGMELQKPNKGGSAATIFKRGEEPETVRRALENKKLP EDVESGNKEKGVDGNMNESASEDSGEKVTGIAQSTSIFTWRNVNYTIPYKGREKKLLQ DVQGYVKPGRLTALVGASGAGKTTLLNTLAQRINFGVVTAVELASKPQLLLFLDEPTS GLDSLAAFNIVRFLRRLADAGQAILCTIHQPSAVLFEHFDDLVLLQSGGKVVYNGELG QDSSKLISYFERNGGKKCPPHANPAEYMLEVIGAGNPDYEGQDWSEVWAKSSENKQLT EEIDSIIQSRRNKNEGDNDDDRREYAMPIGVQVVAVTKRAFVAYWRSPEYNLGKFLLH IFTGLFNTFTFWHLGNSYIDMQSRLFSIFMTLTIAPPLIQQLQPRFLHFRNLYESREA NSKIYSWVAFVTSAILPELPYSIVAGSIYFNCWYWGVWFPRDSFSSGYVWMLLMLFEM FYVGFGQFIAALAPNELFASLLVPCFFIFVVSFCGVVVPYKALIHFWRSWMYWLTPFH YLLEGLLGVVTHNVPLRCVSREESQFSPPPGETCQSYAGPFAQQAGGYVHDTGNGLCS YCQYSDGDTFAAESFNVYYSHKWRAYGIFWAFVMFNFAAVYAFSWLYLHGIRDIKKWF STRKTKRGAQA AFLA_036810 MPTVLVTGANGYIGNAVARAFVRAGWITYGLIRSQTTATSLAVE EIIPIIGSIDDIASHETIRNKLPPTLDAIISTTENINEYIPHYNNTVHLLRTLATAST ANGVRPVVIFSSGCKDYGIGPHYDGDAALAPHTEESPLNPPDILADRTYQSLKFLEHR DVFSPVVVRPTNVYGRSASYYRGFFEAAAQSVDTRQPLLIPVPPNSICHALHVDDCGD AYVAIAGHPRREEIEGEIFNISSRRYETIDEIAKTLVTEYGITAGVKYVEPESLAPAE NPWPPALIDFPQWTGSAKVRAITGWCDVRPLFTEAIHTYRLAYEAAVVAGHENIEKMK ERVELFKATVGQ AFLA_036820 MAPLAGDARSVLKGTWSLAAVAIVVMVLRVVAKLKLRHVGIDDC AMITALLLALVTSTLFSVAVVVYGFGDGLDTHNHSDLVNALKYYVILQVFGIASSCMG RVAFIFYLLPILSTTKISKIILRGLLTLQVVNFVSIVLLLSQCRDIRGIWDPLFAERT ECMDVSVEIYYGYFQCSCNGLTDLILSVYPSYIFWNLKLRLRVKISLVILISLGLL AFLA_036830 MSFPPPPGLKQAPSSLPPRPPNTASPSPASSQPAYSAAPSYSSA GTSNGYGSSGPRTGYNAFTAFAPRSVASSQPYRTSSPVVSAPPTTSAGYSTPTTAGYG SYYSQPQQTYQSGASYYGSAQYNENTYGPSVPRIQNPFPAAGADQANNYGMHGRNYGR SESGLDPETEAQIAQWQSAYANRDETQSTSKVPGRRDGYAVSGTGSGVNTPSGTATAA TTPAPVAGQAEPQKTVVRAGGGQTWTDSTLLEWDPAHFRLFVGNLAGEVTDDSLLKAF AKYTSVQKARVIRDKRTQKSKGYGFVSFSDGDDYFKAAREMQGKYIGSHPVLLRRATT EVRPVANSKNGKKHGGGGGSGGGHGGGKVKHDGIRKPGKTKGGLRIIG AFLA_036840 MADQAVADFGLIGLAVMGQNLIMNVADHGFTVCAYNRTTSKVDR FLENEAKGKSIVGAHSIEEFCAKLKRPRRIMLLVMAGKPVDQFIESLLPHLEKGDIII DGGNSHFPDSNRRTKYLAEKGIRFVGSGVSGGEEGARYGPSLMPGGNEEAWPFIKDIF QSIAAKSEGEACCDWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDILKRGVGMPVNEI AEVFDKWNKGVLDSFLIEITRDVLRFNDDDGTPLVEKILDKAGQKGTGKWTAINALDL GMPVTLIGEAVFSRCLSALKDERVRASSLLPGPTPQFTGDKQAFVDDLEQALYASKII SYAQGFMLMQEAAKEYGWKLNKPSIALMWRGGCIIRSVFLKDITNAYRQNPDLENLLF DKFFNEAIAKAQNGWRNVVSKGALWGIPTPAFSTALSFYDGYRTRDLPANLLQAQRDY FGAHTFRVKPEHANETYPEGKDIHVNWTGRGGNVSASTYIA AFLA_036850 MAEKKRHGAGVRASSQGASGATGQGMAGAMVPLEVALSGAIGAR VRITTAPVSTTIEGTLFTACPITNLVAINTAADTKQTAGDYRIIPISRIQSLQLLSLA PSSNSAEGPSFADAVPPVHALDIRALKAREANAVGKLQEGEAKRGKGVTREAQDIFDA FSRTMPTRWDGPSIIVADAVSIAAPYRVDDCQPLVAGDTAALARVRKVLEMERKKIEL RNASATIGTANAFSRSASAKASTNPNPSPGPGAAGPRKGG AFLA_036860 MGLSPSGNHHRRRSSVLTATGGPSQVGPAEQRDDNPRTNADPVN YKREEQKAAEDADVSDLSSIAESSEDDLQDDEETGLTAKQRRQRRRRRKQRRQLDARI AGVKGSQSDVFSVGLADRNVMRRLLVNAGLILMWYFFSLAISIYNKWMFSEDDVVFPF PLFTTSLHMLVQFSLSSFILYMIPSLRPRAPSSSPSGSPMRQQDGSENSVVSKVFYFT RLVPCGAATSLDIGLGNMSLKFISLTFLTMCKSSALAFVLLFAFLFRLETPSAKLIVI IATMTIGVVMMVAGETAFNVVGFLLVIASAFFSGFRWGLTQILLLRHPATANPFSTLF FLTPVMFISLITIALAVEGPSQIVTGFVALSDVHGGMFATFLLIFPGILAFCMISSEF ALLKRSSVVTLSICGIFKEVVTISAAGVVFHDQLTLINIVGLVITISSIGSYNYMKIS KMRAEARKGTWEPEPDSDSETEDSDPSRGREGYHRVANPETNKSFVLSGCDDHS AFLA_036870 MPPRGSRKAATSAVSQSPPRSPQSPGATKRKIEDNHEPKSPLRR SKRVKSTEALGITSAPTKEKVAPRGRKSQSGQSQTGAPESNENGVVLSVKEEVKEEVK VTVKTELDQESATKHETADDKTTVTGTVLKKRKTKKEKESEMVPLRARTQGLRMCVGA HVSAAKGVFNAINNSMHIGGNAFALFLKSQRKWDNPPLQDDHRDNFRRLCIENKYDAA KHILPHGSYLVNLAQEDKAKAKQAYDSFLDDLRRCEALGINLYNFHPGSANQSNFSDA LSRLAKALTNALAATSTVTPVLETMCGHGTTIGGKLTEFRDLLAMIPQEYHPRIGICI DTCHSFAAGYDLASPEGFKAFMKEFEDVIGLQHLRALHLNDSKAPRGSKRDLHANIGT GFLGLRAFHNVMNEPRFEGLPMVLETPIDRPLSAISKGSAQKGGEEDSDSAADTPKNK KKKQPKRPASAKEPTVADPSVWAREIELLESLIGMDPESPEFRALEAQLAEEGREMRE KQQDQYERKLEAEEKKKTKSPGKKSQKTLMEMMNGAGKKGKTNKKVSNDDSEDEGCQS HTE AFLA_036880 MAAQEEVVDFDIIENQKENIQSLPGGRSARELARIFSPRGTEDK LYSPSPNDTRTVNDAIRQDYEAELQAIGESDDPLDIYDRYVKWTLDAYPSSQATPESG LLPLLERAVKSFLTSPHYKNDPRYLRLWVHYIRLFSDSPRETFAFLARHQIGEGLALF YEEFASWLEGAGRWTQADEVYRLGVDREARPVERLVRKYREFQQRYEQRTQDNGPSSP ALPAVRPALAAKVDPFASAAAPSPDPQAQRAAPAAAAPKTKSGKPKMAIFSDADSASQ PAVSGQTKGWDSIGSMSDRRKENKVEAKPWAGETLKAGKKPAPKEKMTIFRDESNQNS HLKESMQSKHVPEHRVREAVNPRTGRRERVFVNLDAVYPDYTNPNVEVSFEELRAMRR GWMDKKWRPQKEPLRQISGNENSAAIDPARALPDEFNEKLTMKDADISAQQQAPESDA HHEAKAGKARKLKLREVKQETQTGKTALNKLDSCISW AFLA_036890 MKFDSPTGGKIRRKSTAEPTMTIHTRAATDEIYSIFNQPLKAET EAAESSDFDDDDYTSAGESTVTGHISAASSDFGDDENTFHKPFDETVGDGFDDNTRAE SVVDGEWTQFSAVDDTAGLRSLGVESVSQHHTDERDSIDGDDFEDNQNRQRFIPEMPD DYNPPCGPYRDPAIVAQNRLPFMTPIVEQTEYSLASLTAARNHLYNAKTPSKPQMADL LSTPLIDGTPRQGDTTIGLPEDVALSPTAKKALSSVKSASPFGRKYRQGPIIHDAQCN PTDKTIRNTILSALDPPLATYAGYHGHTETDAHYASDIQKFMKAQPKRSRSGDEASFE LPILELPGAERSYIIRRELGAGAFAPVYLAESIDSLPADSDDESEDSNSGGSQLTYSN RSTRRKPRYSFEAIKMEVGPPSPWEFYMIRTAHDRLNQRPGLSRAVDSIIDAHELHVY KRESILVEDYRSQGTLLDLVNLVRNEQVIGGGHGEGGLDEVLAMFFTVELFRTVEALH SCGILHGDIKADNCLVRLEEKAEPPSLIDLGDENAYDPREVHYSPRGSYGWRNKGLSL IDFGRGIDMHAFQPSVQFVADWEAKKHECNEIQEMRPWTHQIDLYGLAGTVHVMLFGK YIESSPVRQSEGAPPNGPRTYRIRESLKRYWEREIWNDVFDLLLNPSSERWVQMELEG NNNPAAMLFDENTPTSPILPVVNSMRYVREKMEAWLLANAEKKGLGLQLRKLEAIFNE RKKKLERS AFLA_036900 MPATGNIYSWMISHRNIELEEDEHGDTKWLLVVDDTERSALDLA RDSNTTQRQLQEAVQLEFKTLWDQFNLYHTWSLTEAAKQGDLFAVQRLVEAGAEIHMQ SDRSENSYSAVRIAARKGHNLVVHYLLDAEKDRLSRHIYTPERLERAVRDGIHEEVAR MIPERDFISRSLSAKNEAL AFLA_036910 MTALESAAQGGHLPCVRYLLGHGATVTPQNSHSSALKRASAGGF PDVVDTLLQAGAGPNDDNALHAAAFGGHLEIFNRLVDAGADIDASHEYENSFRAGHLT ALQEAARGGHLSVVDTLIRKGADVNALPRGMTALQAAVASNSPEVVRYLIAVGANINA PAEKWGRTALQKAAEIGSIEMVNMLLDAGAVMERSAHQNGRDAPALELAIKGGHLSVA ETLLRKMDRAGEQEQKYLCDPLTLALHAAAFEGYEHIVRRLLEAGAPILDLDNSDLVP RTAFK AFLA_036920 MDSSRHSPYSGTALQRAVAGGHVEAARLLLEYGADVNAAPARVK SPLHLACRNGDVIMARMLLDARANFRAVSYSGKTVRRSAEKGGSVEILQLLNMREALE PSDGNKTEILDVSTIAKRGLCSTCSGLPLEVFTSPRWLRRYHSNAFCFHPSLVSLEKS ARGGCPFCLFFWKRLGINIISIPQPSKVRLFYGRRSTDDVAKMLSQIDEPYPKDIERP RSERADFQACVEPFDGKVKALPGNTQSAETYQQIVTWLQQCIKDHHACTVGSNGRFLP TRLIDLTDWGRGKVVKLVESNSIHRDQSIPYIALSHRWDGQITAAASTTSDNFIPRLK ELTLDTLPRNFVHAMEVTHQLKINYLWVDSLCILQDSKEDWNREAALMSDVYQNSYCT ISAQAGQTSLEGLFRSGDIQNDSVEFRCSSQDGQSSKMVRAVKTQPSWVGLLGQGPLQ SRGWCLQERELSPRALDAHEVYTSWLNTVRDYASRSLTKYEDTFPALSGLARIVHGYI HCDYVAGMWAADLRRSIAWEPGEDPNADSSASRHATYVAPSWSWASVIGTINFEEAKT LSRDISDETAAVIDGWRITHLTQDPFGQIATAELHITAPVLTAVLDYHSASSDYYLRG PHDTGGK AFLA_036930 MTHRRQGLSRTLPKDFTFPSIGEPRTPERNSIQLDVPPPPPRHS SCRLRRSRVRSGTDVFAQAEYDHKIFHPNPSDIPLPSIEFSSSHDATDFQACPSIPTS NDRFLAPPRDRVALKTPPAQIRAAPVDQTTGDWSTEDPQTIGEAIERPGSACSDSSVS SIETFASRRSVGGSCTSMESDSFDPFFLEIQPKHVESTPVPKCRRNKAPTRERWTRDM DNHLWNTYQIYLQDPTITPFKMTPGSIPPLGVTHRVAREAKKTWERMRCRFTKQLPSA SQQFASGNSTPTPKTDTPKNVWPRSEASTRRRLKYLCKRKFCIAPHYQRLMMSRSPTP ALDMISHSSRESSQAEVPTSSSAVYATRDLGISLVSSSVPGPLTQLAMEEPPSNNSGE WFSQPVPCNAPHGAVDPFANRPFSFDEREMAPRLGSPFTYHTWGPNNSRKRAQRHTPR ARRETIHVTGSRLRSPPRMDLFTNADNRNIARDSMATAESPSDEETRQNLEELFRQGK LNDIGQRRVRIRNRGATMSSVNSGGLDQLFSPPSSSSRNDESQREEKPAPHLRNLSGE AIKRLGSPFKIDPPRRAGDSPARFIRHAPSRSEPFARGLLSQARKTTQVGGQGTSNTL PYDPTEPGLSDAERIRRQILNMSYSRQ AFLA_036940 MPVLATFLESHYFFQGIAVALALIFVSNFYRELADGLPYRKIPL VGRSRWEISNTKAKKLFVTSAKDLMVQGFSQGRTVFQAMFTAGPTIVLHPRYVDELKN HPHLDFGEASFFGATIPGFEPFNNQTKEDIVIEVINKKLTHTLGMDWLLVEELPLFSN DFVLSVGQLTIPLSKETAAVLTDKLPGSDEWKPFTFAQEIPHMVARLSSLVFLGEKIC RNETWLDVSVNYTIDAFNAARELRDLPAVARPFIHWFMPSMQKLRHHRKVAAEIVQQE IIKRDMIREGKLPEENPPRTHADALDWFREVAAGRPCDETVSQIGLSVAAIHTTSNML TNVMYDLTAHPEYIQPLRDEIKAIVEQDGILKKTSLTKMKLMDSVMKESQRTNPVSIA FFNRIATEAVVLSDGTSIPRGANVVVSAHVMEDESIYPNAKVYDGFRFYNKRQVPGNE HRYQFVTTSPEHLGFGHGMHACPGRFFANNEIKILLAHLLLKYDWKFADRVDRPKSFL HGTEIICDPTVKLLYKSRQPEVDLSALGEGTTD AFLA_036950 MRLLSANRLSTETGVDKYQPQPLALGFANGAPPSEVIENFHMIL RATAYTHEFLEARGYQSPDDAYETPFQRAYGTKLHHFE AFLA_036960 MEAGNRAVESEQWYDFYPWEEWLASEADRVLLVDIGRSKGHDLA RFKEKKNPAGRLILQDLSEVIQDIQAPLAQGIEAQGYSMFDPQHIRGAKAYYMRTVLH DWPDKQALQALQRIREAMADDSVLLINENSVPETGVSRFNASVDLIMMTVFSSLQRTE KQWLSLLERAQFNVIKVWRSDNQGVGSNALFEAVPVFVGSSGQVP AFLA_036970 MGDFGPPVPIPETEVIGLASSSLTDPEEVSVLVTGFGPFKTNLV NASYLIASSLPESLDLPSAKPSGSGPTSRRISIHVHPSPIPVAYSTVRTTIPTILEDY AKSHGGRRPDIVLHMGIAATRSYYSIETKAHRDSYHLSDIKGRIGYEDGEKVWREQQL PPVLQAGPAADSTDVVRKVLHPQPPNDDFLNTWKSFVSPGADVRISEDAGRYLCEFIF YTSLAQAFQQGQHRNVVFFHVPGSCADEDIERGTDIAAGLIKALVRCWVSEQV AFLA_036980 MLDLDTPQALTIATTIITLLTIPVLYPQLPTWLKTTTSKLLPNS QKKKHPSSEILALRVYPIKSCRGLSLNSTTLHMEGLDLDRRWMLIDAKTHDFLTIRQI PQMTLINTALSTDDQSLVVTFTGVTDKEVRVPLRPDTAWLDAHTTLGQVKIWDIETDA YIYGPEVNAPFSEFLSRDVCLVYKGPTPRIMRGNGDPSLLGREQSVNFPDVHPVLVAS EASLAELNSRLVEKGVEPIGVERFRANVIVKGGEPWVEDEWKVVRVGDGAGKVLEFDV LARCARCQVPNVDPDTAGKHKTEPWDTLMSYRRVDEGMKYKPCFGMLCAPRGEGVLEV GMRFDVLEVTSEHRYIKGF AFLA_036990 MAQNQAGSKKRRREPVNVDTKLVEIYEDLASEKDEIRLKAAQAL VSQFTPDKNATDDQIQKTLRRLFRGLCSSRKAARIGFSIALTEILSQILSSPRESSEF DIPRVVGFWESQSSASGSESGQEQRDHHFGRLFGAEAIIKSGILFKPNAPFSEWTKLL DLVFDLAKKKPWIREECGWIVYRCVYELSAQKAEAKFVESALERLCTNELARTPEGVA IWLAAKDLFPKVKLPSKVWKHDDPLDVKERNQLAKVMKESSVSEAEGENKGSNPKSSG VWNSKLHFAWDAVLSRLSETSAKESKSKTSRLSFSDFWTEVVDNGLFAASSSDERKYW GFLLFVKVLNESPLPLASLVFTKNLVRCLTNQLAVEDRYLHRMAAKAAKTIQTRVSKE PEFAAASINGLMGSAGSVNFDQVTKTKTVEKIVIEANLDALKQIVPLFEKLVACPGTS DSKAAASSRQFLAGLLLSIVRSRASASDESEEGAKEVLEQILFTFVRFAYFVEKEGDS RGQTAAEPALTEQTQELFRSRINSCLNSLIANQKYATALPYAVVRKVRDAAKSEEYGK FIIAMDDTLQDSVKGAFKSLKKLSNTEKKGDAAGVDAFKLLYSMTILQVYNGDADAVS MLDELDFCFSKIFGDKKSKKDETADASDALVEILLSFASKPSQLFRRMSEQVFGAFAD KISENGLDSLVSILEAKESLAGQQEMFEQDDEGEEDEEMMDVDEDDSDVEVIDAEGSN DDEDEDDEEEGSEEEEDGNDDEEAIFEAKLAEALGPHRANQDLNDDDEGSDADMNDDE MEQVDQQLAKVFQARRDALSKNKDKKDAKGNMVNFKNRVLDLLEIYVKKCHSKLLALD LLLPLLRLTRKSTVKQISNKANSVLRDYTRLCKGSALPKLESVEPAWELLNSIHKEAS HSGPPSHASACSQASLLMVKVLVAHDKNNISGIVDVYAETRKQQFLSKKCHVQPSFFS EWSNWCVSASKQMKN AFLA_037000 MEHSSPLAAMQPPSVLFGHCFRSDARTSYPAFGLNSNSFNFKDL SMKKAHGADYFNVKGTSPTASLAADLSQNFHIDQSPQVATPRRSLFSSNLFGNGNRRE EAMTTPPLSSSPALDIMEMSPLPHKPPFNVLEAELRTPTIEISSMDTPMRSNAASPLQ DSPLVAQKEGQHERRRPTFLRPSLARSKAQSFQLGMVKPAPESQAPPFKFQSKGTKTS LSTSASLEDMFNESPPRERSSLRNHSSHGLVNPRLRPPFGRETSHVRGNGSPSAASIR KNSHPMMRPRKQCRRSLSMFENPEDVMVEKEASFTSNAPLQSICDIEGTPSLQLPHFL PEDQADTLPRIDKSILVDLIDGKYNDRFDNIMIIDCRFEYEYEGGHINGAVNYNDKDN LAAELFASPKPRTALVLHCEYSAHRAPIMAKYIRHQDRAFNVDHYPHLTYPDMYILDG GYSSFFSDHRTLCFPQNYVEMSAKEHEFACERGLGKVKQRSKLSRAQTFAFGQSPQME DSPTGRCRNGLGDRNRLLGSPFAASPVSGRMSGRRMLSY AFLA_037010 MDIDDILASVDRNDVSTPESAALDHQLLTRFWVAERGVSELLPW PEALMNRMMERVRNQVNHQQPPNPTNHPDPTPFENNIDRNNRRPSRLFLGPDHHHQQ AFLA_037020 MHYLLRISSASSQQQHPDSQNNPDQQPEDSIPDLTAVTDPSPLS TQELGFLRAHQTLLAGHFGASFLSSFPAQLRRLDDNAGGVSMVQGPDGREVVFVRCLA ERVGVVVPPGDGVEVETVGTEMRMGDVWVVRWEGVRGAL AFLA_037030 MTEKVFNLRRNFSFSTFSGLRGINLQYIYSTLVLKKRRDCWKEL ILDAEDVSEGKAKDPGSAEGEGKFYAAVDNTSDYESYRGLNTNKTDDFETNWEGLSTS LKKIHTKDASNLSFEQLYRNAYNIVLMMRGDELYERVKKLEQEWLDTEVQKRVTAAIS SILLQAKDQAEIQDQENERRDTGEKFLNVLREAWEDHQISMGMITDVLMYMDRVVSAD HKKPSIYVASMALFRDFVLRSAVRADAESMVADVLKSTVLFMIQLERSGQMINRPLIR HCIYMLEGLYETITEEESSKLYLTMFEPAFIETSKVFYRAEGRRLLETGDAATFCKAA SDRIAEEGARCLSTLSPLSEPKIKDVLDKELIGSNIAEVINLEGTGVKNMLDNDRMDV LRNVYVLSARVDSKKTPLTAAVQKRIVEMGDEINKSAAAAAQAQPTKSAEKTAEGGKK PAEKPVNQQTVSAIKWVDDVLGLKTKFDKIWEESFRSDPTMQSAITTSFSEFINSNTR SSEYLSLFFDENLKKGIKGKTETEVDALLDNGITLLRYVKDKDLFEAYYKKHLSRRLL MKRSVSMDAERQMISKMKMEVGNQFTQRLESMFKDMTVSEDLTASYKEHIRGAGDPDQ KPVDLEINVLTSTMWPMEAMSSIKGDEVQLPCIFPKEIDHLRQSFEQFYLSKHNGRKL SWQASMGTADIRATFHRSNGKVQRHELNVSTYAMVILLLFNDVPQGESLTYEEIQART RIPDHDLIRNLQSLAVAPKTRVLKKDPMSKDVKPADRFVFNNDFQSPFMKVRIGVVSG SANKVENQDQRKETEKRMNDERGASIEAAVVRIMKQRKTLVHSKLMSEVLSQLSARFV PDVNMIKRRIESLIDREYLERVGEDPPTYGYVA AFLA_037040 MRSFTSFPRGFRAIQSSLPRTAISRPTSRPFSQLINRSTRSSPA LSWASGRTSVSASTLRHNSSSARPLTDQAADAARDAENEEQNRKRREQEPAYQITFTC KPCGERSSHRMSKQGYHRGTVVIRCPSCKNRHIISDHLNIFYDKKTTLEDILAEQGNK LKRGYVEGDMEFWDDGSVTPKEGEEAKSDQGQLP AFLA_037050 MIEEAHRDELLPVLLRLLYGRMISKAGASASQAGQAGRRKAVLR TLAQLPDNEFQLFIQISFGPLGDVHLVQNSEIDQEVFTRELASPRRQMGLLRMIETVF ESLQSKMTPYAERSMEVVLYCLVRACRELEKSQPENVADSQEGKLLTVLRNIRSTCIK CLDLIFSVSLDRDWTPFVRVIFNETINPRLENFATETTQGVSSLLRLFHTWATAPRSS FYLVQYNDALLMKVVDCLAVDSTRDEVKVFIMDEILVPLVGLATGKELREQEEMSDIP ADEIRSVVLAPYLDHTLSHLGNLLKRGPSRPVLISGVQTLSLMAPCVESSKETSSLVN ITTYLLRQPPDRVSPKTKSGLLRILEHFLPLYDPKEDSELFQEVFDAVSSMFDYFKDE ANREVLSRVFSAFAKHDPELVKVAALCEDLNSVSRKKLEVDFERRLQAFREINDGLWE KFNARQWRPVLYNMLYHVKDDEELAIRSSASFGLKRFMERATLATDKNVEEFEPLVKD VLFPSLQNGIRQKSELVRMEFLSALGYFVKLNPDRPNVQDMHDLLVDDDDEASFFNNV LHIQQHRRLRALRRLAAEASKGKLQASNISTIFIPLNEHFVFDEEADEATHNLIAEAV ATIGALAEWLDWNQFRAIFRRYKGYMQSKPEMEKNILRLLGRMSDALTTAMNQINVPK ATTEDQMEGVETSVPSQCTLARTIPSTSKVASELTTNFTPFLTNFVHHKDEAQMSLRL PASVTTIKLLKLLPEQDMTIRLPAVLLDVCSILKSRAQDSRDTARKTLNDIALLLGPV YFGYILKELRTTLTKGYQLHVLSFTVHSMLVATTDDFKQGDLDYCLADLSAVVMDDTF GTVGQEKDAEDYVSKMKEVKSNKSYDSIELLAKNSTIGNLSNLIRPLQSLLKEKLTST IVRKADELLRRIGIGLLRNPGAENRDILMFCYEVIKESYQEPVQTAKKALSASEEHFL IKLHGPKRGEKRGTTSSYAYKLTRFALDVLRSVLSKFDSLLTPANVAGFLPIIGDSLV QGQEEVKISALRLLSTMIKLPLAELDNNSHVYLTEAVKIIKEAPSTNTEAAQASLKLI AAMLRERKSTKLRDGHLSYLLQRLTSDIEEPDRQGITFNFIRAVMSRKFVVTEMYELV DHIATMMVTNQTRSARDLARGVYIHFLIEYPQAKNRWTKQLAFLAKNLEYKHSEGRQS VMEAIHTLLSKTGQELAQDIIGTFFLPVVIAMANDDASECRELAGALLSQFYSRADSE TMKTMLVPLHSWLEQTDNLLLTGTGLHAMRIYFEAEDTTKEKEARFVRELLPSIMQPV LEAEDTENWQTLYYALQLYAKLCKSVPAIALAKESATNWTAIRECLFYPHAWVKTSAS NLVGTWLADLAKSNATSGYSSLPLENASGLALDRDAMLQLIRASVRCLRTPAVSEELA MQTVRNIIFITRCCAQNGLEFSRRGDKAAESDASDSEESDDENEEDQPADSAKPAIRY IFEEVSSVLRRELLTTRANSLIPKTASIGLLAALCRHLDAEQIQPSIPIILIPLQHMT DSSIPPPRSSDPVFRESYKALVSNCHEVLDLIQKKLGTSEFVKQMALVQEKIKEKREG RRVKRRIEAVTEPEKFGREKKRRNDRKRDKRKEKGMEHRSKRRGCQSSFIIH AFLA_037060 MASKIIVIGGVNCQLREVFTKLAKLHVKQSFSFAIVVGDLFGDC STEQELDEISALFQGSISVPLPTYFTLGSRPLPTRVIERIEANDEVCPNLYFLGKRGT LKTSEGIRLVALGGNLETESQSSDKYHPGYTESDARALYGAHSADILITHQWPKGIRT RSQVPIPDEATKPEEVQCIADLSSTLKPRYHLSSAPEFFYEREPFFHMPPEDNPDAKP LTRFISLASYNNPSKQKWMYAFTLDPKTPHPLTIPTGATASPLAPVQTKRKPLSSQKE SYSRFAIDDEGSNRPRKRARGPPPGPDQCFFCLSNPNIATHLITSIGNEAYLTTAKGP LPTSKTFPTLGFPGHMLIIPFTHTPTLSSIPDEDSRLATYKEMHRYRQALHSMLKSKT NSSLGAVTWEVSRGNGIHTHWQFLPVPGDLITRGLVTAAFKVEAENLKYPKFESPSST DPSAEPGDFFRVWIWGPNKNSDSDADADASSGETERMLLLPLGPDFRFDLQFGRRVMA KLMELEGRINWKNDVQSQEEEEADATAFKDAFKEFDFTLEE AFLA_037070 MSPVDTTPFPIWPRDFVSDLKSAPETLSSWDNCMAKSYCKWPVI VAIIVGALIVISILACIINCLCCGIQCCKCCGCCSCCCPSPRRKKEPKYLDDPYNQPP PMPENNPYQPPAPPSLPTYRGAQVARFDTPPSPAVSKGNEDALPAMPTWDSAVTKRVD DTDHHQDAMEMEPLNLANQRPRRMPSAPRPNGAGYMGPPPIRTGTAVTSSSFYPDDQS AYHARSPGGPSPISPYEQPYGDYSQAYGSQPHYMPIGTAVSPSAEAGPAPYRHPSPAI TQTPGMALSTDGARPIPYRQPSPAINQSPINRTMSPANVAPPYRALTPANANPAYRTM SPPTQEPVYRAMSPPSHEAAYHAMPPSHEPAYQAMPPPGSEPTYRAMPPSFNAEPAWN TSPSIPSSPPPPFTSSPAPHETVQDSGRPPTLLQSGRKPVPNSFRDV AFLA_037080 MGVIHARRECGYNSWGDWVCRPSSWYDWGRWVAFAVIVGCAFII FFLFACHNARRRRTRGLQPHPGTAWLAGPPPYGQQHQHPYYADPHYQQQPPPQYTPQP QTYGYFGGQQTGIELQSPPNAYHNGPDRAYQPPPGPPPNGRKV AFLA_037090 MDVTMIGLQNAGKSSLLRVLAVCLRSSGPTETSISTTIANGGEF TVDSIPTIGFNTKRVQKGHVTLKCWDLGGQPRFRPMWERYCRGVNAIVYIVDAADRAA LPVATDELHELMDKPTLEGIPLLVLGNKSDLPNKLSVDELIDAMDLKSITHREVSCYG ISAKEETNLDAVLHWLIAKASR AFLA_037100 MAPAKTDTNWSVNYDVLRREHLFKNPPKDRTAYPALAASIKPHV DSFNALFEDSKILQAGLKDIGTKTFIDGEAETPEQKKARQAEGRKAPKRNKLHVRIKE VFLEKPAIPPTNKFTTRNRNIYPSECRERHATYRGKLRARIEYRVNNGDWMEAVRELG QVPIMMRTNRCHLEKATPAELVEHKEESEELGGYFIVNGNEKLIRMLIVGKRNFPMAI VRGSFVKRGHTYTKFGVQIRSVRPDQTSQTNVLHYLSDGNVTFRFSWRKNEYLVPVMM ILKALVETNDREIFEGLVGSASSEGINNTFVTDRVELLLRTYKGYNLHSRSACRAYLG EKFKPVLGVPMDMSNEEAGTEFLRKVVLPHLGNQNVTETQDYDKFKLIMFMIRKLYAL VAGDCAPDNPDAVSNQEILLGGFLYGMILKERLEEWVRSFGPILRDWSMRNHGAKFTD PSFERDFLSKVVKRSNENIGGALEYFLSTGNLVSPTGLDLQQTSGYTVMAEKINFYRF ISHFRMIHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCLISTS DIDVSHLPRLLVQLGVRSESSVSLEESVTVQLDGRIVGFCSPKQARMIADTLRHWKVE GSHNVPRELEIGYVPNSNGGQYPGIYMFSQAARMYREVKYLPLDKLDYVGPFEQPFME IACLPSDLVAGISTHIEFTPTNILSIVANMTPFSDYNQSPRNMYQCQMSKQTMGTPGT AIDYRTDNKLYRLQTGQTPIVRPPLYNAYGLDNFPNGTNAVVAIISYTGYDMDDAMII NKSSHERGFGHGTIYKTKVHSLDEKESRRNKSRREITKLFGFAPGGEIRAEWRNTIDE DGLPHIGARVKEGSLVAAYHNVRYDAASDSYVNVDGITHFVKYKDAEEGYIDSIRIMG AETGIEPCQSLSVKYRIPRKPVIGDKFSSRHGQKGVCSQLWPAVDMPFSESGIQPDLI INPHAFPSRMTIAQMIESMAGKAGALHGHPQDSTPFQFSEENTAADYFGHQLRKAGYN YYGNEPLYSGITGKEFAADIFIGVVHYQRLRHMVNDKFQVRTTGPVNSLTGQPVKGRA KGGGIRVGEMERDSLIAHGAAFILQDRLMNCSDTQRAWLCRDCGSFLSTQVALAGGSG KARNQGAAAAAAKAASSQLTQASGSSGIVRCRRCAREAVFDDSRAITWEDGDGRRYVG GDNVTVVAVPGVLRYLDVELAAMGIRMKFKVDN AFLA_037110 MDKLVAQYSRPAHQNEMYSEQEQHDLTESLPPLSLKFNLPPVDN SRSWLRAMTDDHSNPSCPIKLAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVKKV IPVSRLSRGEDKANNAPTPGLLGTMAGGAADCQYWLRYLSQQCTLHEIRHKRRITVAA ASKILANLTYAYKGYGLSMGTMLAGMTPQEGPALYYIDSDGTRLPGNLFCVGSGQTFA YGVLDANYRYDLTEEEALELGRRSILAAMHRDAYSGGFINLYHVKEEGWVHHGFDDMN PIFWKTKLEKGEFSNVTSEL AFLA_037120 MRVAIPPPSHRRRRRHSSSSSSSSSSSSSSDSHDSTSVCPPRHA HAHSHHCESPRSSYTTVSRRRIRTVEESVPISRWKGWWLGSRDFVPERRCVEYIEPEV REVRGRERERRRPMWEEDVENRSLSVRRRNSVPSRSRFSFATLRGTQQPELSKRMNRL IKNENAAISAYEKAGRERVSTAKELSDWGEATEDDAVSDITDKLGVLLAEMGDQEEIF AGYLEEYRTVLKHIRETENSVQPSRNHRAKIQDDIAKLKIKDPESIRLETLEQELVRA EAQSLVAEAQLTNMTRAKLKEAFDIHLAAVIERGEKQILLARHARRLLGILDDSPVVP GEPRKDYDRGDEASQIIQDAERGLRTWESTTVPIPTSAGHLHDSTLLPAPAARAARDS QALTVGSSEVDGREMSASTRDINGSASDIRYTEEYPPETQGTNEYVNEYGSGTQQGIA PVIEAQEFQEPVTAPVEPTRDTYSAPGNAITYIPGTKQRVDTATGAEGYGESSMQGAR GMYGATPDVTGYTPGAQDTIPGTKEHVGPISEARSWDASVTDVSGDVNENVASGRSID DSVSITDPTQHFEEPSTELTEGTEATKMTEATDDANGSIREKLQEQPQAALGIPQVVA VPY AFLA_037130 MKMMGSGIAALGLMTIVNTMSAMAQNMSFGADNFYRSDSVTVQP ITFENQYRMKIGGNLFIRNNFTRSVNAPAIIVGHPMGAVKEQSANLYATKLAEQGFVT VSLDLSFWGSSEGEPRNGVSPDLYAEAYSAAVDYLGTQDFVDRERIGALGICGSGGFV ISAAKIDPRIKAIATSSMYDMGASNRNGLQKSQSLEQRKEVIAEAAQQRWTEIEGGEI QYTSGTPDELTADTPAVGREFYDFYRTPRGEFTPEGTTPNLTTHPTLSSNVKFMNFYP FNDIETISPRPLLFISGDQAHSREFSEDAYARAAEPKELFWVPRAGHVDLYDRVDLIP FGKITQFFRQNLSKGASRRAN AFLA_037140 MDGNNHRSKRRRLDRSPSHENGYIQSPVESSSDELAAGSDHDEA ERRRASWTLQKALPPKRPNTRLRSFSGSESPDELAVDADVYWRSRNRGRNSSPSEVSA AGPSSEHYQDEEEVDADVDDNESPMEGDAEPEQAYSDRSPTPVPPPPPPPPPKPDKIN YQQKFLLRGHLRGVSAVRFSPDSTMIASGGADGAVKVWDTLTGRLVHTFEGHLAGIST ISWSPDGAIIASGSDDKTIRLWNVLTGKAHSIPFVGHHNYVYQIAFSPKGNMLVSGSY DEAVFLWDVRSATVMRSLPAHSDPVGGIDVVWDGTLIASCATDGLIRIWDTATGQCLR TLVHEDNPPVTSVKFSPNGKFVLAWSLDDCVRLWNYVEGRCIKTYQGHVNRKYSLSGG FGTYGVRGAPPHAFAVSGSEDGAVLCWDVVSKKTLQRIEGHTGVVLGVDTCTLGDKRL MVSCGIDQTVRVYEEVEEDGRMETDAKESPPAINGTEPNGTEPNGILPNGTTQNGAEG HDTSEQQDTGDTQEPQDTEMADADTVPEG AFLA_037150 MSAQSTVYPSEAPSQIRIFTLNCWGLKYLAKYRHERLSEIGRQL ALADPAPEIVGLQECWTQQDYESIREQTRHLLPYGKFYFGGVMGAGLAILSKWPIEES SMYGYPLNGRPTAFFRGDWYVGKGVACARVRFGPGASDVAEVFCTHLHAPYEKEPNDS YICHRTAQAWEIAKLMRGAAERGHLAIGLGDFNMVPSSFAHQLIRAHSPVQDVWQAIY PDSSVAAPIDPIEQKRGKPTPSAEFNLHVNGATCDGKFNTWRWTKEERKRLEKGEEIA VDKDAPCPRGKRLDYIFVGDGGYPPAFPAPQWSVESVNIGMTQRHPTLRCSLSDHFAV EATITRSPRPSEADNLTDNKLRQSVVPNTALSPDTYDRIIDMIHTYVQREQSQRRWRL AHFIISVFVSIGCFVGVWWTGDLPYVAFILVL AFLA_037160 MSPPAAIYEPTVAATGLKGKVVVPETVPVEGASQTKLLDHFGGK WDEFKFAPIRESQVSRAMTRRYFEDLDKYAESDVVIVGAGSCGLSTAYVLAKARPDLK IAIVEASVSPGGGAWLGGQLFSAMVMRRPAEVFLNELGVPYEEDANPNYVVVKHASLF TSTLMSKVLSFPNVKLFNATAVEDLITRPTENGNPQIAGVVVNWTLVTLHHDDHSCMD PNTINAPVIISTTGHDGPFGAFCAKRLVSMGSVDKLGGMRGLDMNSAEDAIVKNTREV TKGLIIGGMELSEIDGFNRMGPTFGAMVLSGVKAAEEALKVFDERQRECAE AFLA_037170 MAYASESNAYLYGIVDMGSNGIRFSITDIPPHTARTMPTVYQDR AGISLYDAQFSGGSRGPIPQDIIEQVVDRLVQFQITCYDFGVPPQNIYVLATEATRTA PNSEEFRARIKDRTGWEVRLLSKEDEGRIGALGIASSASSAAGLAMDLGGGSTQITWV VEKDGVVTTSPKGSFSFPYGAAALTKRLEQAKAEGKKAEKALKQEMIKNFQEAYRALE VPEFLLETAKSLGRFDLYLCGGGFRGWGYVLMKQHKVDPYPIPIINGFRVRREDFHDT VSVLDSVSDSDEKIFGVSKRRASQIPAVAVLVNVIMDALPDITHIQFCQGGVREGFLF DLMPQEIRAQDPLLAASLPYASPSNAAIRGLLTAALPSTSSPMESRHAPVSFTPQLLG ALANLLFAHSRVPRESRSAVALHSTTTGILASVNTLSHTERALIALILCERWAGDLAP TDEVFHRQLSRCVSKQEAWWCQYLGRVATLIGDVHPSGRVSDMHWRIRLETEWESIVK KKDECDMLRLKVKCNNDVAVAAFSLDSLQERAEKVEKVGKKKNWIKDYGVRVGVTIIC AFLA_037180 MGFFGKKDPSGDEIIEAPVMSDPEKQQPVHHDDAQTALPQIPPA VVNIDPAIEARILRKLDLRVPTLMGFLCMSTHLLSLLDRSNIGNAKIAGMEEDLNLTG NRYSWLLTIFYISYVVFEFAAFMWKVMPPHQWAAITVLSWGIVATCQAAVQNWEGLMA LRFLLGMSEAAFGPGTPYLLSFFYRRHELGFRCGLFVSAAPLANTFAGALAYGITSGH SKLANWRLLFLVEGSPSLLAAILAWRFLPDSPSKARFLTEEEKEVARARSLQQSGERE RSTKINWKELAETLTDAKAWLTALMYFSCNVSFSSLPVFLPTILKDMGFTSINAQGLT APPYFASFLVTIATTWIADRLQQRGLMLVILSLIGAVGYVLLAVCTSVGARYAGVFLA AIGVFPCIANILPWALNNQGSDSRRGMGIVILNIIGQCGPFLGTNVFPESDGPRYIRG QSICAAFMFFTMILALTLRTLLVWENRRLDKQHGTQAEREARGWNKGENVAEENYGAG FRYVL AFLA_037200 MHLVSRHRFPLMHMMPTDTVVEYLLGAPKIVREAQPMHWTFLDG PQDGTVMLTWQPLNHLGTNFASDGYVWADVEQAFTFEARGYLVEMWLHRSGYHPPNET MAIHCRRRYRLLPSKNPNPNMPPPDPSLWIVHYSRAPPTEHIPANRIHVLPQVQSMLG QRRFLQSQGQLARKDFMLHDRNNWPTINFPPQVAPQGFAQVPPAYPSAMVGRQPFYPQ PGSGVPAPAGGAPAKAPRGHRASSAAVNAATADFALEDEDVSAGDMMDLLTPREVSKM RYQQHHEWMEEIFASPYAISQITPVSLGLGRKGELESLTAGFFDAPSGPTSGESKDAA DSAQATKMEPAKAEEFADRVAKKVADMTAEIEKLKKRHARRMEKFNRTSLLKDAELRL REAAADPNATGTEIWRLEGRIEIPSEGDETQTPPASEPKAKYRVDDVVKELETSWKKQ IVPEPKVSCVQKGGLLEKIEPEQKLEAPADGDIDMGHADSHLLDQFGSPPPSGPVQGS APIAQELAAPAHVPGVSEVPGINAPAPLQTTAAVPQQSVTMSGMDVEMDMGNAPPANT AAGETGDWVMVNDEKKDQGDKPAVGDAPLHGIETPGSGLQGLTPGNTGGDTGLDGANF DFTNMDSAGDALAAYTEQNEVLDLPDLENSAFGDAFHASDNENTHHHDADDMA AFLA_037210 MNAFVTLLVALFLGYQVSAIPYIPSHLLYSAQHNGSMAYLLRPS ANYTTEFLSLNVSQDIDSAKPQYTTLLDHAPFQLDKQTPALIPAIDQHGIVKVYAGDC HNTSGYGALWQFTPDAASSTGNGTWERIEVNGTGQSNVSPHGPNYLAAGFTYASTNTT NTSFYAFGGMCPLASASEATWITAANYSQSMIALEPPKADGLTSYRISATGDRAPPIP EAGFTITPLQATYRSTSSGTLLQQQDFLMIGGQTQNAFINMSQVAVFSLPQSSWSFVT IDSTQSLGRTELAIRDSSVVEPRSGHTAVLSPDGSKVVVFGGWVGSTSIPANPQLAIL ELAAESTGAEWAWKIPSTRDAGVAEGTGIYGHGATMLPGGVMMIAGGYHIAQPSKRSV TGTEVNPEILLYNVTSGKWVSSYSNPGPLQPDGESRSSVSRRTGLGVGLGLGIPCVAG AAVFLWFYFRRRRVRRTRNQMLRELSLGAERSIFWSPEESHLAGSTYKPSQIMAERTG LLREIPSPTETNRLPLNARIYRPPAQCNEYRRSDGTGDMHPIDEEDEAHGAVGGDSTG LLQKMTRTELSPEGATAFATSIGRPSEGQSSEHADRTYSNISDFSRLAGHPPRGTIDN HPSHPSTGRESPEKSSSASNQSRETWSRPNSTVISHERRSSDSFSTAHTTISQRQAEG EHLLPNDPEPSSPIDLIPRPLSISKPKAEWIGNVRRVLSMTRKRPQSSGDGSVASTAS GIDRKSAVLGSAGPLFDYEPESKLPRRSVSASAELFRRKQGAKDWGTGNIVSRELTGR TTRDDFGLGGVLDLDDGDWDVEGAAENRRVQVTFTVPKEKLRVVNATANDMDNISEES ISRSNSRT AFLA_037220 MNVFVALFLVALMGCPLAQAMPINGGMAHHFQPSLSAHAGLAVG LGVGMPFLCLVAIFIWRYNKNAKREENRARDEWLRDLSLTTQRRIFWSPLETHLACHI KRPVMAEKDPGSVQKPPKCVVKDGRLLLCACHQPRTHDAEIRHSVGAGGMYTILE AFLA_037230 MSTWGEYFKVTTSGQLNLTCCASSSYGESHCRSVGCIVDGCPPG MELTEADVQPQMTRRRPGQSALTTPRNEKDRVEIQSGTEFGVTLGTPIGMIVRNEDQR PKDYGGSTMDLYPRPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLRL SHGVEIVSFVSSVGNEHLFPPTPEHPSPATNPEFLNLIENISRETVDSFVPTRCPNQE AAARMTKVIEQFRDNQDSIGGTVTCVIRNVPVGLGEPCFDKLEAKLAHAMLSIPATKG FEIGSGFGGCEVPGSIHNDPFVVSDVETRTGTETTTKQRLTTKTNNSGGIQGGISNGA SIYFRVAFKPPATIGQAQTTASYGLEEGTLEAKGRHDPCVVPRAVPIVETMSALVMMD ALMAQYARESAKNLLPPLPKTIPTHPTVKPGSA AFLA_037240 MRPLRRGSCLLSSLRTPTWLAPALRPVFVRYNSSFSSDQFAQLA RRESSQHQIYQSFSTNPYVNLSIEHFLLENAPPDSSILFLYINQPCVVIGRNQNPWHE TNLLALQNDREPITREKNDNGALLVRRRSGGGAVYHDEGNLNYSVISPRTTFTRNKHA EMVVRALHRVGATNTSVNDRHDIVMSTGQPQPRKISGSAFKLTRHRALHHGTCLLDTP NINRLGSFLRSPARDYIKAKGVESVRSPVANVSSVFVDAMMPFSIERVMASIVEEFAQ MYQVDADAVRRAQRAHVLEPELYAGNTWVAGAVGESQGYGEPDIKKGIDELMSLEWKY TQTPQFIFSTYPIEDDPRERLPLPSTLPPATRVFLRLKHGAIIESHISTSGDASEASE QAARVHEALNGRKLHEITPAQWREVLLDRLGADVEDKSLVELAKFIGSKLGWDTSS AFLA_037250 MAPVLKKYKAAAVNAEPGWFDLEESVKRTIHWINEAGKAGCKFI AFPELWIPGYPYWAWKVNYQESLPLLKKYRENSLPSDSEEMRRIREAARANKIFVSLG YSELDLASLYTTQVLISPTGDILNHRRKIRATHVERLVFGDGTGDTTESVIQTEIGRV GHLNCWENMNPFMKAYAASLGEQVHVAAWPLYPGKETLKYPDPFTNVAEANADLVTPA YAIETGTFTLAPWQSITAEGIKLNTPPGKELEDPHIYNGHGRIFGPDGQNLVPHPDKD FQGLLIVDIDLDECHLSKSLADFGGHYMRPDLIRLLVDTNRKDLVVHEDRVNGGVAYT RTVDRVGLSTPLEAATPNGEQEE AFLA_037260 MSVILRRLVHNEAMREDPKEIYGWRVYMLACSACFGGMLFGMET GIIGGVLTMDPFQVKYGLKNLGDIGEANLSANIVSTLQAGCFFGALIASPVADKWGRK TGLISASLIAIVGVIMQVAASGHLEAMYIGRLINGFGVGFASMINPLYVSENAPRAIR GGLTGLYQLFITMGIMLAFWINYGSSLHIKGTAQYMVPLAMQALPALLMLVGMLLCNE SPRWLAKQDRWEDARKTLSRVRNLPSTHQYIENEFQDIVNQLEHERQLIGGSGFWDLM KEMWLIPGNRKRAMISIFLMVCQQMTGTNAINYYAPQIFENLGITGTTTGLFATGVYG IVKVVACAVFLVFVADSLGRRRSLLWTSVAQGLAMLYIGLYIRIAPPVEGQPVIPAGY VALVCIFLFAACFQFGWGPVCWIYVSEIPTARLRSLNVAMAAATQWLFNFVVSRAVPN MLATVGANGYGTYIIFACFCFSMGVWVWFFIPETKGIHCNSAPPNSSCIQWLFTGTDF SLIGLSLEKMDELFGATSSDTHLKTEDVERSASQVEGDHKDEVATETRVERV AFLA_037270 MNVFVTLLLVALMGCPLVKAMPINGGMAHQFQPSLSAHAGLAVG LSMGIPLLAGMANDIWLYNKIMKSTIGGFGNSL AFLA_037280 MDDLTRTVIDDLGQKPTFFCPRTPSLPYYSFDLTPATETSAAEA PKLAPAENTQATPAAAAEKENEAPAEQPKEEAGMYTIPE AFLA_037290 MMDRSKAGRAARHARKWNWRSLLLYAGAMTYWASVAGQLSWNLV GALATDELLRDPDDLLTPASIVKCVQQTLETRRMPSYCFVDLAPYAGLSLVAGILSAW YNPKLRFKVEGRAGRFVGLGEYYQVQLIVMVVRCVFWALLRDPSANGLQSNLPPTLHT FMFIFTALSVLFSRRIAQYDTRPLVNWADNTPAATPARGRGESPVYSTGSKQLFTSPS ERLQQGTPRFPLEKLATPRPVPEEPTFPTPPPEGDDMDWTPSVQHNVTPTVSVHQRER KSVLDGPLPFYGSLPAAPKPPSWNLRNQPVQRQKPIEQVVERNPFHRTPAQPSSPWAR NNGPFDTAFAPPKFFPMSDHAASTGLESLFDKAFTIKSPEDEDHGAWQSQQQTTNTRP HQSVDLHSYFIFQYLRLGLLLSSIAAWLVSQYGHISLPGDCIEVASLGSASLIAGFAL LEALKQPLAQWNGMEILVYFAELVAAVHLGGNLPHVSYERHYFDRYGKLLLIFMTVQE ALGLLSLYRFSSAISSGQVPQANQNQPPPAGLPSTSPRLENSSGQELQSVTTQQSVPP LSFSSTVTGSSFSAQTPEARRHHHFPSYDGGHQDYSFSLKSLKGDESDVSDPLDRDSD TETTVTTATTATNATIRNIRYGRSGSDAFLSPRRSELGPGIGGLSLDDEPSRRMTRSQ TQKLRRFPGRGNVRTK AFLA_037300 MFLPRGPGALCIYRNAGTSRYFAHRFFATRSYEPLRILFCGSDE FSIASLNALHKEHLDRPDRISSIDVVCRPGKRVGRGLKKILPIKAAATDLSLPVHEID TFRGWTPPVLPGGPINLIVAVSFGLFVPPRILHGAKYGGLNVHPSLLPDFRGPAPLHH TLLAGRTRTGVTLQTLDLKDFDHGVILQQTPSPGFEIPNPESCTVPELLNLVAPKGAE ILVDGIRKGLFVPPVHDAGWRSPEEHESLIHAAKIKPEDRHIDWANWTWLDISRRIRV LGPLWSKALVINDATANPSSFQYRRVIFTEMEEVEPMKGSEAYAVVPGLPFVDGAHPI ESRQGKGVYVFTQDGKLLRINQMKVEGEQNADALRAALKARMVGGRTFSSNGSDYTPF YNPLQ AFLA_037310 MVSFARNFSKGEAVDYVETDKSLIVLHELEKNWWILASIDLTRL PTHSSSGPSSQHDASETSSSSHYSSREMCPSHHLIQQLRRAHSIFLLHHDITLDALYK RVGRSTFCPLLENFWLRFAWNWDILLSGNPAVDVYNGIKLSAGGELGIGVGEEEWGSG EREVFEDFVARTDGLVDLVVSRFGDPNTLGESAATANKSADTTRDDDETHWLGLDTYP RPSDGVIFSGVGTISRSSVVRISQWMEWIYKYGIDAYGVGEDPTSPRRRKHRRRQRGR PRRDANAMTQARGNSQSRDTDGSFSPGIPRPLVVGTTQSTQPPQGPDGVSLQSSGETS PARSDKGSDWMGVTTGTFVKYLTLGYGSSWSMSRTPSAHPRVEALKREDDSASSNKQT GPPTDGQEGSEESPSVPEDPPKPESREDNPSGTIQQRAVVYIHKPFIYTFLFDPQASS LADPSLYHSIHHQLQPLQGSLSKSTSPANAAARISVSGNAVDINQRFSAENLPFYDLV YDPTNLTIRSSIPNIPDLGFSPLEAPRDPSATPWSRVESLNIHHRLLSTYIETRSRPL ELERTCKTSRGWWIVWVRMSDPSYKPTSDDSSISHPDDPRQEAFLIRKASDHVSTSSH ARSHSGTRFFRDLGGASSPGLSDMGPAKLAEGLGLDARRYIESLLNLNR AFLA_037320 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKRVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRNDPKTIEELTKTSQKPVTAEQGEEVRKKIGAYKYLECS ARTNEGVREVFEAATRAALLTKTHKKKKGCTIL AFLA_037330 MQKVIRRTALARNQAQRKAVRAVKDAEREEFKDHLRQRFALNRI ELDNIRAERQRRREDWLRGPLAPQRDAGFEGQSFGALSPQAMNPPPIPKHLRRKYINI AVGDRVCIMKGRDKGKINEVVRVDTSNETVNVRDLNMNDVHLPSWINSQYGNKGTVNA MALPIPIDDVRLVVALDDPATGQTRDVLVEHVHGGEPILEREHGTETPRHTRYISGEN IEIPWPRREPPVLNDEEWDTLRMEVETPTWTPSLHYAPFPPSVLDELRNKFSKYRTRH DPEWVEAKKMEDYRKEYLQSRSLLTPKGELIAMIQAKKKERLDARRDANGNMLMDDQT AGFIENFLKEKVANKA AFLA_037340 MAFAAINLSATKKIPAIEDAFAAEPSLKKRVYDAIGTTPQYIPL FEDIAKYTSSLLVRNASAPVQPVETPTDGPAAKKRKLQNGDTAGQAQSPGDLKADAPL QFYMQDISFALPQRKKLTLEITAGRGFLRARNQTSKAVEFGIHVDKIQHVLCLPVPEK NQKQFNFCIIPQYGDGINSPPEGETAPEAMVWTVNDGPPRAAFSGNGQQMGSNDGQTA ENVVRQMLNENLSQTKVVRPDEREFVSAMPEAHRKGEKAYHVKAFRGSKEGYLFFLST GILFGFKKPLVFFAFENIDSISYTSVLQRTFNLNIVARPTSSDETQELEFSMIDQADF AGIDAYIKKHGLQDASLAEARRAKRYNINGAKTGEEGAMDADGPVEEESELQKAQREL DDEEDEDEEDYDPGSDDSNDGSGSSSEEDSDEDGDEDEGEEEDGQDLLADELGSEAED IPAGQL AFLA_037350 MSSSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSTKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNSKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCEGFPEEFTKYLTYVRNLGFEDTPDYDYLR DLLTQALKNAGEVEDGEYDWMKLNNGRGWEYKSYSSQQHLHNNALPNSSARELHAQQL RSSQRPGVTADRLNAAQPPPPSPAKPGAGKTRERQNVQGGMPPKRQSGGMETTPTVST QAQFQNSNANIPGRMGSPANPTKNSQQGPGAQGINEPQPTFVQKVMKALCCGR AFLA_037360 MMEIRGDTYVHRGTALYPILAINAQRARTSTPFQKELVYTDPDL GESFWNSCKRLNLLLSGLDW AFLA_037370 MLKKKTLYPPLHFWHSTPTSTPELSPTSSSSDSESDEDMDLSGS RPLSLTVPAGAFCPMRPTLDEVLANTAPAPYTLSAFMAYLSQNHCLETLEFTLEAKRY RETYEALSQQLGEYPIGTECPESQHLRMLWQRLLTAYIMPGSPREINVSSEVRDDILR QANSTTPPLPETLDAAVKLVHELMEESIFLPFLNAHSASAQVVPLAEPLFPQEDGVTV VAGPGLDEHAMKRARSKGRRLSPRSSKDFGSPTYSSSHSGRSNFSLSAMTSMGKSSHR HSSHTSSGSGDCSAGLTDDSGSLQSMSTSEPMTPPTTPPSSDAHGLHLAHSPKQRTDN PWKKMGMKLGFKKRSTTGSSGSNKLSGTDE AFLA_037380 MASDANDTITFTEVDEVNNPTATYIYSGVVDQSTHLSHHDKNNS KPTGRLDVIHPSSKPQSWSLSSLTSLLVEVFLPAGYPHSVSDDYVPYQIFDSLQAFSS SIAGLLSSRAVLQGVGVGNADASPTAALLLHILQDTSGRISTILFAHRVGTALEPECK MYRLAADVFNDAAMILDCLSPMIPAGFGRVTVLSTAGVLRALCGVAGGSSKASLSAHF SRWGNLAEVNAKDSSQETIISLIGMLVRFVGSFVVSRVTSYTATWISLVMLLTMHLSL NYAAVRSVQMTSLNRQRANIVFSTLLNSDTDLDIANFNPTHETHPTPKHSKATQSQKQ WQIPTPAQVSKQEKIFETDGILRWVSAPSTQHKLGTCRIGVSLEQFLAPSSTRTGSGS LKTSTPMSDLSSLFKSEDYLLFLHRNRQSWDARILLKTSSTTQTQLKAWMHVLLAARV LCSSAKEMRTQEIEYIMDTISKTLTFLNDGSRTDQYMSALTEAGWDLNVAALETRSGR RIACT AFLA_037390 MSEANEGYAKTEPAGTRQGLATPIYPFTNHPICAGETNLFGINA DRTPLTLDESTRTLYKRAISDPFSLTDQERRLVTHRPLPEEENTLCQNACGLSMDELI AKAINSNNNDNNNNDLSLGLSNEEARLLTAGVVQGQSGRILSEVARLSPEDRELKARA MEAARTEDVRAAIEVAQRVRQRWTAVQLAAAKALSNDDIRNIQVAMKVPWQEHELQSS STSAGDSSSGGQNPGEAGARFGLVAFYQKEEEEGGGGGGGGGGVDRLSEYKSQIGTAI YHGLHYSVSLIKDETRNRFTLHWVVVPGSHNNDLDPSALRTRFSTMLANNEIPIGFRR DAFLYVDKEAFDSRETARPYLWLAEPESKPEYETGTTTGAQPGVLPPLKVDIKHIAPT LFARLVQRDLQGEARRKPYRYTSELSRLHAATDATREGDGIWPPPSRLQ AFLA_037400 MHATAALRSAARTPLIRFLGRRSVPQSIDHTPRPHPASPSGALP DSFAAYRVKAQQHGPLSRGSFVEGAIGRSSGSSLGPVQPKQGEYFDRAELPPRFQRLP WSQAEIEAIETGGASLFA AFLA_037410 MHELLLFASVPAHQHHELLQQLAGLTAMQPRHRLERRLIFKAYR KPGLINTRVGASQDLQGNEMQRLNKMLNGGMFYTQVVGPVSEADFGAQSSAASSGDPD APMSGTDTGTNFEYHPYSYENQPWKLEFRDIPEAGTRSAVTTRLMASASLPKGDITTP MNAWGYSFVTEYVVEGDVFILNDIVIYLHRVLHYPAESSGSHEPRRQLPPFQQMSPLE KTGSYVLQASIAVQDGGNQEMMKTASQHLFGLREQLKSAVRLEQADRLSLDTRAK AFLA_037420 MAGSVKRPASTTGTISPPPVKRKIESTLTKQSVSSFFTPASQKK PEQITWRIVNNSLVVGKYAKKADHKQTIEKPKVAAFDLVGMHLLGALGRYYVIIITNQ KKISLQKDMKGGRSDSKSLTNFKERASAVMKQLDIPLSVYAATLDDGYRKPRIGMWKE FLDDYDFDVNGVDLSKSIYVGDAAGRPNDHSQVDRGFAVNAGVPFKTPEEFFLNAAPE PLVESFDPSLYLQSDQTDDASPPFSRQSALELVIFCGSPGAGKSTFYWDYLEPLGYER VNQDILKTDPGAEHRSCRGSQRDQEKGMLIRPGQRPKCIKVAKEHLTAGRSVVVGM AFLA_037430 MSTNNTNADPETRSHWIEIAKEYSIPIRCVYFSASPALCRHNNA VRAANKSLNPESRALLPGIAFGDFGRRFKEPTMAEGFKDIVRVDFRFRGDEESQQIWK QYWI AFLA_037440 MVDSYRQPPQSPLTNTSSTPVSPTVSLFSAKGHTRFSSSVSSLV SSPGHNNSMEIASKNPLTGVKEESCGAPARDLEEDYFQHFDQDLSEFEGPYFASVDYS DEYDLTDAGMDIPHSPKKRRSDSVSAKGLSRIGSRISTISNRWKSRQGSDGFDALDAF SMRSRTNSTSSILIGPTIVPVSRVNSVTVPPSPARTIFEERLSESGALPIDIAKANRH SQDNDDASPKATTPLLPPFMGDQPTYPVTSRVHSPLQSPSVADMSEDACDGAASRDSR LASLPSPPLSTRPSISSFNRPRASTVRPASVDAPPYLLSDPNDEWANKLGHANFTIQP EPYVPEVYDLESFRQLRAQWDLAQCNFTKHLVRTGEHYGITSTIYKLTEEKWDCVNSE WKHHHEMMLSQLEVTEGHRLHLIESQCDPCEQIKLPRLHDEKFPELGDGEIVGPMKIA PATSGSGRCRSQSLKRNFFRFFQDLMSRS AFLA_037450 MKSIYSLVLCTALTAASPHPAFPQSPLGVPTTSSPSTGTFNSAE EVINASPFLSFHRDIVQIESISSNEHNVGEFIADFLRARNFTVIEQAVTSSSQRENQE RFNVFAYPSSNTPEILITSHIDTVPPFIPYSLDTDSTTDNDPSTIRISGRGSVDAKGS VAAQIFAALDVLEQNPSAPLGLLFVVGEETGGDGMRAFSESSLNPAPSAFHTVIFGEP TELALVSGHKGMLGFEIVAKGHAAHSGYPWLGRSAISAVLPALSRVDQLGNIPADKGG LPSSPKYGNTTVNIGRVDAGVAANVVPATARADVAVRLAAGTPDEARDIVRRAVRDAT DGNPDVYAEFNTRSEGYPPQDLDTDVDGFDITTVNYGTDVPNLQIHEREDGPVRRYLY GPGSIHVAHGDNEAITVGDLQEAVRGYRKLIEAALQRR AFLA_037460 MSFHYPPRNPSLSPQPPLENNSWRASRSPGPKLGGYGLSQSAGV SNNLTTFFGDGRTLPMYKDKPYFAPRRTGPKVRQRRVLYGGLCLFFLVSLWYYMSGSW GKPEIKTSESQKGEELWAWVQSLDKEPAYNGEELKGIDWAARREKVRDAFIVSWDDYA KNGWGLDQYRPVAKDGKNMVEGGLGWIIVDALDTMIMMNLTSRVQHARDWIQHSLQYN QDHDVSTFETTIRMLGGLLSAHYLSTTYTDLAPISGDEDLYIEKATDLAERLSGAFES SSGVPFASINLKKSEGIPAHSDNGASSTAEATTVQLEFKYLAKLTGEAEYWRMAEKVM EVVDRSKMEDGLVPIYIYPDTGKFRGKNIRLGSRGDSYYEYLIKQYLQTSEQEPVYKE MWDEALIGIRKHLVAYTKRAQLAIVGERPEGLEGKLSPKMDHLVCFLPGTIALGATGG IPLSQAKKSPYWSQRHDEEILLAKELMKTCWATYLATKTGLAAEITYFKLDNPAVMMQ DMYPESTLTTGNRKSEQEDLPLKSKPLYPLDDKTLNWENDLDIHMQDRHNLQRPETLE SLFYMYRITGDETYRHWGWEMFKSFVRHTAIVEHDNTHADPTSDKPAEPSRPQIISFT SLNNVDVIPPTRRDNMESFWMAETLKYFYLLFSDRDFIPLEENVFNTEAHPFPRFKLG GELKTGWERKSSESESVPKSAPQEQEQAP AFLA_037470 MNGAADPEREQALEDYKKSLLELREWEAKLKSLRMGIKDLQREF DISEENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKSKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQVNFAGIGGLNEQIRELREVIELPLKNP ELFHRVGIKPPKGVLLYGPPGTGKTLLARAVASSMETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGK TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHSSTVQLEGDIDFES VVKMSDGLNGADLRNVVTEAYVISFLTLLFAVDVSDNEHSGLFAIKDYRDAINQDDFN RAVRKVAEAKKLEGKLEYQKL AFLA_037480 MPITKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EAHELRDGDKTHWGGKGVLKAVENVNKTIAPAVIEENLDVKDQSKVDEFLKKLDGSAN KSNLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPSAAPSFSEALRQGAEVYQKLKTLAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLITEAIEQAGYTGKMKIAMDVASSEFYKADVKKYDLDFKNPDSDSSKWLT YEQLADLYKTLASKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPLRIKK AIETKACNALLLKVNQIGTLTESIQAAKDSYADNWGVMVSHRSGETEDVTIADIAVGL RSGQIKTGAPARSERLAKLNQILRIEEELGNNAIYAGEKFRTSVNL AFLA_037490 MAPERWDDEEDSVSPPPVAPRRRFDDEEEDEVLDSWDAAEDSEV EREKAAKAAEAKAKADAEAAAKKKSKSQRIQEHKEERKKKAEEEDSDSEEEDDADKRA RLRRAQKDADLKHAEDLFGDIDLNRNRGAPKAIVISDSADPTQAVDLSAMPLFKPTTK EQFARLTSTLIPLLTPHSKKPHYSLWAQEFAKQLVKELNSADVKKIASAMTTMSNEKM REERAADKGSKKSKAAKTKVSLVTSRDNKLDADYDNGDDGLGDDDFM AFLA_037500 MTSERENKTFLARLCEQAERYDEMVTYMKEVANIGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSEQHVSIIRDYRQKIETELEKVCQDVL DVLDESLIPKAETGESKVFYYKMKGDYHRYLAEFASGNKRKVAATAAHEAYKNATDVA QTDLTPHSPHPLGSCLELLRVLLRDPELSRSCLPPCQAGFR AFLA_037510 MEHSYLYSPKEVLEHFSVSEHSGLSQEQALKSRQKHGPNALAED PPTPLWELILEQFKDQLVLILLGSAALSFVLALFEEGDDWTAFVDPIVILTILILNSV VGVTQETSAEKAIAALQEYSANEATVVRDGKTQRIKAEDLVPGDIIHIAVGDRVPADC RLIAVHSNSFRVDQAILTGESESVGKDTRAIRDKQAVKQDQTNILFSGTTVVNGHATA LVVLTGGSTAIGDIHDSITSQISEPTPLKQKLNDFGDMLAKVITVICILVWVINIEHF NDPSHGGWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMANKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVNKVVYLDKTGNGVQEIDVEGTTFAPEGNLSQNG KVLQNLAVSSSTIRQMAEVMAICNSANLSHDAKSGVYSCIGEPTEGALRVLVEKIGTD DAATNMKIFQLPASQRLRASSAYYEGRLPLKATYEFSRDRKSMSVLVGTEKKQSLLVK GAPESILERCTHVLLGSDGPRVPITKEHADLLSAEIVEYGNRGLRVMAFARVDDVGAN PLLRNAQTSDDYAQLERNMTLIGLAAMLDPPRVEVADSIKKCLAAGIRVIVITGDSRN TAEAVCRHIGIFAEDEDLAGKSFTGREFDGLSDNEKLEAVKTASLFSRTEPSHKSKLV DLLQSLGHVVAMTGDGVNDAPALKKSDIGVAMGTGTDVAKLAADMVLADDNFATITVA VEEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALVPVQLLWVNLVTDGLPA TALSFNPPDHDVMRRPPRKRDEPLVGGWLLFRYMVIGTYVGAATVFGYVWWFLYNPEG PQISFWQLSHFHKCSAQFPEIGCEMFTNDMSRAASTVSLSILVVIEMLNAMNALSSSE SLFTFALWNNMMLVYAIILSMTLHFAILYIPFLQGLFSILPLNWVEWKAVLAISAPVI IIDEALKFVERQMYTTTPKAIGQQNGAASKPKRA AFLA_037520 MGLLHFLQTRSGFKVDNRKTTSAATLTLRQSLWPLCLVTILFFL WGFAYGLLDTLNKHFQITLGITRTRSAGLQAAYFGAYPLASLGYANYMLRHFGYKSVF IMGLVLYGIGALCMWPAGLNRSFGGFCAATLVIGSGLGSLETAANPYLAVCGPPKYAE IRINLAQAFNGIGTCVAPALASYVFFADTSDDVDALKSVQWVYLAIGIFVFILAGVFF VSNIPEVTDEDMASQVASTHAGEQEQPFRKQYKLFHATLAQFTYTGAQVAIAGYFINY VTETWPGTGDSTASKYLAGAQGAFAVGRFIGAFFMRYVKARWVFLVYLSCTVAFIAAS TTQGYKTGLAMLFLTLFFESVCFPTIVALGIRGLGRHYKRGSGFIVAGVSGGAVVAPI LGHVADMRNNTGFAMIVPTMFMIVAWTYAVAVNFVPAYRDTVDKTGESDVGLQAGGGV PKEDVEIGAMGRSKEVVVR AFLA_037530 MKSAGVNIDEVVDSVLAQRCDPLAGWWALLIEKEQRKEQKRERK RRERDAEAKNIRRLSAASSRLEKISAALVEVDEEGHASLNAPLQERGRRDRRSLPSQF AVPELPSLPEPVPIQSPTSSNPPPPVDKDSVRSASSTRHRPLPPPKDRRRSSRPSTLH ASHWAPSISNPQSTGVPQTLVRRVYEESQVPPQQSCWCTRDPSQVSFRQAKPIQRAGY WEEICAVFFGCPCNGLARSSASYPNHRHSYPRQTRPLSTNASHRNSLSPSPITPRGSY RRSSAGLRGRKSTSSSISSIRSIHHTHTHSKASSVSSNSIGSTSTPTARASRSPHSSV KVLPTTPSASSRFPSNIRLVRGVNNGYHEVDDPNGRMTSIFNESAPAPLLYSPSSSLV FARRKRSAFKGPMVHTTNLMVSGGMAAPEFPRSGVAVAETSSAARPVARKSQIIEEDI EEDEDIEEVDAFTGTEEEPGSPTEMKTDEHTGSEYASDLSGRSSKPVLAPAPDLDTSP LRPPRSSSLKASKLKAAAGNSPRSVRSGKRAMT AFLA_037540 MSCWLSSEPFLTSSHTNCPLLSPDRLPGCGSTPSPSVLILRSTP PRLPPATPSYTLFDQELGDKLHYLSIFRPGYITTLA AFLA_037550 MTEAVFQKERHIKYFLRCLKTFLPSLYTSNDSNRVLLAYFTVAG LDLLGELYNKTTSEERQGYVEWIYHCQVPSGGFRGFTGTDFGSERRTPENEAWDPANI PSTFFALVILLILGDDLSRVKRTECLQWLSKMQRENGSFGEVLGTEGKIEGGGDLRFC CFGAGTRYILRGKCGDGLEGIMDIDVDKLVAFIEACQAYDGGIGEGPFCESHSGHTYC AIGALTFLDRLSKNHKPIALLSPKTGPFESLVRWLVTRQTCELGDDEEESDEEDGHGV DEIGPLSATVEEPNLDTKVDQLPVVPPETEDSLRWAGFNGRCNKYADTCYSFWNTASL NMMNRLTLVDATRNRRYLLEKTQHIVGGFGKGVGEPPDLLHSYFGLVSLAFQGEPGLE SVDPALCASQRAVRHLHSLPWWQETKR AFLA_037560 MGLLSSKTLIQAHALFLFILAVYLTRSPEVITDSDVVFMLGETL QIDAAPSLSRPQSPFALCGILLVADALVDLILVTKVPRINEIIAMAEIARSAAPTSIA GAMRTNPFLARLASLYTDIWTLLSASRFCLFFAVSFFIYQSKPSDWGVDVRHTVDGYG QESASGLNQLKNRVVFTYGFMEMMFWLWIFLTLREERHEIAVRFAEEEQQLS AFLA_037570 MKLYYISVILMTCLSTALAQGMDGLPDCAKDCATGSIPKQCQTI DVACICGDKSFINSISCCVANKCSKDQQDAVLKFASQLCSGAGVNDLPKSASCAEGGS SATETSSDSSVSSKSTTAKSTATDEPATTTSGSSDSSASPTASKSDSNTKTSSTSASS PTTSTGGAALVQGKDTSLLAAIGTAILAFLA AFLA_037580 MGLGVLEDTALAQVPGTSDILERECFNEQTDVDSNLKYDRSGTV PILLVPQPSDDPNDPLNWPLWKRDITLLALSFVAVLCATTSSLMAANTVTISLHFKKS FTSVALLTGYHLCGVGVAGILIVPTARVWGKRHLFLLGNVLMVISCGWAGGSANNYTS LVWARIFQGVALAPFEALVNACVGDLYYVHERGKRMALSNVALFGAAFLTPVLAGKIT HSLGWEWTFYLLAIFSAASLPLTFFLVPETAFRRPEYLNNDLKQIVNQRTENRMCSPQ QSNEYQHEASHISGEKKHLSSLTNTESQAGTQERDTRLNTIVPTKASYIQTLKPFNGR KTDENFLKLLLRPFPLFFHPAILWACLIQGVIIGWTVFIGVVLAAIFLGPPLWFNEVQ TGYLYTGAFIGSILGLILSGLLSDSMNKIMIKLNKGRYEPEFRILLVVFQLVFSGAGL YGFGIVAQDVGKYGWLVVDVFFALVIIGMVMGAVASALYIVDAHRQIAIESFTCMLIF KNIFSFILTFFAYEWLLSNGIKPAFLAISSIQMGICVLSIPMCKFHGSCRCKDGELTS TY AFLA_037590 MATIEQPSVQSIPRSRSTRLADQGLRIAASAALYVTHPERRLSA REPSAFETDLSNLKVPGSRPGFSHASAVAALAQAKNRQLEAEKQAAAAFYAQDHHSDI SSYGGNRAGASARRDRSSISSLPPSTEISAADLTPEARREISEREKAVRAARGALYSN RRRAESAPSEAPYITSAGQLGDIDAAMEASRIQHLAHVNRRLFTATPPVAPELEEQRR RSVLQAAAVSMARDMYSLTEATEPEQLYPSIPGTQANHGRVRPKRSVSRPEAGAIQRA VNLQETAQKLAAEKLASMQDDTAVYREYYRLEQQDTRSSVPTRRRRLSIDSDASEFDV ERSREIRHQMTSLRTKLDAVDEQRDKDRALLMEAAKKNVDAVLQDMEMRVYAETGRAP AALQKEWEEAALARARKDMQENDRQYYSGEKVNLGAQKFIDMSDVEALARSRLQPTFD EITDRAETERAKELERRLDEEERQRREAVARQREADLVAEEKRQKAAMKQELKGKGEK TWLWRKSRKSQDHEPTIGKHTVTRATEGLEGAAEEHAKSAPRETEQPQTTLAGNEATA SGAMVDTGSTEPITRTESKLKTWFSKLGGRRPSASTGEAPKVAEGNRETPSNAVEETA QAAEGPNESVEAAETADATNLAEADGDNERGAPLRSNPVTADDLQEMQRKSVDAGTLE AMKSEGQAVEPGSKESPEQNGDKRSRLKSRLSQMVSKNSQDKTDGVTDHNKEDDEGSV PVEHTAADELPSHATERDELRESAADQGLPVPPAIGKRASNGTTGRESRFSEDL AFLA_037600 MRLLSFIYLVWLALLTGTPQVSATDNGKTSDVAWDKYSLSVKGE RLFVFSGEFHYQRLPVPELWLDVFQKLRANGFNTISVYFFWSYHSASEDVFDFTTGAH DIQRLFDYAKQAGLYVIARAGPYCNAETSAGGFALWAANGQMGSERTSDEAYYKKWKP WILEVGKIIAANQITNGGPVILNQHENELQETTYDSNDTKVIYMEQVAKAFEEAGVVV PSSHNEKGMRTVSWSTDYKNVGGAVNVYGLDSYPGSLSCANPNSGFNLLRTYYQWFQN YSYTQPEYLAEFEGGWFQPWGGSFYDSCASELSPEFADVYYKNNIGSRVTLHNIYMTF GGTNWGHSAAPVVYTSYDYGSPLRETREIRDKLKQTKLLGLFTRVSKDLLKTYMEGNG TSYTSDDSIYTWALRNPDSDAGFYVVAHNTSSSREVTTFSLNITTSAGALTIPDIELD GRQSKIIVTDYSIGSESSLLYSSAEVLTYATLDVDVLVFYLNAGQKGAFVFKDAPADL KYQTYGNSNLSALETSQGTQYSYTQGEGVTAVKFSNGVLVYLLDKETAWNFFAPPTVS SPTVAPNEHILVFGPYLVRGASIKHDTVEIVGDNSNSTSIEIYTGDEHVKKVSWNGNL IDTRATAYGSLIGTVPGAEDIEISLPSLSSWKAQDTLPEISPDYDDSRWTICNKTTSV NSVAPLSLPVLYSGDYGYHTGTKIYRGRFDGQNATGANVTVQNGVAAGWAAWLNGAYV GGFSGDPDKVASWEVLKFNHSSLRSRDNVLTIITDYTGHDQNSQKPIGTQNPRGIMGA TLIGGGNFTLWRIQGNAGGEKNIDPVRGPMNEGGLYGERMGWHLPGYQVPESALDSSP LEGVSGAEGRFYTTSFQLDLEEDLDVPIGLQLSAPAGTEAVVQIFMNGYQFGHYLPHI GPQSLFPFPPGVIYNRGQNSLAISMWALTDAGARLEQVELKAYAKYRSGFDFNRDWTY LQPGWKDRTEYA AFLA_037610 MMTSHPRSSSVDLDSPDRPFDNIINFRDVGRSINRLMGKKVLNE GVLFRSARLDDASERDKRRLTDELHIATVIDLRSTTEHQMATAKLHAETGTSTPPNNN NTDHLFQLPHVRRQLISLTGKAFERSLLWRLDWWNFFKVLALAASGYRNDAVIIVGEQ VMSPRGLIGLGLDTLDSSTAEMKEIFELFASQNDGADRTYPALVHCTQGKDRTGLVVL MLLLLTGVVSDEAMTADYVRSEPELVVEVEERMKEIRKLGLSEDYTKCPDGFTTEIRR HLQERYGGVDGYLRFVGVEKKKLDVIREALVA AFLA_037620 MWIISFWIFPVISAFMWIAMLLAMLGSWAVQGTPVYSSMEEGQT IAYISDIGAQGLKPLFITGSVITVVFLDLSFISERWLRHAGQLVPNKGRFDKACAVLS IFFSIAGALGLILLSIFDTVRHPHMHDGFLVMFLVGYIISAILICAEYLRLGVFYRSQ HRVLFASFVIKLLFIIIEIALAIAFAVLGKKGPSKRNAAAVLEWVIALIFTFYVLSFV VDLLPSVRTRRHVPQGEKRIVRSEMENGMAQPNATIEEPLTTDSAGPNMDYSYYRGQR M AFLA_037630 MSPIQIPVDAITSRFGERFNSLRSQSLGSRFANLRPISEFLDVK RVSKPANFGEVQSRVNYNLSYFSSNYAAVFAMLSIYSLLTNFMLLFVIILVTGGLYGI GKLQGRDLDLGFARFNTSQLYTGLLIVAVPLGFLASPISTVLWLIGATGVGGGLGGRP RTPYGMPQWGRPPGAQRGRQTGRWADPWVEGDPRIEEINSEDEYLLRDNRDFYAKQLT GMDMDEILGWRKRMLEYDKLSDETGFVDGMDYNLHEDGDSTVAYAVQLALKDKEEWHV EHALERIRRAQMLGQKNVRLSKRELEALERKRMQTGGKRDSTRGNPTTKGSRSRDSPA SDVQRRGSSISQGEQTTPYRLAGSSWARSSDAPSRQSQPPVPSPKSSLRYSERHSTRS PQASLRGTASAYPLPDDPGWVPPYQLPSSRDRHLHARRSSVDPLQGASRRPSSYMSTY QAVASPSVRGSFTPRKTALRSTVGGSHDDDDEESDSDDNDRVHIVDVVGRKVPTGRTA VGRGSRQRRRRS AFLA_037640 MGLSPLRIEVDLAETGLGLETKTKTTSSNHGPEEMEELIFTLQD NAAFIVNPTLSPPTPQNP AFLA_037650 MKSTALRLVVFAVLCVLATAWTKDDYEIFSLRDEVAATEGTNVT FYDFLGIQPNANQDDINKAYRKKSRLLHPDKVKRSFIANASKDKSRAKNKKPGIHVNQ GPSKREIDAAVKKAHDRASRLNTVANILRGPGRERYDYFLKNGFPKWKGTGYYYSRFR PGLGSVLTGLFLAFGGGAHYAALFLGWKRQREFVDRYIRQARRAAWGDELGVRGIPGV DSANVTAPAPAPTPEAETSAVPINRRQKRMMEKENRKESKKGNRASSRNSGTATPTGE STEPSGERKRVIAENGKVLIVDSVGKVFLEEETEDGEKQEFLLDIDEIQRPTVRDTML FRVPIWFYHKTVGRLLGASSAAGGEIVDEEPSEVPEQTIEQANDSAVAPKSRVSRRRG KRSQKS AFLA_037660 MGWFDTQSSVSSSHSGRKRSPSRRSTYSTHHSRHSAPSIFSFNG GGSRSRAGRSSPSAYSSSSRRARPRSGFVQKVVHIIKRLLRDIYKYAREHPIKVLLLV LIPLLTSGVLQKLFAMIGIRLPKNIFGGQGGQSRDGGKKGIMEHLNSFMSIAKMIM AFLA_037670 MMRTVEHIDKRCPYSDMHHQFRAGVHKRLLMDSMNSPIDRDHAF QPPDFEKGDQRGPCPGLNALANHAYIPHNGVVSFTNVVAATNEVYGMGVDLATILGAM GTVWTGNPLSLDPSFSIGGRDTGVNNLLGNLGGLLGEPRGLIGSHNFIESDSSNTRDD LYTTGNNHALNMSKFMEWYNMSTDGTFSMDLMAKRAKIRMDQTKQSNPEFYYGPVTGL IARNAGYLFPARLFRNYSQENPEGVLTKEIVRNFFAIYGEEGNFTYRQGWERIPENWY KSPVDYGLVKLNLDTLDWLLKYPELGSIGGNTGTINSFTGIDLSDATGGVFNLTTLLK ENNLLCFAFEALKFLSPNALAGLYKTLSIPLDMISVAISTSLLNFSCPAFKDMQIGGK PFWEAIQNDFPGAAKSGGAF AFLA_037680 MAVTMIYFFDECLYLSILPLTKISILFFYLRVFPKRSFRNAVYT VIGLNVCYMIAFVLISVFQCRPLDGAWLHWDKEDQYQCNDINAQGWAAAVFNMVLDLV VMIMPLRELYHLQLSLRKKLLVMCMFSLGIFVTLVSILRLKSLIHFADTDNLTWDYVQ VGYWSTIEVHVGVICACLPAIRSLLTRICPSIFGDTRVQTSTSRSGGASSRLEGVVQS KPKVKGDDSDFLPLVDMDNSSHFDLQTHW AFLA_037690 MSIIYNAALSYDNNAFQAYLQEYFSWEDLLLLIAGSFVLSLALS PLLASGHKANHQWLHDTQTRSVNSSYIASDNPTEYDYVVVGSGPGGGPLAARLAIAGY RVLLIDAGDDQGNATKQQVPALQLQSTEYEPMRWDYFVNHYSNLTRQEEDSKITYRTP SGDIHVGPSPPANSEPLGILYPRAGTLGGCSAHNAMITILAYDSDWDSIASATSDDSW SAEKMREYLKRLERNRYLPNSIAGHGFNGWLTTSLTQLKLVLEDQKLLSLVIAAATAA GQNLLGKIVDTVTGLSDILLRDLNNDGATRDQQVGLFQVPLAVDIPEYRRTGPRDFLM DTVNAVNADGSRKYHLDIQLNTLVTNVRFETSGTKPRATGVDYIRGRSLYRADPRSES ASVGTPGYVNAAREVVLSAGTFNTPQLLKLSGIGPKDELNRWNISVLVDLPGVGTNLQ DRYETGLVGKTPTDFTLTTKCTFMDSLPDPCLEQWQNNSLDKGTYTTNGIAIAIIRKS STSDGEPDLLISGAPANFQGYFPGYSYEALKDAQHWTWITLKSHSRNNAGTVELRSTD PKDTPIINFNSFDTGITENDADDKDLQAVYEAMEFSRKIFDNLVPLDGSFEEVWPGPN VTTEAELKEFIKREAWGHHACCTNPIGPDTDKNSVLDSRFRVLGVDGLRVVDASVFPK IPGYYIALPVYMVSEKAADVILSDAA AFLA_037700 MPKQPDYYKVLGVSPNATQREIRNAYKRESLKSHPDRVPADSPE RPTRTRKFQEINDAYYTLSDESRRREYDATRPVEEETEDEVPLGGTGGFSWSSFGFGT SDREQRASEQFGSVFEEMLREEGLASDDTDADGRRRTRPTSRFWSIVGGISGGALGFI VANAAGAFAGAVAGNRLGAVRDAKGKSVYEVFLDLPQGDRARLLSELAAKVFQSTVGR AFLA_037710 MAAEAAGVYPALEDRPVKDTICLFDVDGTLTPARRTISPEMLQL LSQLRHKCAIGTVGGSDLAKQQEQLGTSSTKVSSLFDFCFAENGLTAIRLGRFLASNS FIAWIGEEKYQKLANFCLKYIADLQLPKKRGTFVEFRNGMINVSPVGRNASVDERIEF EAYDKQHNIRKSFVEALKTEFPDYNLSYSIGGQISFDVFPAGWDKTYCLRHIEAEKDI SGIKYKTIHFFGDKSFPGGNDYEIYTDSRTIGHAVKDPDDTMKQLKEIFQL AFLA_037720 MAPQKSVTEVPRSILPRLTWNGSSARTTVPPPQSNILSARQQQR TLRIHSWNSAGRQLHTLTFSPHSSTFVSATVREPTLSSISRRLPESTSRPTSRPAAPT GNPIRYNGVYVAAFKPARRAFHASATQQRDHHFDTLKFVQRLKEEGFSEEQAVAMMRV LNDVIQESIQNLTRTMVLREDTERSTYTQKVDFAKLRSELLNADSTEAQLTRSSHEKI AADLAKLNSRLRDEIGRTQASVRLDLNLEKGRIREEANSQEMRIKETETRIEQEVAGL RERVEAVKFSTLQWLMGVCTGTAALILGAWRLFM AFLA_037730 MSQYNALWQIQQKLIFDLGNIINDIYTGPFSVTLTAYFSCEGHA RTADVILPISARKSASNLSSVFTVPGDNTKTLYQIPPNTSRAVVSISACGQSTEEFWW SNVFSYDTEAFNTTMGELYGYSPFREVQLYVDDILAGIIWPFPVIFTGGVAPGFWRPI VGIDAFDLRQPEIDISPFLPILKDGQPHSFEIKIVGLSVAQNGTVTLSDSVGSYWAVT GNIFLYLSDSALDSTSLGTEKPYVDAPTPQFKATRSLVQNQTGGNDSLAYSVVGERTL SIKSSAFQWSQNLTYSNFGLFSQQGMSQSTNQHTSGRSTIIAFGANQTSNEVQFEYPL SVNQTYRPTDAGQSIHAWMSRGDRIRQSGLKIGFLV AFLA_037740 MCGACGLNLSGAPLNGDWDPDDLSIIERMRSWLTKLRRTCLHPA GKPLRGLGTGTGPLRSVAEVLEVMIDQNDALIHAEERSLLLSQLRRGQLLENAKHRQQ ALGLWSKSLERANAIVKECRDRLHSERMERRMDAVNVDRDVTSADTASEDETEEAAKN TRGGARQRLRAALEVQHICVFFTGNAYFQMKTDPKLTRPDSEESRALEKREVEAYESA KLIRKEMLAEISRKVGHFMKIIRERAQKNQFVNIPKMKPQLWSKGLESHRILDKLENF CDSMNKHAAQYDEWRQTMIKFLSESLIDQEDESELEGDEYEKSTKHQDEVYVYMEALR AMFADRHDALTGQKNVLIAHEVKSGIVQAQKGEGPSPALFLQMMNTRSRIKPDPQLGS LRGIIGELRSLATSLEWQASGGNSRARAELELVSLVLQNASQMASEQAKVATNMEKEV EMFRDTMNNRLEYYRQLQQISDTVAPYDEESAGKPLNEALFSAKLRQEEIIDEKISAL RAKHRYLIHLRDESGSDDSSKICVICQSGFEVGEHGTMIVDML AFLA_037750 MAAQAASASSEARELNLISNVEFRIALADTDEKLDALLNKYLAP LLLKLGSESLAVRNKVIAVCQHINNRVQAPSIKLPVAALLKQFKEQKSKLIRHFDLIY IQQGIDRLGASARIEILLPLLQGISEIGTSTDEQAAVVFNLVLRLLPLLKLPPKDSTE DIQLKSRLGLSDKDTEFLSSWFEKLLILFPADKNASTCPGLSPADYAFLNKGASLSET WNPSSHGGLNLTETKATALRFLCSRAFTDSERFFPALVASADPNSRLADLGEEILKRF IPALENIDVVRRLFTLYFGSVEPEGASPARTALQIKILVYLGKSLRAATETANVLRLI EEGLLSDVARSSQGLQASKLRTQIFTFTTWVVRIGSPTDLKQIAPKVIAGLRDFINSQ GWPSPGSSGQRLPATDLSLRGLAYESIGILVPKVDFQSQDEQTAISGFELIRWLFISL SSDDSSAQIFVSIEQALGSILNSSVDSWDKEFQESLRPFLLRQMNSLPGEEDPVTGFK VVRRTQYAAVRFANRFLPYSDVVARWIDLMAVACGSERHQEVVEEGKKGLHPYWYRLL NPTKDKVWFTSVTSDSRSSWFKFPNFPETARFLLGSTASTVVPGLSAAEILSGPYKEA FNYTITFLRNILLWESFSGSNISMEIEQDWDIKLDVLLTSDEQARCAVKRYIETSDKE AVLLFLTSALSGLGGGAQKGLWQCGENFIGICSLASNDIVEPMVSMVITLKNSLYSND QELQNLAARALGILASHPAFSENKLRELLSELSVPIESWKSAIGEVVLKIRGAVLALA YILSRLAFRNVIYKAPETTVKLFIATIFDIIRDARDSLLRRSAQVAIGQLSLSGVLST TVLSNDEWDTIVDKLKPDAKAESETAITAIGLLSLSFSKVDHRDPQFTKFLNCLHNLH EIRSPETHFTIGEALSSAAAGWTSKSMATEFDVDEKLPTWQLSDAVLAEMCDKIISDC GASKPSLRKASSIWLLCLVKNCGHLQQMQDRLRKCQRTFTRLLADRDEVVQETGAQGL SLVYDIGDQTLKDDLVRDLVDSFTASGSNLAGGKVSEDTELFEPGALPTGGGSSVNTY KDIMNLASEAGDPTLVYRFMSLASNNALWTNRAAFSKLGISTIFSDSSANGYLAKNPK IYPKLFRYRFDPNPNVQRSMNTIWQALVKDPAAIISDHFDEIMDDLLRSMLAGREWRV RQASCAAIADLIQGRQPEKYFKYMEEIFLKAFKLIDDIKESVRAAALKLCQTITNAVI RTLETSDTETRRAGTMLAGTIPFLLSDKGMESDVQEVQGFALGALIQIIKKSPGQPLR PFVPRVMEQFLNSLSSLEPQAVNYVHLNADKYGLTGQEIDKMRLSSIRTSPMMEVIER YLIDMLDETSMREFAGNLESVLRSAVGLPTKVGCSRVLVLLSMRTVLFRPYADRFIQL LIKYVVDRNDTVSASYCTSIGYLMRLASDDRVLKTIEHAKSLYLTAEDANQRIIAAEI LHSTSKLSNDRFMAFAATALPFIFVSKYDTDEHVQEAFEKTWQDNVGGNRAVSLYIKE ITSLVSDNLDSPRWIVKHTAALGFANSIMALDSELDLATSEYLWPILEKALAGKTWDG KEVVVKAFTKFTSQAKTLWLEKPRIGDTMKAIAIREAKRINPTYRPHAITAFGGIAQA RQDLNLMPDAVDIVSRVLSEFDEGEDSMDIDSGSGQKNKYNPEATNNSMSDIKRLLHE TLDSGGRNVQITLYEQLRMFFSRVTTGALESHDEEPKLRKVQKSLAALAGEMLSRQID VTAEAIRRERAQAAMSYIMLCRQLDIGLDIDGELCELLKSWRKGERSGPVQQALDQAL ARLMQ AFLA_037760 MSDVETVGNLVWDPHTSRSVRPPSADYGLPDLLPSFATLTSDEE RERKAQSSIPGTYTVIVMPESFSYLPGHADERSGGQSRSSTSSPLVDSGQSSQRNDNP EVNDPNVVILKTFPDARRYLCSDYRGSTRTLESDPQDSSHSSTVSVYSADLSALDDYN AQEQTTLTDYEAMLLSHFRNVIWPKLVPQGIWPDGSHGPRLGVEVLEQEASICPPRQS SIGRFISYAISTAHTRGSDLLPWPGSSLSSIDSCGTDVIGSHEPDNPTLLLQLYRDMF GLAVQLGLAIAGRKKLGASDPYAPINLHEDLKQLWDSSEVRFWAEKQTRLPKQLQSIL EQMNLLFHTSLLCYYTTSPCPGQSIGLGEIPEQRVHHHTTMILQHAEATIMNIQGSLP HFIIFPLFLAGIAAETIDLKVKAWELLSNIEENEIGYNASTTCYMLQLVYECQMQRSS GNSGRPFPWIDWVELLAERGFRLVSYG AFLA_037770 MNPSKARQGNHSDEELFIHTPGRSPVEPPIVGPLRINKRDTPSP VPQGAPLPYPDDRQNPQKMRSASTSRPTPTADGGRTGGSPTNPDNMPSIPANTGPSRS DYPAALRPRDGREPKPATLAERRGNVPKPLPESPAGDTPDKEGLFVTRYQRTPAPPAL ANGTQLDGTVHPNYRQQYYPPPQAATSSGPSARPPTAQSLQTPSSAINRISSTASTST TRAQRGSPPPPETPIVEPGQPPASDIEARYAASGIAGTSALAGLQAQSSAAHRRAEQY VGQQATSQPPVQRPWTPTEQPGSQPHGPPTVYQGAEVVSTENLPGNPFPPQSAASSAQ AGQALHHPRIPSNALEQDLERMRISSSPPPAYSSVSGPATSQGYPNEKQRAQTTPGQQ AASAVHPATVAPINAATNSAALEVSAQDHPAFANDPRQEQPLEQSLQNGTQTGVLDGQ QQIVQESQAAMLPTSTGIPPASPPPLPEGWIAHMDPNSGQYYYIHLPTQSTQWEFPKG PTPLNLNETPLSPVGSIYSSHPLASPGLSAFGKPLASPGIPLTPGYESLQSPVVAGFT GPPPSSGVDLYKVAPTNGVYFGPYLRYVNMNLERGIWLGSILLVTDAAQPPTIHIHQS VDLSPNPRQLKASAIAVHQRWTFYKYDVDLQMNESGPAKWTYAITSHLGCTRYEFLVA GRHETNWRFVTTSGNDFSLNVNANERSRLGGVSFMWKDIMQKHNEIGGFHAQLCLGGQ IYADRMWKEIPSLKQWLVIRGKEARRTMPWTAAHEEDVSHAYFHYYTSHFDQPYLRES FAQIPYVCQVDDHDM AFLA_037780 MAAPEVHHLFHNPIADHSFSPDKSTLAVARDSNVELYQKAGNKF SLTDELKGHEKIVTGVDIAPNSGRIVTCSQDRNAYVWERTPAGWKPTLVLLRINRAAT FVRWSPSEQKFAVGSGARVIAVCYFEEENDWWISKHLKKPIRSTITTLAWHPNSVLLA AGSTDSHARVLSSYIKGVDTRPEPSAWGERLPFNTICGEFLNDSAGWIKGVSFSPSGN ALAFTGHDSSVTVVYPSAPDQPPRAMLNITTRFLPFNSLIWNGENEIIAAGHDCEPYR FHGDENGWQLTGTIENKSGSGVGAVREESALNLFRQMDLKGQTQADTQLKTVHQNTIN TVRVYEEANGNVSKFSSKFWDRLHTLFHKPTWSTGPLLSDSCGYIALSHFPHAKRIII AFRGTYSITDTIIDLSAYPQAYVPYDPNGRDDQELLRCRNCTVHAGFLASWLNTRPII LKHVSAARKQYRDYKVVLVGHSLGGAVAALAGLEMQMRGWEPQVTTFGEPKIGNKEFV TFLNEAFKLGTVSPSDDAQQWKFRRVTHVNDPVPLLPLEEWGYEMHAGEIFISKAVLP PSESDVIICDGDKDARCITGEQNNMRAMFHEIKLNAAKHEWLYSVADLTDQVVLAESD TSPSAMGFPSGAERQQISLRLPWNLIPSRYRLWELFFAHRDYFWRIGLCVPGGDPTGK EKNYQS AFLA_037790 MLFSTYGTVLDVVAMKTKRMRGQAHIVFKDVQASTQAMRALQGF EFFGKQLKIVYAKGTSDVIAKLRGAYNVSTVAAPAGGASTDLQKSIFSGPPGSTTLPS RPTGETNGEATSQGVKRPREEESDEGEAPMDEESDVPMEDSSDED AFLA_037800 MQEVSAAVVPRWSLEVELHRVFTSRMASSSLSSQRVLSAFPFLT PEEFECACRAFLDRIDVLGNLDGVGWSSIRFVQQATGPVLKISQSIHNTSIPYYDNAL SGSVDTEEPQVEICEDDPVRGKSFVPLYMLAII AFLA_037810 MGYHRVMGRCRVPSEINRLLSYRSRHSPTLRDMKIFRQVHSLLR NRRLLRDPLGCTLRKRKTKLYVYLMRKPPSTSLGRLIKKLPAEERFRIRTEK AFLA_037820 MAFFSNFSGDFAPLFHLLDDYDVHQAYRPKPRTTTVRSFTPRFD VYELNNNYHLNGELPGVNQASLDIEFTDPHTLVIKGRVERKYSDSTSSTNEHAEVPND ASSVKSLQPTVEDEDEEANDAASVGSSAQSSKQVALQEQTNHKYWITERPVGEFHRAF TFPTRVDQDTVKATLKDGILSVIVPKEPAPTFKKIRVE AFLA_037830 MPAGTSDIGKVTRAQVIRGDIFGQYQVTDQVAEVKMLLAPLARE DIATVRCLGLNYEQHARESNLPIPKYPVLFYKPITAITGPYDDIPICSMAQDVEGLDY ECELVLVIGKQAKNIPEEQALDYVLGYAVGNDISHRDWQLKRGGGQWGLGKGFDGWAP FGPGIVSTKLIRNPNALNISTKVNGQTVQSSSTKDMIFGVAQTVSFLSQGTTLLPGDL IFTGTPQGVGMGRKPAAWLTNGDQVEVSLEDVGSCVNRVAFEKPPSKL AFLA_037840 MNHYPEVWGRPRDDIYGPYNSSYLQTTGSKTHTQSPAVTGTSVI AVKFNGGVAIAADNLASYGSLARFTDVKRLRVFGDSAVVGFSGDVSDMQYIDRLLESL DIRENYSTHGNMMNAKNLHTYLSKVLYKRRSEFNPLWNHILVAGFDEDKKPFLSSADL LGTTYSAPHLATGFGAHLAIPILRRLFPEEKPLEEISKGEAEAALRECLKVLWYRDAR SLDKYSIAVITAEGVEIKEDQVIEAQSWAFAESIRGYGAQVN AFLA_037850 MMSFMGHQPQSQAVGYTFSQPTNAPNKEHSFYPYTDNGGSTLGI TGADFAILAGDTRSVAGYNINSRYAPKVFKIGGDDDTGEGAHIILSVVGFAADGQALK ERLDAVVKMYKYQHGKPMSVRACAQRLSTILYQKRFFPYYVHAILAGLDEEGKGALYS YDPVGSYEREQCRAAGSAASLIMPFLDNQVNFKNQYIPSSGDGHALEPKKPEPLPRET VEQLVRDAFTSAVERHIEVGDGLQMMVITQNGIEEIYTPLKKD AFLA_037860 MPAPTALKGLDQTTTAGVPVTQAAIDDEVLIDAQTSAEESVPVL APLGENAQDTDMRIDEEGRPVFTPAKDTNTVYRVETRKVPVPPHRFSPLKASWSRIYP PLVEHLKLQVRMNIKSRAVELRTSKFTTDTGALQKGEDFVKAFTLGFDVDDAIALLRL DDLYIRSFEIRDVKASLHGEHLSRAIGRIAGKDGKLKHSIENATRTRIVLADQKIHLL GGYRNILVAQEAVVSLILGSPPGKVYGNLRKVASRMKERF AFLA_037870 MSSNFGRRLYTSFWEAANPFLGRGLWASRTPYFHDTATNSNNII CDLLFKRQTRKISSLPPRKTTQITRRFASGSFLVIGISPNSTAVETGTTCAVAPEKII SHATHMIYKFDRRFLHGSSRNASPPRGSHTSKDDLPKMEAHMESLRYSNGSSLENVKP SEYEMNTKDTRSKQDMPRPQQPGPSTRHLIDRLPHMPQLHRPTKEELLAAANGFWSRL KVRFKWFSIRSVRPFNLDEITALFSWVLLGHVVWVVLGTTTFFSLLIIAINTVFAQET LAGWIGNYLTKSSGVKVVFESAIVPKWRDGVITFKNVFVSRRPGQGAGHVSKGSPKTA AAVAAAAALNDRPNLDLSDQRLSSVEEDTNYTQFDLAIETVNVTLSFTKWINGKGLLR DVEVQGIRGVVDRRYVYWSEDDFDPKSYRHEHNPGDFEIDSFKMSDVLVTVYQPDNFR PFSVSIFSCDLPQLRKQWLFYDFMSANMMSGSFDNSLFTIHPRQTHSFTGAQLDNGVG EDGRASHWKKHSRIRIDGLNIDHLNRGVQGPFSWIHEGTVDIVADIMFPTDNDESLTK VMADIYDRLEATVTSTHYRDALLENSAQPGETPSENDRRFLVTDLRLHLNNVRAVVPL FTRDLSYINNALIRPIVAYINSRRTFIPVNCRLVKRVGDFDGSWTIFDSGLMDDLSAA VYDAFARDVVDEQARKRRFKKVGFWSLQLAAQAIFMGMAGNIA AFLA_037880 MEANQSSTPSPISSVSVPGERSGQRIMPRTSSIDSAISSLSSAS QSHKSSFDATALSQADIGNLITTAGSAEAVIVHLLKEKHHAASQNSQLWRLVDKQRTL ILGLNKDLERALKEKERYRKKFKELQSPAPSLPPNNGLHFQHKAIQSEDKSLGQGNPE PGKSQYQGVSAPSPNSIGRNLSSSTIRSQNVAAQELSENLIKECSTGHKGGLDRRSGS QPPPPSVYSQQELAAASPSSLASSSSPVNDTMPGEDKQQRVPHPVRKPPPAPLNLVQG ERTLLGNPDIYDSESEYEDILAVDELPIERGRRKTRDDDDREREAVLARERITVSSSR NESSHSTKAPADHLRRQAASIIEQSGSLTAPLSPRMTPGDHPIIIPPKSPGLPVSPRP EDRPPNSPLPRLPRDMTNNMASLPIASGSGLSGLALSPHVSKYQAPFSATHSYLPIDV ASRSIPSIDAAVRAESTRSTVPNTNGIYRGLVSEDYPGYLLSPNALPLVLVKVSSSRL RPSRNSYLASRPSEEEPVFTLSVTSRSENRELWRVEKVIAALPQLDQQLKQLSSYGGK LPDRSIFSGHSPAKVDARRAAINAYFEALLDTPMDEEAALVICQFLTSDAIEPRDDET SLLKGSQHVSSEILRGPDGKPRKEGYLTKRGKNFGGWKARYFVLHGPELKYLESPGGP HLGTIKIYNAQIGKQSQGTNGQNNSPPTRAEDDSDNQYRHAFLILEPKKKDSSALVRH VLCAESDEERDSWVEALLEYVEGQSENEGSNSGSSRGQASGVHLANITVNPKMPSNGN KNNRTTDSSDLDGLDLVQGFSYEDAVPAEPPVFGPPYEQQALRSPTFSQMSPTDPTDA TQATNHVPDPSQLSSRIISGPTNGAVIQDAGAWGNKSATSTKEKKRSIWGFRTRSSVD LASQLQASYDSPSVQPQNLTSGDRRDLVRPVFGIPLAEAVQFCAPRGVDVDLPAVVYR CIEYLKAKGAESEEGIFRLSGSNIVVKALKERFNTEGDVDFLAGDQYYDVHAVASLFK QYLRELPTTVLTRELHIEFLRVLELNERQDKIAALNSLVHRLPRPNLALLRALVQFLI IIVNNSDVNKMTVRNVGIVFAPTLNIPAPVFSMFLTEFERIFENLLGMCSDTEDLNID SPTSPEVSHFTPCQMLTEMPTLHTKTTFRKQGDPRDRDECMPYQSSYDQSSGNLLESN NEKHTSPPTTSRLLTPNPEASRSIKSKRRESSLLFMEVNNLRSPFPSAHDDDGE AFLA_037890 MWILPLLGYLGVIVGFSFLTLAIVDVKRNKSYTAVLRLNCQQAA SIVLRLHWTDESLASGLYYMSELVEEHTVLARRLLTRLIYSIILIQILLFVFDRFPFS LSLLGIGSHIVYASNLRRFPIVKLSDPFFILSCVLVGLNHWLWFRHFSKPLPASRAAS SWRQPYQINAEDMPTFTEVASYFGLCVWLVPFALFVSLSAGENVLPSIGSEYATGDHV SAPGFARNTLTSDGKSKNKGMAKVLVDSVRDWVKENGELMGFWRGERSKRF AFLA_037900 MTNRALELLDLRSPSLVLDIGCGSGLSGEILSAVPPEHGGPHTW IGMDISPSMLDIALQRDVEGDLFLADIGQGVPFRPGTFDAAISISAIQWLCNAETSDV SPEGRLRRFFEGLYASLRRGGRAVCQFYPKNDAQRNMISGAAIKAGFGAGILEDDPGT KNSKLYLVLTVGGGGLQGDITGVVNGMDDVNILDARRKAMEHGKMQLPRKGDKAWIMR KKEQMERKGKVVKQNSRYTGRKRRPAF AFLA_037910 MFSLRTAQPAQSFLRRAAVTAPTVRSSLAARSFASVQSDIFKPT KYGGKYTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQVDVSGVDAGNKHSEELFKE SIASLRRNKLGLKGILFTPVERSGHQSFNVALRQELDIFASIVLIKNIPGYKTRHENV DLCIIRENTEGEYSGLEHQSVQGVVESLKIITRAKSERISKFAFGFALANNRKKVTCI HKANIMKLADGLFRSTFHKVAENYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYG GILSNVGAALVGGPGVVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPSAMILSGSML LRHLGLDDHANRISKAVYDVIGEGKTRTRDMGGQATTHEFTRAVLDKMEAAL AFLA_037920 MKLISVVVAALAATSVQAGVLQKWCSLPAQGCYMLKRAADASGD VRRSAEALAEAMPDAEALAKWCALPGQGCLKAKRAAEAVEEARRSADALADAMADLGE Y AFLA_037930 MQEDLVALFSRQMRMDIPISSGSQEMPSSTHVPVAHSISQHYHH SSHVARCTFPTGSPKHDESLGHSVTLNSAHEMLRLQNINPSSLTSTQLQLFENAMPEQ RLRLIQIWQIFPESRDASSNSGMKRVNQSNSELCANPSGGTAVNEGGSNQSTHTDSTD DLDMCDSVHDGGNNSDGHQYAEPYMISGYEVLAQRDYELSAIKNMPVVNEPTTGSPYK LANDPIYRAQGHRWWEHSQSDALEYQYGTLEEMNRYAGCGLLQPRWLA AFLA_037940 MTSIGTGYDLSNSVFSPDGRNFQVEYAAKAVENGGTAIGIRCKD GVVLAVEKIITSKLLKPGANKRIATVDRHVGIVSAGLVPDGRHFVSRARDEAASWRSV YKGPIPVSALSNRLGSYVQAYTLYSSVRPFGVTAIVGGWDSEAELAVDGQVGSGPKSG SGGKVDGAKAGGPGLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLSSGNLSLVDA VKEAARIIYVAHEDSKDKDFELEMSWISSLDGPTHGRHEEVPKQLLEEAEKAAKRSLE GEDEEEEEVAKDGPNQGERMEE AFLA_037950 MASLKGPGATPSFDGSGLRIAIVHARWNMGIIGPLVEGARKSLL AAGVVEDHITTLTVPGSYELPYAAQRLYAASQLQAAKSSSSGEGISATDLLSSSTADI SKASPESPTSATSRPFDAIIAIGVLIKGETMHFEYIADAVSHGLMRVQLDSGVPVIFG VLTVLTEEQGLERAGLGKKGMHNHGEDWGSAAVELGARRREWAEGRIA AFLA_037960 MCGIFGYINYLVERDRKFILDTLLNGLSRLEYRGYDSAGLAIDG DKKNEVCAFKEVGKVAKLRELIDECKPDLTKSFESHAGISHTRWATHGTPSRLNCHPH RSDLNWEFSVVHNGIITNYKELKALLESKGFRFETDTDTECIAKLTKYLYDQQPDIEF TVLAKAVVKELEGAFGLLIKSVHYPHEVIAARKGSPLVIGVRTSKKMKVDFVDVEYSE DGALPAEQASQNVAIKKSATGLLAPPDKSLLHRSQSRAFLSDDGIPQPAEFFLSSDPS AIVEHTKKVLYLEDDDIAHVHEGQLNIHRLTKDDGTSNVRAIQTIELELQEIMKGKFD HFMQKEIFEQPESVINTMRGRLDVANKQVTLGGLRQYISTIRRCRRIIFVACGTSYHS CMAVRGVFEELTEIPISVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLE RGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALSLSEDR ASKQKRREEIMEGLSKVSEQFKEILKLNEPIKQLCANFKNQKSLLLLGRGGQFPTALE GALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDNLFTKSLNAYQQVIAR GGRPIVICNSDDPEFSSAQTVKIEVPKTVDCLQGLLNVIPLQLIAYWLAVSEGLNVDF PRNLAKSVTVE AFLA_037970 MSRSSAGFADFFPTAPSVLQQKRFKVTRERPRPKAQIDSEHSDE SSACPTETRAILNLSNGGASLDSGQISSTDLKKTSPESSVEGSASSTAGDRSALSLSV AQHGANSHEARLDTLTPLTNAESSPPQKANSPRNKIAEGIVANTTIDTKSGINPLHTP PTPQSQGRRTGSIRGYKLVYDPDTEKRSSSKEKRRKPRYVDIILSEQNNCPPDPRLGI PNYMRGAGCKQKRKYRPAPYTLKPWPYDASSTIGPGPPAQIVITGFDPLTPIAPISAL FSSFGDIGEINNRTDPITGRFLGICSVKYKDSASFRGGGPVLAASAARRAYYECRKEQ RIGTRRIRVDLDRDGVVSERFVARTIESQRMGQKSNLQSTEEVKSDSETKKNEPPPTA PKGPSGKTSVRPIVAIPEGPRANFLKPVMPSLVEEVPILGQIKRDPYIFIAHCYVPVL STTVPHLKKRLKLFNWKDIRCDKTGYYIIFENSRRGEEETERCYKMCHMKPLFTYIMN MESQPYGNPSYERSPSPERCRAEQRERAERERLKREVGLDIEEEKRQRAVDLDPCQEV LTIIIRDLKDKLLEDVKSRIAAPALYDYLDPDRHALKRKTLGIADPEGIKRPMFRIDD SFGTPDSRSGLSDARRPFSGSTPNILALPRIRKARHLGRTDTAFLDERRKQPLRRREV RPLYHRLQQLHDVDDSDDEQRTPKDTDEQDSRPPSRMSSGTSEPIVDDDDAIIMDLDG WQNLIKDDEDLHFLRDILVGYSESNVGNLSAWAWRQKEIKALNHPGDVGPLRGGTGIA GYYVPNTTGAARTEGRKRILESEKSKYLPHRIKVQKAREEREARAKNDPHTAAVEAAR VAAAKNISKSTSRSTRVNNRRLIADINAQKQALPTQSGDGDVLRFNQLKKRKKPVRFA RSAIHNWGLYAEENISANDMIIEYVGEKVRQQVADMRERQYLKSGIGSSYLFRIDENT VIDATKRGGIARFINHSCTPNCTAKIIKVDGSKRIVIYALRDIERGEFGKSA AFLA_104190 MRLQYVSLYAWIILSLTTPRLEDIGGLIIAGLALATTTGHMIRA GKVRAEIAAKTKEKAQLESDLELVRRSRQAVEQVGLTDLATFKTVIRAIPAAATASSV DATEVKSWLENGAKEEVRIVAFFDFSFPRLTLIGPASVYGPEPEKGSEEL AFLA_104200 MQWLNNFSKSLYSLETLDMRFAKSTTASQNSASDASRTSASKLD KRSPLSGTKPSKWWTIEYCIYYLVFIIVIPTMVKQVYDISKPGSPGWDSFSKRLSRGW IPGRQIDNSDAQYANFRDNLSYLITLLILHPLFRKLLQRRTFFDFGFGIIFLIALHGV SAAKVFLIFYANFKIATQLPRSYVPVATWVFNVGILFANEFCRGYPLSKHVAALFLSE RDRVSYGVALRDFNLKTYFAYTLYAPLYLAGPILSFNDFVFQSRNCLPTTTMVRTSLY GLRFLITVLCMELVLHYSYAVAIIKSSPSWTQYTPYQLAMLGYFNLHVVWLKLLIPWR FFRLWALVDGLDAPENMVRCMSDNYSTLAFWRGWHRSLNRWIVRYIYVPLGGNGGGKV KTMLNVLAVFTFIALWHDINLRLLAWGWLTTLFILPEVIAMIIFPKRKWRDWPETFRI LCGIGATGNILMMMVASLVGFVMGVDDVKEMVKGIFAGWFNAGFVTAAVMCLFVSAQI MFEHREGEKRQGINLKC AFLA_104210 MDSNHHTVLLFGDVTDRWVSSIDYVYSQAATKPWLQSFLDSLFS AIRSGTRAMDRAMQDSLRNCSSFQELPAKYRYTGDEFGMAHAMLIYVIRAVDLLKAID REPQLLDPNRPRPEVIGIPGGLSSAAVVPTSTNLKSLYDACLEAGRVWARLCNLILVR LRAMEECPGTWGWAVLGIPAKELGKTLEQFQNVMGVPSPKRAKVGVNEDRWSTVIGPP SSLELVLNECPTQKNLPKNELDIHALQHTLSISEADIDYIVGNSLLLDTPLPLGYKIC GLDEDRHDAAYSGWSHLLRASVSQTLSRPLNIVQAPPTPESRYGIAVIGMAGKGPGSD DLEGFWNVILKGVDLHQEVPPDRFDVKEYYCPKHPPAGPGKCTMTCRHGCFMNNPGHF DSKFFHISPKEAMLMDPAHRLFLMNAYEALEMAGYSDGQTRWTDPTKIATFFGQCNDE WHVVGHRKLGDKFTYPSCLHELNGKGHRYGRSRGRQRIIHSHSFTSLSRSGVLSDSGN CKTYRDDADGYCRADFSGAVVLKRLDDVITSITTSDAAAQERLFHKVLRKACVTPEDI SYVEINGTGTQVGDKAEMGAVSSVFSKRRDGELLPVGAIKANLGHSGAAAGMSSLLKS IFMFQKGTIPPQAGMPHTLNPNFPPLTQRGWSKIVRWNWNAPCLVGRLGLYILELIFL SRLLIDS AFLA_104220 MSVPHVAPSHDGYWQAKRRKVRKGTHSCWECKRRKMKCRFDPRV VSSSCNGCRRRGSRCISQEFPEDLANVLMALALPRPVRGQIIVFPRPRQRTWSLYDTI NLPRSSLPRFSPLRIVQLLLTAFQQYLTTTRNDPSSRKYEGLSRFLHELLPSREDTER ICKASRHSSILSHELLTTSYTTLYQDGLQTSDSLLMSPEPTMHPVLIARYMLQLALFL QHLPPDLHKEIQGLSESPRAIMERLADVAIYHVTTNEELIGSIESLECIMLESLYQVN IGNLRRGWVAGRRAISVAQLMGLDRSDNQTRYKALDPRTKYNPQLMWLQIIIVDRHLC LLLGLPQGCTDHSMATETRLANDCPMGRLERLHCVVMSRVLERNASKPSCPDLAATRA IDLELQKAAGNLPSKWWMVPKFDRAATDLQAVFWDTRRFVVQICHYNLLNQLHLPYML RSSSAGSPSEYSRIMCVNASREVLSRYTSLRSFNRIAYSCRTVDFLALMAAMALLLAH MDSRRDGAENLLANQYLSDRGMIEQVQENMNEMNRLNSDELSAQSADLLKALLAIDVD KGIRRVSVSEAGSENSNDLGLEEEGVVRVHIPYFGIIRIIRDATTGTMHRDEVHRFGT TTNNTPNTLSFQVAHTADSHEFPTAFAHHDHQQQQPCQNTLSDPGISLTPSPPTQGGY PELAAGGEDWAFQGVDMAFFESIMRNAGGEV AFLA_104230 MAHGSDLESSNKAELDGSQPGSTSTGEDFPAEEPNGTTEPEYVT GIRLGLIMFTIFVSTILVSLEIGIIATAIPGITNDFRRLDDVGWYGSATFVLAAAASP LWGKLFKYANVKWTYLSAVGIFLVGSIVAAAASNSVSVIVGRALQGWGASGVLGGTLI VINYVAPPRNHPLLIGTWMAVFMVSTILGPVIGGAFTSGVTWRWCFWINLPVGGPIIV LLLLFLRIPEHIKRVPATWREILLTLDLPGFCLILVSLVCLTLALQWGGQTKAWNDGS VIATLVLWIVLTIGFFVTEWLQGPRAMAPFSILKQRMTWSNALFCYISYAALYQVMFY LPIYFQSIHGQSAVRSGVNTLPFLAFFALGAVVSGGVIGKTRYTQPFEFIGALIMTAG MALIYILDVNSSQAMYIGAEVLFGFGVGICNQVPMTAVQGFSKLEDVSSATGIMVMCQ TLSGAYFVAIAQSLFANRMLQTVLTSASHLDPALVLGTGASELQKAFGGDDLTAVIAA YMVGIKDVFAFSLACAAFSVLLTLIIPLKKLPDHGKKPATEGADAKKAEVVDGENQVV S AFLA_104240 MFLRHQDAFCTHHGGRPNCGGGLSMPFSRRCEFPMQVLGHAKEW QRWNSNAFYHPGGDRSNVLPTKGGHFLREDPYVFDAAFLNITAAEAIALDPKQWIAME VTYEACENAGMSLQRLSGTQTACYIGSGASDNRGAVERDFLHNPKHHLLGTGDEMISN RISHFFNIHGPKRHGESQMAITGGVSLMPTQDFTTHLNNLNFLKPEGRSKAFNESAGG YGRGEGCGIIILKRLADAIQEGDDIRAIIRATGANSDGFTQVGKYTLVSTAQYRAHVS PKLNQELLTLLFAGSCRLWKHRLHS AFLA_104250 MDISLDIPGDAGYVLPVLCECKRHGFKELSSNIYTFDCTMSKLN LSVIDFRVSELENDAGEQESQQLEVDPAEITSEVRWNYGLEVLEPDGIKRVVSTVAAE DRAVELIRLYLHNNPAATVIELAPQYEALNHATMSLLPRGTIPSSHIKYAVAGISKES EEQGASTNVIGKSFDLGDSDDPLPADIAAADLLVVPLSISNHKDLGVLLRRFSSLGKP DASLVLAMDSSLNVSAAILEAEVFERIFDVHTSVALYKKRQTEHANGPTNGYTKGTST KFDLVIGEPPATSSGSSSFCCALQATLGTRLSQCPQAAAEQRPPGLDHSRQQCVHGCG RRHSEDYEDVAVGPALAGRIVMSDTTDDEFQERDGMLQVARIFNSPVGNEGVRRCLEA SVRIQGIGDQERFLLLTILKPGLLDTLTFIEDDRMKVPLGESEIEVDVKATGVNFKDI MAAMGLVAVSLIGNEASGIVTASSSAAASRFQPGDRVTLLWEGMHASKLWIDHRLAVH IPDSMSFDVAASQPLVHATAHHALVNVAKLRPGQSVLIPLPLAAEDKCRLLMEKYNVP KGHIFHSRDASFVKAVKRVTGGRGVDYVLNSLSGELLRVSWTCLAPFSTFVETGLRDI TNNMRLEMRPFSRSTTFAFINMANFSNAEGLDVLGKILSDAFAFVHKGVLGAAYPLAV YPVAELETGCTAME AFLA_104260 MAGPVRQPIDVVALENYIGQYDLGISLPLNLKQFGFGQSNPTYL ISDAKSQRYVLRKKPPGEIMSKTAHQVEREYRILHALENTEVPVPKVYILCEDPTVIG TAFYIMEFLNGRFITDPYMPGVTAEHRKEMWRDAVRTLVKLHTLDYNTVGLGGLGKHN KFYDRQIRTFTEIAKRQSRAVNANTNVPLGELPHLEEIASFFKTNQPKDRSGIVHGDF KIDNIVFHKTEPKVIGVLDWEMATIGHPLSDVVHLLSPIFQESGPAAPVLRDGGRHSI PGLPGLEESLRWYNQSGYEARPDLDWGIAFAHFRGCVIAHGIAARYVTGQSNSPGAKE WADSLDSRAQIMWETVKKLRESYIREKKL AFLA_104270 MNFDLPPPLVAHLDSLDQFIHSTILPLQHSEDNNRFFDHRREYA RTDWAKDGLPSQEWEELLGKARRLADEAGFFRFALPKIYGGQGHPDTNLWMSATRFHM ASNPVYGGGLGLANDLQNEHSVIGNFPDILMLHHFGTAEQKLTLIPARLRGDFRTTFG LTEPDHGSDATFMSTTATRVRGGFEINGAKKWQTGAHHCTHMIFFARTSGQDGSAKGI TAFLIPRETEGVEIASYEWTFNMPTDHATVTLNGVWVPESAVLGTVDQGLAIAQTFVH ENRIRQAASSCGAAKFCLERAIERARSRTVWGEGKKLADHQAVQFPVVELMTQVEMLR LLILKTSWEMDRVVAESQDKAWIAIERRLSDKVAMCNFWANRLCCQAADRAIQIHGGD GYSRHYPFEHIYRHFRRYRITEGAEEIQMRKIAAYLFGFGEKVGREKAKMKL AFLA_104280 MIADIKQWPESSNEWENIQEDERLYNGQAVLCLELSTYQLLGRS QSVKVFLVLRESPEAHDRYRRVGIMVVSESWKPGVGDVPAQIQHIDQHANKRTLEIV AFLA_104290 MSRTMPQAAPACNTCLDLRYWERSHPSIEALDRLSDHDGSTKTV RVSFEPDTIQLSATRCQLCAILLEGLVRFLGPQGMESCYHIELTVGLPSTIRLDITYY DTSYKQRAIEFYTTQGTYVLFSLGHSVGLSNVDVEVSQTLKPLMPFPRGYHVRPTMNC KVAAHKAGQWLKQCTDSHQACSNREKPVLPKRVVRLASYNMSPVLYETSHGERADYVA LSYCWGGEKHLLTTQNTIRSHKAGIPWSQIPQTLKDAMQLTLELGVEFIWIDALCIIQ DDPVDWMEEASKFGSVYRDALITVSVTASPNTTSGIFCGERSHRHNLRTHVAPLREWQ ELTVAYSSCQFTYSRDRLVALEGIAQKFQQFGLGPYFYGMWGENLHFQLDWRLRHWAS HED AFLA_104300 MSSGLSLERACAVALGIVASASLVAAGPCDIYSSGGTPCVAAHS TTRALYSAYTGALYQVKRGSDGSTTDIAPLSAGGVADAATQDSFCANTTCLITIIYDQ SGRGNHLTQAPPGGFNGPESNGYDNLASAVGAPVTLNGKKAYGVFMSPGTGYRNNAAS GTATGDEAEGMYAVLDGTHYNSACCFDYGNAEVSNTDTGNGHMEAIYYGDNTVWGSGA GSGPWIMADLENGLFSGLSSKNNAGDPSISYRFVTAVVKGEANQWSIRGANAASGSLS TYYSGARPSASGYNPMSKEGAIILGIGGDNSNGAQGTFYEGVMTSGYPSDATENSVQA DIVAAKYAIASLTSGPALTVGSSISLQVTTAGYTTRYLAHDGSTVNTQVVSSSSTTAL KQQASWTVRTGLANSACFSFESVDTPGSYIRHYNFALLLNANDGTKQFYEDATFCPQA GLNGQGNSIRSWSYPTRYFRHYENVLYVASNGGVQTFDATTSFNDDVSWVVSTGFA AFLA_104310 MASIQLPPVQTPVAITDQFIAQQTESLVLKERILSLSGDSFDVK LVTGEPIFRIKGKPLSISGRKSVFDMADNHLIDIVKERLHIHSTYVVQALDGSKLLEV KSSFSLIGSNATVIFTPSTTEGLVSLNMKGNWRSRKAAIVDAATGAVVAQIDRKRFNA RQIFFHQQTYVVMVAPGVDLALITALCVCFDEKNND AFLA_104320 MDQVQLRIETPAPHDFEAPIQTIINAAIQSTNPSPAKDAALTLD AVYLDYITSPNKDPESVLTLFWELINSFASQIPYESPAQEKLVNIVQELADITSEQTI LNQKLWRNLPYFSSEFPQTWEVVSPSADDKEKKRRFVNLQAYAARILGLGLSSVETYA IWALSDVAEGVMIPVRGSPDLVSADLKDVDQLPFKAAAAGVWILYAGHALHGRDEAIG GTQGGPLWMLPKAERRKLRRKFRGTQGLCLDRWLLWKQQFAAIRDCGSVDTETRRIAE NVVETMDRVDGHS AFLA_104330 MQFNMIVALLATGAPLAYTSPIVGQDNEAIPFYKKRADNSEAIP FYEKRAGDNEAIPFYQRRADSSEAIPFYEKREEESEVIPFYQRRADNSEAIPFYEKRA EETAWLPPACRNDELLAQFETSGPNPDGSWMYYADKNKTRTLSLEEVSMLPKTGSHFF TTHQWHLVHCAYYWKKMFLAAEMDTVIEARYNNLAHINHCEMMFLKRDALDTIVTEAG VSLHSDRMVVAKKHGHEGDKQEKGY AFLA_104340 MRKAQDDFKGLDDGICSSLSQEDLAKPLLIGNDIADRHDHGQGR WITRATQWAYPKGNAMMLWLTFLNLVLLTISIILLNLAFSRQAYVQYLSDQEKWKSTS HYAPLLDRVDIPRVTLTPNASLYDTDPPSILRIPSGPEADAEWFRIGTGVMPIIISSD EIYKLGKDPSVAVKIPEEHGYGNDAYIAQTEVFHLLHCLDMLRKEISYEHYYFPRFGN HPDAEHIAHISHCIDILAQAIKCSSSVDVILFNWVEGWEQPFPDFNNQHVCRDFETLL RYVNENSVSRSVWKTMKEPPAGYVRLPEPAPAGPAESDRNI AFLA_104350 MAKKKAPMLTNVDDMDNEGRVRLLAVIDNLRVLGINDNVSLPQL VVVGDQSSGKSSLLEGLTGFSFPIASDLCTRYVTQIVLRRTKPEDSGTRITIIPGPSA SDGHRENLLSFERSSQEEDLDSSEIAARHMGVPGPNTTDPEHFDKHPTQYQTLEDRAI IRGLIEKYITDKRTIILAVMDARNNLANQEVFNMARSADPQGSRTVGIITKCDAVQEG DEENDKVIRIAQNEVEKLHHGWFAVRNRSTKEIQNGVTIEGRHHIESHFFSTEHPWTK LSKDRVGIKCLKLFLGGLLYSHIKGEFPGMVKEIEDLSQETQKELELLGPSRQTTVDQ RRYLTQIGTLYERHVGNALSGNYDPTWDSNSPLKLRMHIHSLNELFAKDMAHYGHLKI FQTVSGEVDGSFDRSAGDQQNIYEWIRTLYRDSRGAELPGTVNPAVLENMFRQQSSPW EKIAQDYVEKASSKTSDFIRMSLEKIVGDDEVRASLMSQIVRRQTTTSTRAMEILSTI LSDERGGILQTVNHYFADTLAETRQERVMARLRSLDLEGVPMNVTDVLSGIHLSNEQA VNDIHDILKAYYKLALKRFCDTVILQVTERCLLGQDAAIRLLSPDLAGGMTDRELADV AEENFATSTIRNNLLSRIQRYQSALDIVRQVGI AFLA_104360 MTSRTDRLAKFFDLVLSGKRPVATVDNFTLLLEAIFEKNNHAAC VERIVASPAARNAIHAGIRFNTKPDFLNRHTALFLQYLSDPSIKTLCNGQHLRDIVEV MIEPRTLWNAFMKALQANALTEPAVQAFTWLVIECLTHASANEADMVDDAQTVVSSGS LLKSTSPETRAYGHKLKQVLELKASNTFIEKSDYVPGGRHDNDHADFRQIAIYPTNDE SCSVEKPFYRRADEILQLPIEKRVAGHLDNQFRLLREDMLSDIREELQAVKGRKKRRT VTTLKGLSVKEIFNGTERRMTPCGLVITCLQGLEALKARDKEGRKAFLKNDRGYLRHQ SFGCLLRGKEIVSFATVDRQIDYLLEDEPKIVLRIIGDDAVRKTLSYFKLYSDLMFLF VDTAVFAYEPILRRLQEKAELPLAEDLLDYQRDSEISSSNIIEERLIKDLDHGVRTLQ DVLTSEKPINLDSSQMQAFVSGLTQKVSLIQGPPGTGKSFIGALIAKALFDHSREAML VMCYTNHALDQFLEDLLDIGITSSAIVRLGSKSSQRIMPLKLSEQHSSNRRTQATYRI LDQIKEKSRELREVLNGAFGTYFNFKVNPDTILEYLEFEEPHVYEALTPPVDPGGMTL VGEGGREVTSQYLYNHWVQGKNYPTAISSHLSEQANSVWQTSKSSRQAKVESWTKLLL EEQVANVQGLMSRLDRCEERRGELWSETTREILRTKRIIGCTTTGAAMHARDLGAISP GIVLLEEAGEILESHVLTALGSHTKQLIMIGDHQQLRPKINNYNLSVEKGSGYNLNRS LFERLVLSGFPHSTLAKQHRMVPEVSSLVRNLTYPELLDGDKTMNRPAPRGLQDRVIF ISHSYPEGSLGGVADRNDIGGKGSKQNPFEVQLVLRIVRYLGQQGYGTDRLVVLTPYL GQLSLLREELRKETDPILNDLDCYDLVRAGLMTQASAQHIKRPIKLSTIVRANPIADN YQGEESEIVIASLTRSNKNGDIGFMAAPQRLNVLLSRARDVLIMVGNPKTFLSSKKGE CVWRPFVDQLQTSGHLYDGLPVKCEQHPKRLAVLQSPDDFDAKCPDGGCEEPCGTKLS CGMHDCPQRCHQLSDHSKMQCKAATDWICPRNHKQLTPCFQKMGHCRRCADEDREVER RRQRDMELDAKRQAKQQEYAHQLAEIQDEIAHERRLQQEKQDEKERQRVLQQTLDDLE RLKTRGAERKRETSPGVVNSDQTGQNSQTLPDRSMNISQTASGTTIGPDMMQALSSSE QDWLYQKQYEGAQSKELDQLMGMIGLESIKAKFLNIKSQVDAAFRQNIDFKADRFGSV LLGNPGTGKTTVARIYAKFLTAMGIIPGSFITETTGSRLANGGVSDCEKQLNKILNNG GGVLFIDEAYQLAQNNGPGSQVLDYLLAEVENLTGKVVVVLAGYRRQMEKFFAHNPGL PSRFPHEFIFEDYTEQELLRILEYQINKKFRRNMKVEGGMNGLYCRIVARRIGRQRGH EGFGNARTVENVLARITARQAARLACERRNKAPNQPPIDDFLLTKQDLLGPEPNKALQ HCSAWERLQNMIGLTSVKNTVHALLDSIQSNYERELNEKPLVEFTLNRVFLGSPGTGK TTVAKLYGQILVDLGYLSNGEVIVKNPADFIGSVIGGSEQNTKGILASTLGKVLVIDE AYGLFGGGTRDRSGSNTNQYKTAVVDTIVAEVQSLPGDDRCVLLLGYEEQMKEMFQNV NPGLSRRFALDDAFVFEDFSDIELRQILDLKLKDQGFITSDKGAKVAIEILARARNRP HFGNAGEIDILLNGAKVRQQQRRSAKIGNPGIDYLELQDWDPEYDRGEREEINVRMLF QDTIGCEAIINKLEDYRLTVKHLRELEIDPRQHVPFNFLFRGPPGTGKTSTARKMGQV YYDLGLLSSAEVIESSATDLVGQYIGHTGPKTQELLEKSLGKVLLIDEAYRLAEGQFA KEAMDEIVDCITKPNFFQKLIIILAGYDQDINRLININPGLTSRFPEELEFASITPDD CIKLLTKLLQKQKSDFGLKINGFDLDALESPRPEFTKKLRSRFTRLTQTANWANARDI QTISKSIFGVAIKSMRDKKIAICEDLVISKIDAMMSERIKRVTNQSVARNPTLDLLQS QVLGRQPVTPVLTTTFNPPAASKGSAENDERSPPAPSALEAPSDSVTRDDGVSDEVWE QLEQDRQAAKAAEDRYKELLDDERTAEKEVNDLPHPSPVKSSDSDVDTEAKKQREQRR LEELARRAKLENLRKKREAEEKARKKEQQIQQKLRHMGVCVAGFQWIKQQHGYRCAGG SHYISNESLGV AFLA_104370 MTFLGSTCLAGNSVDNIFADLRACKIDYELEYKRYRAVRGDKSR VRDAAFRLLFLIIHGEFSDEKKHPTRVYNAVLFVVPHTGAFKQGTRTAVRAVFEERFV VSTKQRSQLDRWKKGDTINPSDDENTTEDEFSEPYLSGES AFLA_104380 MFIGPHEFRGYGHEFEKAFTTTQVSASTGHHRIISYNLGDLKFI VRYETDAYVDELSGVQSRNVELENGSLLSMMKTMSLSQLESRSRLPAESKLVMKEEGK QVSIQSTLEIKTRVAHKPINIQEVLPQLWVSQTPNLVRAYHKGGLFEPPEVEAVTREI TKWEEDHASDLRGLVILIKEIIRVARENDGNAVIKYDGRSDNLEVWRRNGSKMLPDDL YSKLDNKMESAQAIGSDS AFLA_104390 MGEIFDVAVIGAGMAGIVAARDLSKNGHKVVLVEARDRLGGRTY MDHACGGILELGGGYVHWTQPHVWCELQRHGISVNPPMKEGMHYWLADSAVHTGSAAD FYKTALPLLERLFADSRDRFPMPCNPTAIDNTEIEKESIEDRINSLNLSSYERDVLEG VLSGVVHSYKDHGIAQLLHAVATYFGNFNAFFETAGTWSIQGGTKRLIDAIMAETSAK LLTSTPVSSITDEGCRVIIGTRHGKEIHARKAIVALPLNTIGDVQITPRLPDMARSMI ARKNPTMAGKLWIRVRGEIKPFSIYAPAGKYPLNAARTEKYFDGDTLVLCMCSDDASI CCEDRVGVQAALRMFIPSIEVVDFAYHSWVGDEFSKGGWMMNRPGFFTTGAAELRRPH GNIHFAGSDVSASEPGSIEGALSSGAQAARDVFIKLNKQRL AFLA_104400 MASNFPVGERWTMVPCAALVERAGRSVIAVAGDYEFSGPRGRDE NLNGR AFLA_104410 MALCKDQEVELSFTESQHIELARNGNEANGSPTDRAIQNDADFP DGGVNAWRTALGGFLAFVASIGFMSGGSVFQSYYITTTLSSSSASDIAWIGSVQLWGC FFFGIWAGRLSDKYGPALPLGLGTVFMVFGIMMASISKQYYQFLLSQGFCVALGMGWI FTPALAVQSQWFLRRRGFVVGAVMSGQNVGGMYLTGVYKILFPGLTLIYQSGIIWPVL TNKLLIDNGMSLGWTLRIIGFIQLGLMTAATLLVKPRFPRTSNIDGFPIRQYFTHKRT IAFTIALVIMDLGIYIPWFYITPFTMTYGASASLAFYNAAILNGGAFVGCHALGIVAD SGLGFFNCLTVTTFASAVVAFAWIGARNVGGIIVWTVAYGILSGALQAIFSPCLSLLA PTPEVTGSWNGIAITIGSFAVLGTGPIAGKLLGDEDGTGYVLMQLFTGICLALAGVFY LATRVLVSRDRWI AFLA_104420 MTDSTNLKPVVFIGAAGEMCRVAVERFAKASNAQLVLADLNTTV IESLAASFPPGRASTQKLDLFDETALANLISGAGLVVLGAGPYSKTSQPVVKACIKAK VPYLDFDDDVENPKPLNGIARGLGSAVRTKALSLDDAVDFLYNLASKPPLEGGLGGAF EACKGHLRGGDITLKELFGVVSHSVGPLRYALWGMLEQIWNGECTTAEVVTYIMNAIT GTKIENRGNTLVRATGMRGGVPTVITKRNPKNGKDSYLCQSMGTVTGTSTAAFMVMAL EDGRKRSGVFCPEDWAKPDVFYRALERVGVPRDEIIESI AFLA_104430 MSVITINGNSLDPAVQQAALQAHGIYKPDASSSDYILIQTVQHP SISQKSQLRDLGVEIHEYVSENTYLCGFKAADLTPVRRLDFVKWANIYPQLFVLPPRL KRQVNPSEADAPNLVGAPHTRTLRTVDLILHEGIDVADPDNKSSIAKAAHVDFDIINA RGNKIRLQVQEQYLDHLAALDIIKAIHEVHQTKLYNDQARNIMDADINLNRIQYKGLG QVIAVADTGYDQGSTNPGLTLPAFKDPPSGPSGRVKVQHLYALGRQNRTDDPDGHGTH VCGSVVGNDDYNGATIESPASRASLVVQSLLDERNGLGGIPTNLESLFLGPYQEHHAR IHTNSWGYVWTGSQLPYDNSSAEIDNFVWNHPSMVICFAAGNDGIDNSPANGIIDLAQ IGAHAAAKNCVTVGASESNRNNPRTYHSVWPFDYPSPPIRNDSIANNPNGMAAFSSRG PTKEGRIKPDVVAPGTSILSTRSRRCHLDPANIWGAANGDWVYLGGTSMATPLVASCA AVLRETLVNNGEHEPSAALIKALLINGAVELTGQYNPPEAGQSPNPNSGWGRVNLANS VILRPVNDKEYAEGGPLEQGDENDVFRITIANGNSELKVTLVWTDPPGPCLQNDLDLI VTANGRERHGNMGVSQGYDRVNNVEQVTWTNIPSGQAIVKVRAHRITRFAQPYACAWR FT AFLA_104440 MLDSEAVRQAVRCAYIRSAPDDDHPLGIWNAYTDCGYNGLLSAI GRISELNRMKSLHLRFSRHCAGVETDDPRDEVVEDIRRRQEILESVFKTIQRRSSNKC SASTMRSLTIENLQNAPLPEFTSSELFRSVTKDLNALHLMVADEYDEAGPDWDTYRIE RQVFEPYLHHQWLAPLSDHLVCLTLSFQLGWGTIPGYFDGSGLHFPRLKTLNLGNFVI GHHNQFDWVLTQSSLMCLRLDRCSIVSHITTHEDNIEKWHVRTNDWYEYPLGSFGIDG PYVIYGFSGTWEAIFDSIRTGLPQLTVFCYHYEDDPVFPVPPGALSVSLSNKRYTTFD DFWHDADEESGGQDFGDSEWGYPDKRYVNRSKETKRGDSRALNALLQEIRQRQQALRL D AFLA_104450 MHNSDSYDFVLANAALMVLYASASHSIRVHLAAGAKQCGQQLPD ELLPQHSQWISFTRAAHIASTAVLNDIVDAADKVQSAIPSPAIDTGSNSPIPVLCGTG VLSPQDGPSENTKHLFLPLVASTYTRAFESLRRRAEFTVARLKRSELSPCNTLQLDAS LESLSVLENCASLALSTREGNESVQVPRNHALSSDRSHRVSPWVASYMISVTSMESPR VLRRIIMSFLNKAPAEYLSLVRSVLDAPDVEAGVEDGLTQDSPGAEAPSLNAAHLLAM DIFAHWLVLVMLLDGVWWIGDIGQWELSQVLSLMKTQNVLGWSIDTRETWWPESMYLV KRELNSNVLQ AFLA_104460 MRIALLHLLTIAAVASSASLRIKTTSGTVQGTVNSTYPHVRQFW GIPFAQSPVGNLRWLPPHSLPDNATLNYINATAPPPACPQSTSASNVFAKYEPEIMIV GGTSEDCLTLSIWAPNNGKDLSDLPVIIWLFGGEWRIGGTDVPAWNPSSWVERSQEHI VVAVQYRVNVFKFPASRALQDQNLGILDQRAGVEWVQKNIAQFGGNPERMILWGESAG AGSADILNFAYPDEPIVQGFAADSGSVFLTLNTRSTDAAGTNFSTVASYFDCSGSAQH ELDCLRQVPASEITAYLATSNGSSLTFAPFIDNEVVFGNYTERYLQNRLSNKPVLFGS NLDEGTLWYNASNTAAAQDMTLSYFQCPVPYSVAHRQSLGLTTYRYQYRGNFTNISPE VGLGAYHTSELPLIFGTSGIYGPDSHFEKAVSVKMQDLWVAFAKDPEDGLVHQGWPKA ASTDGKVMILADSDSHTVSTVMADRAIDDACHGYYSSY AFLA_104470 MVSTSALRSIAAVMIALAIPASAAPQPVSKRSTSELSLTAQLRL ADTAIERYQLLPKDEDFVFNFTASEVPVATSQNFPALVGTGASFSIGELPACSMSFLH LHPRATELFALTSGRLLSEMVPEAGVLDSEGKQRVIRVELGPGMVTIYPAGSFHTQVN PDCEPANFAATFNSDEFAVGLVAAETFSLSDDVIAATFGQSIAGEDIETVRNAIPTTM AIKVEECLKKCGKQKRQA AFLA_104480 MYLTEFTFNHADHSFNATTRDQERRGFVNASIFTTKLYLRISRA NKFGYFIGFGSQVLRKTRESAPCEKFHHPLIKGYIEELRDQELEVMDIRTLNVFVPAA AVWIEYCGKEIHDKGGYIDQEIPAWDKWTGPAGWSKERWAFWKERFEWISTVTALDRQ TRKIATKPVEQMTSIERGEDGII AFLA_104490 MPTDRGAQLQLVSSDILCRVRCHETQFSSDDNDITTWESSPYNS RIMQANRAPRQFVLCFDGTGNKFSGNESDSNVLKIFRMLDRSGGDQFHYYQPGIGTYV TSTSLSNTGRIHKIRSAYLKAKDAAIGSTLAEHVMGGYKFLMRYYRPGDDIYFFGFSR GAYVARFLAEMLDEIGLLEPGNEELLRFAWKTFAKWQQRRHNAEEKDNLSRYMEAFRE TFSQPVSQIRFLGLFDTVNSVPRFENAWMQRSKFPYTARTKAKVIRHAVSIDERRAKF RQDLIGEVRPPCPTGPTSRRQQVRDHLARHHLYLPHGPVHHHRHHDEGQQKGTMGADG AQNAEKAANGGRKHTVYRPPARRLRDDHSAQSVASAAASCSSLSLEAEEDEEQDIEEV WFPGCHADIGGGWKLEDGETYALSHAPLVWMVEKAHEAGVKFSVKKRKDFNCWHDPGC KAQGREQDRVSPENHMSNPAPIVIAPDDTDEKPDLSHFEHVLRRSSTDGKLHDCLQFR KGLPWTSVLSWKVMEYMPFRRMDLQDDGSWKPIRWPLPRGEVRDIPNDAKIHVSAINR LKFNPEYRPGNLIIGGGGRGVKIAPKECGIGDWEVAAHHGCPIKETYLRKQISHIAAA AFLA_104500 MDEPKAWHTHHYRYAVAILYKIVTNTPVQRTTAELEDLRRVTST FLTSINSSFVEFIPQLSRLPKKLHFWRSHWEEMAPLQCRQALMGRAEPSFVRDAVLKT YSGTEEQAMSLTMLAIVAGADNPRMAMNTWTMACLAYPAIMQQAREELDRVSGSDARR LPNLDDLPRLPYMCAVVKEV AFLA_104510 MQISDIKSSDWDTTASEYSKVPLEGPLLIPCKRMINALQNTLSF SSATTILDIGCGPGTAISLLIEDYGHHIPPGTRLVATDYSAGMVAETRARRDSKIIAG GDNPNCWDRLETLVVDAQDLSPFPSNSVSHIMGSLVYFMLPDPMKGLIEAHRVLQAGG VFACTSWAKVEWMELLVQAVHKVRPVGKDNNSTQPRETSLIPTHWKDAAGVKEELESA GFRDVHTEYVEFNWAVEDNNKFAEMMCTSSNPGSKMVLGDLTAEEQYHVCKEYAKILE ENGNVCKGVAVLGVGRK AFLA_104520 MQLRQRTCIALYPRNLGILSIFGFSYTLLGTWERLLGAPTAGGQ YHWDSMLAPIESQKFLSYISAQVGGGGIATATVGLQVNYKFTSHTVQFSVVRDYASLI TNTARQLAILYDSWEKRCWLVPKLSLLVHMSRVYISQDGLRAGGPIPAIDGHQDSEDI LQVVADLGEKIISSAGGEDFTFRQLMKYLNLNLVAARYATKNSGGGSLHGFEFMEIIF PHGTASNMKQLNLNHADTWLSIVNGVDSIIIGSRMGNVITPRGPGRPNAICNVLPQDH DYLAATVPCLRRLVSRTGGDLLDRATSDGRIKISQGCYWSITGQPFSPCEHTNHNTCW MRDDLFQSTSDSILSQFQELVFRKQTPAQAIPPSGAVVFGRPNR AFLA_104530 MTGAFGSDQGSNIILQPKPSHDPNDPLNWSPWRKHLNFSLVSYY VIMVFALIDVATVTWGPVNAELGFSFEILNDSYAAGCGALCIGSVIIIPFALKYGRRP VYVFSTAFQCAISVWTARMMNVADLMLVNILSCVVGALAEVLVQMTVADIYFVHQRGL MNGIYVWIMTVGTSLSPLAGGYIVDSQGWRWVWWWMVILFGAGFAAFLFLYEETKFVA TPIERVPPSMSPEKTPNRKGDFEHQENVTKYEENPQADSKIQVEAEIGNWIDPSIRKK TYLQRLALWTISPSSLANFARHSYEPFVMLFTIPAILFMAVVYGAMTAAVTVTVTTLS SWMTIAPYNFTAAQIGLMGLPSFIGTSLGILLAGPLSDKLIVLLARRNNGIYEPEMRL WLSLAFTPFVPAGLIMFGIGLDKGLPWPVPAVGLGLASFGSTPPSSVSLTYLTDAYTD IVAGSLVGVTFIRNLISTVFVFALAPWIASSGLTGFYITFSVILTVILLGNILFLIYG KKLRVLGARRYLYFAGNQIDLRE AFLA_104540 MKTFLPLVSLLMGVGMTSAAPALKVAAERDVNPESAHSFKINAY SSPPSDGKVNDALHRREEVTPEGANLFIIHSYGNPSERKVDDASERRNEDSVDGARIF NAIESYGS AFLA_104550 MAPPTSAERTSEDSSSPTQPFLEQRIPFREEFLRPPRSSLSWFI HILAFCVSLTLGLVALTMKPSALQCTHQLSPYSPLIEEGLIKYESKNFDNEFDKPSNY RGQPSKLTEASWKALWETPAIGVPREKLEVLNKSGPTSLNWFHAPPSPDHGARDELAA LVEVFHQLHCINTLRLEVHKDSYHDHFGEWPDGSGPGNEAIKKTHIDHCIELLRITLM CTSDVTPLLFIDDPHAFQGRTPDFNTMHSCRNFWEIREWVEKMGLPPLS AFLA_104560 MVRLKIYEKAYEEEFGYPASQFESQGTAVTATHIDHCLETLRLN VMCTADVTPVMIVADDTAPLGRYVDFNTMHKCRNFWDIRAWVDQNKVID AFLA_104570 MAQTERLQTFASKPRVFILSDISNEPDDAESLVRYLLYSNQFQT EGLVACTSTWMKTKVCPQDMHKIIDGYEKVVDNLNAHAHPQDPYPTAAEGGQLLLERI EADSTEPLWVLCWGGTNVLASVLLKIQNTKSEAVAAALRSKLRVYTISDQDDTGVWIR HRYPDIFYICSVHGWNMYGMAAWCGISGDGWYGFDKGGPDPSKISKEWIRENIQIGPL GSTYPDYMFIPEGDTPTFLYLIQNGLGVPERPEWGSWGGRYIATDISGKGLRNGHYSD TVDEAVGLDGKTHKSNHATIWRWRDAFQNDFAARMQWTLSPELSKANHQPVIILNGSK GLEPLHFELEAGSTFELNASETYDPDTNDSLTFTWYQYKDPSATQWSVHHEVGQLQFE SLNSTGSKVKVTVPPPEKCCLELISREALPRGQLLHIVLEVKDNGTPPLTSYRRVTVQ TLNEKLLGGGGGAEAIGDVMRDMM AFLA_104580 MLGSFKQSALYQELNARLVFIGCFVSLGAMGFGFDNSWWGGALG LDQFNKKYGAYDPSTGGYSLPSEKQSAGTGTGSAGIILGCLAAPWLCSHLGRKPTLLV MSGLLAVGTVLEASAITSFWQLVVGRIVVYSGIGVASNVVPMYQSECAPTKVRGAFLT AYSIWNTFGGFMGTLVVFLCQNIKSEWAYLTVILCQLFVPVGIVLGYAFLPETPRYLV YRGRLEEAEASMKVLFGPDYNAKEEIQLLQLQLEEQREFHKATSLLDCFRGVNLRRTV VAMGTQILQQAQGISFINNFIVTFMEQLGFPDPLKSNVIVQSCGLVANLISLYTFDKL GRRINMLVGALLMAAMMMGVGGASAHGTDNLSLMTQNGCVAMLILWYVFYGLSWGPGV WILSGEIGTGQLRERTLLLASLGSFVTSVPINFVNPYVQAAIGGRVTFIYGSFSVAAI AFVYFLLPETKDRSLEELDEMFQNKVPTREFYTYVCTGLGAQITQLENKDDHGDMKVK QVEHVEAAL AFLA_104590 MWVLKAAIVSGLLLPPTLANRLYLPPVYHNGSVEVENFSVPGNL DGFKMKTPANSTSFDFWYFDAFSRNTSAAINIVFFNTGDFAANPHPLTVQISGTFDNG TQFSGEALASEGAFITNDESGITGNWLGSGASFYGTNLEKPNVEYVVKLNSPSIGVRG TFKLKSRAPPHYPCNPNVDGLNTLLLPHLHWSNAVPDAQANIDLTINGTEFKVTDGVG YHDKNWGDASVITSPKYWDWGHASLGPYSLVWYDLLDYNNTEHVYAYISKNGNLMHNN CAEKAVEVRQWGANATYPPTSGIATNEGLTARFDLGGGQAFVANLTKEVIIHDQIVYA RALGSVTGGIEGQEQYQGRGAYEEYVYGLLYGKS AFLA_104600 MSEYSFYHYNPSVAAAVIALICYGASTGFHIFQLWKLRSWFFTT FVVGAIMMTVGYVFRTASAKDKTAIGPYIGQNVCILLPPSLYAATIYMIYSRIVLFSR SLELSIISPHKVTKIFVIGDVIAFLMQASGGGMMAIPSMSSLGQKVTIVGLFVQLVFF GGFFTLSVIFHQRVQKLKCVRVLSMPYGPLLYTLFGVSLLIIVRCLFRIVEFCQGNAG YLASHEVFMYVFDTLLMFVVQTVFHFLHPANVLRERAYREVEMRG AFLA_104610 MPLARRSHRKTRTGCPNCKRRRIKCDEQKPSCGNCLQHRIECEY AESQAMNGYSSLTSATASPSPSPSNRGNTRALPPTSQVQSGSSPRPQASLSDDDVLNS RLIHHYSTATYLTLSDKPEFQYIWQVEVPEIAFEHRFLLPMLMAVSALHMCRKGLPEV RYMSYSYHQYETALKGSSLALSNISPSNCHALYAVSALAFVFELGTSYNHDSLLYREA GVLAPWIMHIQGVRTIMLSTWAHIKAGVLGTMLDSEPSGNGPLELESCVNEFVGYIET MPLASEQIIVYRNAVNELIKWSKMPYSGFFGWVCLFGDEYGSLLARKDPYALVIFGYS CILLRTGGPKYWISRWPEGLLWEVYGYLRPSLRGWLEWPMGELGMNCRQASPE AFLA_104620 MMVKLFKNSSPRKIQPTYFDIRLDHNTIWIPAAGHTIKYGHAQG KVILCLSDPTCVRDVKLHLEGRYYINWDTTFPTDSHRHCKAFWKELPFHHDAWSFLRV SAGSSATTLEPGNYEFPFQMCLPGRLPESMRGIDDCYIHYFLRAQIYGRKGESVSTSR EVTVRKVYNTPSRTAPSSVENDWPDKIMYKVSIATPTVQFGGNIRVTYRFVPLLKGLT VKSIRSGVIETHTVLRPYASRSREVLTDAFDPPTWEEMDISTDDRCWYQCSRMLHLPK STRQCLQSVATTVLKVGHSIQFSITLLNPDGHLSSIRLSLPIVIIFYPSTSASLQMLS DIATWDEEENTLPHYSDHVRDSKLVEICPGNPSTPAGPGSNEKPPDYSVSHDVSDIPS YWDTI AFLA_104630 MSQRPPQASIDSSPNDVLPKKSFRLPPFLDHFNGRELKVFFRCW VALWVASLLIFITPSLTSIGTATFFASLVLLFNPPSGIVFIYLLGALTLFIGICLAWA WGVITMKAAFAARPAADTQARLASLQQTAIAQANATGTATASVSQQLIYDGYMLDTRV TAITFCLICTFIYFMARLRASNPKAALTSIFGIIISDLFLNFTPLLPSFSGTLPLTLV KPAAIGVGLGFACSVLFFPRSTSHVVLDSMEDIVELLKQPLAFTSVTLGKKAQQPDVD RLHKTHAQIIQEYRKMEPGLAFLPLDFSVGRWGPEEVASFKEPMRQVVAAILLLLEFH IGRIHGEVRTEDILREHAEQTKNGDITDEKQPRKVGAQQLSQLVELLEGLRSSGNQQL PQEIVDELVHTSAMAIDACLEGLTVTRECIHMVNCRRWVRRAPPTEREDLYQRSQTAL ENLRKAHSGFLRDMTELLIGHFAPTTNGESRDQRKIGALVVGMVFEEHVCITITRTQA LLDRVSTAFHDSKGTKLWWPTSLRYAASWAFRKKAKAPTTTTVVEDDPDEAEDLTKAA QEKLRISRGYRPKNRSPLGRAILGTYHWFTSNEGLFALRMVVVTIALGIPGVIPHTAG FYYREKGLWGLIMAQTGLLVYMSEFTFSTLGRLVGTVAGGVLGLLAWYIGSANGPGNP YGLSAVMAVMLAILMWIRLYLPPNLVQGGIMGGATFMLVVAYSYDDTHIPSYGNPGVG YTVFWRRLLLVLIGVGAATIVQLFPRPPSAARHICKTLSHTVRTLSDHYALLLSCWGR SRHDGRVLAEPISLQLTEGLVMLDGPIDMLRFDFSSSRFDSESLGRVKRLCHIMNRTL GQLLLLSGTLPNEFQHRLAQQTALLDHQCIGEIMAVLGMCEQALKTGDALPEILPTPL VRRAFDYWQSHPGEIDFSPETVRDENYRRFCVALSAYLKFLGTIDELVLVIKGVLGEA HLVSHELGDLV AFLA_104640 MTQSTFSTLFGIREAHRDRSCGGGDTAARSTVHEVKPLSSIVTV IHKVICPPSYSIKQLRIHRQVDMPADAYGQYVDIKIEDGMKYDLRIENAHLDSGQFYR QGDQGDIMTADDIDDMIIRHNGGIREVCSCGETDSMSGTQGTIDLIDDVKDTRICTLA WSAPMQSGRKNRFSMLNHDPRYKVDIGKWQESGTMGTVNVAIKDE AFLA_104650 MAKQDNIRTQLRNLPVLEGPFAEVNFANFPDTPQDAFRMWLDEA IRAGVKEPHAMTLATVDEQGYPDARVLILKNMDERGWHFAVKADSPKAQQLEANGYAA LTFYWPQVGRQIRVRGTAIQLPDAECREDFAERPFKSKVSAMASKQSQVLQDREELTR RIAEVECTASSGQEDGFRKWRVYAVAPMAVEFWQGSNDRLHYRLRYVPDKEQSHWQRD QLWP AFLA_104660 MAAPSPRNLSAQQLADISPIGTAVLDSRDEILFLNRRFRELMTC QSRRAFDGWSQSIARGDYDRVAAVYDNALKANKALRIEYRTCDEPCQWRLLMLTPFGE EELRGLPLGPGGGSYCTITDITEEKRAEISQKKIAEEAQRRKEQQERFIDMISHEVRN PLSAILHCTEDILEAVQQKDRRNIRVEDIAQAAETISLCVAHQKKIVDDVLTFSKLDA EMFTLLPQRVQPRQHLAMSLMMFRPELRKHNIDFEYKLDHSYADCGVDWVVADLDRMS QVLVNLVCNAIKFTAKAGDEKKISVFMGASKVRPTSYPPNVVFFSSDESALRLNATNR PEWGEGGVAYLMVAVKDTGIGISEEAQKRLFERFNQATPRTETIYGGSGLGLNVSRRL CHLHGGEIGVSSKEGHGSTFGFFFTIRRSVESSTTKPPRQEQGAIAELCREVQALSSK VTEGTTEIANPTIRTSPEMTHVKEVSPGASKDGTWEHTARIAYDAGNTEVMRHSPNIA QSADQPQYREKQPSQSSRSQPDASKERRVLVVEDNVINQRIVARKLESLGFQVTAVSN GREALDMVQQSTFDCILMDQAMPVMDGNSATRAVRDLEKHGMAHIPVLGVTANVRAEQ QAEMKAAGMDDVIHKPYKMRDLCDRIQQLMG AFLA_104670 MQFLPPLSIVTLLASWPSLSRAIHPPRPVPPPVSRPVSTQSSVV EGNATFEQLLDHHDSSKGTFSQRYWWSTEYWGGPGSPVVLFTPGEASADGYEGYLTNN TLTGLYAQEIQGAVILIEHRYWGDSSPYEELTAETLQYLTLEQSILDLTHFAETVQLE FDTSNSSNAPKAPWVLVGGSYSGALAAWTAAVAPETFWAYHATSAPVQAIDDFWQYFD PIRHGMAPNCSRDVSLVANHIDTVGKNGSAADQLALKELFGLEALEHYDDFAASSHHQ LCLMHILTHLVQNVEAGAAVVPGPEGVGLQKALTGYANWFNSTIIPGYCASYGYWTDN RTVACFDTHNPSSAIFTDTSVDNAVDRQWQWFLCNEPFFWWQDGAPEGVPTIVPRTIN AEYWQRQCSLYFPEVNGYTYGSAKGKTAATVNTWTGGWSDSKNTSRLLWVNGQYDPWR DSGVSSTHRPGGPLTSTADEPVQVIPGGFHCSDLYLKDYFANAGVKQVVDNAVAQIKS WVAEYYK AFLA_104680 MRFSTRIFFSLTVVLSWAWIAHGVAISKHEGRDEVQGAPAASGY RSVAYFVNWAIYGRKHNPQDIDIDQLTHINYGFANVRPETGEVYMTDSFADFDKHYPG DTWSEPGKNVYGCIKQMYLLKKKNRNLKVLLSIGGWTYSPNFAQAASTDARRKMFADT SVKLLSDLGFDGIDVDWEYPDNDQQASDYVSLLKQIRTTLDDYSQKHAKGKHFLLTIA TSAGPEKIQKLHLKDMDAQLDFWNLMAYDYVGSLFAKFTGHQANVYPDNSNPNSTPFN TQAAIDMYLQGGVAANKIVLGMPLYGRSFANTDGLGKAFTPDTDGSWENGVYDYKALP FPGAEVHEMENLLASYSYDSKQKRLISYDTPKIVQLKAGYIKKLGLGGSMWWETSSDK NGTSESLIRTVFGGTGAFEKVENQLDYPASQYENLKKGMN AFLA_104690 MILKRSIFCAITAGLLASPVFCDDTFTPVGVAVGDQPELNTNDF AEFALDPQSPLATVDYGAERAGYPFFVISSLSQPVQLEVKYSEEFNGLDHPFSDGPYS FSNQLGNSFRVETFNITKTGKVTSSLLQGGQRWQSIRLLTSGTVTLSQVGFDATIDTV EPEDLPGQFSCDDDVLNEIWKLGARAATAACVDKDSQKAIWEVDPVEGVYARSVRPSV SVKGTAFANYTLEFETMIEKGGSWWSVTRGGLSGSPGRGVQPADTAILAAQAAIVPRS EEAAYQPSPPRLAAPREQNTRDLAYKAPKARETAGRSVHDQQDQLQPRDPEFSQQLPE TDHKQPPRPVLTKRPSTVPEDFPGEERAEADTPAEGVEHAAVEHVLAEYGTQANQESL CLDGPKRDRLVWLGDFVHTARILGVSTSRIDHARGTLQYLLDSQIDDGELAISPNIGY DMKASPNAFAPTGSYYLNDYQLLGLISFHDFVQWSGELSWANATWPKWQKQVDWIIGK VNNNTGLISFNAGAFIGPQDGGSAVACAAVQALTGAAEVATAIGDTKSASRYQRVAAS IANAVNRHLWNDQLGAYSSSLDDIGNFSVSGTAYCISSGVASSNQTARSLATLSQLRL GPGYKDSSDVSDSDPTVNISPNTNGFLLPALFKANATNMGLQLIKNLWSVMLPLNESQ NRTAVGTTWEYVNAQTEQPGLSLFTSLSHPWGGAPTYILTEWAAGLRPAKGPDAFGYR KWIVAPETGFQMGLKRAQAKVVTAFDGSLSVEWHVQDGKLHANIMAPTSTEGQFVFRG KTIQLSGKVSYNVSVSVY AFLA_104700 MALRPCKVLIVGGGIAGLALALMLERNAVDYLLLEAYPKIVTDV GAGICMMPNGLRVLDQLSCYEDLLRHTQNPVSSISFRDLGGELLGSLDGSLFNERYGY HALWMDRKALLEALYSYISDKSKLLTQKRVATVEHAEDCVEVTTTDGSIYRGDILVGA DGTHSCIRQEMVRLASSLGLQDDYDDKTPATYSCIFGVSTGVSEISQGCLDFVVNEQS SYIIGSGPDNRTYWFLMSHMGKTFYGPNIPRLDEKEQDALAQKHWNDHITPDVRFSEL YKNRISTIYTPMRECVDRNWHLNRVMLIGDAVHKMLPSTGQGGSQAVESAAALTNSLM EVLSQPSSLGAPSMTELHSIFQKVQDLRAPRLSQMMQTAHARQQMDGMETPELRDTIL NKFPKLLPGVVLQRWDATFLPAVSLRMLDVPARPRALTFHDERRNERSTGEMSKI AFLA_104710 MKPTPKDRQRAGGKRSRKGCRTCRARHIKCDEAPGSCQNCTSSG RHCDGYDLYRLPLVGRTPKKASTPLVQVEILNGFRWKMTSDERRCLSYFQNHTIPTLL GLFDSTLWEKLVFQISQAEPAVYHAVVALSAIHYDSEAKGMPLPMDQPRNTWHQFALE QLSRAFNLLTKRRTSQDPRFCNVTLVCCLLFVLSDLLRGHYDNAFSHLQSGIRILQDL QAHRQLIAPIPREELVEQSLVAAFAHLDIMSTHFGVGGPLLCIENELSDSPIDCDTSM PFQSLQDVLRAFEPNFSMVFQFISPCMYRSPDDTGADYEALHLQQLQIWSRFSQFMRR FKQFYSCKYDRLNRADQRGADLVEIWISGLAVSLKTCLVGGELSVLDCYTPDYQMILI RTEAFLRKYPERPSISIQCGIIPPLFHTAFACRDYTLRWQALELLWDWPHREGPFDSN WCVSLASQALKLELQTNFMTDQILDDTTPIATNRVGKHLSAKELVAIITDRQRRMRVS NIGGACDASIVEGDCSPLDLLESVKCMSGWSCVRAFNALAAQMQTYPTNGACSVGCE AFLA_104720 MTPTKGSLYPYDRIKDKRDESTHSSESFWATTGRPFVRWMFTPI GFLITIYGLNIVAWGGMLFLLMCNAAPAMCHPNCDSVDAPRRIWIEIDSQILNALFCV TGFGLAPWRIRDLYFWGLWRLSRSERSRQKGLVRLADIHSSWFLRPQGIVNMLPTTSS SAKLEVAHLRPTALWKMDVVVWGNMLNTVFQICLALCMWTMNRLNRPSWTTGLFVCLA CLVVGIAGLVTWWEQRRIKKDRGQEAVSLTAEGPIDAKPQPAT AFLA_104730 MSPSHSEIFEKAIKVTPLTSHTYSAHLHQDWCIGNVPHGGYTTA ILYRLTTTHFARSDASPYRSKPVLPISFQLSFVRATQVGPATLSVQEVKLGARTSTIQ VTLSQDAASKGPQTKVVGYITVSPVETDQKGPVVNGNSILYPNPPSGSKPDGGIDFAA LAETGRDGEWTRFPTIPAMAVSQHTELYGALPASTVQERIKTTVDQWARFRPGGQVPA RWTNEALMCLLDLFPMALDRLGAMATSAWTSTNDPEDRQDRSPGPFWFPTVSFNVDLK KSIPNEGAAWLHSRVVTQTLRAGRADLSVEIFDENGELIAISSQVCMVVGFDRNLKNG KRARPAQGKL AFLA_104740 MVPSARYKQPAHVVMEKVDTSSFSKPALNVFASTPQSTQAEVRA RLVRFLPSASEADKEKYFIRLSQVTNHLPMETANFSDFYCSLEHARNCSEIMGLEVNP NWYYIPSVYNGRTSSLRVSGQPIRRPWGVISGPGASSQATWSRSKRLDFELEMGVFLA KPLPAGQILDIRNAKEHVFGFVILNDWSARDIQGFEMAPLGPFHSKGFGTTISPWIIT IEALSPVECPVSIPQSPPPLPHLAWKGDSSNATWDIELSARILTGKGKTYHVTSTNLK DLYWTPYQQLTHLASAGEGLSTGDIFGTGTISNDRLNGVGEKSGLACLLERILPKNRL ACMEIDGLEYLEDGDEVVIEGWCFHPQSGTYFGFGECRAALVPALDQ AFLA_104750 MDSGGKEAVGNVQRAVVEDTKVVLKATDERILWDEKQSRAVVRK FDLRLLSLFTVINLFSFIDRVNIGNARLLGLEKDLGLSGLRFNIALMCLFVSYCVVEL PSNILCKIVGGHIYIPTLVLCFGIITMLTSLVEQKGGLYACRFLLGVFEGGISPGLVF MLALFYRRHELGVRTSIYISASSASGAFGGLLAIGLSRIPDWGLIHTWRNIFFFEAAA CVISIVVGYVSDRYHSRGWVIFATVPFGIAGMGMLEFLPTSMPGAKYGALYLAAPGIY AFLPLWLAWAVNNAATPTVKAASAGLVFTVGSLGGILAPWVYLPGDAPNYRTGHAIMF AFLFGSWVICIGMIIYIKWENRAREMGKRDNVLEGLGLEEQLELSSRHPAFRYAV AFLA_104760 MLNLQSFGIVLPLLAGTALAAVSYPPIPEDLTTPYQQRLAIYGP NAISVGWNTYEKLNQSCVQYGTSKDKLDAQACSSTSSTYATSRTYSNAVVLTGLTPAT TYYYKIVSTNSTVDQFLSPRSPGDTTPFNLDVVIDLGVFGQDGYTITSNNAKKSSIPS IDPALNHTTIGRLAETVDDYEFIIHPGDFAYADDWYLKPKNLLDGKDAYQAILEQFYD QLAPISGRKPYLVSPGNHEAACGEIPYTTGLCPLGQRNFTAYMHRYDETMPSAFTSSS TNTTAQRLATKAQSLAQPPFWYSFEYGMAHIVMINTETDFPSAPDGQDGSAKLDSGPF GQDGQQLAFLEADLASVDRTVTPWVIVAGHRPWYSTGSSSNICEPCQEAFEALFYKYG VDLGVFGHVHNSQRFLPVYNNTADPNGMNDPAAPMYIVAGGAGNIEGLSSVGSKPSYT EFVYADDYSYSTLRILDANNLQVDFIRSSTGEVLDSSKLYKSHKEQFVVQ AFLA_104770 MTEAEKSDIEGSTEKIDTDVRENEYPSITKVIVVILALYLAVFL VALDQTIIGVAIPKITDQFKSIEDIAWYGSAYFLTSTALQPSYGRIYKIFSVKWGFLI AVLIFEIGSLICAVAPSSTVLIVGRAVAGIGVAGIFSGALVIISMTVPLPKRPLVFGM FGMVWGIASIAGPLLGGAFTDGVSWRWCFYINLPIGGLSIAVIIFILRVPKKSEFSGT PVLDRIKQLDLIGASLLIPAIVCLLLALQWGGNKYPWNSSRVIGLFVGFGLMIIIFTF SQIKLGDRATLPPGILKRRSVLSATMFALFFGGAFFLLVYYVPIFFQSVKGSSAMKSG IQLLPLMLATVISSVLVGAFVTAAGYYTPFLIGSTAIAAIGTGLITTYSVDISTGKWI GYQILVGAGVGAGFQIPMTAVQTVLPPEDIPVGTAAVMFFQTLGGALFIAVGQSVFQN GLIDGIREFAPTVDPRAIVGAGATEMRHVLAALGQLDQLDAVIRAYMSGLRDAYRVSL ALALVALVASCFLEWKSVKKTGQESKNDVAVPAL AFLA_104780 MAEAFAYYRGAGWSAKIKSRTGCTTCKYVASLTVLEWRVLTAIS VEIRTRKVKCDETKPQCVRCKGTGRRCTYAVNQTHTRIACPPTPSIGSNYALCDVVNH EWQERRAFEYYFYRAGPAIGGYLDHRFWKGIVPELCRSEPAVWDAVIAISALFEDPDP FIGPPMVLKDNKLVGEHTKAVSWYSRSMARVRQRVTHNDFNPHVALITCVLYICIEAL QGHTVEALQLYEQGAHLIMTLRQTSTMAYYEDVLQEIIKPIFFRLGAAAMISGGYPVR ESFRPVTMLGNSSFATLAAAQTVMVDLVTEAFLLGNEAARYHGFQGCDSNIESSLKEQ QGALTLRLDSWQRAFAHLQDREMGKPPNSSNIGAVSTLLTYHVVASIMTSTCLADNEM VYDAQMDRFREIVKHATSALDAFNVVDSTQPPFTFETGIGLPVFYTAVKCRDRFLRRK ALSLLRRAPPVQAFFKCAAWAALAETIIHIEEDLVPVFTESHSRIPSAPTSEDKVLAS DSPVSVSYNLPNAPCAHQITSAQVVALQHDRVPLGGLENNRSDSSMCVGHIPDHRRVR EFGVFLAEANSSCPANSSDRYSEPTTQSHEQIFLRFTRRRRNGTTAQPRVAECFLPMK YGEC AFLA_104790 MTVLLWIWVGCGSGSHLSKNTTERAEKIESTARWYGYFSLDVCS LGVYTDGHDIRALTGLTV AFLA_104800 MLDSCLDLIQVRWYAVTKITSDGFEHAGYGYHISQLPLSVLSRF RKLALLMLYYRLLQATHTLYVYVIYAVGFIIAGYSLALPLALIFGCSPIQKSWDITIT TGSCINTAGVYLATAITNTISDVILLLLPIPVVWGLRLPVIQKVGVLCMFGVGFLTTS ISIVRLATLMPLVTSQDQTRDIALAAIFIDIEANTIIICGSFPYLRQFLRYHAPRWMD YSLSSRRKSSSTESPSAQMRKPGLTQLQDDIERAINNTDGMTSVEGNLERGPREVL AFLA_104810 MKSKSKTLYHLRPKLDEILNDTAPVPYTLGTFIAFLAQNHCLEV LEFILEAKRYRKTYDWLQDHGKACGEDGAHEERLRTVWDRIIDTYIESGAPREINVPN ETREELLEYTKTNDSIPPPSLLDNAVQHMHELLRESILIPFLRSCSGTSHVQPLSVPC LSGTERLSPSPRASDDTARRRSKLSGLIPSSPADMYSSDGSEPPTRCISRDTSSQGPP GSSSSEIAREITGGQDWDVLPNGVGAEMWHANTAPERKRRSPESPKKRWPRLHGFTKH FRRSSD AFLA_104820 MVLAGEDLVAMFTVTHVILALGAYVALRFAYQIVYYRFFHPLSV FPGPFWGSVTRLWIAWHNLRETEVPTVYALTKKYGMILQVSAAGILELMCGWLAGPVV RITPTLLLVSDPTKLPDIYHRNADKTGHYITGSFGETESLFNIRSHKTHAAFRKHIAG PYSFSNVKRMEPLVDARIDDWLNKLDETFASTGAKFDFAWWAVEIGFGAPFGFIEQGK DVGGLIQGFHDGLPAFGLLARLHPFTSWMKTTFMKKYLVAKPEDNTGIGVLMRFRDRL IEQRLREIAEGKDVGRVDLLQTFIDARTEDGKPLDMDYLKAEVLLVLLAGADTTGTVF QSLVNHLLVNQEVYERMMEEIDTASRKGLIPETIPHRCCTDDIAIAACDKESISRLHP QGRQDRTVCFRMRLIEAGFFGSHECIEFHTTQRNGSTAEFRRTVGDDSQAISFFFQL AFLA_104830 MADSKGPTVTAVAVFFAIWTFIIICLRLFSRIFVLQKMGYDDYL IVCACLTSWAFSGVTVVSVKYGLGSHIADVDPNKMETYSLMVWISSMCYLATLGFVKS SVCVIVAQASSFVLVAAFQCRPIEKAWKSTLPGTCVNINVFYLANAALNILTDIMTYT LPLRVIFKLQVPVKQKVALGFILCIGLFACVSSIIRITYIPAMLSDPDSTWVIAEAMY WSVIEINAGIFASSIPSFKPIASRFIPRLIGEYSRDKGYSGWSGSHDARKFSGFSKVP EQNIGLDSMDPRQPDGDNMIGTQIGYGGTQSQERIIPQGKIYAHTEIETNVEVSQDRS CRTISHSP AFLA_104840 MRSALNILAGAATLTVAQAASLSDVCTNSHVKSALPSIDLINGL VIDSSSITTNAVYNASTPGGDYFPAASAYDFCNVTLTYAHPGRNDRVHLKLWMPAPDQ FQNRWLSTGGGGFAINHDEQQLPGGVQYGAAAGITDGGFGSFYTQFDQVFLLANGTIN YEALYMFGYQAHHELSVIGKALTKNFYGTGDAKLYAYWQGCSEGGREGFSQVQRFQEF DGAVIGAPALRYGQQQANHLYGNLVEHTLKYYPPPCELEKIVNLTITACDRLDGRSDG VVSRTDLCKLHFNINSTIGAPYSCPASTTATGTTPAQNGTVSALGAAAARKMLDGLRT LDGRRAYIFYQPSATFDDAQTKYNPETKQFELEVSAYAAEWIPRFLQLQNYTLSSLEN VTYDTLKDWMELGWQRYEDVLQTTWPDLTPFQSAGGKVLHYHGESDPSIPAGSSVHYH ESVRKTMYPNMSFNESNQALNEWNRLFLVPGAAHCASSTDQANGPFPQATLKTLIEWV ENSIVPETLNGTVLDGDHKGEQQQICAWPLRPLWTENGTVMNCVYDQASLDTWDYEFD AYRIPLY AFLA_104850 MDTLFLLPGKFCLRCRSYIPEVPVHLPYSRGPLYLNLLASAYIS LALASSALLHVSNVMQKFLRAVSHDSEPEGSRSLPVPIMKDPRDEGYEPIFDSSETVA EAREGRAHGVAEIEALATVWSTKALHIGYALVFLIFFTNSFQQETTGSLSPYVYSKFA NHSLISRTNVLTNVVGGVAKLPCAMLIDVWGRPKGFGIMTGLCTLGLFLMAICGNVET YVTAQVIYWVGYNGMDYVLHIFLSDITDLVNRSFVYGMASTPYVVTTFAGPAAAQLIY EIGGLWWGFGIFVVITPLVTAPFLWLLWTSLRKAYTEGLIRKAHSRRTWARSVKHYFI EFDTIGLSLVTVAFVLMLLPLAPASHASNDSMSLADRSRSPDTTASFFLGVLAFMAFV LWERFCAPVCFLPFNRLKDRTLLGACFLAASLFASFYCWDLYLASYLQVTFNTRIRET GYIYNIYTIGTCLWSVPLGLIIRKVDRLKWIALAAMPLIFLGTGLMIHFRSPQSHIGY VVLCEVFKALAGGTLVICQQMAAMATGGHESVAVSFALVGLFTKLGGGIGSAISGAIW TSTVPFYLEKYLPTGKKHKAWELYGSIEEVLSYPIGTPERDATIQAYGVAQRRMLIAG LSILPLAVASILLWRDIRLKKVSQVRGTVF AFLA_104860 MPTKYVDRPIPQISLANFDQRIDEITADLVHAAENVGFFTIVDH GISIDEIETMFATTERFFNLPDDVKATVPWNPNNVGWEKKSQVRPSTGQPDTKESYQL QFGENMTNLWLEDAHLPGFRTTSLTFMHRVQGVSEQLMRCFARGLGFPEEYFIKCHDV SRHNEQTTMRLLHYFALPEKSDGNTYHRAGAHADWDFLTLLFQKDGQSGLEICPGREA VTEFGIGDEWTRVEARTGEIVCNIGDLLMSWSDDRFKSTFHRVKAPSEPGDYYGDRYS IAYFNQPCKDALIQGPKKKYPMLTGEQFNTRAMQRNFAALQEKLKTMEASA AFLA_104870 MMASGVIIDPYSLLRAAPLATSTGSLVLATSELIFYSGLVQPPI REKSDSILADYWRYIFPRGVSLVLVLNFTTIGTSLCNILWTNPCSRPLPVSRTTFYWA GLIGAIGHLAFVPFVAPPIQRILNNTDPDPEAEASKEMHTWLGVHRIRMLVADIPAWL AFVGAAMLIDP AFLA_104880 MKLANLALSATAGLSLKATSETVQGFDISNHQATVDFKAAYNDG ARFVMIKATEGTTFTDKVFSSHYQGATDAGLIRGGYHFALPDSSSGAEQAEFFLKNGG GWSKDGITLPGMLDIEYNPYGATCYDKSAEDMVAWIKDFVDTYQKATGVYPLIYSTAD WWKTCTGNAGGFGSTCPLVLAAYSDSAPSTIPGDWATYTIWQNSDSYKHGGDSDIFNG GYEQLQKIAKAE AFLA_104890 MYFAGSSLVLLLATHALAAVPAALHQNKARQATPYAVKEPPLTT PWTDKAGTDPWPEYPRPQLERSEWKNLNGIWQYQDAQSLAALDSPPFGQPLAHEVLVP SCLESGLSGIQGKDTLYSWFTTQFTVPQTFKDQNVLVNFAAVDYEATVFVNGKKAGFH RGGYFHFAFDITEFVNRDGENELLVFVHDPTDSGDDVIPIGKQRLVPAHIFYTPCSGI WQSVWIEAAPANHITRLDLAADMNGKVTVTANTATTSGSPVEVKVYDGDEEVGSGQGT SDQTFDFVVDGVELWSPDSPKLYNVTVTMGDEEVHSYVGFRTIAKGKVDGVVRPLLNG EFIFMFGTLDQGYWPDGLYTPPTREAMVYDLKFLKDLGFNMVRKHIKVEPALFYRACD ELGLLVIQDMPSARPLSRPDPCATSDIIPNASQQEEFSRQLEVLVNQFKSYPSIIAWV IYNEGWGQITSYYPEFELTDRVKELDPSRLVDSTSGWIDHGAGDFSDNHHYANPQCGT PFYSLSSSPHDPSRIGFQGEFGGIGHNVSIENLWNVQEAINHINETYEIDETLEAWNY RGHILLTEFEDQVRRFECSGGVWTQTTDVEGEVNGLMTYDRRVKRVDEEQWKNDIQAL YDAAAKRGAPSRRKM AFLA_104900 MLVGNIYVIAGIAVVGGALFGFDISSMSAQLNENSYKCYFNQGP QGPPFTDDADCSGPESLVQGGITASMSAGSWLGALISGPLSDRIGRKTSIMAGCSLWI IGSTIMCASQNIGMLIVGRIFNGLCVGIESAQVPVYISEISPPSKRGRFVGVQQWAIT WGILIMFYISYGCSYIGDRSAFGYSTAAWRIPWGLQMIPAVFLFLGMMILPESPRWLA RKDRWEECQTILAKVHCKGDMSHPFVALEMQDIREMCDFERQFKNVTYFDLFKPRMLN RTIIGLFMQIWSQLTGMNVMMYYITYVFSMAGYSGDSNLLASSIQYIINVLMTLPALI WLDRWGRRPTLLIGAVLMGTFMYANGAIMAVHGEVVPGGIDGVAQQSMRLHGAAAKGL IACTYLFVASYAPTWGPVSWTYPPELYPMRLRGKGVALSTSGNWAFNTALGLFTPPAF ANIRWQTYIIFGVFNTAMFIHVYFFFPETAGKTLEETEAMFEDPNGIKYIGTPAWKTR VTTGRIEQLERGDVEGLESKAQQEPAAREVEVTQPEQKTAS AFLA_104910 MSWDLKKRKLQALWSHINTRRSQFFTARHGSSSQAKGWRPFTLR PIYLTFLACLMFLMLLILEGLRRYTVLYGGLVFLEATEEVSSAQSFAYNYIPIIVALI LVTLWSLVDFDVLRLEPYFQLARPEGVPASVLFINYNFGQTFVTPFTSAKRGHWVALL VSIVTVLIRMFLPALQSTLLELREVTVISNEQMKTWPELVDLGTQANWIAAQERSSFD SANSAVASSTNSLQKSRSPDFAVPPVQIPTNDRRESTVWKVNQTIYWSQLACHDLATN DALPVTINQTDVEHPILSWNVTGLPLVNGTNQDCSLDFQYDSIFYPETDFLQVRYWEP VWTTDTFDSGDVRKAFRPRGCDPFDLYGVLLSVNATTAPADAISSLGSQYTSSATMFA CDIEYHKATAEICMHANSSITSVRVIANTTTNLTNQEFNIDEFQGLLSHRAPYTSDVL FMQYNDTMGDLTVTELAVISQDVDDLEPMPVLDTATVMEQGEFEEKTKRVVIQAFVLT MGRLFNPDIPPATVSAVRFAHSVTIAVVSFAATLSEAILFLCTVVALALLYFYQRRPN ILQSDPGSIGAMCSMVTDLFSPSNILGNAQSDFHQLSTRQLRMRLRNFRLYWQEGPMG RRVELVSVDGENHDSARLRDRIRTRVDPRPHFLVIPIFILEFLLLVAVIAIMAVVIAS LAHEGNFQHLTQSDSSFFQVILSFLPSVVASAVGALCNSIQRNISILEPWVHLQRGQA KARASLSMNYASQTPWLVLLKTIRDRHLLLGLVSLACVVNTVLTVVAGGLFTQKLTTS GFSTDAIQANYSNSIFKQTDFAADFTEYDLIQTSITSGVPMIPWTSPDYSFVPLKNTK PNVTASYEATTLGIGADFECQQLSISNHLKKNDTTGALYWQYQPFQNESRQCTVDMFQ QKNEKIPLSIHFLSPAALEDMDQCQTFTVLVLGRWNYTGGSTITEKNTIALHCEPQVQ MQNFSILFDQKGQIQDYDPVPNTTITSGPMYENATVSLGQFNKVFASIPQSFVDEEQQ GPTVSSYDWAGFLVARLYKQRENGISALDPQDLITMSETVYQWVYSTYFSLWGPTYLE PLEQPYTATNATVYYSTWTMVPSVPSLTIALSIIALDTLVVLVVFGTRRGRFKGPRIP RSIGSVIPWIANSRMLSDFRGTYSWTSLQRRDYLERLDKRYAFRMFPGSDAQWRFAVD EEPLVREDKPTVVTDPGALDPAKQPGAIELRPIGNDDTGAPRNHE AFLA_104920 MKAIAALALVGGAIAAPTKTVESRQLPGMGSLPGLGSSGSSTGS GLGSLASMLPGLGGSSSGGSLPGLGSSTGSGLGSLASLIPGLGGSSSGSSLPGLGGSS LIPGLGGSSSSGSGIPGLGSSTGNIPDLSSLLGGSSSGGLPGLTKRASITENGVTQNA GCQELTFIFARGTSEMGNMGSVVGPPVAKQLASLTGNKVTVQGVDYPADAARGNQGNA NMGASGGPKMAELIQQAKKQCPNTKVVVGGYSQGAMVVHNAASKVGADAISGAVLFGD PFKTQGVGQLASNKVKEFCASGDPVCLNGMNFMAHLSYGSNAQEAAQFLVQAAGL AFLA_104930 MRVSSVILPLAGTLLVEASSSSPGCSALKGSVNSSIFYPNTDVY EYEAQNFWSNTEIMSPGCVFRPQSSAQLGEGVKALVDAQAKFAVRGGGHMGIRGSNNI DNGVLIVMSNLTTLELSDDKSIVSVGPSHRWEDVYAYLADYDLSAPGGRLGPVGVPGL LLAGGVNFYGNQVGWSCNSVVNYEVVLADGSVVQANKTSYSDLFWALKGGSSNFGLVT RFDLETVKSTKVWAGSYTVSSEYVDQFLEAAATYAANISDPKTHIVPALVPGDSLLAS VILFYDSEDTSYPDIFKPFTDIPAVASTLDFKTVSEFAAETGAMVVPHINDVFVAGTV VGTTYAELLQGISIINTTFFEQLPKLYDQIPADNISTIQLDWQPIGADWMKASEDRGG NALGLDSSKIYLCYAEVVEWIGSSYDDIVAQWVEETTYAINNATQKAGLYDAFNYIGD AAGFQSIFPGYGEENVSKLQTIAKKYDPNQVFQTLMPGGFKIY AFLA_104940 MADSTIIPAMSPPAGQTSNFIDPPYIGTKFLVVNCVFLPIAAIA LLIRTWTRLFIVRSFRWDDCESLVHIDDAILLTGRPDFMIIALLLSAAMTGVTLEMLN WGLGRHMWDVPAQYLSPWFMKLNVIAAIIYCAGTGFTKVSVLVFYMRIFPSRNFHHAV WSIVFIAAGYSVASVLANVLSCKPVDKAWYPTKTGHCMNRPVFYFANAGLGIFTDFAT VIVPIPWLRRLQMPLRQKIAVSCILAMGCFVGVVSCIRLSTLYTLLKSPDLTWSTTDA LMWCTIELNLGITGGCITAVRPFVRRYFPRLLGLSYGNGYKYGDSSRKYGHPLGSVPR ANAPDFSNARSNQYSTLTTATTVMPAADNGSEEHILQYPEMPAKSADGIGIVRTVEFD VENSSARR AFLA_104950 MTESIKESISHLETVADERGVTGSQDMKEPNVDPNHPQNWSTGA KLCTYLTVCFYTFLGNVNGSNFTVATKAVIKEFHVSQTQAGELVCFNVFLLGLGNVFW VPLMRVFGKRPVYLLSMLSLAMMNVWSSRASSYGELLASRTLSGFAAAAADATVPAVV SDMIPPQDRGHYLMFFHLALTAGLFIGPLINAYLVQEEDWRWMCYFLAIATAATFVTA IFTVRETTYVQRNAFAPKRKLWQWMSLTVGYNPDASFIRTVSDIVCNIAYPQLLWAAF AIGISVGWNIVVQLTASRTFTAAPYNWPAGSLGLLSLSGFIGSVLAFWLGGRLIDIIS TRYTNRQGGPRLPEYRLPAIIIPGVIGPSGILIFGLCIAYQTHWIGAAFGYAMQAFGV AAISNVAVTYSLDCYRPITGEALVIIFTVRNTIGMLLSLYAADWIERQGPAPVFGEMM AIQVASILLAIPLFIWGKRLRAVTSRYGPMKRFQDQVET AFLA_104960 MTTLLSDLTSTLNSALANLPAPENIKDEERVQLLGAIGQLQSAL ETPVQIIQKHCFAHYGIAGIRVAQGMGIFDAFVTSSGTEMTLAELSSKTKGDVELLKR IMRFLCSHNICKETNTETYQPSQIAMLYGNGSVPGDMIKHFHTNMQITSKLFDYFEEK GYKNPSDAYDAPFQLAYQTNEHYFEWLSKRPATQSVFNSVMTESKRHYGVEWFEIFPV LDKLQVPPERVAFVDVGGGVGHDVIALKTRFPQLPGKYIVQDLPQVIDDIKEPLGEGI SAVKINMFEGQPIQGAKAYHLRTVLHDWPDKPALEALRHIRKAMASDSILLINEHVMP EGANVPALSATLDIHMMEVFSSLERTEKQWVDLLEKAEFKVTQVWRSDADFRTAVFEA TLA AFLA_104970 MLGAVQEDSEENDMRLAGVNLHRPRHRFALEQSARSFALLNKRH ASHDPQFKEVTLVCCLLFTLSELLLGQYDTALQHLRSGLQILNEAATYMHCLSAIDQS LVEAFVRLDAQSSHFGKDGPLLHLNKAGEEKWSHSDTMSLPRNVQEARRELNHVLSKG IPFLSECWSLSSTEIKLHYNSMCLTQQSLLASLTQHKQRLELFCEQSYAKLSPKEQRG VDIIRLHYLAQILSIKTCFFNGPIPGYLTPEYVSLLSAHEALMAKFPERSTTTLDNGI IPGLYVVASKCPDYRVRLRAIKALQSWPHCEGLINSNIAASLAFESLKRELMQGNKDE LSLIVGDNEVELVRFLFDTLSSTEHAAHWSMIKASRILHDEP AFLA_104980 MPVSTTRQQPTSIKRGRHYGQSRALPGIPPSSPGGHLMSATDDR KCTKSTTVESSTNLRVNLRALQLVGHHSGGGQKAMLLAVNPKA AFLA_104990 MSKEIDPCGCNDTEPTRELVLCFDGTGNTFRADGSETNILKIFR MLDRTKDNRYCYYQLSHTTAGIGTDVTAGSFASLAYQPFTNLPTKRIVDQALATSFNE HVISGYRFLARRWVPGSRIYLFGFSRGAYTARFLNEMLDYAGLISADNEETIPFVWEA FIRYKFADAGKERDDAEASLRLSRETMCRSPGLVHFLGLFDTVNSVAEFNRDVSKDND IQPSPKIMRHAVSIDEKRIKFQPVLFDPPPIGGMKARTHHVSTWAANGEAIISGLPHP VVKGDFEEVYFAGDHSDVGGGWPSLKTKASQIPLMWMVQEAIMADLTFDPIQLKKHGC FDPRIEDYDPDIVQAAEQAEIHDSLGYDFGRGLEVLFWRMMEYMPFKRPKVSPDGEVH ETRWHGRGLRRPLPPAAKIHSSVLHRLRYDPEYKPYNLGMGNKGTAKEQDDEQREIGQ WRRIAHGKLCEYWVKLPRNRK AFLA_105000 MTLAGSCMCGAIAYTSDSEPLVKALCHCVDCQKWTGGPFTSNVI VPRDSFKVTKGEPSFYDVTGASGKNNRHFFCGKCGSSLFTELELMADKTVIKAGTLDG GEANLRNKVDVEFYTKDRVSYLCAVQGAKQETLLG AFLA_105010 MSNAPNTVGNERFNAEAANWDNNPSVQEATRLAYETLRPLIENL SEKQATTGTGLDVLEVGCGTGLLSVRVAPLVREIVAVDTADGMIEMLKAKTTLPGSPR NIVPICKLLEDPEDPVLPPSDEKHPSTGRRKFDLALSHLVLHHIPDPRPFLQMLLGCL KPGGRIALTDFEDFGPEAIKFHPKSKLEGVERHGIPRQWIEDLLKEVGFEQVKVWVGW KLDKDVEGWEDDNESHDGGKKTMQFPFLVCEGVRP AFLA_105020 MFLATRQLLLVGTAASFLLLLWYTQRIFVSRTVSLPAPTLTPTP TPVPTPAERVSTTPKPPVQSAGNSTLGFQSILALSTGPSWRTRGLLAAASLTGLDIQI PPQPPINPNLVDAFEHMGPDNVQHPSHGASIAWLAHLDLIKHTIQANLDTVLIIEDDV DWDVSIRSQMVQIAESVRNLTHIDTLDQDMAPYGRDWEVLWIGHCGEYWEEQFETVLY DDPTACPHSDYFGWAKQYIERLPDRQRAVYRSVNPVCSFAYALSREGSRKILELLGGA QDEAFDVSMMHACKVGQLKCISVVPEVVHQYFPAQSFGVKSAVDVGNGQEPGPEEAEF EHVMDSTENILESARCGALWGQRCLRTQ AFLA_105030 MVSESDINYLRRCVDLAREALEAGDSPFGSVLVDAAGKVIYEDR NRTVTEADVTWHPEFTIVKWAQKNLTPTERAAATVYTSGEHCPMCAAAHANAGLGRIV YASSTTQYVQWRTEMGIKPGPVAPLSINQVAPDLLVDGPAPGLDEEVRGLHQEKQARS VS AFLA_105040 MTALRFISEQTNPEGSEEELSKGAEQLGPFQLPRLPEGKEFGWT CQPPPSLWAVTQGWNPDDPRLLEPRAFIRSKTSLRILASYSESDDEELLLTPLESEES ESEESEIYEFESDEDDSGDGWGVGRGGCLLRIPSSSSEESVTLEENPSRDPEITSPVP LIQDFGQFSTGEECQKLHGQTIGVQFWNRMRGRSNAGPGQYIRSGAYLKYGFAIWEQQ RMIDMGLWSTQARADASDCLRRWYSFLSEEDLNYHDSRRRRIEYIS AFLA_105050 MTVTSGLQMGSLPTPPLEYVGYNLAEPKSSEQIICGPLDYLLSS GGKNIRHKLMLAFNEWLQIPPEKAEIISEIVNLLHTASLLIDDIQDSSKLRRGKPVAH SIFGVAQTINSANYAYFLAQQKLSSLHSTRANDVFVEELLNLHRGQGMDLHWRDTLTC PTEEQYIGMVLDKTGGLFRLAVKLMQLESNQTCDCIPLTNTLGTIFQIRDDILNLSGG EYTKNKGFCEDITEGKFSFPIIHSINSDPRNMQLMNILRQRSEDNSLKAYAVDYITST RSFTYSYQKLNSLIAEAKSMVEELDAQMGPSRGMCAFLEMLNLN AFLA_105060 MGQTASAPKPGTQIQVIGAGLPRTGTASFSAALTILLDGPVYHG GTQLTRGSPSELKSWIHILRAWLEDDKRTVLSIVKSRLEGYAAITDAPGCQLLPELLE LYPDAKVICTVRDPLAWEKSMNQIHSFARLSFLKILLLPLPGMRHFVDFTWLLRQQWG NLYADGRRFSSVKEVSDTLPQRAIYSKHVAWLQENVPADRLVFFDVREGWEPLCKALG KDVPTDIPFPHVNDSEEIARTAKYHIRRALIRWVGIFAITGIAITGFMRRW AFLA_105070 MGVISVVTFLASAKEHFIISILLLFPIALILRTIYRLYIDPLHH IPGPKLAAISHLYEFYHDVVRGGLFIWEIEKMHQEYGPIVRINPREVHIKDPYFYDEL YAPAHGWRDKDAKSVEIFSSPTALVSTVDHHTHRMRRKLLTSFFSRRSIERIEPVIHE SISRFLDSLITAYEEDSVVELIDRLQALTGHVITQYAYGEDYGLHEPQNIGKGIVKVV QEGTEQIHLHRFFPLIQRFLRLIPSFFMTQLFPARAAMYDLLHGVRKKSIEVLKQKDV CTPTERTTMFHALTAPEVPPEERTLQRLEDEGLVLFAAGTETTATTLGVAIFHILSDP MVLTKLRKELEQVMPTPEGLATWRELEKLPYLNGVIHEALRFSGLAMRQQRVSPTEVI KYKDYAIPPGTPVSMLQYFLHTDPALFSDPEKFYPERWMLAAERKESLSRFLVTFGKG TRSCIGMNLAYAELHTALAAIVRRFDLELYETTAEDIRFVRDKLLPRAKNGPWRVRVK VVGIRKD AFLA_105080 MKDNPVGVLVDMKERSLFKSFDKSYHPTYGLGTMSGNIYDTAWI AMVRKPIEGKSVWAFPTAFQALLQQQSHCGSWGGTTSELDSIASTLAALLALQRHAED SYDADRQDLNSRILKAKAFLDAALKGLNGLLRTCTLPVSLELRLPAILDLLEAEGHTF DFDRTYLNKIQSKKLSKINLDTIFSGPQSSLLHSLEALVGKIDFKGLAHYKVLGSMLA SPSATAAYLMYNPVWDDEAEEYIQRAISNGAGHGSGLVAAGYPTTVFEWAWVTTNLIR HGIEPSSRLKEVGKQIESEIELHGVVGFGRVPSVSKSVPFANIL AFLA_105090 MGSVKNLDQEADVSIEHAEIASSHAPPAYEIPRVTWWKLHGLRK LYAMMPLLFLGSTINGYDGSLLNGLQTMSPWQTYFDNPTGSTLGLFTAIQNIGGVCAL FFASYVADLLGRRIGVAVGLVVIFIGTIIQVVPPVNSGMFIAGRFLVGLGSNISQGSA PLLITELAHPQHRGTLTTMYNTLWYIGSIVAAWTVFGTIKYNSEASWRIPVGMQAAMP AIQFVGIWFLPESPRWLCAKNRPDEAFNILVKYHGNGDQTDQLCAFEFYEIQGTLRLE EENSRNGWQTLIKTPGNRKRLLLIVLVSFFSQCSGNGLVSYYLHSILNSVGIESSHDQ AVINGGLQLWSFLVAIGFSSFLVDVLGRRMLFMIAAVGMLVSFSIWTGCSAVYANTGD TGAGSAVIAMIFLFYGVAGFAWPGLTVAYCAEILPFQIRAKGMAVAFACTSAASVFNQ YVNPIGLERLQWKFYFVYIAILVVECLCIWFLFVETKGPTLEEITALFDGDDANVGRS VEGVTHQRAANTEKISA AFLA_105100 MASDTFSFHSVMLQAGCDQAIARDIDQSTALNPELLTRHSSGGM ASTISSPYKGHRGQLHWLHNYHHLLIHLPATAQLLHHVIAEHYIPSSASNRQREAAHS QWQTFPFSRWRTPKLLHDLGKVYGYSLAEARRHSYQYRPRLCHLGNDRADGRAVYFYR ARQGYLGCEKAWVTARATVVWFIQKRYASYSSRVWNVGISTYVPAWVKTNPKRFPRAK LRKAGGVLQTADVLSIFHDEAPKSDANAFSHLMRHLREFDGSHSTVIMVQVENETGLL GDSRDGSSTAEERFSQPVPEDLLTFLARDWDSLHPDLRSNLAHFKAQSQPHGSWVDAF GRGPHTDELFMAYHYAHYLNQVATAGKKEYPIPLYTNVWQNYVGEDGDNDFPIVAGGG GLPGDYPSGGGTINVLDIWQQFAPALDFIAPDVYLNDYAQSCRKYRHRNQPLFIPEQR RDEYGVRRIWTAYGSYQAIGVSPFGIDTLEPSTNPFTKHYALLDSVSQIVLDAQRRPG SSVGFFFDELAENGSDPSKPVVRHYAGLEITIERCFVFGKPGPGSGMVIHLGGVKFLL IGWGFQVRATSLSPTATFTGILRFEEKFVVNRDTGELKTLRVLNGDETRSGIFAMMPN EDPDYGGFPICVTIPARTMIAEVEFYSIDDETAM AFLA_105110 MRRIPTRTNASWHSVVCPPKKDNGYQKPRTAAQLANIASWRLIK QVPGQSAVQVINATLGISAALGTGVEFLAAAVIDRSSWRLATGLSPCVTARLHYRLPP PGYDSCRKPDCSIPHCCIEWD AFLA_105120 MTTTICPATPDEIPAIVDFIIAARADMFSMLDPSLHLQKAQREL ASFQQSYLEHPDGAFFTARVDGRLVATIGYVAYDQRFPQLDFGHERVVEVLRMYVHPD WRRIGLASKLFAALEQRARQEGIRRMYLHTHPFLPGSIRFWERQGFSIVHIDDDPIWR TTHMSRFLAADEPQQTDLLSTAA AFLA_105130 MGLIKGVLKLTTYGGLASAGAFFYTTRNDVFVPMSPTDPIFQSA AYRKFNPEQNPTTHDLCVRKVPLSDINPTLLEKKGKLAEAFCAGVWSGWGYAFQRAYL ARKYQGPETANHLWERPELKASTYDVGTLITDHFEVIEKTPERIVVRCGDSPRKQDVR ESDGLFEMSAVVKPEEGVAEFALKSCFYKGKGKADSQPMPPHILWLHKQYTKLWAETA IRNVLRQ AFLA_105140 MIKTILCALAILAAIAPLTTAEKIKCNNATAYCGATLINRGGYL TQISEILKNHDEDAGGLLLQHGLFWCKEDGKLHDAYKKCKRNKCRANQGYYPESGDEC KGEWRGSILPDMK AFLA_105150 MAYSILQIALATLLGYFVLVDAAIIQQTRAEAHPPKAKVQNGTY VGVHNDHYNIDYFLGIPFAQPPIGILRLAPPVSLNSSFSGTRNATNLQPVCVQFQLTA ENKPQTATIDRAISEDYLTLNVYRPSNCGDQKLPVLVWIYGGGYTQGSNSDPRYNLTF IVNKSVKMGKPIIAVAINYRLNGFGFLGGPVIQEQGLTNLGLRDQRLALHWIQENTAG FGGDKSKVTIWGQSAGAGSAGSQLLAYGGRNDSLFRAAIADSDGPLAFKSPSNATQLQ TWESILNLTGCSTASDSMACLRGVSSANLSYAVNASRGTFMPITDGGFVETHSSAQLL NGQFVQVPLLTGTNTDEGTNFVGSPSHIGALPTIAYPNDTSFLDYIGQSITNTTASAA ALAALSSYTLTFQLLASHTPTRVV AFLA_105160 MAHRGRRLSAQMWFKYNVPVYTYRFNQWPIGGLPDTTGTTHFTE IPLVQDHEVQDGYRAPWYPAGSEFTSMDSDFYALARLMSRMWIAFVHDLDPNGHGVNG NNGHSIPE AFLA_105170 MEPQLQTPHLLSLVEEIKDAALKECSSSRSATSHYRFLGLIDQL KYTVETPTETVLRLIYQPPQNAALRTVIDLNIFPLLLEHPINGLSATELSEYTGAERA LIIRLMRVMAALGLCASIEPEVYLPTDKTIAMTQPIGRDGVPCIYDLTVPTLSKLPEY FREHHYLMPKEYTRSPMRWAVGQSQFEWLAQRKHHQALFNSYMSSRRQGKPSWFDVYP VERLTHGALEHDDAVFLVDVGGNQGHDLIRFREKFPDVTGRLVLQDLPKVIASAPAGE QVEAMAYSFLDPQPVKGARAYYFRAIFHDWPDYICHKILVNTISAMNAEYSRILIADF VLPDTGAALLQASIDIQMMSIGSGMERSERQWRELLGAAGLEITGIWSGSPGMESIIE AVPIRAASRL AFLA_105180 MTDGWCNRTAIDYKPRMTSTVLASLLEGEWLWIRVGRRARHGWC QQLQELRAVVPWPGQRTCPNGLWSSRWIDAILIAITIGPFRESPT AFLA_105190 MGIPQYNESSDDAAANITDPEQLIARFGRLHVLDDLIRLRAADP VQLPILAYPKPSNDDEASYEYFTGQDLDCMVDQTMSTLIDCGFKPPRNDGAVVALFTL SDLNMVVTFFALSRLGYTVMMVSPRLSAAACVSLLDMVGCDTILYGQTPSIRATMGEI LRLKLVACRPIIQRPSLDAPQETDVLVLHRTRNPEVQKQKIALILHSSGSTGLPKPLY LSHKAIMTHPMRGPGLTSFNSLPWYHLHGLSTALQAMYMRKTAYMWDASLPLTASSVT SALEAAKPESVQGVPYLLQLLVDSPKGLDALRQCKLVTYGGAPCPDELGDRLVAEKVH FGGSFGLTEAGLVAESLSRPSGDPFWNYVKFFENLRPFIWMKPVGTDLYECVYLAGHP ALTASNSDEPPGSYHSRDVFTPHPTIPDRWKYVTRLDDRLTLVNGEKVLPLPIEGSIK QSPLIQEAVVIGVGKSVPGLLIFRSDEARSFTDEQYLDLIWPTVEDANSRAEQFSQIS RDMITILPVGSICPRTDKGSMIRAQIYAKYADVIEEAYTKLEQTTDGTLKLDQSNTVA HVMRVCREELGFPISSPDSDFFSEGVDSLKAIHLRRLILRDFKITDSKTIGQNVVFET GSVSRLAEYIQAVQSGQDTEVEDEVSLMPGLIEKYSTFRMHTPNPSIVSNSRSVILTG ATGSIGAHTLFKLLNDDTVSAVYCLTRREQPKEEILDALAKKGLEVMSFRTKKIIALN SALDKPDLGVGKEMLAEMQRSVSLIIHTAWPVNFNLPLANFEPHIQGVYNLIQFSLSV HLPAPAVVLFCSSISTALGAPTSAIDEAPLDDLNSALEMGYGRSKLIGENIMSNARKS GARSFSLRIGQVSGHSKKGLWNDSEAIPLMIRSALTLKALPQLDTTCSWIPVDKLACS VLEIAKACSVNTLEDSGGDATTSQHIDDTIYNLSNPRVFTWSDLLDALRRSGFDFQTV PFHSWLQMLRDSESRGEETINPAVKLADHYEAMYGEEAPPPKTFVTEKAERDSNTLRN GRLRIIQDGILNRYAQDWLRRWKTT AFLA_105200 MSSSSFVWTVGSIALSSLITPTIADGSGSRYQLTEAWQGEKFLD HFKFFSGSDPTNGFVTYANQSYAESSGLIEVTESGSFYMGVDYKTKLSPNGPGRDSVR IESKEYYDEGLYIIDLQHMPGSVCGTWPAFWSVGPNWPYDGEIDIIEGVNKHEANEIV LHTSGSCSLSSENDMSGTMSSSECGESSGTIGCVVKGQTGTSGAPFNEKNGGVYAMEW TSSFVKIWYFARSEIPQSITEGNPDTTAFGTPMAHLQGTCDFGERFKSQKFILDTTFC GDWAGGVFGDSGCPVSDPSNPIQSCVNYVAENPAAFKEAYWEINYIKLFQTGTGHSTA SIASQAETATAVVSKTVDSVPSVTSTPILETTAPAPETVSAEAPATSSAVPEPANPQT SVAGAETTAAPAPSPETTAAPASPSSDDSEGADAVSETTIYVTETTTICGASTQKGTI QTIGGGETEVSPASSTVESAATPAAPTPTSQEPVASLPGTTVNDGTPVPTDVSPETPA EETAGESGAPTPSAEQPEKPQPAATSIETGIVPPPVSNPAPTEQGTPEGASPVDATES RHVPDEPAPTSAAPIRSPSPSSWTISSSSRVALSSSFASTTSSASRTTSATKEATAPT ETDSGASTGTNPESPVFTAGASKSVGISGLAGIVCGIAMAMLA AFLA_105210 MYVILTIEPDISLRIVVMEETVRLATARMIPAPPPVDIPKSYET LLLTDVKVSHHPEGAPVETPVVVVTLNRPDKNNAFSTHLMDAFEKLYPLFDVHERVKV VVLTATGKIFCAGADLKEPYKPAKERPLDFRDPGSRMALAIYRCRKPTIAALQGSAVG IGIAVTLPCAIRIACEQAKYGFVFARRGLTLESCSSFFLPRLIGYSHAMFLLTTGHML PPTSLHFGSLFAETRPDPEQVLSRALELATEIAENVSLLAWHLNHALMWRNPGTAEGT HIVDSTVIYHMFDGRDMEEGTRSFLEKRKPHFTATLEDAPPNYPWWTEIDTGRRVRAS KL AFLA_105220 MSHNPKNNPVLAVVGVGPGIGEAVSRHFASKGFSVALIARTEDK LRKIQDSINESYPNSAKYYVTDVRDESSVIKTFDSIKEDLGPVHVLIYNAGSRRIRPR TILETSSEEFENFTRINMFGAFFAAKCVLPDMLAAGTGTIIFTGATGSIRGSPGLSSF SPGKFGLRALSQIITREFQSKGVHAAHLIVDGPVQSDIIGGWLRKKWEREGEEEKLKE MHRYVMQPSDLAEIYWFLYTQPRSTWTQELDVRAEREEMFSKL AFLA_105230 MDTPGWQRFFAADPLGVEGWAVSFVFGQNSHIHQRPKSIRLTTS KFIRAFGAQWASVLAWYGPSLPRINVKYNADNYAWFWTNNWFNEKWDWKDNGFDPKYA PENTTNALGGPENISGPGLGIMNPEKNQTTEQKNCHAAANDPREVFCDYLGEPYSDWL KDREKPFTSEGGCELTKQCWSSFGDYAIDPGCVCKCDGEKTPLSDPKCAGFRGGPPGS HSHA AFLA_105240 MTNIRTALRVVTAAMKEATTAALAVTKAVLLAVLEVSMEVLVAS TEVVPVVLVAMVVLVVSMEAAPVVTAALEALAVTKQKTLVVLTTAEGGNLKRIGLPRK HTLHTLHGWKWDWNSTHLSVYNSD AFLA_105250 MAIESWGWFIEWTPAFIGSGMLVGMNVAASFVLGSILAWGVIGP YLVSHGLAFGKEAASADGLVSYFSLSAEYADAQHPSPRYWLLWPGVVCMIAAAFTELF CQWRVIWIAARGFWQALKTRRQKEYTYTTIGGEKNLANEDDIRLWMWLPGLVVIIVVT CFITSVQFEMSVAETLLALTLAFLLSLLAIHASGATGLRTLSCSSAKERD AFLA_105260 MSGYARPTGSQPIEMMDMREVHRSGENETRQRASSISTDEDEEE NDALLTDPFEPFGDVEPATGNILTFRALIVGCLCGTLVNASNLYLGLKAGWTDSANIF GVGYDTDRVLGLTSIIGFAILQPLTSYLTSLGYQSQHFGPQENNIVQTAATAAGGLSN VFVSAIPALYQLGLLTTPSKDFARLVAITAAGGYFGLLSAAPCTQ AFLA_105270 MKATTICSFLLATGTALAMPAKRAEQTITISELFASQTDQNGYV TFKLDDPNYNDVTGANVIWRRPGNPIEGARTSDAAYYVQFPGGVNDISVFILQLQRVN STEKVSFTLNDNGSGHAPGTKWHCNSTESGTQTVKKCNYDGVISL AFLA_105280 MARNSNNRVLFVWITTIIYLFGSILAEPVQYCKYGYKGKEEDGD VDFCMAVAMHENRSSNAYDMYLSMTVTRASDLGWTAIGTGSVMAGSLMTIVYGEPLTG EPPVVSIRTAAAHHQPKPITQEQAGGADVRVLQAEWRAINEDGTLTTLSSSSYAYVAE VSLVCYSCNLWPAKGSGSSKISATATSLPWIWAWNGDQEISALSDDAHLNGHRHRRGN GGWGEFYVDMARSLSTVENPPPVPSIQPGVFSDGDTM AFLA_105290 MALTLITGATGFIGSQVALRVLQAGYRARLAIRREEQADKLRRI FADYEKQLEFVVVPDITASGCFDEALQGVEYVLHLASPLPKPGSGDLVTPARRGTVAI LESAAKVPSIKKVVVTGSVLSLVSLGELKDGLVVREQRTTK AFLA_105300 MRFTTAEDPYSTSKAINEMSSLRAAVYIAPPTPFKTPGKGSGGG LWSPISCTLVYSATEAVLVDTPITIKQTQDLIAWIDRIAPKRKLSYIYITHGHGDHFF GLPLLLQRFPEAKPVATAATVQHMKQQVEEKNYQTQWESRFPGEIARPFVLAQPLPES NEFKLQDRWLFQAIEVGHSDTYDSTALWVPDLRLAVCGDVVYGQVHQMLFEANTTAKR EEWIRAVEKIEALDPLYVVPGHCQEGEVLGRWHLANTKQYIRDFAKVLEKKPKSPREI VEAMTKLYPDRYNTGALIMGAMGYFQALKESRI AFLA_105310 MDGGMQLQTYEGFGDPDVPLLPWDEIYQKVLLSPRFRPSLLESS LATSQLTSLLSASSYPIPEPYYPHGQFPPEGYSAPLPSPNDFLFPGSSADRERVMNLD RRTPPNDTQRPTQNAQKTTKRQLNESTGAPTSKKRGRPRKTLDTRMGEDPEERRRMQI RLAQRAYRSRKEANITSLKGRISQLEATLEKMSSAVVSFSDNLVQSGALSSHPDIASH LRDTVQTCLALAKEASKDGEPESPDTSSHGEETTSSSAGPDGTPTDQNTSPSTHAEQT TPPESGPSKPISPPLSEPLEPSAMDIPLFIEQLHLACVYQGYLVLSNPSVPMSRIERP FRFLFTLMDRPHLTAAFEALLHAKLSQKRLEECYAGVPFFKLGGAGTHYVRSTGQPQE GERPLCRYQQWTTIHDPLARFSPDIRKEMEGDWFDMQDLAGYLREKGVLLFSSAPSET DRKSSRTAINVTRFTQTLISRGICLGRTPGFRRSDVDNALRASVWT AFLA_105320 MKREDITYMGAGPAALPTDVLAQAAEALQNYEQTGLGIAEHSHR SEIAANILNTMKANLTSFLDVPSNYEILMMQGGGSGQFDATVYNIVSAWVEKQRQKIV NELGEASEDDVVRELRKKVESELRLDYLVTGSWSSKASQEAIRLLGPEYVNIASDSRK VNDGKFGKIADESTWKLSLKPTMVYMCDNETVDGVEYPNFPKVLEPTGSEEEPFVVGD FSSNILSRRIPIKNYSIVFFGAQKNLGCAGVTGVIIRKDLLVSCPPTILRKLGLPIAP TILDYCVTAKNNSLYNTLPIFDVYLAGQVLKKLLATFPDKVDGQQAEAQKKADMIYEA ADAYPEVYKVVPDKSVRSRMNVCFRVIKGGNVDEAEKAFLKGAVERGITGLKGHRSVG GIRASNYNAIPVSGAEKLVAYLKEYAQA AFLA_105330 MTIIAVAGGTGGVGRTILETLVQQAKHQVILLTRGVPKSDTLLD KIKQVQVDYNDATALARTLDQHEVHTIISAIGIISDETSQSQLTLINAAAQSSATKRF IPSEYSFIQTEDLLSIDPSIKYWLAAAELLKNTTLQFTRVIPGFFMDYWGMPAVRTNL QAFTFGIDISSCQAAIPGDGNDVICMTYTYDMAAFIVRLLDEKDWPEFSVIVGSQTTY NQLLQLAEELRGKKFQVVYDSVDKIKEGDVTIPPMPSDTGYSVEELKETTALVSRLTI SGVFDLPRENRLNARFPDIETTKLKAFLEKAWGISR AFLA_105340 MSTPKAPANETAEYIEDKTYENVPTSLHLGNDLNIYLTLEQDME DRGRIPASIPKDHYIHKIANEHLEDINDEHLDYLGDLDDGQ AFLA_105350 MDFWSRLIGGSRSLSTKTYRASSPTERLTAFKRTCNTLQQIWRS TNSPSGDQSATTHARNCIDRLNSVLSDESRGPAPHPCLAYAASSQIFVTVTKLALSSY DDGMLRSATVFFNTLIDSEVDGVVDNRLFARALVDLVRRADKHSEDVEGRLVELLFGV ANNIRLQPDILPAWFAPRSEDQDREQQTNTGTEFAGATRKDDFPLFYLLVEYVHHGGR AGDFARTGLLYLIETASRSKNLERWLIESDLATLMATGLGALYSQLGSLSFDATSDEK MPHIVALSDHAKQETALPPALGATSINQDDLVHRILHFLLASPSDMETSPTLKVNMSV SRRKSLDVLAAFSEEAAKPSPSLFNLRDLALLGLQSANRQTVLATLRLMAVILQRHHT FARSLIRTVPGQYAGQRTVGQLNAELEQLTEMATSIVEDPTLNDSFEDYLKDASLILE SHLYIPPAETGLEDDRPLEIRQEDPIVQELLNCVETFFTNSVIVNLALTEVLMSIASS HLISLDSWLLVDPSKYVYDDQTTAQDGPMEILDQIKLAYQEPSLSSSETPTLTSALQK LVQKIQQWRKDVPDFDILVAARRDLLHHDDPAKDTGRLQQPFEASTRLPSERRPLKMP LDSDIGSPRGRSSLVQDLPGTPASRQAAVGSPLRGSSLRSPDSRESSSPRMTAAEELR KRLSKPFQVDHPHKATMAGEEPEPAADAIVEEEAESAIADEEPRSATLGHVLTNVVIL YEFLLEVSATVQARSTLFGEAGYPGVGWSAPLNEELM AFLA_105360 MTDQDNSYRPRSPDFSTLQSPIPSIPQPIYSFANPLVHHRASYD ASRYFTPQYHPVPPPPPRQASQQYIPPFADPIVDPDMARRSSRIARAAEVVPMPETKY VEPTYVEPSYVEPPYVEPTPVLPEEPPQSNPTAGVEVKTKFPVARIKRIMQADEDVGK VAQVTPIAVSKALELFMISLVTKAAKEAKDRNSKRVTASHLKQAVVKDEVLDFLADII AKVPDQPAGRKHDDDGSDQNEQPKRKRGGRRPKDDSD AFLA_105370 MAATDQPSGLMDIASSLTQDEIPFKLRCAICNKLAVNAFRLPCC DQAICESCQASLPETCPVCAHTPLSSDLCKPNKALRTTLKAFLRTEEKKREKERQSAT PAATNDATSVQGTPAQQETPAVSDIPETKQEEALPEVAPTVEAPSEEPLVGGDPSEAV TEQTDGNHEVPLESQPPGEVICIPHNCKKGAQILTSSPRQDEQTETVPADGTENPDAM QQTGSGEGEDADDAKLAQESEQDMTQDPGSGQMLPNGMPFGMAPGMFPMGWNNNNGDF NPMSQFMGNGMFNPMGMAGMMDPMVANQGMFGGYGMNMNGMNSGMNFDAGQGMYGGWD GSQNNMWNGGQDKFNPNAFANGMGPQYGVPSGFGGYNMSQPNGVHPQMQQQQFPNQDF QSGYYGPGYGRGNFRGRGRGFYPGGRGRGGFTGPMQANYPPNANYPAFNSPNFNQDMS SQLQEGVSAEMSSGDPNGTEATNANDENAPGGDLDGTKDPSLNENAADVGDNGEASSA KGPTMEDTTGPEDSGLRGIPTIDSLDQANAAQGMHNGPMMPGPMGPGFGRGYMRGPFT GGRGGPFAGPPFMPGSGMPAPRGPGVEGAPAAPRAMREGLPNTSVLRQRNFQGPGRGS VLSVRPSDASQSTTPAPQEDHRPRSTSRSKSRARSASRSKSRSRSRARSQSPGRRHNR PRSASIENGAEDSERRRERPRRPRREDKYDEQSQAEDEHRTRSPSVDSRRSSRRDRER DRRSGRRSHRSHRHRSQSPSRNGDSRGADRLALIPEEKDPGSRSRTPVISEAQESSSR TYRSGKDRTSRRDDERERDRDSRRRDRERDRDRDHYREKERDRDRERDRDRERDRDRA RDRHRDRDRDRDRDRKRSRRDRTESPVDSDYSSRHHSRRIKRSREDEIRDKDRTRDKP PSSSTKTSEPEKDPHTLEREARNRERLLKEQQRREAMHADRDVGKPSRRRDSRQERTA AGGRRLTYKYEDDESDAARAARVEKEREASRWA AFLA_105380 MSGRFVRSSKYRHVFGRNTRKEQCYDNLRVSANAWDSNLVKVNP KYLSVNWAAGGGGAFAVIPLEERGKLPEKIPLFRGHTAAVLDTDWNPFNDDLIASGSD DGKALLWRVPENFTLRPDVDLDHVQDIAPVGKLSGHPKKIGHVLFNPAAENVLATSSG DFTVKIWDIEAGAAKLTLNVGDMVYSQSWSANGSLLVTTSRDKKLRIWDARQERPAHE VQGHSGAKNSRVVWLGEHDRIATTGFSRMSDRQLALWDMRAPAEPINGFKVLDSISGV CMPFWDDGTQCLYLAGRGDGNIRYFELENDKFEYLAEYKSADPQRGIAFMPKRGVNMH ENEVARAFKTVGDTYIEPISFIVPRRAETFQDDIYPPTVGLTPAMSPSEWFAGKEAIP PKISMASLYEGEGLKEITGVQDKPTETLGAPAAQAEPAPKPAEPTPVKKAPEPEPTPV HKPAPSMKEQGASMAAMVNKFADEEDAEPAVEESSFDEAPKPVEREARTVESASPVKT SAWHQREESKSQTASKPSTPVPAQNNDRSPAASTPVDTSSGFNIPEFTNTAASALHGE IQKLTHMVGPLLNEVHEQKQQIESLTKTIESLETNQEKQIQSLNEKIVALEARLS AFLA_105390 MVIQLPGQQHRWIPIMRKTQAFWGRERVGDNFTLIPLISQFSQT RHYQQAYMHMSHKVGRELSGILLKNMKGPCDLITRKPPPVPQFLTKDSMITSFNCSTG AEWDQETREKTMEEFFNAFVSRVSQAGQESFGLKETVELYKSRGNHPGSTNRL AFLA_105400 MKARIGTAEGALHDAQREHELAMDEFSSRQRLEVETVRADSKKK LETIVAQHEDQLCELKRRFERELDDEKASRLREINQLTSQTALDTQRSQIELDRKDRE IASLQNDVQALQQEIERERKSTQGLRQNLDTASSNSVTLESSIRALKARIEFLESGRE EQSQAFERLNQQMMDALAETNATKDKLRKEETLRRKLHNQVQELKGNIRVFCRVRPSL ETEPQTGIAQIQYPDASEECKEINVLGLEEKSSLGAVTKKNNNFAFDRVFGPSTQNAE VFDEISQLVQSALDGYNVCIFCYGQTGSGKTYTMSSLDGMIPRAVHQIYETATSLKEK GWRYTMEGNFVEVYNENLNDLLGKAEELDKKKHEIRHDMQRGKTIITDVTTVRLDSPE MVENILKRAAANRSVAATKANERSSRSHSVFILKLIGENDITGERSEGTLNLVDLAGS ERLSHSGATGERLRETQNINRSLSCLGDVIAALGQGKDGGHIPYRNSKLTYLLQFSLG GNSKTLMFVMVSPLQAHLAETLTSLKFATKVHNTHIGTAKRQARVRDC AFLA_105410 MNMDAASASEWWRDQVVFLTGGTGTLGGCLLYKLAIQLPTKRMF VLCRGSIHSALEKLERSMPDEINEVMDSGKVTFVVGDLSKPSLGLRAVDRAQLQQQVT VVINSAANVSLRQELQASMVDNCLVHLSLVDFVSSFAHLKRLLHVSTAYVNSFLPGGT VQEKIYHLDNKAVTKERDNFLQEVQEIVSTGQTRYNMLEFPAPYALAKYLLEQLLLDR KDNQHYSTLIIRPSNIGPALQHPHRFYGFDLKIPLHSYVQSLLRTDNHGIEHFCSIVD PKCIIDEVPVDIVANVSLLHLASGTTDIVHATSQLYVPYSLSKVASLVASNMSQTTSM SICQRGGVVLSPKHAEAFFQMLARFNRGWEFSCARSECLRQTITSGPLSLRLDWHDPE EFMRVRIRMLVHKFQKLLFNTSRGPDSALYGECV AFLA_105420 MHVKQLILSLFLVASCTSAHPNQAATTENLALIAAYQQAVESAT QALNSYNGGVKGLPELSYSMYSTRDISKRISRHMEGTQRYTDGDSAAICNHTVATSGA LVDLLNLGKTKLPLIRQASYGAVAAKMVSHFHQEDMYLLEGIQARMNPNDAATAREAF VGIQDAYTALLRSSA AFLA_105430 MKTSAPAGDAGRTFTGELRFYWRHFHVVHFILVAVSPSLIVFGI QQVTLNWKTALWSVICYFIYSVAITAGYHRLWCHRSYRASPPLRYVLAALGAGQFQWS IIWWTRHHRAHHRYLDTDNDPYNARRGLFYSHVGWLIGYNPEMWGSVDISDVVNDQVA VWQRQYYPILAVVIGLLLPSAVAQIGWNDWQGGFVYAGLTRAYLYGQTTMLVNSLAHW RGGQSFSTTNSARDNLFVALLTSGEGYHNFHHRFPSDYRNGVHWYSFDPSKWLIWSCA RLGLASGLTRSPDREIERAILDVQRQQSADSNAGALKRRPSSSVGHHPFPTMDLTEYE RQTRTGRCLIIIAGYVCDVTDFIHRHPGGQWFLQEAIGGDASVAFDSVGHSEEAQEMV RSMQIASVHG AFLA_105440 MSPRAIRDLLCRRSKDFVKPRVAEKIFSTVGARGLVASNGNKHR LHRQQISAAYTPCNVREQCPIIVEQALRMAESMDAENKEQGRVYLWDILNRAGLAIVC KTQLDTHFDPIRHETTVKKVLERFLFGISLGTCVKALMCCALPESIQTIVQPQVPGLA DLKCFVRRIITEEKKQTQTRRRMSGKRATLLSCLLEGDIFGDGERVQQSVDFLLAGSA TVTFTMQWALFVLSRDPDLQGKVRNEVRQCLPSPTTTRLDEWSHIFLKRLDDGLPSLQ AFCNEVFRCYPAISLTGREACCDTTLAGVYVPKGTLVLLSPPVANQNPEWWGPDAAEF NPARWLNGDGSFNSSAGGNQIHNRYTFLTFGQGPRSCIGQELGRLEVNIMVAIMLGKF EMQLADEQKEPVVMGILSPIPRDDVVVHLRELHGW AFLA_105450 MGSYIDQTDEGLSPVAVVGMAMRLPGDIRTTQAFWDHIIQKRNA SGSVPSTRYCADKFYHPDRSRSTRTTEGYFLSEDPAYFDAAFFSITAHDAARMDPQQR LLLEVIWECLENAGQTSWEGTDTGCFVGTFGEDWLDISHKDPQYIDRYHALGTGAFAL SNLVSYVNDFRGPSLTIQTACSSSLVAVHEACQSLITNSCSAAIVAGSNLILTPTMTA TMSNNMVLSPSGVCRTFDAAADGYGRGEAINAVFLKRLSDAIRDNDPVRAIIRSTASN CDGRSSILSTPSPESQMALIRTAYRKAKIDDIADTGLFECHGTGTIAGDKAEATALAG VLGGKGGILGAVKPNFGHSEGASGITSMIKAVLSLEHNIIPPTALFETPSPCISFEQD KLVVPTQVMEWPEHRRKRASVNGFGIGGANAHVILESCSEFGIQGEADTEETPINTAE SREKPWLLVVSAKDKASLGSRISQVAEYMNKHPGSLQDLSYTLGSRRKHLPHRAFAIG DGQVTVGASDFRRSEAKSPTNIHFAFTGQGSQWPGMGKALMQKYPGVRSDIKLLEHAL AAIPNPPSWSLEEKLCELDGSETNNPEFSQPLSTAIQICLANLLATWGIKPASVVGHS SGEIAAAYSSGAITASSAIILAYYRGKLASCHKGTGDMASVGLSQRDILPYLEDGVVV GCENSRQSVTISGDKGKVNHIVNRIRDDFPDAFCRKLHVDIAYHSPYMAALGPQYESM ISGHIELSKARPMVPMFSSVVPGKITDPCTLDAGYWRRNLESCVRFHEAVKMLVDEWG GHNIVVEIGPHHGLSGPLRQIFQEYKPRCGLVYIPTLTRNDPDCETQLLSVAGRVHSE GAPIDFLSIHGPGRVVTDLPPYPWQHETRHWNENRLARDWRLHGESYHELLGFRCTEV TDLEPSWRNILHLEDVSWLSEHVLQGQVVFPAAAYIAMAGEAIQQLSRTRQGYSVRNV IFKSPLILDDSASLELVTSLKRVMLNDLTESDWYAFSIMAHADDGWIKACIGYIRPGA KVNDESTLREPSVYSRSVSSERIYRAFSNMGLFYGPRFQGLRDITADPCGGRSAATVN NWEEDFRSHYTVHPTVLDQCLQLIGVAGSAGLERRMAQAAIPASLESLCVTNCSKNVD LKMEAVCEVAPNFQCSTTAISEGKAVIVMHGARFFNMGYTQRNETGTHVPLAAQINWK PDINFQAPQDLLPATPFSKTAELLVQGIARLSILYILETAQKIRDVEPRVPHLHKWKN WVMKQASGISEGRQELFPESYEWASWTSAQRQKYMEKIYLSCKDLDEDGGASPACMEA IFNNCLDIMDGTTSAMSLLMEGGLIEGFYRTPQKVCDWDRFLNLLCHSNPSLRILEVG AGTGAATAQALQSLNDNGIPMYAKYTFTDISPAFLRAAREKFSIVKNMEYKVLDISQD PKDQGYNSHEYDLVIASNVLHATPKLRDSLVNVHELLAPNGRLILHELQPDVPMVDFI MGTLPAWWIGEMDGRRDAPYVSPERWGQELRDAGFQGVEAVMHDLKPPFQVSYTMLSS ISGSSSSKMDVTLLISESPGEWAGIVFQELTALGYNVRWATLHDKPVENNLIISLLDV EEPFLHSLSSQRYESLLRYLLNIEGCRLIWVTRLTQYGCIDPNYALVPGFARSLRREL SLLLYTFEVDVFNTEAARALSNIVSKAEEDHNKPHGVHEYEFILYDGCVHIGRCHWGV QKPEKRNTPMPSQSDGVMKLTITTPALLDTLQWVKAEDSRLEDQDVEIDMQYIGLNFR DIMVSMGLVGSKDQLGIEGTGVIRRIGNGVRDLLPGDKVIVMSSGLLRTRVIIDRKRC FKLPRGMSLQDGATMTSVFATAIYSLLHVGQLRKGQTVLIHCACGGVGLASIQICQLV GAEIFATVGNEEKVEYLVKHHGIPRTSIFNSRNASFRESLMKATNGRGVDIVLNSLAG ELLHASWECVAQFGKMIELGKRDFLTNGMLSLNPFAGNRAFFGVDMLQLTQCDMEVFN GLVRQFEAWYVDGKIQPIQSVTHFDASKVSEAFRFLQRGTHIGKVVLAMPHDGISLPP GPDSQHHVEFSPDASYLLVGGLGGVGRAISTWMVENGARHLTYLSRSAGTNPADKAFI KELQELGCSVECVRGSVAIIEDVRKAITRCRMPLKGVFQMSLSLEDRPFPDMKYDEWK AALAPKVQGTWNLHDAVADIQLDYFVLFGSLVGTCGRPHQVNYAAANSFLEGFSQYRR QLGLPCSVLSLGPIEEVGVVSRDPKMLQTMRGAGIWLLSEAELLEGLRLALLECQFPS SNLEAPSKVGTLPDKVSAPLLVGLGSTRLIADSSPLSLWGDDLRFSRYAQLTTSESQE GSQSRNSALRDLIAGFRADPSTILREDARALLKQELCLLIATYSVAAQEIDAEERLQM QIDSLMSIEIRSWVRCNMQLDVSLPDISKAKTFGGLLDLIMERLKAKYLSSSN AFLA_105460 MKFISVVALLAPVVLAAPQARDDSGWIALIEEQCPNMSEQCVGI AKEAHQPQSDIAQVTQAMPTCTPAYLECIQLISGDASVLSQQ AFLA_105470 MTLKEMATQEQGSQGTQQSHSMTKRAVVSSFIFRFPPGQPTKPS VALFKRSEKVRTYRHHLAPISGSIDPDDSDPLAAAWRELCEETSLTASDLSFWRTGKP FTFSDPSIGREWTVHPFAFRLKDPAEGGQGEEAIRTDWEHEGWQWYDPRTVLEDESLN TVPRLQDSLRRVWFEGITNERAGRALATGLERLRADHESGAHELTAIALTVFRDFIVY TQNHLDAEWWEMIRMAAWHLIKNGRESMGAATQNAILSVLAEIEEIMEQKTGPEQKWD RILALIDFHLRNRADTAQQVKQSFISYLQSHFSSGGTQKDRLTMLTISSSSTIRDSIL EAYDALGIKTLELRVLESRPLFEGASLASSILSTFKSQSKSPDKHLHITMYTDASAAL AAKDADIVLLGADRISATRGVSNKTGSLPLVLSAKHVTPDVRIVVLSDMEKVNGFAGV IDDELTEGNDPFEVASAWSSDGVKGIQVLEKGVRGSKSEQENSSVTVENTYFEWVPLD MVDAFICEEGVLEKDSIQEKSRKLGERADRYFGNL AFLA_105480 MPTYPGSCYCRDIEYELSLASPDDARTSLCHCKSCKKAFGTNYG LTAKIPKDALRLIKGAPKEHVADNGSGSLIHREFCSNCGSFICEYGDAVKDQFRYLCV GSLDDPEALPPKGEFFCSSRANWMPEIPDVFHKQKIKE AFLA_105490 MEEEYTRIADIIRHRAKTHDKKRYLVAIAGVPGSGKTTTATAIA QRQTRIQTELVSMDGFHLSRATLDQLPNREEAYIRRGAPWTFDAARFIAFVHQLRQWT DTFSDETIYAPAFHHETKDPVEDGVMISSDASIVIIEGNYLLLDEPEWRDVARLVDYR VFVDTDLQEARDRVAKRHVSAGIEKTIEDGYRRVDSNDYLNALTIRDKLIQPDMVVRS ITEVSS AFLA_105500 MVHIRLASVALLHSLLLTYGLAEDTKLSESLVGCDEVSCPKENA HDRCTVGEKTFLGIGLSRIPNVPSTLEGLSLIKGVNISEGGEGKRKFNSAYYLGTPSE LDVNDLSGCVVTFNDPPEKQFDGPVKTGKDRNITDVQAARGTCPDIIEQECIDTLTER ARSVTKATSNGGVCATLERELRRNAFEECRDLGGKGRGLGNFTVTSFGNLSTVRNSTD CWPVKPKSDDLALMTENTAVGNNTAASMYHEAWKITPVLTVFIGKGNNSLVEKASSQM TCLKVVTEENPEEAENGSESSASMLSTNPRVAGIAVLIAAAWVAL AFLA_105510 MRIAIVGAGGLAIYISEEFPKHGHLVVILTRSEKEYFRNRPNIT QVITDYSVPSIVTALRDCEILTSVLLSYTTEFIDIHLSLIKACRLSPKCKRFIPSGFF GDIENYPDLPPLYSEIREPIRKILRQQTEIEWTLVCNGWLVDYIVPKGNLYLMDIGEA FPIDIIRNRIVIPGTGKDAVDVTSTRDLAIALALLATAPVWKPYVYVSGTKRCWNDLA LLVQERYPAMREGKRVGFAQILGTIQNSTDEEELLLAHYQIYVPLGAVSLDPEKVEAH RRKFFAGLEFRSPRQLIDEVEEYTDKVVLS AFLA_105520 MSSIPTQNIYDDPKFFKAYSTLPRSQHGFDAAPEWPVLQEMVLK NNKSGHSGIENEHVLDLGCGYGWFTRWARENGAKYVKGMDVSTKMIERAKESEQDLRE KGFIPPSYGTLCYEVGDIETVSFSASEIDSYDLVYSSLTFHYIEDFSKLLQQIRLCLK KGSPKDDSRKGGRLIFSVEHPICTAPVNPQPDWKVLPIEDGDGVGRKIWPLNSYSDEG PRVTSWLGVDGVRKYHRTVETYVTALLQNGYVLTGLKDWVPSEHDVEEHPEWKDERHR PYFLLISAEIHSDY AFLA_105530 MLSSMTLTMKRSTPDNLESGDNLKSYSCLTCRQRKVKCDRCTPC SNCVKAEKQCSFIPPMRGKRKRTKPPRESLHAKLRRYEELLKSCGAKFEPSGDFDDSE SETASQPDVQMDEDAAPPSQRFNLEETKPKLIIDKGTSRYFDRYLHHPEVGEPIDGSN LQDGGLFFEPEQIDKPENLANLHLSLQTLSKLKDIYLDRVDPMIKILHLPTFWISATH RLSDPQSMPTDLEAMMFAFYLATISTLKEDECQHLFGVRKSVMHSRYRMAARQALMNA GFLSTSSPTTLAAYALFMVSCNYQAFLIFSSHKKTCIRKSYKCDTLFVLSGVAIRLAR KMGLHRDSSALGLSPFESEMRRRLWWHLAYVDFRLADVLGTKPSLDLSCSDNQMPLNV EDEDLHPDMVDPPLERRGITTTTPCLIKYVIMESLRKFSTSCPSELRWEALSSPDVTI MKKDSIIGHIEDQLEMKYLRYCDPSNSLHTFVSIMIRSSICKMKLFAHNPRQFANNPI KVPQDERNIFFDNAMKLLEYVIFMQEGSHGLGKYKWHFGTSVLWNVILYVLIEIRHRK TGSDVDKAWRLIGTVFSYYPQVFDESPGPVYIALGKWTLEVWDDHVAALKADGLPEPL TPDYINAIGHCRRPAIESPSRAKVQAVAPAPATRETVAQDRIHSPNHEGNHAEGGALD IYDLPNLLSFEMDPNEWIQWEQLVAEQGSFAQGDSM AFLA_105540 MIRTSPNGEEQPPTSREDCKSNADDIVYPTGLRLALLLMSIFIG MFLVSLDRLIVSTAIPQITNEFSSAGDIGWYGTAYLLTNCAFQLVFGKIYTVFSVKPT FLTSVLLFEAGSALCGAAPTSVAFILGRAIAGLGGGGILSGVIVVIVYAVPLHKRPKY QGIFGAVFAISSVTGPLVGGAFTTDVTWRWCFYINLPLGGVVMVLVSILLQVPDCSDI KMPLKDKLRQLNVLGLVALIPGVVCLCLALQWGGTTYAWSEGRVVALLVLALALLVTF ALIQIWKPENAIVPPRTFKQRSIASGFWVSSCLGAHMNLFVYYLPIWFQAIKGISAVD SGIHLLPMLIPVVVASIITGQLVSRIGYYTPFMIFGVCLTAIGTGLLTTLGINTSAGK RIGFQIIYGYGIGSCSQAPNMAAQTVLPRRDVAIGASLMFFGQQLFGAVLTSVGQDVL FNQLAHHLSGIPGVSPKLIQNIGATEFLNRVPAEDYAVAQEAYNDSLRKCFQVGLIMA CLSVLGALSMEWCSVKKNLPSKERDGQQASEEGKC AFLA_105550 MASKQARNYIRYIHTPTRTRTSRIPLAYELHTPKHANRTQSDST TRNPIIFLHGFLGSKRENRGVGKILAQDLSQHVFCLDLRNHGDSGHHPKHDYMEMAID VEHFITTHGLNNATLIGHSMGAKTALTLALQSPDLISKVVAIDNCPIHLDLTEEFPRY LKAMEEVQDARVKSHQEGDKILSKYEDSPSVRLWLLSNFVRERDSPHLKLRVPLDVLT TAIGPLGDFPHKGKFVQFPKPALFLRALQSHYIPESSFPVISSFFPRSRIVNIDCGHW IVQERPEEFRQGKLVPCAVVQFLREKDKSDLLDL AFLA_105560 MVLPTSLYMAGFAIGPLIFGPLSERFGRKPILVTTFAIYNLFTM ACALAPTFPALLIFRFLCGLGGSAPNAVLGGLFSDIYNDPHQRGMVMSIFMFATTFPP LLGPIISGFVSTVSWRWTFWAGFIIGGVGFPLVLVMPETYMPVLSRKHEKALGQSHID LEAAKPHLQGPKLSDNIGVVLSRPFTMIVREPIVFCCSMYLALIYSILYLFFQAYPIV FQGLYGMTPGVAGLAFLPILPGSILAFVLFCLYSSYHTKALKNGLAWAKVEEYRRLPL ACIAAPAIPIALFWLGWSSRSSIHPVMPMMSGVFFGFGYLLIFIALLNYLTDAYKQYS ASASAAASTLRSIFAVCLPLATTPMYTKLGINWASSLLGFFAIAMAIIPFVFIKYGSW IRGNSKFAQRAAQGYTTS AFLA_105570 MAPSAIESQKTKPEAPVVTEKQAGQPLDASKLIYTYTTNPRDVP DETTAHSGDETICTDHMVVATWKASTGWSAPELKPYGPLNLMPTASCLHYATECFEGL KVYRGYDGKLRVFRPDRNAARLNMSASRISLPQADADEITKLIFALLEVDGAKWLPKE RAGSFLYLRPTLIGTQPTIGLVKSKQAILYIILSYMPRQDTPPGGMRLLTSPEDMVRS WVGGFGYAKVGANYGPSVLATQDAMQRGFHQILWLYGDQGECTEAGGSNFFVVWHRKD GKKEIITAPLDDRLILDGVTRRSCLELAKERLSDELKSLSASILLVS AFLA_105580 MEKFTQFRDRGSGIAPFLPIPPQPAGFQLPLRVFLFFFRLPLFI FVCVSYFLVLQWLPIGSLGKKASLWCILGVPSIWWIDLQVDGVRKGSLSKQHQARLPG PGSVIASSFTSPIDAVYLAAIFDPVFTASYPNSRKVEQISLFQAILRAFAIPQANPPP KARLVDLATLVKKNPGRPIVTFPECTTTNARGILPPSPSLLSVAPKTKIYPVSLRYTP ADVVTPLPGSYVSFLWTLLSKPTHCIRVRIAEGVVSGVDGEGGFTTRKSTYDTNYLDT LDKDSTYGSLDTEDAELTRAEKALLDNVADSLARLGRVKRVGLGVPEKKDFVRMWTKT RRTW AFLA_105590 MGLRRRKEKLQPAQGASSVAHTRSTSLYMIPTAASTVHSLSTAS TIYSHDNNTTTSLSLSGTTLVTEGSFTESLSQYEAQDSISRKQRARRSLAKYGSYADL SNAISQTKAMASDSKLKARSSVINLEQQMREYVTNVTHSSVDLYGTMLRKLDHMITSM DEGLFRDKEDMVTAYGDEVSYTKTGTEKEVKATSTGPSFFSKTYLYHNSRLPAHLPPV QILPQTYALIRLAAQYSSSAYKKPVELTTTSNPYVSANIRQGTKAMVIKPLASDDLKS IVVAIRGTQSFRDWAVNMKTLPTAPENFLDDPENLCHAGFLTVAQRMVPSVAAYLRDL LTEDPNRASYSLTLTGHSAGGAVASLLYCHLLSTSVSSELKHLASFFGSIHCVTFGAP PVSIRPLFPTKSPASLGSMFYAFINEGDPVPRAEKPYITSLLNLYMSPAPFSLGTSRT YPFVSRKDKPAIWRVPPATLHLAGNVVLLRHQSQDPVLNLPHGANTIQKDEIEACQIP SEIIHDVIFGDPIKHWMGLYQERIEHLTRRSQEPPPYQP AFLA_105600 MSTRDSSAENPFSAEAVQDHEYMARYAGQRNDPFIASSERIHDN YLLMREMTRYGKTASDMEAFKEGAIPTGPWETGEGGAQPIGATRTETLKRGLWSRIAG ALVGGAFLVGPMWLLALKQELYLQLGVTTGCVSVFGLLMAWYLSSLEAVFAASVTYAA VLMVFIGVMIQEKGGK AFLA_105610 MLLSQTRGRMPSALRSLSRRSAVNVRPLSTTLPKQKASSSEDDN ALNKVSRHVTQPISQGASQAMLYATGLTEEDMNKAQVGISSVWYSGNPCNMHLLDLNH RVKQGVEQAGLIGMQFNTVGVSDAISMGTKGMRYSLQSRDLIADSIETVMGGQWYDAN ISIPGCDKNMPGVLMAMGRVNRPSIMLYGGTIKPGCAATQNNAEIDIVSAFQAYGQFL SGDITEPQRFDIIRNACPGGGACGGMYTANTMATAIETIGMTLPGSSSNPAESRAKDL ECLAVGGAIKKLLKEDIRPRDIMTRQAFENAMVVVNITGGSTNAVLHLIAIADSVGIK LDIEDFQSVSDRIPFLADLKPSGKYVMADMHKIGGTPALLKFLLKEGLIDGSGMTVTG ETLAKNLEKVPDFPEDQKIIRPLSKPIKETGHIQILKGSLAPGGSVGKITGKEGTSFT GKARVFDDEDDFIAALERGEIKKEDKTVVVIRYTGPKGGPGMPEMLKPSSALMGYGLG QSVALITDGRFSGGSHGFLIGHIVPEAAVGGPIGLVKDGDVIVIDAEKRVLDLEVDQE TLAERRKQWEADKEAGKLPPTGLTMRGTLGKYARTVKDASHGCITDALD AFLA_105620 MISPDSSQSTDRSALFAKQPILLHEASATTASGNNGISSVSSVS SGVEGICSPVSLNGEFSPNIKDEMIHQFHPGAPQPLPSLQIRTDLPRTAQLSPSKESD PFMHHSISSSSLPRRTSSLRGFLERPSSGGGSLSPASLLSSPQLMAMGDITPLPSPIS GVSPWKISRRNSQSLSRTPSLLSRNGSSLSLRLSDSSQVLRPSECRSRSKQRGMAEML GADKHSETPSKPRPDGAPKHARNRSLSEYVPPTKAIPIKPRPIAVSGSGVSQGIFSSS STDSKSNNLHREQHLAVHRGIAIPAIRPPTPPRSSRSTSDGDVEPVILSPQSMDGSDE IYSVRSIRSQQPRKYRKLRELGQGTFSQVCLAVRMELQDDMDTGYSSSLQGVNAATQK LVAVKVIEHGPAGGADEERLEVSLKREVDILKSVNHPSLVQLKAFGSDEKRALLVLDY CPGGDLFDVATSGPRPMSPELIRRIFSELVAAVRYLHANFIVHRDIKLESKRFYRGPD AFLA_105630 MSLLLDSRATELTVDKFICYITCDTVYNLCLSPLSSFPGPRLWA VSNIPRQLSILGGRSHLKMLALHHRYGPVVRVGPSELSFNSPQGFRDIYGFRRGQPQF QKDPKMYGSPLTGISNSIGGHVDSDTHSRHRRLLSHSFSERSLREQEGIVVYYVDLFI QRLRERTSVNKIHRAEEDLKSWFNFTTFDIIGDLMFAETFDCLKDSQLHPWIALMFNN VKGIAFLGVLNEYSLFRKMQGALLPKALKQKMLENHKLCAQKADRRLQKGASRPDFVS MQTQSCKHMGPLLFFFFMAANTDSITIAGSETTASLLSGCLFYLCKHKYIMDQLNKEI RTTFSKDEEITSSKCFNLSYLNAVLKESLRLYPPVAASLLRLVPKGGCTIDGHFVPED VTVSTHHYASYRDAANFTFPEQFIPERWLGTDNRFDSDRKDVVQPFSLGPRDCLGKNL AHMEMRLILSKLLFNFDIHLTPESENWVQQKMFIVWDKPALMVRLTDRFA AFLA_105640 MSDYSENSARDYVKYFMVEPPKDNIHPYKTQSSLSKFTMSVTST ATTTAASATSTCHQKLYEIPVKDAACAMPMQGNNSAIMSSCCSSASVVSYSDCDYYCL AQGQNVGDLAECLLKASEPGEVWCNTNANATATGSVPTTGAGTIVATASATGSSATGT KSSTGGTSTSTTNAAGAKKSVGVLALLLFGCTAGVLV AFLA_105650 MTAAPNSIFFTLTNETGFHDAAGRNTSEAYTLTINEDGVEIAGA SPLGAWWGSRSLIQAAVVSGHTLPQGSAVDAPGWTTRGIMLDAGRHFYPPEFLIEMCA FLSFFKQNAFHVHLSDNLYNNVDRYSAQQSMDLYAAFRLWSDGPALAGLNKRANESYT KEQFDNIQQQCARRGVTIIPEIEAPGHALVISQWRPELALDDLSMLNITHPNTIPTMK SIWKTFLPWFHSKTVHIGADEYDKDLVADYTSFVNTMQDYIFKESGKSMRIWGTFIPS QGANVSTDVSIQHWEFFEDNPYFDYIQNGYNVLNSDDAFYIVGKWSGSYPSTLNKTRV FHGNPAGGAYAPNIFDTKNSTNNPPRNDPHVLGHIAALWNDYGPNSTTVLETYYSWRD TLPALADKQWGGSILEDEYDAIFDKIHAAIPGQNLDRQVKSHTDTILHYKFDKDTETI IDHSGNGYDGIIRGCHVQNSTLVLSNGCYVDTPLGSKGRDYTLSFWVNPASQTPGTLF TGPDSTLLSGNGSISNVTLISGDNPYSLNYSLPLDTWTQVNLIGKGNQTLLRVSDSGA ESTSMEFLARLGINGESQVWAPIGIEAPLARIGEGFNGMMREVVLRGSAD AFLA_105660 MSFWDSLSGRKQSKGPEFDPSTAQDATSFLSEVAIPDPTSLHPL AGLNQDTLDYITLEDSALDETPGSRSLLPSRGWSDDLCYGAGTTYLAGLTIGGAWGLA EGMKKVPATAPPKIRLNGVLNAITRRGPFLGNSAGVVAMVYNGFNSGIGYVRGKHDSA NSVVAGALSGMLFKSTRGLKPMMISGGIVASIAGGWALVRKTVL AFLA_105670 MDSQAGSSVPGDAGYQKMEAISQDIQLLPEATLKEISKGLLVKF VLSCEDINKVNRVWQSLLKTFSTASTSNTHTVASCNALSAFLDTALTSKYEGTRKLAF ANETWTAVFDIYMARYKDSNPKGMRQILECLVNLFNKNPQGTDKDVIRSNITETTIPS IILGEPRSRLKASFVSLETLLRKSAISPIEFISMVERWLLENRERWISLLQEDCKALS IDITRLLGSAPSSESKQIVAEILLFRLLTQAKTAELAASSGDLMAAFFLKVKSSGMSD PSTQEISQNLSSIWVAPVRHLALQKLGNLELMSNYTLQPLFKLDPVGFRAFLDGLHLK NLLAGDMSEAPLPELTLLFASLQMAKKVGLVHEDSKWRRCYELVGVNLTMLLDYIPKA GSSTNDAQNALVLKSEMIGQFLFHREHSIRIAALSLLITAPATTKPVSSATIRAILKG LPSMHSESADPYARGEILSLIRKLIPRLKGGILSEHESLAEANISNSKRHPPKFARDD SETQKCLKEYLDLLKDDLRPTASYPRHIMALKTLIHLLESGLDARYAGHIAAKQVGNV TKWRLNMDIFEPSLLRLLVDLLLDPYEEVRATSLTLLNLFPQDVLLSGYLRSRSNGKH EKPQLVDALNRAEQLASNTSRADHADTVARLYHIFFTAADDSSSKVAGSWWETKQGVV DTLLKKLEEKLSLAGGLFNSSMRDAPLHGYVSALRYIVLTPDFHVLISNAQTGYEAWR AVHSRIVAVCDKIWIEVKPVLCIDSPEGHTDEPIDDLNVGPKDILSYSWRALRESSLL LYATLANRTYGPTGELGLTKSDFEMIGVASFTQLAELRHRGAFSTVSQTFATCCLRCG QSSDPEIASLPHNWYQEARKIIFETASKLTRRSAGLPALATGILSSNPGGQLFQEVIK ELLEISHLPVQQDDDNQEMELPQVHALNCLKEIFTNTKVAAHTEPFIMPALNLSAEQL GSPVWNLRNSGLMLFRALLTRMCRRGTGLGFGGNSGSEPGGRISFQKYPGLIQLLSDL LSSSNARNNAEQGDHAMVTERVFPALELIAEKVPNVYDTDDAMLLELVREQLKSPVWG IREHAARVYASLLNRPDILKDIQTLLDTERDLKSQDYLHGKALSIKYALRRFGSASVS FWNGNQ AFLA_105680 MATEHIHEVSAALRQIFATLFPIAESPFVANTLLEILGESVEKS FESGTEEKMLMTICYTYDSYGFQDILEYLFDSSNPNHNSLSKTRASSMLRRSLPWVGV LQMFVTGELDELAPFVKTVSTFDPNAGVWLLQRIQDTIGAKDKYRKTLLQLYPSIILG DYPEDVKGSAISSLASILEDLLDFHHDNFKDVELPWEELDQHINSKPNGEVWNRDRSD AGIRLQGCLLAAKVISNQAQISETDIRRWATKLRFAMEEETEYTTRDAAVTSLTAFAR ALRSKGKAPLVDKVYLEIYLILHDMLSDDDEDLRDMAAGTASWVLSYSSVAPSKAVAL SPLNAGQLLADFMVDNYPESQLLSTKAIHYITGQEPKLSGSVEPTNLATVEAQLAELR KESTTLFEEERQNLFIEEIREVDIWSRRLLGLREAAFNERIVKEASKWVSDGLSYVAD IMANESGMDGLVGWASKPETFTLGVRLISLAAVLVSEEFAAPGYLFEDRNSLREKLQR LLDNGEKSLLHENWVARIQDALGIEG AFLA_105690 MNNNIIIAIIVLILLGITGLVYLYSIRWRSQAKAQLFVARAKAR KRRISESQAQLQPQATTPIQVTVVRGPSRAPSRRPSPRPSASQRPPPPPPTRAASTTS RQGQRGRGRQNERQDNRRGSGSGPSRNQSRVSKNKSKKQKGQQQTGQIVNGGNAVGSG GGDQPAQQECSNQGMDNEWGVGLAEQDNAQYTGPQDEPSQPGPIDDEWRASSEAVAGS SGGPTSPNDDWPNTGDNEGPQEATQSEWKANDAMEQRDNGGQQEASQGTWHSNDAMEQ RPGQQKTDLGNQSW AFLA_105700 MSTQLDAASIARVALHDPAHFSIQHSQTSHRLALLQHWNIPTGS NVLELGCGQGDCTTVLANAVGELGRVVAVDPAELDYGAPYTLGQAQDHISQGPLGKRI TWVQQSPLDYLSSLSSPSSTSSPPASDPKAFDATVLAHCLWYFGSPSLVLSTFRVLKQ HSKRLLLAEWSLVATHPSAQPHVLAALTQAALECRKPKGSISNVRTVLGPKQLTELAL AAGWHLESETRVPGSEGLLDGQWEVSACLSPSFGREVEEQVSDERERAVVLALRDACE ASLEGIPRGREGVRAMDVWVANFV AFLA_105710 MRSRLSSVSIAMFWNLGVFVLMETLNPTRTGLNQPCSHSIFSKL QTYNEEAALSVTRTAQCVLSLPFEKVFNLQNGVSAEASILSYHITPTLVAATFQKAIE AILDMQLHPPCGESTPKDRSLSPHADSTWKQHIDTIMKGLVSLAATIGGALASGMAIQ NLMQFHGDIISDCWSCDFET AFLA_105720 MEFPDILVDTPRPARHDDEIVTSPVTHCSDTIQRPVLLNYQLSI GSLPSSPVYLLNSKLNATILDECLVRIHDTIVTGCASRFIGYECNLYKPGHRYQLEED GGDSPQDQKPVQLDPLSTENLPKTPSSISQSDMSLITSGPSSQQPGSMAQDISHRMTI LGTIRFLDHFSDLYGNRLTVSARRKSDAVLKAVLRAFSLQWLSSADSPTGVQSTTNYN SPIGRDTPRNSPMDAFYDSWFQARSLIKNALSVQSFRVVYAILMFDGISIPAKVSGET LVAHEFLDAGLQKLNCLAGLVEQYCLNLGPHSTYGTIMEASLSVVRWCSHVRDIGAAL TADHVCKLSDVSGNDKGN AFLA_105730 MANNPTIVIVPGSWHCPKHYKYLIDGLAKFNYEAVGVTLPSVNS SPPHASWDQDAQAVREVILKSLDNGNDVIAVAHSFGGVAMSEAVKGLGKEAREKQGLK GGVVRLIYMCAMALPEGQTHVGQIQPQTPEEEELERQRQELQAKYGGMRFTEDGAMLL DKDIIRDIFYNRCDPKDVDEAVELLGSFPTGPLTVPVTYTAYREIPSTYIVCENDKAL ALSYQERMIAQGDGVFHVERCQEGHSPFLSNPTFVVDCIRRAAGENI AFLA_105740 MFRTRVTSAACAAAQKAPAARNFATASPVSSASRNHKVVVVGGG TAGLSISHQLLHSGKFTQDDIAVIDPAEWHHYQPGWTLVGGGLKTKEELRRPMNSLVD PKLKFYNDSVSTFSPEENLVTLGNGDKVNYEQLVVVPGININYGSIEGLPEALESPDS LVSTIYGYNTCDKVFRTVQKLEKGVALFTQPAGVIKCAGAPQKVMWLALDHWKRAGLY DPSNPSSSAINISFATALPAMFGVPKYSATLEALRKERGVEGLFQHDLVAIEGNTATF ARLDGQEKVKKQFDLLHVVPKMGPHAFVKNSPLANEAGFVDVDDSTLRHKKFSNVWSA GDGSSLPTAKTAAAITSQSPILVRGLLSTMEGKEPEGNYDGYTSCPLLTEYGKVLLAE FKYGGQPKETFGNLFGIDQATPRRAFYHLKKDFFPWVYYQSMVKGQWGGPKGWVN AFLA_105750 MNSLRASQAALGSLEPMANSIRATTLRRPLRSLCQHANMRASYT TKTYYLPISADFRRASLKNKLDERRTLSCNNSPSSRSITTKIPQAVARGRASYSTEAT AAGEPTIHAVFETKTGTWQYVVADPSTLAAVIIDPVLDYDPASQAVTTFAADSLLSLV KEKGYKIDRILETHAHADHLTAASYLQSRLTQEHGHKPSIGIGKRIGQVQKLFGRRYA VPPDEYSVVFDTLFDDDEKFKIGNLVATAMHLPGHTPDHLGYKIGDNVFCGDSLFHAD IGTARCDFPGGSARSLFNSGRKLLSLPDHVKIWTGHDYPPEGRATPIPWLSVQDHKSQ NKHLRDGITEEEFVSLRQERDAKLAEPRLLHQSLQINIRAGQLPKPTEAGHRMLHVPL KLGDVKW AFLA_105760 MEDPTQRVVIIGAGIVGTNIADELVSRGWKDITVVEQGPLHMPG GSTSHAPGLVFQTTPSKTMTNLARYTVEKLLSLDCFNQVGGLEVATTPERLQELKRKH GYASSWGIEARLIDAEECLKIYPLLNKEKVLGGLHIPSDGLALAARATQLLIERTRNA GVHYLESTPVTGIERSGRRVTGVRIPNGVIPADIIISCAGFWGVEVGAMVGVPIPLLP LAHQYAKTTAVPALAGRAVNDLPNGLNAERPILRHQDHDLYFREHGGQYGIGYYGHRP MPVVAGSLGLTPKHVDEKNMPSRLEFTREDFDPAWKETQELLPALRDTEIDDGFNGIF SFTPDGGPLIGQAPNVEGFYVAEAVWVTHSAGVARAVAEVLTTGRSQIDLSECELSRF EEIQLSRDYVSETSQQNFVEIYDILHPMQPKESPRNLRVSPFYNRERELGAFFLELGG WERPFWYESNSELLKYLPAQWQPVERDAWSARFYSPIAAVEAWKTRNAVAMYDMTSFH RFEVSGPGSVDLLQRLTTGDITAKPGTITYTLLLNDHGGIRSDIFVSRLSEDAFQIGA NTAIDLEYLVREGRRQEQHTPSRWVQVREITGSTCCIGLWGPRAWEVISTITTDDFSN AGLPYLGVKSATIAGIPVTAFRKSYVGELGWEIQTSSQYGQRLWDAIWQAGKCHGLIA AGRAAFNALRLEKGYRTFGSDMTTEHNPYEAGVASAIKTDKKENYVGKAAIQRLSKQA PTRRLRCLAVNDGRSMVLGKEPVFLNGKATGYVTSAAFGFTVRKPVAYAWLPASVTEG QTVEIEYFGRRIPATVTAEPLYDPQNSRLHADGSSVVPELQKPLKSLL AFLA_105770 MPETIIISSSSQGSQTTLKPTTIFTGEVYFDTLHTDETTSMANV TFTPCARTHWHTHPGGQFLKVVAGSGWICDKGSEPRRIKMGDLIWAPPGTTHWHGADD GSIMTHFVVGLGKTIWLDPVTDEEYAAKKD AFLA_105780 MKAVHIDLEHESMLYDDSLRELPIRQLSSKLVGLHTFKEHRFRI VPERAGEDTDDVGQLFSSCLVLVHLKDIYERCYGDDSGDDERYSE AFLA_105790 MSSPANNPRVPRGPVEAEIVFYKDPADGSAPFNYVEEPPEGQPQ RNYSEAVHKVHITDIRGQEDQFTLDRDAFQVLRDIPSQTTYETFNSDDAIKQLYYPEV EKLLLEKVPGAHKIIIFDHTIRRADPKASRQPVRQAHGDQTKWSAAQRVRRHVPDPEE AEKLLQGRYRIINVWRPINGAVESFPLAFASAATLGDRDFAKIEHRYPHFSGEIMGVR HNPKAEWKYLSGVDDDERLLLKCSDTEEGMAERVPHTAFVDPRTAPDAKGRESIEVRT IVFG AFLA_105800 MTYYHKGFSRLNEMSADERRILEAYSPNNVICGAIWGSHESPLT AAIIMKSTQNVNILLEAGADIDGISAEDLPDYSVRILRGLDADIDISSFGSVPFRAQL LATAKAKGVEYQTAPLTPVELVREMEGGEDVWENATNQWGFTPKQLAEETVVDNCRDA AFLA_105810 MGTVEPPSLSVVRALTRNLEMQPCLGFIFGSEVSVAELVLGIVV IDEVFHDRAGFPECYVFVGVFDGGETAVGV AFLA_105830 MSNDSLATQALHADNGLDGKSDIALPIHLSTTFRYVYNTDQDES LRGPAPTGEALSIRRSADEAHKRGAYLIIDSTLGPPGLQDPFALGADIIMHSGTKYFG GHYDLLCGTLSIQPAREESWFKTLHEQRTILGSVLGSMEVWLGLRSLRTLELRVRRQR ENATALVLWLYECLKTEFDYVVKKIVAAVRHASLQEGDMD AFLA_105840 MLPKIFYLSLLPAALGHPHLQPRLDNGLARTPQMGWNTYNHYSC SPNETIVRSNAQALVDLGLASLGYRYVTTDCGWTVADRLSDGSLTWNETLFPEGFPAL GKYLHDLDLLFGVYQDSGIKLCGSPPDNVGNYEDQDARTFASWEVDSLKYDNCYSDAA TGYPNVNYEPSTSPQPRFANMSRALAAQNRSMVFQVCEWGIDFPARWAPALGHSWRIG NDIIPHWRAIYRTLNQAVPQTSFAGPGQWPDLDMLFVGNDILSIPEEQTHFSLWAILK SPLTIGAALKDDETSINDESLQILKQADIIGYNQDSLGVSASLRRRWTEEGYEVWSGP LSGGRTVAALINWRNESRDLTLDLPDIGLQYAGTVKNIWDGTTAQNVKTSYTAKVQGH GTILLELQDTTASGQYPGDTFATSTGSSTTFESIYGVTTSFRYNITVKLSEASSSSDV KIQSTASNKTITAQVSASGTEASAQIPLLAGSSNSITIVSPQSVDAITITPPNGTYFP NTAFTTIGDADTVSCGAGYCQPVGSKIGNISTNGTARAVIPATAGTKYLAIDYINNDV AFDSAWDWGSNSRNLTVSVNGNKPVRIEVPLSGQHSELFGPGKGWWDTATIGVLTEGW KDGDNDVVIGNEGGESGFTSYGPDFVGLRVL AFLA_105850 MQPSKTILVTGASGFVAAHIIEAFISAGYNVRGTVRSEATAEKV KRTFPRYGEQLSFAIVPDIVKDGAFDEAVKGVDGIMHTACPGAIETDSNERDIVQPAI SGTINILKSAQKHGLQVKRIVITSSFASMIDMSKGTWPGHTYSEKDWNPMTYEQAVSE GTSSVQAYLAAKKVAERAAWDFVRDENTSFDLVTILPPMIYGPNINATHVSQLNITSA DIYRFMSPDSKPSDPIPDNGFWSWVDVRDVAQAHLKAYEVPEAGGERFFICAGNYSYQ QIADILREKVPEVKDRVPIGKPGSGFGGVELYTPDAGKSQRILGLRYRGLEESAVDSA YAFLELEKHSN AFLA_105860 MDDNNLTYSLSQTAPNSTVDKTARSHAGRTEEDSAVGFPDGGWR AWSVVLGSWCAMVPSFGLLNTMGVLEAWLANDQLKEYSKASIGWIFGLYSFFLYFGSV QVGPVFDAYGLRPLLIPGCIGLVGSLMVFSVASEYYQFMLGFSVLGGTSSSMVFTPSI ACIAHWFHRRRALATGIAATAGGFGGIIFPIMIWNLSEVVGFQWAIRITGFICSFFCI LCVLFLRTRLPPKNLGGGKVDIRALRETPFSLLTVAIFLIDFALLIPLTYLTSYAESH NMKESLAFQLVSILNAASILGRVVPGYFADRYGRFNVMIGTTLVCTIFTLALWLPAGS NPAAIVAYAVLFGFWSGSAISLAPVCVAQISSTEDFGKRYGTTYSLVSVGALFAIPIA GEILKAQSPGGEEEDYSGLVLFCGLVYACACLFFVLARGVCTGWRLKTVF AFLA_105870 MRRAYARLYAQAALSIAEKEIDDLVSPNNLTSYAEDDRLHNELP FQLYPVLALVALSIYEYCQCGNVSRMRTRANQAITTAMDLGLHRLDTNASEAHRRAWW SASYKYQ AFLA_105880 MSHVRESQNQELSELIIDEVFAPGLTFAALDIQTKELFNDFLEY YFPAYSIWSSQPEVNWMDFIRQQGLTCPQALIWAIRALNTFHMGVVRGDKGAIACGRH MYGRGIKHLAYILQTPAALTDETLAAAILLGGYEMLDESCKNSWVLHCGGIRHLMCAR GPAAHKHGIGRTLMLSFRNFLVTEAFRQGESCFLEKPEWSSMVIKKSGTESERNEYDF VSQATEFIFNETVRCPGYYAATRAIIESQVNTKPAAIGQLVGKMTGTKSRLTRLHARI TLASKEDTTTTFQESSTGMTASEFAKYVAEQPCISLLSSVLALLDQLMIVLELHQSSR SRIDSKSENDGVRTNHQPHNSSRNVALGAKMQEGLNKFSAAMVVPSIRGIPIRTVEEE AFLA_105890 MLSYIIPFCVYLSFSLAVLASPSTRLRDVYQFPNGTWLENIAVR STGNVLVTAYNLAQLWEINLFNGTNSHHARLVHQFQNPGTITGITEIDQDIFVTIASN SLWKVDLSTTEPVVNPINITIPAGTLNGMATLNDSSLAISDSSLGLIWRVDIEQGTYD IMIRDNTTATSTALGPNLGVNGIRILDGYLYYGNSPQRSFYRVRVHESGNTVGQPETI AQGVLADDFAVTSSGAYLAGLTDNVITKVSLNGEAHVVAGTRNSTAVMTATSAAVGRT RMDGNVLYITTGGETQEPDIQWWKYCAKTFNNPSDFLPSRAELSMQCHRTLALFVSQT SPSSLWMQVPWAMKRVWRNYPKVTPLHIHIGRRNRPGCSHYHVISNRNLNSVSGKRIC ITKYQSRDKTRLYKFHRPRNFVTTAIPSLLVPPLIFVGLLLALWTWKCFWIVVLQDKL LYLSWLPPLARSEKITDYEAECRPVQWTEKQICSLDGTQLAVCEGRIPLHSRSGGRIA SVTSGEDYPRGTKMKKRKSVVICYFQGNGGSTPLRLPLLSQVLRTIKEKSMSTPLSTS SPDGTEFTIVALSYRGYWTSSGRATQSGIELDAQAFLDWVSETYTAPETDLEVILWGH SLGSAIASSATATYLSRHHGDQSSVSKGGTHLPVNIAGLILEAPSSSIKDMLISLYPQ KWLPYRYLWPFSWNHWSCTTAMERIARWRDQNEEQSDAIIQRVKSGAPMLPRSRHNCI SLESFRSPPPILLLSAESDEVIPPHVADGLESDGKRLKLELERKDVRGALHTEAPVKV DGREALVNFIFRCTSERARVA AFLA_105900 MIKSIILQAIMVLSTLTSVHGANSSGCGKQPTLVNGVHKINDRE YILKVPDNYNANKPHHLIFGLHWRGGNMNSVVNGESVEPWYGLETRAQGSAILVAPNG RNAGWANTNGEDVALIDAIIKQVEDDLCIDQSSRFATGFSWGGGMSYALACARAKEFR AVSVLSGGVISGCEGGHDPIAYLGIHGISDPVLPFDGGVTLANKFAANNGCQQAYVGK PGLGSHSSVQTDFKGCSRPVSFIAYDGGHDAAPLGVGNPLAPDATWKFFMAA AFLA_105910 MKLSFLALAAIAPFVSAHYFFDTLIVDGKESSPNQYVRSNTRPA KYNPTKWVNTRDDMTPDMPDFRCNKGSFTFAGQTGTAEVKAGSKLAMKLGVGATMKHP GPALVYMSKAPSTAKTYQGDGDWFKIYEEGICDKNKDVKSDAWCSYDKDRVEFTIPKD LADGEYLIRAEHIGVHGAHAGEAEFYYECAQVKVVGGGNGTPGPTVKFPGAYKKTDPS FTYSVWGGYKDYPMPGPQVWTGSSGSKHFSKVVDVNATGGTSSQGNAATFDGSFTRRE HARDFTY AFLA_105920 MQLLQSSVIAATVGAALVAAVPVELEARDSCTFTSAADAKSGKT SCSTITLSNIEVPAGETLDLTGLNDGTTVIFSGETTFGYKEWEGPLISVSGTNIKVQQ ASGAKIDGDGSRWWDGKGGNGGKTKPKFFYAHKLDSSSITGLQIYNTPVQGFSIQSDN LNITDVTIDNSAGTAEGHNTDAFDVGSSTYINIDGATVYNQDDCLAINSGSHITFTNG YCDGGHGLSIGSVGGRSDNTVEDVTISNSKVVNSQNGVRIKTVYDATGTVSNVKFEDI TLSGITKYGLIVEQDYENGSPTGTPTNGIKVSDITFDKVTGTVESDATDIYILCGSGS CTDWTWSGVSITGGKTSSKCENVPTGASC AFLA_105930 MINYPSSYSALAASSILGYYGYSDVPVALKQPFSNDTFLDTWSY QLGEYASKVAYNWRHAASMPWGDVSSAWDPVELYRKLLSEAGDHSVTIASIGFLDNLS ELLSSPGDTYSSLSGHGLVKAKVKELVIMGGAYPCGYEYNFYGSNASATAHVVNTWPG PMTFSGGELGATVYSGARLTVEGPVSDPVNAAYRWYTGYNISRSSWDPLTVLYAIDGL SNMFVYANKGGHNYIYPDGRNEWLPDSPLYPQKYLKLRMSEEEAGELLDNIYLDTATR AAR AFLA_105940 MRDALREATKSQGEVTHQQLKAITAETIVDERLVSQASRPYFQV FRDFHARISPSNSEDTPTSSKELLSLCDRLRDVELFDLGIYLEDRENKPALVRPVTRD LLQSREEHARKMLLKQQEKEKQEKLAKERLEKGRLSHLDMFRTSEFSAWDEDGMPTKD AVGEPINKSRSKKLRKGWERQKKAHEAWVASQRTDS AFLA_105950 MNITDVDDKIIVRGWQQHLFSEFLSSHPDVNADVLNTTQLAYYA YIKKNLRLIDEETEPEKFRTEVKRVYGGVLKGGTLEGNKKPGDAEAKVRMHVRTVTIA AAMISRVAEMFSQGPGEQPRSLENEMITSEAFYEATQDVILPYLDQLRGSTVPGDAYE IFTKLTKKYEEHFMRDMRDLNVLDPDEITRVTEYGQEIADFVEKIVANDFGYVTLDGS VYFDIKCFEKAGHPYARLEP AFLA_105960 MWNDEDNNPYGAFDPEARLSESLHSANLSPTLYEREYTPPSPSS KASTQDPTDDYLSHPQDFSDEENEGYDSQPASHGYYRKSTYDSRIEQILYENPEMPIL ITDAGKNHEGGGSFIVYTIRTGDLEVRRRYSEFASLRQTLVNLHPTLIIPPIPEKHTM ADYAAKPTKAKEDTAIIELRKRMLAVFLNRCRRMKEVREDGVWWRFLDPNVSWSEVLH SHPASSVPKNNLKAPPLDPANPTAAHAWLPVPSSSAKLKGTSGPTASAAPPPVDTPSP DVLGRFPPESRKLSEQELDPYFINFEASTRELELLLQGNIEKVNRRTVSHLSSLSADL MELGARYNGFSLSEQSPTVAAAIERIGQAADTSYIETEELSNALSASFAEPMRESAQF ASVVRSVLRYRVLKRVQEEMTRDELAKKKTLLDSLERSELEAKRIEQYLNRTSAQGSG TRSQRSLSTSSATSGPGSHGADVRPSGQEDTTSIDSDFPPTHGESIGSQASLPAASPS RRPETSSSPAHRKSASGTFMTNKLFGRISHAVHGFVDVDPERTRRDQIGKTKESLLQL EQALEVSEKDVKDASTGVLQDLKRFQKDKEADLRRYMVAYARCHLDWARKNLETWTEA KDEVDKIVVR AFLA_105970 MLSLVTSLNTAGLDTKWLSDRGFINMVLVATLFSLFTVSLCRSI PRSSPSSSPYTQATDLKIHDPTVINANGAYYAYGVGEHIVIHQAPGLAGPWKQIGSVL DKDSIIPKGDRAKPWAPTTIEVKGTFYCYYSVSNAGCRDSAIGVATSQSPGPGGWTDH GAIVQSGTGQGSDEHPFNEVNAIDPAVLVTGDKGHLVFGSYWSGIWQVPLNEDFSSVG NTTGLNAHHLAKHPKTERVNSQDQNPDPLCRDSSGRRPVEGAYISYHAPYYYLWLSWG QCCDYDPNNLPPSGEEYSIRVGRSESPHGPFVDKQGKELTQGGGELIYGSNNDVYAPG GQGVITVETGDILYYHYCESLFFRGLSEARLGYSYLGYVDGWPVIREAP AFLA_105980 MSVHFGFPPSTLFMLDQAQTKPTIPTRQLAKFGISPAIYGITLH PEFSLIATFLYTTVVLLLNGINRRRHGKPWAINHSRWFRHAVIVHDVLLALYSAWMFF KVVRTFRNAWPKRHDAFYTVLVADLFCRGGQILPHQETIQDFVFVGWASYMSKFYEVL DTVIILAKGRQASLLQIYHHAGVILVAWASIRFEYPPALMLFSLNAGVHALMYTYFAL VAIHVAVPRSAKAAITSIQIVQFFIALIVCPSYLFVYYDVPADYTRCNDYRKMGDSLD APASRDAGLNGQSYCNTRTVSCMNKASHTSVTWLEILFILSLAWMFLQFFRSTYLQAG KKKAL AFLA_105990 MNTGHRELGPYGRACATCARAKCKCIPRAGGSSCERCHRLNKEC IQPVRQRKLKPLSKRVQLEHKLDGLMTLLASAGQSQPQPQPLSDSVRDMNTNIVTSGT EPPYSDSGLLGTVMSGPGLDQGSEEEACLASFRTEKLPVFPVVHIPDTMSAKDFKQQS PFLWRCIATIQCKHPSRQSELCMSIREVAAKRLLVDCAKNLDLLQGVLMYLTWITYLT QPQKSSLCIYTQMAIGLVFELGLNKPAPPDICMATSNCNAVGHLPHLKASLSTKRTMN ERRAVLGCYVLSSL AFLA_106000 MKAMQTQLQTLKSKIPVNLAENRSILFHLIHTEVSLYETALSKP ATNTGYTDPLHLDHLYACLKAVKAFFDLLVAIPVAELMSIALPDLIYTSHCLMTLFRL STFDHPGWDQATVRGTLDLVSITGQLADRLKQVAQFVGIQNEGESQDPYSRLGMMMLK IRGEWASRLSDLEAIDIDSTLELPLFNMNQWDLDSFLNWSGEFN AFLA_106010 MSDGDKPSTLDEHLKLQAKPEDELSESLAVPEKHEPGWLEGVPL VMAVSGTTLVVFLMLLDISIVSTAIPQITNQFHSLDDVAWYGSAYTIASASLQPLTGK FYNYFQLKWTFLSFFAFFEIGSLICGVANSSKMLIIGRAVAGMGSSGMLNGAMNILAA AVPMHKRPTLMGIIMGIAQLGLVSGPLIGGAFTTSSTWRWCFYINLPIGALVGALLIF ARIPEQKPKEKASQVVRSMLLYKFDWIGFVLFAPACIQLLLALQYGGNQYPWDSVTVI GLFCGTIATLLVFIAWERHMGRDAMIPGYLLRDRIVVCCCMFSMMVFGMTMILSYYLP IYFQSVRGKSALVSGVNLLPNILCQLVMAVISGVLTGKLGYYLPWGVFGAMLNSIGSG LLSNLTPDTSVPDWAGYQSLVGFGRGAATPVRNQPMVAIQNQVTADEVSTALALMTCS QTLGGAIFLAVGQVIFAQALRVKIPQHAPAVDPETVIGAGATGFRDVVSFQDLPGVLT AYAKSVDRVFYLGVGLSVAQFVFAWGVGLKNVKKDKGKVGGEVADDKP AFLA_106020 MANNDIETAVNREKKSNPQSINASVSDTSGVLPPPDGGLHAWAQ VVSGHFVVALTWGYAASFGVFQNHYETTLPQTPSDISWIGGVQVFCIYFISTLSGRAT DAGMARLVVGVGAVLLLLGTFMTSLATKYWQIFLAQGICTGIGQGLMWLPSITLISTY FVRLRVFAVTAAATGTSTGGMIFPAMIQHLTPKIGFPWAIRCMGFVVLFMVIVTLALL RPRLPPRKSGPLVEWSAFKEPAYMLFTFGVFLLYWTLYFAFFYIQVYATEELGLSEEA GVNLIIVTNALRIPIRPAFGYLADRHIGPLNCLIPWVALCGILMFCWAAVHTVAELYV FAVFYGLASAAAMALFAGTVPSLTKDLDKIGTRVGMALSIMSVGPLTGPSVAGALIAR TGGGYLASQMWAGATLIVAALALVGARFIISGPHLQAKL AFLA_106030 MATPSGVPTTLHTIIELLKHPLVPIVSSMVAINISAELVPLITV LVLLGDIVPRGYYYLDASYSYALTGSLPLTLYKL AFLA_106040 MNPFKTRPQLNPHPKFLTPTPFKPKGPTVSPPTTPETHRDNLSD ILSDSDISILDLGPSLSARNTSCYQAPSTTNTTLARDTKQTSYLTAPTTGHGHGPIMA QDMNTRHTPTSPSLKDTTQGADITPKKLKVRTGSVRGVLRTFSSPLLSPLRSGTGKVG RPGVNRAKTSFRGVGGALER AFLA_106050 MPGSDQRDGSASPAGRDTRSSSPGVKRAAPDAEQDVEMDLAPTG KESQSDKMDTTGTEDDDSTTNDVSGSDNVYPTPSSMSTYTAPTATRAHSKAQPSTTSE RPSYDDQVATVTCFMMQPLKEGQKGYVVSMAWLKRVLSRSSTHADKTDKTATEGEVGP VDNSDLVLVTDPANASFKDEKGEPFVPLRPGLQMSEDFEIVPQEGWDLIMQWYGLADQ SPAIVRYAHNTSIGGESENIQYEINPPIFTILKLSSLSGGTTPQSLKEKNMKPVRILA SRHSNFQKWLKEAKTLANIDMSTKVRVWRILGGLGSATASAAMTPAASRSASPAPAAS IVANAGNNLVIDLNTFLSLSEGAQRELFEDAKDQTANPNYNGRMTLDLAGLGGSDVVV LEERIPGGEWVSEASKQTLNRLGVPSGSLKNGASSKLKNKSPTASGRSSPVPEPVRGR RKDGKPRGCTGLSNLGNTCYMNSALQCVRSVEELTYYFLNDVYKQDLNPSNPLAHNGD VAKAYANLLRMIYDEAGQSSFAPRQLKHTIGRYGPAFSGYGQQDSQEFLLFLLDGLQE DLNRILKKPYIEKPDSTDEMVHDKAALTEFADKCWDIYKARNDSVITDLFAGMYKSTL VCPACDKVSIIFDPFNNLTLQLPIENLWGKEVFYFGLQKKPVRFDVEIDKNSSVKSLK ELVAKKQGTDPERLIMAEIFKRKFYRMFDNTSSIAECQISGNDEIAFFEVESVPTNYN PDKPQKSYFSFSRSDQEEIPSIDSPKADRILVPIFNRVERSKSKRDLFGVPSYIVVTR EEAYDFDAIYGKVLGRAATMTTRDFLNEEVLGEEQQEATQEDSDTVVMNDDDAQSADS KIKTSSVDGEDGMVDVSMRDADSASQNGAPLSGSSIPARFRDLFELKIMKGNEPVPLG WSSVEDNKEYPKMSFRIKTKPVTPPEESDETSDPADSKAAESGSASGSDADNSAEVSR TPAPVARVQIRPLVRPGEGLVVDWNEDVYDALFGGSKKDRDPLRGIPTWQDVERVNDS ELNKRRQLRQTRKKRGVSLEECLDEFNKEEILSENDAWYCPRCKEHRRASKKFQLWRT PDILVMHLKRFSASRGFRDKLDVLVDFPVEGLDMSGRVEAPEEGKSLVYDLFAVDNHY GGLGGGHYTAYAKNFFTGQWNEYNDSSVSRPIDPQSVVTSAAYLLFYRRRSDRPLGGK ILEEITESSTRPVSEAGSPSESRGQSPSGDGRRLGGSSRNGSSSVLTGVGAAHQEGDG GSRTGTQAKNRDETAPPGYTNSPTSGEKSVGKEHRLEGMSFDEAEFGDGAQSDPFRFP SPPSWSFNRVPDAHGRSQMTTALPGSTSDDDDLLDDDASNQAVGGGDFSDSDLRLASL ADSPGGHGAVYPGTPMEETPIQDIPPPLDADDDDDELPVVELRVNEEDRIVPE AFLA_106060 MAYTVERLEWVKRLFLKPRRSRGFPKTSDSPRYSLRERSRFSTQ TVTSTWIDDDNDDNYDPKARYTRRRKRSSRPHDVVSDTPEQQKSLVVVFPIKSDRGRA FLSSLLANHNDHGEPTSPEIPSNEVIDGDLNHPVTRVIRTSLAHPVIFNHEPPEDGSS PCHWCDNFTYGLLGLGRRTVEVLDFGDGRYIEIGGGQVAEGHEPSRMCVVCALERVHV IRCVAHRIVPLKGYDVDTFDLTGAYNSLVPKPGQAPKKINPWCSLCPNPAFFGCGALQ AVNKFQEPVDASSQDAIGCGLLLCEKCEGLMRLYQGDLARVVMKNEETDAAFGTRADA MYLLPGNDMYRSYIGS AFLA_106070 MVSPFSKSLLSGVQAVNFVIDGPFVVIIIIKDRHAYCSFALCMT RTKFEARMSTFRPTMGPVATTLFVVFRHHGDTEPDHWGQSCEKDAVVLNSADLSVMGL GTSTT AFLA_106080 MSSPQGEDTNYAGPYIHACWALTGIAAVALIFRYVIKTWIRWTL PRVGSPERVWGAEDLLYAVAYGFDIAHMVFVQRRSV AFLA_106090 MSGLGFVDIAGDDLYSANAITKHLATMPSAQHGALHFTTEALLG AAFLMKKLKADNFEYPFKELETPYQYAYHSMGQEELAKQHTYSIMAAEGRMDSFNHFM VGKFMKTNTAPDRLKAFGYDLQSVLNEAGNGVPATMVDIGGGRGELLLDIKAAYPDLQ ASDLVVQEFNQDIIEIPGITLATWNYKEDTPQPIKGALVYHLAHILHNLSDLEAARLL QKISEAMGSHSRILIHEFAKNANYAKMHSAMIALYAGRERSAVEWRQMAALAGLKVTF EAYPEFGEGLIEMRKL AFLA_106100 MFRLLYTPHDDLNPVVGNSIWIDCDPCLSSVGHAPKCLPFHPGQ LLQAQLLRFVSKSSFSPITMLTSDVKVAALGFVPVAVHFDLFDTLAKIEGPASGEDVL VAYRSSKGDKAENNVPCVSHIFSTLKSSHL AFLA_106110 MSEPKVEQPAFPMDGLDRAVPQKIETHVTTLEDDEDRNPRSWSP TKRRLMFITLMSSSILADGGMTWGSTLIVPQAMEWGISVNHSATSMNYGMLLQGIGGL IAIPLIEAYGRYAKKQTGLGTLLENLNSQKPADWPQMINIWGTTFLIGPFIGPALAGY IGASSNWKVSFGILTVFYGISTILIFVFGYETYFVKGRQCQRNSRLQSILGIKNHNLP VGRTLGYWTKLLAIYIFKLPLLLTGIATMVNFCWPIGITVTVSTFVAEPPYLFNTVQS ASLRWAPIIGGLIAGYAFGYWFNGWIERSRRINWRPEYRLHGVWVAIGTMACGLLTYG FTLNYGKHWIGLAFGWGMVVAGMIASTVSITAYNLEKYPDQSTVVSAIINAWRTASGF SVGYFQPAWIARNGISAVFGTQVAVVVAVLILTITPVIIIEGRKTKAQVAHA AFLA_106120 MSADSNSPRAIALRYISNSRFHRRYTLPATADHDSLTFTYADIG STPSSANPNPQTILFMPGMFATRYLGVFIHAIAEKLGVRVLVVDRPGMGNSTDVPLDQ RLSIWIELVPRLLAHLEIEHVALVSHCAGTIYLLNTLFYCRELLHPERPFVAFLAPWV DPSHSHVTSMQMAQYVPVKAFNVWNLIPKFLLLKAGPAFTSSEAAITKTSNVISSGSG FSSGGENYTELERNRRQIEYEYGLSRDVQAEIDSLIFQFMFEESTVGANSEALQCLRK GSDSSNTWGKCENYEAYVKELVDLEGRRHRRTGQEKLKVRAYFAGNDSMIGKAGQYYV EECWARDTREFKDALDFESRTFTQLEHDSLVQSAEVLKSIFLHVGGAMPNDTE AFLA_106130 MWVKIDRKGLCFTIRVKRNVIPTFVDNKAFVCNPKPVVGKAFGS IETVKIPGAVLVRDCGVHNPNPLVRDSPVNEISNPPKKPKRDRGHNSTNKNTIDDDSY PLYEEPYRLDNHYPCPPVVLTVWSPTLRAPATTFLGISLILEHLEDWGSCGSGDKD AFLA_106140 MEDEDYKLEKLPWRLQHVLLRQNNDKLLHSVNVDESLKGTPEKY VSKDEDYWDSDPHDTEGKEVPWHLLKQYIKYIRSH AFLA_106150 MEKEASENSMLADPALLDKIDKLFACNVGQHIALPQLVVVGDQS SGKSSVLEGLTQLPFPRDSGLCTRFATQIIFRRDRGLSTRKVSASIIPASDSDPDRPA RLRAWHTESIGSLEPSHFSTVMQEVHEFMGVAGNCALSTFSKDVLCLEISGPEEDHLS VIDVPGIFKNTTPGLTSKSDIAVVRDMVETYMKNPRSIMLTVVPANVDIATQEIIEMA REYDAEGERSIGVLTKPDLVDRGAEDKVLDLVAGNKLFLRHGWIVVRNLNQQELTDRE TDRDEAEEAFSRQAPWSTIAKDKFGIKSLRSRLQEIVTENARREFPLVRSEISKRLKE AKSFLKALGNERETPEQQAGFLLDIMNKFQEITSQALSTNYGENDIFDEHMDLRLATR IVTRDTAFAKDLERYGHEYNFDTSGTESPDSSNTKSTTAPSDDSGDMNEFLTRKTGSI PELEDVVTQQTWESFPSDSDIYEWLKELYQSSRGFEIGTFSASLLATSMKKQSAKWPD FAKGYISDVITIVHGFIVKALEIACVDKRVFNHLLSIMMDNLLDKYQRALTQVDFLLH VERNQAPKTLNHYFNENLQRFRQERQCSKLKSKVINNSYGGEVIRLEDLTVLNHMSNT EYTVHDIHDILESYYKVARKRFVDNICMQAADYHLVTGPETPFKLFSSSLVNRLSRDE LKDIAGEDASTVRQRINLKKTIRELEEGRRILLLGRTAQQTEGQLLEIGKLKVRHLNN LRLELSWYRIRRQLFGH AFLA_106160 MSAPQVSHQTLNAEFKGTARKIGETDIHQFRGIKYANIPARFER AEPVDNFNGASVDATQYGLDDIDLSVRTLTADYHPGGSQVVTFCSGASKICDPAKIVA DSIEAKQPIIVVSINYRLNIFSFGDGKERNLALKDQRLGIDWVRKNIAAFGGNPDNIT LSGESAGAVYVHAHLVTGPPVKRAVLASGSLYLSSPLPVERGNGLIQALQTKVKELGQ PSLREASVSTLVQALKECNVNTMWIQEEPELENWETKPEQVDELMIGDTEYESVIWRN GVETLDGETITAAFEQEKEWGTKLRKLYQVVADRPTACKLGALDLVNDIRYTLPVEVI SEKLAAANKRVYRYVFDQANPWQASSRAHHAVDLLYLFAGVDLSFNPTAEIVGQETRK RWIRFVSGSSPWSSERRFAFGPVGDCKEISEAQFAGRRRVNHLKVLKEAGVGAYMPIA TALTAGKISLLN AFLA_106170 MSAIAGYIADRIESRWWPLIIGLIALGAATALLCVGTHIGLWIA GRLFQGASAAVVWTVGCALLVDTVGKDELGQALGYIGMGMTLGVMGGPLLGGVIYEHG GYYAVFALAFALIGLDILFRIIMIEKKYAAKWLPPAEVSSDGENLNNEAASQGTTESA GPSTRKSDHNREGHPQTVAPALSESSQIIEKSNDQGLNKCNHSTTTGPHPARAILTLL ASHRMLVTIWTYFILSLALTSLDSVLPLYVQDTFHWGQTGQGLIFVPLSIPHLIDPIV GFLNDKFPNARRYLAAGALFATVPVIVCFRFVTEDSMRQKVLLCALLALLGACLAFLM PPILVEASYVVQEKEEKNPNIFGKGGAMALSYGILNAAFAAGSIVGPFFAGFIRESAG WATMSWALALLTGVSAVPVLLFLGGFFFKSQKNVEPRSAA AFLA_106180 MSKPDPEKSVNHEEEFLVEWSKDDPSNPRNLSPARRWLIVLIVS MGSLCVTCTSSIYTTTYHQMTKEFGCSTLVATVGVAIFVWGLAVGPLVLGPLSELYGR RIIYLTSFTLFLIWLIPCAVATNIQTMIIARFFNGLSGSAFLSVAGGTVGDVFHRHEL AAPMMLYTASPFVGPELGPLIGGFINDFSHWRWTFYVLLMWAGTLLALIFFLVPETFH PVLLGRKAKEMRRATGDNRWQTKRQAVHRPLSQMILQSIYRPLMLLALEPMCLNLCIF SAILLGIIYLFFGAFDQVFTNVYGMTLWQCGCCFLGMFVGMMLAVMSDPIWRRVYARL ESKHRQRTDNTEKFLPEWRLPPAIAGAPAVTIGLFIFAWTIYPDVHWIAPIIGSALFG FG AFLA_106190 MEIYSTKANVCKDESYVVMSVGSHAPNTFSFIDKKTHAFRRKIL FQAFTDNALNGVQDQILSHISEFCAMLNPPPSNGAGQSSVWGPSVDIAPLCDYLAFDV ISDLSYGRSFGMLKSDRYRYVPKLTRRLARRNATVSYTSSGTRTQYLGTNSQITSTIQ CMTQSKLWRYKLDRLFFAGFLKALRDFGLWIRHQGKERIRLGNNGPRKDCFHYLLNGS DPKTGQGLTERELRVELLLLIVAGSDTVATSLSAVLFNLAHNQQALQKATAEIRSCFE REEDIRLGTRLKSCSYLHACISESLRISPAVSNMPPRRVLPGGITVDGYYIPEGTIIG TPIYALHHNEEYFPRPFKYEPERWLENEANGENPSTDDGLKRARAAFCPFSIGPRSCV AKNLAWAELTLTLARVLFSYDVRLPPDHCEVEPDCCSSVPRDQSPEYKLRTWIVSARE GPSLQFRPRNVKVP AFLA_106200 MYENTLWDQGIGYIGWLMYLSKFYEVVDTLIILAKGKESSTLQT YHHAGVMICAWSGIRYKCPAAIVGVFLNSGVHTLMYTYFALAAAAIPVAIRVKRALTS IQIIQFILGLGLSCSYLFAAYDVVLSEHNDIENSRPAGFSSQMENVQFTTKTPATLTT NVGSQGMATYTTTHCIPDSGKAFAILLSSAYLLPLTYLFGRFFVRTYLTQHKKAT AFLA_106210 MAQSWCPYYRFVKYSASSFTHCLTKMKSLTASLKMSAADAFWCG TGYLLPCAIMQPYFTALSGFVQRRYQMLLALGLFTVGTIICCLSNNFAQIIAGRVIQG IGAGGIMSGTFILLADLIPLKERPMYCGLLILFGAVGAVIGPFLGGLFIDHLNWRWAF YINFPFLFVIFGQLIFLPLPPKQTWDSTHRLKSIDWGGGILFLASAGSFLIGISWGGV QYPWNSWKTYVPIVLGGLGLIANLFWERYMTSNPILHIHLFRSIRSIAAYMLVFLYGF LVGICVISLGELYCIPIYFQSAKLRSATSASITLIPITAAILPAAAVTGILITRFGYI HWPLWLSWIITAIATGCLISWDTSTTTVQWVFNLIAVGVGQGITLSSLNSCVQVLADP KDTSHAFAMYAFIRTVGMCVGVPVGGTIFSNRLKYHAHNLGLPDAIGRNQVEAFKLAY ARAFRNVAEVLTGLAVLGLIISMFVRRVRL AFLA_106220 MALFTFRLLPLVCTASIAIYVLSRFMHFETKSTGALTYSITFII YLLYWLYIYPYHLSPLRHVPTVPGCPLWGHVFEIFNAEIGAVQQKWHKTHGPIVRYFY PFGKEILSVVDNSALKHILVEASYNYEKTASNRKFLSRLFGEGILTAGGKVHAQQRKA LNPAFSISAIKALAPAFWDYSCSMSSYWEQDIKESSDDSVSLDISDWASRATLDIIVA VGFGAKIDTLHNSTAPLIEAFRTVFRFDAVAKLLAVLHILFPIVRYLPIKENREVDAA KRTLFEFASGLIQEKEANINSTGNNILSQLVRGDRKPQAAGEDIFSRVICDQIATFLG VGQDTSATWLSWTLHLLSKHQHMQVKLREEIRSHFPFLFRSATHEKIDFTEVDVDRLS YLNNVCRESLRFIPPIPFVSREAASDERLGEYFIPKGTVIHIPINTIHRMPEYWGPNS NAFDPDRWNCLPASYTNNAFLPFTQGPRGCIGRKFADTEVKTILCCLLSKFQFSPDPA VQDPEELKRYRIVQKSQYGIRLKVSKLDG AFLA_106230 MATTTIQTKHLGQIRGKVAEGVTQFLGIKYATLEDRLADAQLVE KRDGDTLDATKDGPTAISPSFGCEVELAAIQHKLAAKELTQSGIDCLNLNIAVPEGTT ASSKLPVFFFIHGGGLQIGANSWPQLEFKRFVKLSADKNLPVIAVSINYRLGVFGFLT SDELRKAGYKANNGLRDQRVALEWVRRHIEDFGGDPDNVTAAGESAGAGMATSVTYHL KSEVALFKRAIAMSGSYLFTQALPYDIHEQNYRQAILALGLESASTEERIQALLEKPA QELIASLPPSILAAPAVDGDLVASTATHAQTADRTSDLSEKRNWCTELMIGDCQMDAS IVGLIMPNLKETCAEKFTTAMNNVLPSQPDVAQRILSEYGISRGMSDDEALPAVLDYI NDICFFAPVLTLTRGWRGNSHVYYFNEGNPWEGPWKGRATHILDVAYLTQNFQKFMTP SQQRVATAFAEDFFKFCHGIHPWPAVTDGDIATNFTARVYGPSSEGHDSRLVSEPYKG ESHRRSILFDCNHAVSLDELAGVFGVFRTM AFLA_106240 MSSNTPKERADNIGSESEEEDVFHDARFPAEEEAQLLEESHSIK AEANKLFTAACYDQAISCYDRALASCPNYLDYEVAVLRSNMAACYLKLEDWKASVDSA TACLDCLEKVVPSATERTDAPQEKQQESGSTDSVVEITGDDEEAEQEELKRLQKVDER KNDVMRIRAKALMRRARAKSQLSGWGNLQGAEEDYKLLASMENLPLDDRRIVQKALRE LPDKIAKAREKEMAEMMGKLKDVSLTFLGLKSVSFTLTNTLAG AFLA_106250 MTNSTPSLPPVRACLFDMDGLLIDSEDKYTAITNSILHEYGKPS LPWSIKAQLQGRPQPEAFKIFYDWAQLPISPEEYAAKQAALQSKYFPESQPLPGVREL LNKLLSTQKTDKPVYIALATSSHSRNYKLKSDHLQDLFAAFPESQRVLGDDPRIGKGR GKPLPDIYLLALETINSNLRQKGEKEITPEECLVFEDAVPGVEAGRRAGMRVVWCPHP GLLGAYKGREAEVLAGLTGEHKEEEKSTAEHEADELVAGRLGRSSGKPGQLNDGLGDL VPTLEDFPYEKYGIQPA AFLA_106260 MAHFTEWYYQDWEQQLLHLDQPHTSNPALNADLGYNGFLTSNDT SWASGGFVNGASVEDTLEVSHPDIFSGAHSMPTRSRSTAMMPMTGMHAGNRISRTGGG ADAEDFAISSGASASGPELGSSPPYLRLGIMTGSASYDSSNNSPASRTYVDLPSYGNT NFPRNLSAPALGNNVGGGELGGGARPSSLPTSAPLNSGHEPEATFTDVNVPPIIRDYQ KLMDPLNNNVDVDTYYASLEEANESQRPSVRLPEDPTIPRTQVQKRAIVKQMCNAMAS THRAQDNKPMIKPFKEGRYSDRRMEAACWQVLETAIERHTFGPLLSAFDVKPKNHEIV TFATRIDKVIECLMLHKTICKHLLDPLYVYHFVDDPVQAEKRVVANRLLNKRKGEVMN AGKQVLGTRKPGAKKRSGKAAKAVTPPTDEAPSDTPASSSTGVEVGTLTPDGLSRMVK AEQMSSSPMLSIGTPTPTYTAAAQQYSSTPMMGNVAMSHLHNRRMTAPTSHPRPIMMN PNMPYHTRGSSNNFGTSRKRPMGDYEASSPEKRQR AFLA_106270 MIYDIRVTGQLLGWLEGRKAITNQRMKVDIVSTNQGTSHEIWAG GTDGFMRVWRDPTHTAGGQEPEWEWKIHDDSVSSTVLHPMGNVVATCSGQRHYLDDED SSSAGQLDNSVKVWSMPFLETC AFLA_106280 MFSGLGGQQTNTGGGGLFGNSTATTSQPQSGGLFSGTANANTAQ SGTTGGGLFGGASTAQTQSKPLFGGMGTSNNTGGSLFGGANQNNTQQQQQAQKPTLSL FGNQNTTTQQPAQPTAAAGTVVPGVKVDLSNLLPTTKYESCADEIKKELEVFDNYVLT QIKMCNEVGNMIPSIAAQGETIPNDVEFVQGKLETMQHALENDASDIDQLRSLVARDA AEAQVGFRAIDTLKLPLQFQPAAGSGWWSVQDQKLSDRQSLRSTRKNTLALPDDVEGD SSTTVNGVPVNLVDYFSQRSDEMGTVLERYKQNLKEIEDHLHGVEATLERQIHEFVTS RSRDGAAAGTPKSVLNDLAVVLGDVEAGILGVASRLGGVTEQVQEVVLGPPSLGEGRL NL AFLA_106290 MAPSLEDPTLAPALDHAILPKKEVNGDNKFHYTPGRTVVERHDN YAYEDLLPSFPDIHWDPLEEIPYEDRGLRGDPKFRNLLRDATDVFDYTPKIGTEIHGV NLAKLDEAQKDDLARLVAVRGVVFFRDQKDLDIDAQRELGRHFGRLHKHATTSVPRKQ GLEDVHVVYSGDNSGDQRALFTPSFLWHSDVTYEVQPPSYTMLKVLTGPPRGGGGDTL WTSQYAAYDALSSHMQTYLKGLTAIHSADMQASDSRALGRPVRREPVTTEHPLIRTNP VTGWNSLFFNPGFVTKIVGIPKTESDAIIKYLTDVIATTQEMHARFQWNKDDLAIWDN RTTNHTASYGFAPHRRHAVRVAAQAERPYLDPAGKSQEEEHIALYNLPPVNKDGARQS NYND AFLA_106300 METPKDPSLLEYARFYGIARDFTAVDPITNIDETASETPLPRDA LSEFQDYIYETQRNVEDNLRKEKLNVRKESARLLASVIQDARAEKLDINWDELLPKFS QVDELKVQLPILDNDSILDTLRYTSPLRYDENKIEIRPLDEPCQKLKDEDITADLLTK ADQVLKDIMPEKLKCSRESMLLIQKARDCGGLHFADLESLLNEMIISGQV AFLA_106310 MSLLRNVKGLAPLLDRVLVQRIKPETKTASGIFLPESSVKEQNE AKVLAVGPGAVDKNGSRLPMSVAPGDHVLIPQFGGSAVKVGEEEYTLFRDHELLAKIK EN AFLA_106320 MQNQAILDDDDDDLYDPADAVPVAASQNTAHHAQANLNQEADDV EEEEIEVEEDDDDFNIITEAPPDAPPPEVPHPRHASLRAESQRPASVDSSPVSKSVTP SVTPKVEHATPVPVTARPAVPQKPGSAYPPVHASDIDVHANPTHPATGKPILSTDMDA DFPEDDKPWRRPGSDISDYFNYGFDEFTWASYVLKQQELRKEVGDQKRQLDDMQSFLT MGLPPMPGGPQPGPGGPGGAPPPMPGMPGMPDMSPDMMQGMLASMMAQGLDPSSMDPM SFMQHAQAMMGGQSGAGGGQQGQPGYGNQGGGQPQMGYGGGFGGGRGRGRRW AFLA_106330 MSQSEQPSHSSTPRSFTSQTASAEELLKSQTVGLVHLSDFRKRR AEVLEQKEREAHDKSLGRFTSGNSRSATPSGGDVTDSASTRSDGPPKKKKKKKPLAKS KLSFGDDEEEGDNTGEDSAASIPRSASRTPVDNSSLPPSRRITPNPNAPPPPKAMTKA ALKAEAEARDALRKEFLAMQEAVKNTEILIPFIFYDGTNIPAGTVKVKKGDPVWLFLD RCRKVGAELGVGGNSGASKGRKDNRREWARVSVDDLMLVKGDVIVPHVSDCFRPYFIV TNVLTVLKHYELYYFIANRVPSFSSAGGLLFDYSNKPPETAPTNDDPLLGSNTDQLEG ADKDPASTKVVDRRWYERNKHIYPASLWREYEPGPEFEEKMRTTRRDASGNTFFF AFLA_106340 MSVEGTSGCYDLFVRRTPPLELHIFCPTCASTLGLSSATNGERH CPACQTILVNPDDVVITALNPTEDYKTSVLSGLDPNTIMECAGRALLFWTYQTTQEMC VVLAAARHYCVLTANSYYQEFLAKTLTDRYTGLNTQMDKVIHNANTEISTLQTRLSGS CRPTHLPELSPE AFLA_106350 MSAKSILEADGKAILNYHLTRAPVIKPTPLPPSSTHNPPARLAS LYFPEDRAVKDVLDQAEVTYPWLLASGAKFVAKPDQLIKRRGKSGLLALNKTWAEARE WIEARAAKDVQVETVTGVLRQFLVEPFVPHPQETEYYINIHSVREGDWILFTHEGGVD VGDVDAKAEKLLIPVNLKNYPSNEEIAATLLKKVPSGVHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSAEVHFLDLAAKLDQTAEFECGTKWAIARSPANLGIAVAPQ EGKVNIDAGPPMEFPAPFGREMSKEEKFIAELDAKTGASLKLTVLNPKGRVWTLVAGG GASVVYADAIASAGFVSELANYGEYSGAPTETQTYNYAHTVLDLMLRAPIHPDGKVLF IGGGIANFTNVASTFKGVIRALREVAPVLNEHKVQIWVRRAGPNYQEGLRNIKSVGEE LGLNMHVYGPEMHVSGIVPLALLGKKSDVKEFSA AFLA_106360 MVRVKHRYLLLDILYPDPTSWPSSTAPKNAPLNAQSQLRIHSPT SDALTPSLLAKMVREEVAEVFGDWGVGRLGGVTAGGVSVKYLSPATSTAIIRCPRASF RLVWTALTYMSRVPEYGDSNRSRRSDVPLTRPCVFRVIRVSGTMRKAEEEAIRRARKE IVRLRGAEEVGVLGGLVGGLEYEEGSGVAEDVMGDEEEDVDMGSDGED AFLA_106370 MPFAQLVIGPPGAGKSTYCNGMHQFLGAIGRKCSIVNLDPANDK TSYPCALDVRDLVTLEEVMSEDHLGPNGGILYALEELEENFDWLEEGMKELGDDYVLF DCPGQVEIFTHHSSLRNIFFKLQKMGYRLIVIHLIDSYNLTLPSMYISALILSLRAML QMDLPHLNVLTKIDNLSNYAPLPFNLDYYTEVQDLSYLLPHLEAESSRLSHDKFGALN QAIIDLVEDFGLVAFETLAVEDKKSMMSLLHVIDRASGYVFGPAEGANDTVWQVAVRD GLGTMDVRDVQERWLDAKDEHDELERQQLEAEAKARDEAAGPTKPSAGYEDDDEYDDL GRGFIPDGGVKVVRKS AFLA_106380 MASNQFIVASPPTDAISALKFSPDPNSTRIVVSSWDKNVYLYEL RDENGNVGEGKLLQKFEHRAPVLDVCFGATEDEVYTAGLDWDVRK AFLA_106390 MADLIESAKRAAGQAAVKNHYPKDAKYVGIGSGSTIVYVVEAIK ESGVDTSQTKYVPTGYLSKQLIVSNGLTAVDFDSLPEGTVLDIAFDGADEVDDDLNLI KGGGACLFQEKIVALQAKEFVCVADSRKLQSRLLSNWKYIPIEVAPIAASRVLGELRE LGSISPALRLNTTAPEGAPKPTPLKTDQAFNIIDAPFKSLLTKADIAAGQDGTGKDGV WEVEALSRAIKQIPGVLDVGIFSGETGPQAQARGGTGGQKPIAAYFGMPDGSVSVRKA SA AFLA_106400 MTDAFPSALTGPSSKERKYDRQLRLWAASGQKALEESRVLLVNS DGPWSNQSTGVSGVVGVETLKNLVLPGIGGFTIVDPATVTEADLGVNFFLEEQSLGKP RAAETCRLLKELNPDVEGSFQSKSITELLRQDPGFLAQHRLVLVSGPMKRPSLDALCK AASELNIPVLYTRSVGFYSSFSLQLPPLFPIVETHPDPETTQDLRLLNPWPELAEAAS RIDDLETLDDHQHGHVPYLLLLLHYLEKWKEAHNGNVPSNYKEKSEFREMVRSSARTC NPEGGEENYDEAVAAVLKSLNPFSLRSSTREIFEMEECKNPRADSADFWIIASAVREF FQQHNVLPLPGSLPDMKAQSADYVSLQNIYKSKAKKDVEEVTNIVRRIESQIGSRSGD IPEKEIEIFCKNAAHIKVIRGRSIPEIDGEAQTLKAIRNSISIPDSLIPVFIAFQALD NVVTDLQEGRIPSGSIDDEVAWGAQIDRVLSALTADDQSTIDEDARERISEAIQELRR TEGGELHNISSLTGGLVAQEALKVITRQYVPLDNTCIFDGVRSRSEMYTL AFLA_106410 MATTKNSVVIEQRPSNDESSRATRSRVWDNPILSKLCKSRERLV VLKIDFLLLTWAFVSGLTKDMDQSATTQAYVSGMKESLSLYGNELVEFTTFFSIGYAI AIVPSQLTQTKIRPSVWLPTCEIIWGALNLATFAAKNARTVYALRFFLGVFESTSWPG LASLLFNWYTPEELGTRLAIFGVSGTAGNMFLGILQAALYKNLDGAGGLQGWQWLFIV TGCITMAWGMLGLFIIPDSPSITRALWLTKTERKIAVDRMASYGIKTNELVNRQVVLK KIRALLKSPLSWLYIAAYLQYAWSQRCNSYFLLYLKVRYIIPSLAVKTLIDGNSTYTV NLIPLGGYAISIVTNVGLNYLSDKKQWRWQVAVCAALLQVLCCSVLSAWPDSTPTVMA FYFMTFATSGWGYALLAWIGEILRKEPEVRSILVALTVTLVYVGHATIPLRIWRTSDS PRYPIGFPLSAAWAAGSIVSMMGIEFYIRRQAVHI AFLA_106420 MPNDASAPLYPAYLPVRPEGFTPTLKVPLQDIPEAGCRADPSVP EIYTKDSQLKSITPRIGTEIRGVQLSQLSTDGLDQLALLAAQRGVLVFRDQDFADIGT GRQRDIAAHYGPLHQHPTMGYPQGTSPEFQVVYADEKVGNLRTLLGTRTSYDLWHIDQ TFTPNTPGVTFFWVLETPASGGGDTAFTSLTAAYQALSPTFREGLHRLKLLHTSASVG EVARIGQERALKDAVQTEHPLVIGHPVTHDPVLFVNPAIARQVVGYKPEESENLLSFL HNHIRSLDFSCRVSWEKGTVVVWDQRAVAHSAVPDFEDGDRRHMVRIIAYGSQPQAVS VHKPSKNAS AFLA_106430 MVKTENYRSNQGVFFRKNFPEPFMEAIVWALLNYMRSTDGSSIL GKRPCSEESKEEPLFCVDLEADEQDSDQPSVGEPEPIDLTIEKWREEMIHPRERIILT RYSPNFNPQEKYWQFQLISEALKKAEMASVDGQIENLGDLSTEQNIAWEPTLGHSVDG LDPSVNSQIDHFDPMKIAATADLEASIAAEIDASDFESSMLIIPTEESLERFEKHRKI LDNLEYQINNHEEASRLLDIRSNTKVRGAILGDSVGLGKTWVAIAVMLKIWEDYNQSV KKAIGEGNEPPPGKPFLVVMPPSLIMQWCAEITRVTDKLQVVLYYGSKTSKEGIRPVK TILHKEHELFDGSPANGRKVVITSYQTFSNRHGSAAAKAWCRRTHQVYMEDIPTPPNG FPHLLDGCFSDVIVDEGHTLRNSDTSQSRAVHWLKASFYLLLTATPIYNSREDVRGYI PLLFRPPSQGDMHMLKELGGEIFKLPPEDPARNVCCTKMAVEEYILDNNVPPMVAGER LRVIFGQIMVRRTLSSRMESFGSRMIGSDIPPTHRRVCTTVYSRKEHDMYTRLSAIHY DGLFMEDPRDPTKIVWNMAKLRKLCLLTSWLGFDHLEHALHAPKIPKACKDLKQGTLG AVFARTIVEEMKPQFQESIYQSIETIVETRRTWVLEFLLKGSPKMRAMLPVLRDQVIV HGEKAMVWTQFPAEQIYVAAILKEANIDAEVFHAGLTRDERMKLVERFTQKHDECMVL ICAYNVNAAGMNLQNLCRNVHILTMSLSKSVVNQAIGRVSRLGQERMTFVYEYRLRSS FDEDLVSRSERKALPGLVADLGEGFSFPSISEGEEGLTNRWVLRAGELYQLAPGELIR KEDITESRPILEELMRSMEDTI AFLA_106440 MSVLQGTMNVPMTTSTAQALNTTIPAAATSALSSSARELLAAPF RRFSALSSHVNRLVGLPSMATYLRGSELAGPAGGAVVEATQTAAEAAREGVVEAAAQA DSSYHLTDIFQAVIKFSGFFSYLTSRWSLACFTVALVLNRITIYASTRRHLHLDWSRR LALRIIPILLFISQIHSLLRTIRCQTSTEYSLIRYGTPGKRLLFDHAGGGGFLYSLGS TLLPWETDEQSCSAMNMGRPASSSDISYGSFVLLWPVFLRLCLSHFVETLSCALQGRA VVTEAGMSIFEHSLAFAEAESTISQTLGLGLFGLPKQSASKDDLGESAQSTLHLLSRT QVLERMNVTPELLLIALISCCNSLTSNVLDVFGKQSRYRLFNTAFWGLCFMSTMAWGL VKGSSVGSENVVLKFPTVCIVGFVPHLLILSGIITCAVIYMLALLITAFSLPVDTPQP LSLRERFTLAHENMQGATQFHNIRFNRHEDFYTTLLRIGYTALTAASEAVFLNEGKGV VARSMTWLEEDRLAEIEWSRQRRSLRDPVSHTSDIPFGGGESVDFDIPEAPSDWESGY GREKKIEKPKNGSRSLRTQTDPGGVGAFRGMVRWYHGFAFFRGIFYLLLRWTAYGLDK LLSKIGISARPQWLKTIVRSRKSRPQELKNKQTESLDFWILTDTGELVLPADHEFDVE TEMRKRERSGATLWEQSDEQRLDDKLYGWWKAGGSWGDRDLSSDYSPPENDIDDTTSV VSMSTTADSEWEDESDGRRTPTRDNPFPSGFSRESTTVQESMVDISSFARLLDPRDQE SRQEARILAAHLAAGQEGRILTRRRFQQQIEHERAQVLLSSRLSQEIRSEKRKPTMEE ESEILEKLILSRRSSASVRADEQSWESGASGLGPSGPPCVICQTNPRSVITWPCRCLC VCEECRVSLAMNNFGSCVTCRQDVGGFVRLWVP AFLA_106450 MQLIHHMIILFASLAAAQTLPNIPPCAASCLVNALQGDGCPSLT DFACHCQKPELVPKVSPCVAQACPLAEQSSVSNIVVSACSSAGHPISVPNPGASTTPQ STTTTTATATGTGTRASPTGSMTIPTVSSHASSSPKPSSSALSSSHVSSSPGASTHTG GAGGGAGGASSSGRASSSATPPLKTNGAAQVTGGLAGVAIAAVAAYYHL AFLA_106460 MPPTRRRGGRATATRSNQATLSFGSKSRVTKPSATPTQTQKTKD LEPVIASISEKVPEPEQVSVTPTEPSQPHVAELAVRQQAQAEIEQPLSKEDEKAIKIT EKDLQQYWRKEEEKRRGPRFHQQDLTLHEKILRHFDLSSQFGPCIGIARLKRWRRANS LNLNPPIEVLAVLLKHKDDIKQRAYVDELLS AFLA_106470 MAAFVRVSGPPNGNFLIGYPGISATMPRIEGKVEIRPSVGITAP VNISLVTIYLQRRETIHPSADSVTKKHLAPPRKETTDLVGKEMLLFRCPAGREYEEVI SMDLPFVLFIPFGRGGQDASRRVPPASLQLPSRTAETYYEIVVTVQQGHSDQRKHMFP VPIARYDTLSTFGMYNRPEAAERVSDHLVTLGISLPRWSYGPLDPVSVYVKLSPNPDW MSKARKVTISKITIGIDEEIIYNHQGDEPQRKVKTLTKRTEHIGMKLPPSGFLTNLGL VFPAKDMRDAEGILPRGKPAFPMYAVSGFTTTASLYKIEYYLTVKAHLTSARDIVIRQ PIVVCPLDHAGCKEEMEAIEQAARDAVHVNPDNPMLPLPSIIRPSDPNALRHLGVAIV GNQKKPLID AFLA_106480 MADTDEAAIHLPSHDSAAHIDTDLSDETQDFRMLSNLSFLADTS QATLPKRGEKDFEPNPTLYQADILDASRQAMHNALAHPRLHNPKNQIIGIYAPDGPAP PRSVATPKTLDTIAENDTPAQTAEAEESQSKKPAGTGTNVHPDSCVYVTNPKGQFFKN MGRADRWGRIWLLPEEALYMLERGSLDVRWPRSATGCEDDGETEDSGIPMSLQAAYAC FIGHGGLTVDRFSVYSGLRRLGYTLIRAPGWYDEAEEEFEDPESTKRQGPGLAGIYGR FMDWLHSSTTTAVGPVAGLGIHRNYNDIYRKLSIIPFYDPTAPLSQNPQTKPPFRVVF HVYKPSTPFRKSAPPAPDFRVAVVNARTHTTVPTLAQLGTLLESTPLDPPKGEKMERN LYMRLRHGYRNVVLAVVDQGVVSYLRVADAAFGKEKLYNRPAPSGNKRGANPRNPRPK PKGR AFLA_106490 MASSATDSEFVTLVSGDGFEFVLPRSAACVSGTIRRMLEPSSKF AEALTGRCILENISGIVLEKVCEYFCYNEKNKNQSNVPDMEIPPELCLELLMAADYLD T AFLA_106500 MQPLHLSMRSAAMSISHLPYPRPQYTRRILNTALSTAYNAPPRS QRRPLSSQTPSTSARLTGRTCMITGGTSGIGFAIANRFLQEGAERIILVGRSYERLLK AATRLQVNDEGARNQEAADETVPKSQGTLVESSDRISLLVGDVSEAGSWLRELEKAMQ PVDILINAAGISNSNILPKTSPEEVSQTLRTNLEGAIFTSRALLRASLRNRLKGRTGE TRPPSKCIINISSLLALKGGTGAVSYAASKAGLLGLTRSLTVEATGSLRNVVVRSNAI VPGYIETPMIADFSEGQNERLKESIPLGRFGAPEEIADAAVFLAGNEYANNCVINLDG GLSAV AFLA_106510 MPRDTYSKRSLGTLSRRLKESRVLLVGAGGIGCELLKNLLLSGF GEIHIIDLDTIDLSNLNRQFLFRFEHIKKSKALVAKEVAQKFQPSAKLEAYHANIKDS RFNVDWFATFDVVFNALDNLDARRHVNRMCLAADVPLIESGTTGFNGQVQVIKKGQTE CYDCNSKEVPKSFPVCTIRSTPSQPIHCIVWAKSYLFPELFGTSEDETPELDSTEDVN NAEEIANLRKEAQALKEIRESMGSPEFAHKVFTKVFKEDIDRLRGMEDMWKMRKAPEP LDFEKIQEETSTIEPTISCNDQKVWTLAEDLVVFKDSVILLTDIYRSLDRLSKRLKTL LDTTKSDVKPILVFDKDDVDTLDFVTASANLRATIFGIEPKSKFDTKQMAGNIIPAIA TTNAMTAGLCVLQAFKVLKDDYAHAKMIFLERSGARAINSDSLKPPNPNCPVCSVAQA RVKIDPERATINDLVQDVLRLQLGYGEELSVSNELGTIYDPDLEDNLTKKLSELGVSN ESLITIIDEEDEQPRVNLELVVVTEKPESSTGEQKPITLVKVPEIPRKPRAPTPTVGE HVNGSSDPNKRKRNAEEAGLSNGEDRSKRVASMSVADGDGSNPIVLDETEGGAILIDD AFLA_106520 MSDDEADPELLALLRKSLGLGGGAANPRAAETKVLENAQYVFDN AIDVALNPSKTKEAAETIWRLMQKKEYSTQTWSEHELHPKAKDESTVDFIFAMDLLNF SFWSERPEEKRFAIEYRGKKWTGYWSLVAALQRALDEEIPITSPYFWVNEDECSESML KHVFRSVSDEEIPLLQERLQCLREAGRVLCEVY AFLA_106530 MYRNLILLLATYLVTLAASQTITPENTLTNPDPGAVLPRSGFQI LPKRAPPKKTPPKVSPLQQECKDIKIKEGPSVSKGHSAQQLLTASCNVPGTNKKQYSE LSLDRCLGWHKNNDGSGRLVAQKHGAGLSKAGGECSTCRYLNSNPNMLCYCAKVRDNE KTYMNPATATWTGPVFYNLCKCSMSHIWTVF AFLA_106540 MNNRPVEQALGTLLPTHADDLPQELRSLALSLVAQSRSFSTSLR PEEEIARPYACAEIACRRLTRALKLPPLMGHPPCPPRAYKKLYAFLDRSLSNSVAGVK RAGSNSISETPSRTGSASSTPAKNTKRTRTPPKTATTPHKLQNTANKPTPLKKAITHE GSGSRSETPQKSKVRTNGLPGSTIIPDAPAWVMTSIRSVCKTLSTPAPRTSTWSRPPI SRTLPPHIFAGVSSILYFISRISAKDDDDDFDEETLEFVEPILIVKDKENDEDYKEVV NALVVAVYFLALARRRSSLSEGEGETKKLDKKTFSEMRQTALVSIGLPSTERRHREDV DQWIAVIMQQHWANGKEWFENIPQAGELDGDDAYLSDEDGFGEDGERAKSAKRQKTTK SGRSLAKHSSRKGLLPGLGTMMQDRVDWLSDDRKEDYLEWKAAVLARIEQIQKSAAQH FGALPV AFLA_106550 MSVTLHTTQGDLKVELFCEAVPKTVENFLALCACGAYNNTPFHR LIPGFMIQGGDISLGPAAHQSQESTKPMLPFDDIPKGGTSINHPGALNQEIHLPALRH NTRGILSMAARPVKDRTAPGSQGAMGATINGSQFFITFAPAPHLDGNSTVFGKVLNLT AQDEGGDVLTKLEKANVKVDKKGRVVQPKEGEESEYEALRINRVTIHANPLAK AFLA_106560 MSVEDKPVQQEAPVESQFQKPGDLVERDEDTGVMSLESLCMNCH ENGTTRLLLLRVPYFRDIILESFECPHCHFKDNSVKSAGQIQEKGAKYTLTVQGENDL QRQVVRSDTSIFKVESLGIEMPKGESQFTTVEGVIQKIYESLSSEQPLRKAQAPELHD ALVPIIENLQKILNRDGFPFTVSLDDPTGNSWIAPTINDTGNNYKRRDYPRTHEQNEE LGISADPNAVEHEASGEWEDSEIVDGQVYSLPTECPGCTKPGFVNMKKVNIPYFKEVI IWSTSCEHCGYRTSEVKTGGEVPEKGKRITLRVENEVDLSRDILKSDTCALHSEELEV TVQPGTLGGRFTTVEGLLTEIRDQLHGQIFDVDDASGAGGDSMASDTKEKWTRFFSRL DAAINGDMKFVITLEDPMANSYVQDLCAPAVDHQITTEEYTRTEEEEEELGLKDMKLE GYEEDTEKKEEDNTEQKS AFLA_106570 MSSFSQDDEHDPQADELRETALVTLEALISSCGQRMQPYLQNTI KSALRFLKYDPNVAEMEEDEEMGGTQDDGSEDDGTEEPDLDDDEFEDFEEEGGYSDVD DMSWKVRRCAAKLLFTVISTYGRGRALDESSLYQQIAPALIARFSKEREESVKLGAGT SSTAAGATASAGTLQIETLSLIAAVAETHTSDALLPFLIALIPGVIGAVNDRNYKVSS EALGAVEQIVKALTPPRVSASSQDLASQLEKLYDVVHSRITDTSADLEVRQRAIHVFG VLLARTSGEKGSAFISLDRRSKGLAVLVDRLKNETTRLAAVRAVDDVAVLCDRKTDVT AAWVGEVTTELGAQLRKSDRVLRGASLEALRSLAMNSKTRVHYDGKTMKELEDCLLPL INAEDFHFLAPALIILAKLIPGNAELLVNEALVSALCSIVLSSLVGTVLKALLLLVKV VGEEGAGAVLMKKLLRDVGINGDTSVVGRAIGTLLVHGGSKLGVKMDDFLKELQTAQD AQRKCLALAILGEIGLRMGHECSLTPELFITHFDSKSDKVRLAAATALGNAAAGNVKT YLPTIMSGLEKPNHQSYLLLHSVKELLQHPEIVRPDVAPSALKLWQALLNVSEEEDNR AVGAECVGRLALIDPVAYIPHFQECLSNSDPTIRGVVISAFRYTLSDSRDTYNDVLRP LIVPLLVNMLSDRDLENHRLALTTLNSAIHNKMDIILPHLNELLPAVFGDTQIKPELI REVQMGPFKHRVDDGLELRKSAYETLYASLDTTFSLSHISEFFERILAGIDDEQDIRT ICNLMTSKLINLAPEETQRSLDALSERYSVVLSFKPKDNAVKQELEKAQEASMGVLKI TRELSKAFPNAETSGEHHKWKAYMEWVRKTFSSQLKSLESEF AFLA_106580 MPFSDHATRLGFLKDAASSLDSLSPSTAAHLMTVHNKIFYDESR PLNQRQQEASCGACGSIRAPESTKTIHIKKKIIKRSSAASDGAIVYKCLRCRRRTVKP SRKEPVRPNASSKMAAAIDSTPPTALTAVQQPDSTETKHTSKTADNASSKKRAKARKQ GGLQALLASKQQSRASSSLDLFDFLQQ AFLA_106590 MAPELNSASIRVAFEGCGHGCLHDIYASVEKAATLKGWDGVDLL IIGGDFQAVRNSHDMACMSVPQKFKELGDFHEYYSGKRTAPYLTIFIGGNHEAGNHLF ELYYGGWVAPNIYYMGAANVIRCGPLRIAGMSGIWKAYDYRKPHFERLPYNRDEIQSI YHIRELDVRKLLQIRTQVDLGLSHDWPKQIENYGDYNTLFRVKKGFRQDSQTGRLGNL AAKFVLDRLRPAHWFSAHLHVRFVATLEHGDYIIPEHPGAKRQAAASQGSTSHALNAS NLFGFDGALVTSLLGENGKASSQILPARTTNETLDSATPAHVFQTEGDSSGHQHQQSA QSSVDSTTQGIVNDIDMQQPDPIQEGNEDTQSKISAWNEFHTVAAKNEAIENSRCLME HQGVVPEVQHNLTWRRVDIGEDGVGRQVVGVERDACAETAVNKKQKLQHETKPVKNSD EIDLDLDSDSGEDAAADTAPEVESRAMDQSTSAANINKSPTDSIVKPAEGVEKDTGDI SEEVRSQLPAGFARPPPQAYAVNGPLPESISNKTTHFLALDKCLPNREFLQLVEFSTI SDLEGAQCERPYRLQYDKEWLAITRVFANDLQLGDATAKPAADKGDTVYEPQIIEEEK WVEEHIVKPGKMGIPENFVPTAPPYDSAVPITTEEMPPEYNNPQTAQFCELIGIENKF HLSDEEREARMAAGPRPVESRQNFSRYPRRDGGHGHGRGRGGGRGGRGGGRPWGRGRG RGRAAPY AFLA_106600 MQSSRLLSSVPQGLDPVDYFSIIGPQLLALIDGDDPDLRKTAAY VVGNGILCKRAYGAPGTIGHSIFLEPLFKTLTAGLDDSSRNWMMSSSASGEDLPNRVL VPESLLVLAVDRLRSLVLQPPNPSLVKRVVYPILVPLWGLACGTSEQQRNSLHEKIME VLQTYFAISVGEQPLKKLVDNLLWDGGSTWTYSVVPTHGLSLIKRETAKSDRLNIVRL LDTLASRAKLFVGLLGADPSSEERTGDIFLYVSESWLVSTPVNERSFNKPQLGLANEA ESMERKLVSAKLAETLLDNFKDILSRRPLRVLELIKQIIDGEFNRASTRKKRDGDLGT GKVSLSSLANIVPAEENTEQGNAEESDSTESLPAVFSLLSTVLASPEFSASEDTLPVL ETLKSRLDQLIPYLPPSLAKPGTTSSMLLEIHMTSPTEQSQKRPYAEVSDFETHRRAL TNLNSDLPPVQAEGFSLLSDLVKKSSPVLDIPSTLTLLLSIITDPSEAAANDEFIYLN AIKLIGTLASRHPRTVVKTLVDRYTDRNETTNLDQRLKIGESLLRTVQDLGQSLTGET AKVLGDGMIAVAGRRSQKPETQKRRKQQLEKEKRQKEREERRNKEPAMPSGWKISSPS PAAKLQEDEEEGSESESPEQAAHSANIIAAWAAGASADDEPDDLRARASALSILATAV QTNIAGLGPSVASSAVDLALATLTLEQEPESAILRRASVVLLLDILKALDTTRETRGS QALGFGFSLADDSAGGMSWKDENASSRGPSTIGNIPHMLRTLAFVESRETDTIVRGHI RVLIESLEAWVEKSLLWGIGAHDREGENEPRLELGDRIAGLQIDPLAGRQGSGRPRIE EIE AFLA_106610 MRLGLILGLNHNIPARQCTDPTEREHRVRLWWAIYIFDRMYTSK IGLPLQIRDDDIYVDLPTAVNSPDAEEQFSDTAYLVSSIRLARIIGQIVEKIYTRKPH QESFLQREQQLLLALQDWVQSLPAHIKLPAVETPQKHVVSLHLQFNQYLFSSAIILVM SGLLPSIGTTADLEFLETAIEILRRMKYHGNLAAAEFYENLKRVKQCLPAGSGSISIP HDGRGYTPEKSRLSVGGAANVDQILDPNSIATVPVAGLTTEMAFLEPTMQDFLGRTNN EMDLINPGVFSIDESTGIDAWPTTFWTS AFLA_106620 MVNSVLFFPETKYQRPATTLGAKAVTIDVPTPTTNNEKHNVNAS IQAPNDTEVQVPDTNSQNGKGRPSKNQFKLFQKPDPRWKSFLIRDILSPIRACFYPII FWAGLNVAGPANLLLFFNLTESALLAAPPYNFSGAVGYSNFAFFVGGTIGLVTAGPFS DWVADKATRRNRGIREAEMRLPALIPFFITTAIGNVIGGVGYQRQWSWPIILVFGYGL TGLSVTTVPTIAVAYAVDCYKPMAGEIMVVATVVKNTIGFSMSYWVASLGARRGLVAP AMVEFALTLGPYVTWCSVVFLG AFLA_106630 MSIQNIESLSALSNLDLSFNQLARVAPSAPMPYLSSLRLSSNQL HSLDVTAFPSLTLLYLDHNYLFTVSGLEHCAGLDVFSAREQMNTGDHNGGFFDVDLGL VKDVRKVFLSCNKLSLQCLSPSNPLSGLQLLDVASCSIQSLPADFALSFPNIRVLNLN FNSLAGINELAGLNCLSRLTIASNCIVRLRRLCQVLSRIGRTNKNKVSTLQKVDVRGN PLTVRFYPPAVTGSGRVGDTKNLKVEDEPSQRDQAGLDIQSVLAEFGHAENSERSIIH DQDEEPIAEKDIEINDPYTLPPADPQADQKYLSHLDEPTRLRRRVFELMLYAGTGGSL KFLDGLQLRPTLEPGSDMDHAWNKLEKLGVLKRKAITG AFLA_106640 MNSQSTSFEHKDGPYSERSTSSSPAQDGPDPEPLDVSRVPNSIA SSPAKEQRLPDLGRERTTSGAVSQGPSFCVLAPSSHLPQFEYRRKKPRVSNEAGGSKP PTAGEPDAEEEPRAADFTKGTQLPEQDALSPQHMHSAHANRHGLSEADKDPRGIFIST STTKAIGNISVSQSAKFRSISTVNKGNTSERLPSAQQVRANPTMTDITSLHSIAVPRS NSEYDDDTIPDPQFSTQAALLHAQRSFQNDLDSPEHDIPSPDRQHASKSSDLSSPIAK DITPFHRLSTPNRARGSSGVQAPMTAGQWQSTQCMIDAVTPFTFSTEKKPNRRTMSPV KHLTGRKKLKTASFKLSSPPSSASSEARYEQDNNYIHSSPVPRRSPGHETQHSVLPMT LTGTTPPTAQEGQRGFPGADSFNLAEAIADAGSWLQQSFDLNRDLRLCETSKSAATSS AGTRRSAIGVDGKK AFLA_106650 MEHPKATPSHYKFKLMPEFFVNYHEIARQSPNSKVTTQPSLGLI DQPYSETTNTQPDAEPKKPWERFAAYIRELNTENPDRVTYKVLYLTRHGLGVHNVFEA KVGKEAWNSYWSHLDGDGTVSWVDAKLTEAGIQQAETLSQFWTDAVATENVPLPESLY TSPLARCLETTRLVFSKPMGQFREQFQPVVKELLRERLTDHTCDKRSTRTWIEGHYPS YLIEPGFSEEDLLWKSDRWESVEEHVARKQKVLEEIFAQDSSSFISMTVHSYAISAIL RACGYEEFRVREGSTIALLVRGERVGLS AFLA_106660 MARKRKSSPPSRRSPIRWTAQEDGILIKTVHACTIRGEDQSLPS DNKRARKDTIAWKLVAQSLPGRTNRDCRKRWFKIDRRWSQGPWAPDEETQLREAVAIY GPEWDEVSFSVKTRNPDRACLLKRDTQKCLEHWEKTLYPFAANQSREPTDDMKLPEVV AHSGHLSSYTQEGLAGRPILEFKDW AFLA_106670 MADRQAVQQNLNGLLSKLDDPDPDMRYMSLNDLLGILNSPTSAY LAHDQFSSSRLADGLLNALDDQHGDVQNQALKCLGPLVNRLPSESLTTILEKLSNLTA SQTIDTSVPNTALRVIVTALPRSQAGQPPTPDVSIAYSSVSKILIPRLTGPTPSQSGR RGSVIKGMLEKDPSKGFSSDAIDVLIQVVTCFGPLLKEAELTALQKSVMSIIDNDTAG TVVTKRALAAISALVPHFSEAQFASFVDELVKKFNNPRISVVHRRHLIATVGSVARSS PTKFGPHLPTLAPFVFATLGEVIAT AFLA_106680 MHFSPLTIIVSAIACSATYVTLQPSSKLEGNDLIPGLDRNHASP ALVERNFLARCGQGFGKCSDDACCSTAGYCGKTKAHCRSPDCQIDYGHCDAHMTPDGP PTSGIPRPKIGKVQYGPKAVRSCVGAGNIALTFDDGPNKYTEDLLDLLDKYDAKVTFF ITGNNNAKGPIDTPGMPWASMIERMYQSGHQIASHTWSHQDLSKITPEQRRIQILWNE VALRNILGGFPTYMRPPYSSCTEESGCLKDIGNLGYHVILYDIDTEDYRHDSPNAIQG SKDIFDKNLARGKASDKSWLVIAHDVHEQTVYNLTEHMLKKASKDGYNVVTVGECLGD PEENWYRMDESSELTILRKTKPLATAKQAISRDGRCGGNVTCLGSKFGTCCGKNGYCG TSPKHCGFGCQPNAGHCQKARHTSTVHRHEKRPATSDASSLLQPGLNVADLLLIAAIA GLVGVPWPLVLVLLSRW AFLA_106690 MSHARVIQDSDDEDDPLAADFPPPANPTHQANNDYALDSVAQDV PITAQVQEPNHPIAINFDVFLQSQESAPRGLSSSQQRREERWIPNEAGGGGSIDYNNT GNGLEYMYQSNDTASDQYEPVTHTEQHPPHSLLSNDFSQSAASYNIFESSLQPSRSSN PDPMSFLRPDDALQIDLQNGARRWNSMQGMPSSPHDTEPNSSLLSPKVSRSRSDNASS NGIIASHPEVQQPTESPNQLPHNATIEIPAEPKKRGRPKKQNPGEPIETSDLPRPANQ DTNEANDTKPEKRKPGRPPKNPKPDAERNDVSNIDDQSTHPDPTSIVSEPQIPLSETN LPVDIPQHNTTETTNFHIEIPNQQSLPQQAPPETLQAPGPAPKEPKKKKLKRGKTTSV TLQKTYDPDIEDDVIWIDDAPPNPIIPQQDQQTPNSEIAHPAVPTNNDQTPSDNTTVQ TEPAPQPKKRGRKRKKTSDPVIEEEPRDNIGKPSDIHVEQEKQAVTVAVDNTANTTVD KNTVDNQDTLDTSRNLPAGSEDTHVGTTPVLTTNENPPETPKKSGSEPKTPSTAPGAA DADASGKGANKGPGKHSPISTGKVPYRVGLSRKARIAPLLKIVRR AFLA_106700 MSPDLNLIKLVRAELSHFGLGNDTPPDLNNVFRQSNLLQLIVIG PWCGPHTNGSNITFNFFDVSSVKLPEESSSIFSSDISSLCTGSSNLFLGSTDGFVHLI SPSFKLLRSFKASEGGPITHIKQIEGTSLLVTIADDASSEPALKVWALDKTEKKTGAP KCLSTTPVQNARRPFPISAFTAVPDLSQVAVGFANGSVAIIRGDLIHDRGARQRIVFE SEEPITGLEIHSGPTTILYISTTNRILALVIAGRGQGQPARVLEDTGCALGCMALDKD NGDVLVAREDAIYTYGPHGRGPSYAFDSPKNSINIFKDYVALVCPPKVATSNSSTLRN FDVSQPNDLFSTTTFTLLDTDLKFIAHSEALVSPVKQVFIEWGDLFILTTDGKIYRYR EKSLQQKLEILYQRSLYILAINLAQKKGVDTFQQNAIYRKYGDFLYQRGDYDTAMQQY LRAIDNTEPSQVIRKYLDTQRIHNLIEYLEELHDHDRATVDHTTLLLNCYAKLKDTGK LDEFIKAPGELKFDLETAIAMCRQGGYYEQAAYLATKYGENDMVVDILIEDSKKYAEA VEYIWRLDPELAYYNLMKYARVLLSNCPQRTTELFIDYYKGKYKPITEVQNPPEPQAQ STSTLQSLAAFLPLPLINSSAGTKTAAVEPSPEVEAEAAEDTTVYQIPKPRTAFSAFV GHPQEFITFLEALISQESVKEEDKVDLYTTLFEMYLDTASRKKATTEKEEWETKAKKL IEGKDIPISTSSVLLLSDLSGFREGSTLVREQEGLRSDIFRSFTSAKDTRGAIQALKK YGPEEPQLYVDALTYFASSPKILEEAGEELDVVLKRINDEGLMSPLQVIQALSNNAVV TMGRVKKYLSDNIERERKEISTNRRLISSYSTETENKRKELEQLGSKPVVFQARRCAL KSCGGVLDLPTVHFLCKHSFHQRCLNKVDEEAECPVCAPQNSTIRAIRKRQVESADQH ELFKGELQRSKDGFSVISEFFGRGVMRPQSTME AFLA_106710 MVFARANLLRASARAVGAASRRPAQQVARRTYASESSHSVQKSS DLPWLLGSVGLGVPAAYYLLSSGPEKKPHGGHGDHHEAVKETEKKEEQAPAGESEPQP DRDAEQKVDTEASSSSSGEKGGSFGEPPSNVDEATARGGTGGPATISGKQEGVSNADT SNPYVNEPGKSQKGEGETETAKVKGTVDPARPQA AFLA_106720 MARGRSSSNLGADIPGDTSAPAMSTMNEVSPIETEAPKWNKSSE KSLKSQPKRRKSRSLLRRFKDKCLKHTWLLPLLMVVVLLALYAVNPNPSNPLHSAIFL SYPQGPKTPGGPVMYGKGPKDVAFVSFYTIVLSFTREFIMQRIIRPWAIYCGIRGKGK TARFMEQVYTAIYFGIFGPFGLYVMYRSDIWYFNTTAMYEGFPHREHEALFKAYYLLQ ASYWAQQAIVLLLQLEKPRKDFKELVGHHIITLALIALSYRFHFTYMGLAVYITHDVS DFFLATSKTLNYLDSFITAPYFGMFVGIWIYCRHYLNLKILWAVLTEFRTVGPFELNW ETQQYKCWISQYITFALLASLQAVNLFWLFLILRILKNYLFNSIRKDERSDDEDTEEE EEAEQGQSGRATLATGAEVSTVTARNVGKENQAPQVLVNGEPLNEKSSSRR AFLA_106730 MWLVPRGNESLDIPQATFPVFCCVQPDGQSTQMAILKTFFNIRA LHNRRVPEGTESSTRFRGEGKWPPS AFLA_106740 MDFISFLHQENFKEVLETDLLQLGVSAALLGVLLHITIFRTSFC VENHIYNLLGLYATVVLFLFYAYFTITVLSLMQVLGRVALLTTLFNTSLNTSISIYRL FVHRLHPFPGPFACKPTRFYSAFLAAKNIQYNVELKRLHKQYGNFVRTALSVYEPRVI AQAKKLMAQVEANQGKPLDATTWSMLFTFDIMGDIGFGKNFGNLTTGKAHPAISAIRD HMRVIAVVSHLPWLLNMLGKIPGAAAGYQGFFKWCTDQVETKRKVLSPRYAFDETSVY PDATQQSWDHDKYPQDIISWILKAFIDNDVSAPPSEPALHDDSRVVVIAGSDTTALAL ASIIYFLAKHPQILQKLQAELDNAMPNGPRSWTYDKAKTICYIDDIIHESLRLRPSVS GGGYRVTPAEGLQIDEVFIPGDVNMFVPQQLIQTDERYYKFSKEFIPERWGEKKVEWG TDKAPYFLFSLGEFSVSLIQCGV AFLA_106750 MAIGNIYVIAAIAVIGGGLFGFDISSMSAIIGTNTYKCYFNNGG SVTINENGEKECGGPDTMTQGGITAAMAGGSWLGALVSGYLSDMLGRKQSIMVGSVIW CIGCILVCAAQNIPMLIVGRIINGFSVGICSAQVPVYISEIAPPTKRGRLVGLQQWAI TWGILIMFYISYGCSFIKGTAAFRIPWGLQMIPAILLFLGMMLLPESPRWLARKDRWE ECHAVLTLVHGHGDPDSPFVQSEFEEIKGMCEFERQNADVSFMELLKPNMINRTHVGV FTQIWSQLTGMNVMMYYITYVFAMAGLNGNNLLVSSSIQYVINVFMTIPAILWLDRWG RRPTLLIGAALMAAWLYANAGLMAAHGHAAPPGGLNGTPAESWEITGPPSKAVIACTY LFVASYAITWGPASWVYPPELFPLRVRGKANALCTSFNWAFNFALGWFVPPAFENIKW QVYIVFGVFCTAMFIHVFFMFPETAGKTLEDVEAIFTDPTGIPYIGIPAWKTRNEFSR GATLEQVGFDEEKKIGGTVQHSETA AFLA_106760 MAEETQVLSIQQRIAALNQAQVGQSPQAAASPLLGAQPTPFASR PAPSRQQTINNPPVNSHDSLGDRNEPTGAQPKPVPRPPPTPVQKPRAPPPLPVRKAST ESAPALPPRRPSGFSRKESRESLGSDVSHSTSTSAGRATIASTTSNNSDTIRSVRAPA WGAKLPPLPPKRQDTKTVQPPPRPRPSPANSSRSLSQGRPSLPPRRESTNSTSTNGNR STSRPPPPLPSRINSDKSPGPSADTNGKQSTRKLPPPPPSSAALEKIQSSGLAGINRN TENSGKGTNGSVQEPRPNGVPPPVPRATRPDVDLAKLQATKPRLCKTTHSATAPSTMC LKCRDFSAPDAHAAQYPRESLPSYDLAWLARELTAPFPSPTDKARALFTWFHHNIEYD VHSFFNKCVKPSTPAGTLASGLAVCEGYASLFATLATHAGLDAVVVAGHGKGYGYDAP APGSPIPPVSATGHAWSAVRIDNGQWKLLDACWGAGVVQGPGLPYKKQFNPAMFTDSN DEFGLRHFPSNRSHFFRDDGRPEITWEEYILGNPNSPLSAEQPTIFGDAQNHSIGERS FRPAAKQISIHDPSPLRFQFNLICEHWTLEHHTRAKPGLFLLMVHGLDGRQDDRLPFT HVRGSGPGGGGDFWYVDVPNAKILGAPGQKLAIAVLTSFGDRKDARGVTAEEYRQQVG RVGMAWAYIAEWQLV AFLA_106770 MPPQGWLDIHGHFYLPQAPGEAEALAESFRAACFMVSRPVTWEV ESILRLEKLKEANTYAASLVSKYPSRFGLLAALPTDDPELCLSEIKRTTSTFEIPADG FAVTTVHKGVGLGDPRLEPVWDVLNSRKAVVHIHPNAYAAPTNGRPSPLIEVAFDTAR TVVDMLYNDVFRRYSDIKFVLAHCGGVLPVLSGRLALLGTEEWVPNPNDITRKEIEEQ LGRFFVDTAATAKAGLQPAVKMVGLENVVYGADCGVPCSTEQTMEENRKDIMDFEAQN DIPRGTILANGWKLFPQAAARVTANDV AFLA_106780 MSIATVETGYVGNLTQDQEEKLLQLWRIFLRSCDAELYRTDTNR SGQTTSTTSPKQRRRLFSLSWSEDTSKTNDSPPVPAKLLSELEAMKMNAQEIKLIQQV LTKLKPDERRSAFFAMMKQDHPDTFLLRYLRAEKWNVPKGFVKFVSALEWWSKQQQVE TEVIRKGELHALQQSQSSTSSNEKKDGEGFIAQLRMGKGFFHGSDKSGRPICVVRART HKPGAQTEKALNSYILWNIEVMRLLLVPPVETMTLIFDLTNFALSNMEYAPVKFIIEC FQENYPESLGYMLFYNAPWFFSGIWKVIRGWLDPVVAAKVHFVNSVEDLEQFIDRSQI VKELGGDEDWTYEYVEPEQDENAQLQDTTTRDSIMAQHQQIGEELFEATSMWLSAKDK GNMGDASQQKDRRADIAMRLRENYWKLDPYVRSRTLLDRIGVIQAHGNIDFYPVKEGQ GESKEVNEKDVKAVVDQVEYANGPQVASAA AFLA_106790 MGRDNSHSTTSATVSASSPTESPAVGAELPNVGEIFQDQLENRR PSVARPVASAPRVLRLASLEDAERVASQYFDGIGYQYPFLHRHDFFAQLRRIYTGDVP PPDVLYTYHITIAIAMLISNAEGTQANEYYRASQETLSLSLQNEDLSAVRALLSLALW TMFSTNGPSVWHVLGSALRLATSLGLHKPRNASSVVEDEMSKRAFWSLYNLDRLVAST LARPLGIADEDISVNLPREFNDDWSEAPGASAMTIPVQVVRLRRIFSRIYRYLYNNHP PPPPAEVSLTLLHFRQELDDWRRNAPVYPPALLYSTSYYDYLYATTLLLMHRPSPRNP TPDATSIVSCGDASIQVIRSYWDSYSVGKLKWIWLTLSQIYFAGITILWCLNQNFLSV RDGHPAAWQPNDQMMRRAIQAVVVVLEEFGKRRPGVERLAETFRHHSTVIFSHLAYQQ EQIHSQPQPQPPLQPQLQQQNVLVAPPVPMAATLDDVLLVDGSGNVPLIDAQLADELF YSYDWFQEEMATFYTL AFLA_106800 MTTKPAQAFHGTLIHSKDPQTLEILPNTLLIISATGQIQSLHPS TNPTDIPTLLTQANHNPDTTPITTLSATEFLIPGFIDTHTHAPQWSQRGLGRGIDLLT WLEQITFAHEAKLSDPIYAKQLYRAAVQGSLKQGITTACYYGSRHKDASIILAETCLA IGQRALIGKCNMNRHAPDWYVDSSVDESVSDTVEFIAKVKELDGDTGLVTPVITPRFA ITCDETLLSQLGTIVKQNPGIPVQTHFNESHGEVNFTRDLFPQFKNETELYDNYGLLT NRTILAHAIYPQPEEFPRLKELDCGVAHCPIPNTTMDEFMIAPVREYLSCGIKVGLGT DCGGGYSSSMLDVMRAAFMVSVAKQTETEGKDAPLSVAESFYLATLGGARVAGLEDRV GRFAPGLEFDACLVRTGVAEGIMAPVEEEDGVEGVFEKFLMTGDDRNIVRVWVRGREV KGSSSA AFLA_106810 MADIPRRDEKPQQKEFLQEPSLDIDDSNYSILSDRDAAEILPYE ADDSPFPEVGSGLNQFFSLRQPSVTISALVAQLVAFPVGCAWAKWLPLGWLNPDRHFN IKEHALITIMANVSFGSAAATQIIEAMVKFYNMPSQGGFEILLCITTQLFGFGLAGMA SRWLVGPATMIWPQVLSNAALLSTLHSRANVVADGWSITRLRFFLFVFAGGAIWYFAP GYLFTGLSTFNFICWIVPTNVVVNQLFGQTTGLGMSILTFDWAQVVYANQSPLLVPFW AGLNVIGSFALFFWLICPILYYTNTWYSAYLPMLNSNTFDNTGKSYNTSRVMNHDGTV NVEAYREYSPMFLPAGYAVTYGVAFANLTGIFVHIALYHGTDLWEQWKGRDKKDVHSR LMSSYKDVPWWWFAAVTILMFALSIVTNEVWHTGLPAWAVLLAFVLPMIYFIPVGIIK AVTNITSNQLNLITEFIGGYAFLGRPVANMAFKFYGYVAVSQGLEFVADMKLAHYLHI APRTLFLAQGLATLIGAIVQCGVTVFMITRIDGVCTPDANGGFTCPHGRVTYSSSLIW GALGPGRNFSPGQIYGNLLWFFLAGPLVVIVTYLIGRRWKQANYISWPVAFGAMSLVP PATGISFSSWWIVNFIFNGLIKRRRPAWWSKYNYVLSAALDCAVAVATVIIFFCITLP AGPLHWWGNTVSARTADGKGTPWKALPESGYFGPRKGTWE AFLA_106820 MGDLGHPVDISSVPFAQRPIIILGAGIIGCAAARQLLLNGFPVV LVAEYLPGDQSIFYASAWAGAAWHAAGGISPEYRYFQAITHRHLLKMAQEDPESGVCI VDTREYLEDPPTENSAIWGKTVVSKFRDLKPGEYPPNFACGWAYDTLVTDPTRHMPYL GKQITALGGQFIRKRVESLQELYTMFPESSIFINASGIGSKTLSDVQDEKCFPERGQN VFLRTDNCQTMYFRNGKEYTYVIPRPLSKGVVLGGVKQSDNLSPEVDMEIARDEIARA HRLAPEIVPEYPPEDALDHIIGIRPSRKGGFRLESEKVGNRIVLSAYGFGGGGYAFSY GVADALAKMVETAERENVIL AFLA_106830 MLDTRGNITTWNPGAAIMKGYSASEIIGKHFSVFYSPEDRRNNK PGRGLAVCLRDGRMEDEGWRYRQDGSRFWANVMITPVYEFGRHVGFVKVTRDLTERKA AEGRLIAAFEESSRLKTDFLANMSHEIRTPMNGMRLALSMLTDTELDNNQREYASIIE DSTSVLLQIINDILDYSKLSSGSFSLTSDIVEVESIVGAVVRNCKSCLKPGVTLSCSM PPNFPQTMRGDPLRYRQVLQNLIGNAVKFTEKGHVKISTSFAIDTEDPRMYNVSTEVV DSGSFGFERIDAAWDGAEAVRLVKQTPLSYNVVLMDISMPVLDGLEATSQIRSMNIDV PIIALTGNALKGDAETYLAKGMNDYLGKPVHRNHLLQVLWKWLGT AFLA_106840 MMHMSPTNDLYSYEKERQEAEEDKTRTLNGVQVPSDLLDVPSNA AKNVLRQIILELERQLHQAYAAQARSGKLCDRQLR AFLA_106850 MTLYDVLIIGGGPAGLSVATGLARQLYRAVVFDSGVYRNALSNH MHNVATWDHSSPAEFRREARERILARYDTIQFENIEIKNVQKTSEGYFKAFDALDRVW TGRKLVLANGVRDLFPDIDGYKECWGRGIFHCLFCHGYEERGCASAGLLAVGDVANPM VAMHFARMAKRFASTVTLYTDGAEELAQTLQESTRGTGIKVNKKKISKLVKGHGASDV HVIFEDGTQVTEGFLTHKPKTEINGPFAEQLGLQLTPTGDLEATAPFYSTSVPGVFAA GDCASPVKVVATAMSSGVLVAGGLVGQLQDELCLVSANE AFLA_106860 MVSMLRALTNSIRRTRPCPRKSSSVAHIFPTGTPIEEETLPHYK PEHYYPVKIGDIYQARYEVTGKLGYGAYSTSWLCRDLQVNKYTVLKVSTYFPDDPTVT DREFRAYEHLAKVDSSHPGQSLIRELNDSFDLQGPDGTHRCLVLQPMHMTLLEMRGLN PRPFDLPLLKMTVIRLLLALDFLHAEAEVIHTDLKTDNLMLSLEDSSMMADFAAAESE NPSPRKLIGQSRIIYNSRKFRRPSGGRDYGLPVLCDFGEARIGKTQESGPFVQPYIYR APEVIFEMPWGSAIDIWNLAGLVSQTLLEFLVAGLPC AFLA_106870 MKTSFLLLHTLVAGVLAIPTRTDYVLHERRDAVPAHWTGEKRLD GQTVLPMRIGLTQSNLDRGHDLLMEVSTPGSPRYGDHMTLDEVHNLFAPSQDSVDSVR SWLESAGISPDRISQSTNKQWLQFDAGVDEVEQLLKTEYYRYSHAGTGRSHVACREYH VPESVQSHIDYITPGIKHLEIREETPVEKRSLDKRSFGILPPILRPLTLPLEELLGQL LLLCDVAVTPACIQAMYNVTDGDKATKGNELGIFEDLGDVYSQDDLDLFFSTVAHKIP TGTHPILNAIDGAQAPADTTNAGTESDLDFEISYPLIWPQNSILFQTDDPIYQNNYTY NGFLNNFLDAIDGSYCSETSPLDPPYPNPADGGYKSPRQCGVYKPTNVISISYGGAEA DLPIAYQRRQCQEFMKLGLQGVSIVVASGDSGVQGRGGSPTPSGCLGKDNKVFAPDFP ATCPYLTTAGGTYLPPGADVHAHEEQATTSFPSGGGFSNIYQRPDYQNAAVEEYFNTA QLSYPYYESVDNSSFAANGGIYNRIGRAYPDVAAIADNVLVFNKGLPTLVGGTSAAAP VFAALLTRINEERLAAGKKTVGFVNPVLYANPGVFFDVTKGSNQGCGTDGFPAVKGWD PVTGLGTPNYPKLLELFMGLD AFLA_106880 MSLGKKVTLNTGAEIPTLGFGTWQSAPGEVGEAVYQALKAGYRH LDLATIYQNQKEIAVGIKRAFEEFNIKREDVFITSKLWNSQHHPDVVEKALDDCLAEC GLDYLDLYLVHWPVAFKTGNEYFPLVEGSTVPGGDCIIDDSISIVDTWKAMTKLPKSK ARAIGVSNHMVEHLEAIINATGVVPAANQIERHPVLQSPKLIQYCKEKGIHVTAYSAF GNNMIGEPLLITRPEIKAVAEEAGKRLGKEVSPAQVILAWSQVGGHSVIPKSVTPARI AANFQEIELTPEEIAKVTALGTERRYNVPYVANKPRWNINVFGEPEEAPADHKVIL AFLA_106890 MHKTPSVFPIVGQRKVEHLKANVEALSVSLSDEDLAEIDNASSF DIGFPMNFIFRDSYTTNSTAADVSLTRVSAHIDMAELSLRRLCIFALGLFSLVAAQPK VVTFALSRTERHVLEKRKYAGALLGNDILDGKGLYWVNASVETPPQPVQLQLDTGSRD VWMFGPQSCDLNTSPCLGNACKLMTYNPTLSSTSKILDKGGFTIQYVTPGSGVKGDYV GDNSGFGSVTVQGLTMGVARQAQNVITGIMGIGFAAGESIVSQGQKPYKNIIDMLVEQ ELIDTWAYSLWLNDANFGGIMFGGYDTGKFTGDLIALPIQPDVQAGGITSMTVAWTSL SLTDPKQGTQSLTGESFIAPAILDSGTALTYVPKDCINSSPRLPNWLRFQVPTLALLT VNRCGLIKELKTTVLGAPTAL AFLA_106900 MGQPNDLKSPEVASVPVSSKNSISDPSSPLEDSLEAQQPSPPIY HIFSRSQKLEMVVIVSLAAIFSPLSSNIYFPALGAISRDLHTSMTLTTLTVTIYMIVQ GIAPTFWGSISDTSGRRPVFIGTMVVYIIANIALAVSTNYGELMAFRALQAAGSAATI SIGAGVIGDVTTSAERGSLVGIFGGVRMLGQGIGPVFGGLLAQYLGFRSIFWFLTICA SVSLFTILLLLPETLRSIAGNGTVPLRGLQKPWLYYITGQPGAEEGAESGIKKSRVTF GTVFAPLKFLFEIDVFITLFFGSIVYTVWSMVTSSTSDLFEETYNLTTLQVGLTFLGN GKILALNSNNYQQEYLN AFLA_106910 MSGSYTIGYLMDYNHRLTEREYCEKHNYPPGTRVNLKTHPDFPI ETARMRNTWWITVIFIVCVAVYGVSLRTHLAVPIILQYIIAYCSTGIFTINSALVIDL YPGASASATAVNNLMRCLIGAAGVAAVQPIIDALGPTYTFVLLAGITLVLCPLLWVET NYGAGWRLARHQRLNRPRAG AFLA_106920 MILLLPSKLSVGEGLVVSLSQKPLSPEDVRRQATARSDEIFISY ETLHKIIQRHEATVQKRWSKKTRQQRLNVLLSVWPDIPAMHRPDFDAFRRESASDLVR DTKYRVHFMWPYVNQEDLLNTKALPLLLNSRGRHPPSHFAAADMDAMHLGLVSKAIVP IFLNCHVLILNGMTENTRHYGQLVAWEDHPDAFDWMHKQKQFLPGEGLLVMEAQARLL SFLVQCCQQLLHDIPESTLTSDSFPVLPEPQIKPESEISGFESLGHPLQKITAEDHLW ALREDPDYVARTLLECKEHRRKMLKDLDGKSHPVLGFGRDNVLWARILGSVLSEAYLR LELFSELSSQARRLVAMQKMYADAISPLKDLPEEYLEALLRLRYYLTQAAKGPLSMLK IAGVASPPLRRFFARVPPPDPYTSKISVASKPGAKMNKVETQLIWLLRNFWEDGYELF LLGMPLAVDELGRLLQSEKQAQELVTSYITEVIGDLSIFSQCKPTEGKFTYPIEKHRT KENVAALRRAESYLDAFWAAIDQIMVTKAGDSSGTAVRNLLSQPRILQRTREWIEPEK PQRASQDKPSGVDLYTLYQPVSSVYSGLSARALDISQPKTKVKTRGTPHPVGKAEALP QPDRVDRQPTFSVDARALKVFRAVFFNPATTSTPGEVA AFLA_106930 MASSFIWWRSSSVSFQACSSLNTRNYIPGYSWEIGVITMPLRPK MLCTLSRFWMAMYLSRLSSCSPLQTTTSGVHEESGRHIRPLPSSGRHNNVLAGSAGIK LQAARAE AFLA_106940 MSPRNQKSSPSHSGRSPSHSSGAEDGGSRFAFVTEGTLAEARSH AMREHWRQRQRRKQKSEDHRTQRKILPHRSPVEDSKPKPNGASELVVEYHSTEDVFLL HQPSPTRIKTRYSDYGEDNIKAQYPGVPEQALTGLNHALASSRLDPFEMFPVQLTSNH HKLLHHWLITHATMMFEDVAIPSFNPMKDVWFPLDLSNAASFYGIMAHSAAHLAHLYA GMNPSRGTSSTDALKYKSEAVRILSTWMADPEKSLSNDAFAAVIRLLTFERYWGTEEE WMVHRTGLQRMIDARGGIDKLHDNWRLELVVYLVSLMSKPTWFESSNNISEISEQSFQ NAAKAALVDTQKVRCLWLISFIQDMRTLMAFSSRLYMDGLASYPSLYDAVQLLRANFH LANESPSHTASFPSDYDRLACLFSICITMQESISRSPVAASLPAPEIYNDMALLDVAL ATSRNVWETSVYSLRAFLHHHFVAYHPDGAAKIDYVMKMTDVLGHLSLEARRGVEKCL LNMLCRAREGKIWFSADDGWTPDSLLSSVHGQ AFLA_106950 MHSHGVTEYLGPNDEQESDRLDMLHEMLLGMMNRKLFLAPVECS PGRVLDLGAGTGNGFCVPPNARFLVDDFEDDWIYEDQFDFIHGRYLAGAVKDWRRLMT QAYKKPSGFGTSYKRRLASRQVPWRSSQGSKAGRKRRLMCLYPGPERTPGTLKYIH AFLA_106960 MSEVSPASWDIFKVSEKEEEQSLIYDPLYVDDDEDFLNPFACMD RHYTHESAAYITLADLMGEMIPKPYGSFSVSVPVDEARTRTVR AFLA_106970 MPMETNPNCRDKDEGEDIECDEGEFKNEAGWKETDEGDEGDEGD EGDEGDGERRGRTGVQPRCWSTKGRCAHFIHEGKCGSPVPTSLLKHALVIVTN AFLA_106980 MMSKRRLFRWPAPRTVCLGCLALVFTTLVTMFLYMSEPLDIQPD PEPVNSQVFRQLSEITNTYTNASASEVGLVLAATQKEDLGWLLNYCRDHGTIPFIYTT DTPPAPYLLVPATTRGREATAYLSYIVDFYDQLPKYTIFIHSNVDQWHNDLFGPRTSS VLPHLRLEAVDAQGYVNLRCEHNPGCPTSVNPWEPTQIDIEKDDIRAFFPQVYETLFN VGPEKVPQHIGNVCCGQFAVSRERILQRPRHDYERMLKWAAETELTDSFGVGWVFEKV WHVVFGMEDIYCPRYEQCRCDAYGWCGPLPSGETLQAVRAPRSKGKST AFLA_106990 MRIGRGCERCRLRHIRCTTRAGASSCNACARLGRTCRLDPPFRF KTVRHVYQKSQGTASKFELEWDSTQTWVNVPRSLTFVQESAEESADGSISDAPPEPQR DTQISSDGPHTYIPDPFVAHLPISGSLNPHDEAVSLPHVEPAISTPSIHDEHSTKATA ISPGAVNDMVSPLASNTTWSPMTPSTPRSTSSSTMTSREAFLLRAYINKISHWLDICD SGSTFNTEVPRRALHVPMVLKAVLALSARHDAIMSGASDWEASEYHSQCVELLLAALA RPEETYDDNMLISVVILRIYEELESTTDEKCHWLGSNRLLNTMSRAASSGGLTEAASW QFLRQAIYASIVQNQPMQLDLRNYERSSVFKRGDDGAYANTIIFYCARIIQLCSEGHV AAVDEEDWHDLSSRVEQWYRDRPVSWQPLQYKDANPAENRPFPELWVMSPPAVVGLQY YHTCQILLTSSDRHWGVVSNYERARLRRIEEVRTLECSGNVNISSQSDADALRDCETI NGPLTISSSASGTISIPEVQDIKGPFTIEGSSNLNGITASNLETVSGPLTITGNGALN SVSLSNLQSVGGELRIQGNEGLKEVRLDDLERVNGNLVLNGDFDRISLGNLENVYGET TIQSSGSFQCSSLDKLVSDKRAFKGSYSCNEKGSGLSSGAKAGIAIGVIIGVILVVLL VWLCIRRQKRQKRKDAVLAGLTAAGVAGAVGNDVEKAENKVPTAVSNTSPSSQDPPSP PSDTEVAASSIPRKPVSPPPPAPVPAALVPGDRSSRVVSSSDDPSLFLRPIPRRRPSE SEVPMLDSENVHEAPPPEVGRQQEGLFELDAGPVSGKHQQAIHHE AFLA_107000 MSKRAADVSDEQSAALKAGERPMTEAPPDEVGEFEDEFEDEFES EDEILEAGVDGRPDAEREEEEKDAMEVDQQTFIPGRTKLAPGEVLSPDPSTYNMLHTL TTPWPCLSFDIVRDNLGDNRKTFPATVYAVTGTQAEGRRSKENELMVLKMSGLSKMEK ENGTDSESDSDDDDDMGEPILEHKSIPLGSTTNRIRCHQTPSSSGDYSKPPQTLTATM LENSQVVIHDVTPHLTSFDVPGTVLPPSASKPLSTLRMHKSEGYALDWSPLQPLGKLL TGDNDGLIYVTTRTEGGGWVTDTRPFTGHASSVEELQWSPNERNVFASASSDGSVKVW DVRSKSRKPAVDVKVSNTDVNVMTWSKQTFHLLATGADDGQWGVWDLRHWKPNAAAPS SQITASPVAAFDFHKEPITSIEWHPTDDSVVAVGSADNTVTLWDLAVELDEEESREAG LADVPPQLLFVHYMESVKEIHWQAQMPGTIMATGAAGFGYVFRTPL AFLA_107010 MDASHFPTASIPHVADQIEQDPHVTEDTAPAAAYKDGYSSDSRA HYSSHSAVEYHSPTRDYQSHDDTRPSPSPLTQTQIPSLSRPSSGLSSAPGISQTSQDV SQKQPSQQQTKNSVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTIS IRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGF NKTAIPFLVGTKYDHFVNFPREDQEEISVQAKRFAKAMKASLIFSSTSHSINVQKIFK IVLAKAFDLKCTIPEIENVGEPLLLYKNV AFLA_107020 MVGHNDPKTGWNEYLNSKQGRLERADTIVSPTEFQKAHSTHLAH EKAATHHLQALASARRSLPIVPVPDASRFKFDTIDGEKTLPELFAGRKQLIMYHFMLG PEDEKGCVGCSFCMDHIPDLRHLESRDTSFVAVARAPVGKIEEYKRLTGWEFPFYSSE KTHRAWEEAEKGGEVITWKPGNGYFGLCVFFRGDEGEVFHTYSTTDRGMEILLGTYHL LDMTPLGRQEVGNGMNNFRRIYEY AFLA_107030 MDEIEGTPELHMTTDEEERSLMRKSRTWFGLLVLDHIFHVDGGK PPGIRMTGNAHRCRILLRHHTSTILDLRLFSQVELNVIRARVNDTLDTKETLDRPDIA EFVHEAKVDLDLWFDDWLRIIALLSMGVENVAAMSPIERNILLLAKSSARKHLRLIST EPDFYLSKLKYAMDFVWAKCAFCFLLLLKLSRLLPERREEHQELLDHGNRLLNELTRS VGSSGQTTGNGSIYMQILRLSIEKYDRIFQEREAGGDPVTTAPFWESFDAQADLQSFV PEQFVREWDFPGLNLFYFPTAWQDFFGDFSLAV AFLA_107040 MPTFLGTADPSGHHSRGPRCISTHRNGPLLQQLQTAEVNSPHPA RQRRSGFDVREEPISDFISKGLMTIDQAMSCFTTFFQGCVYPNFQPGTRQL AFLA_107050 MHERKRVSMGKNAKIVDHSMQAVGSAPVDKTEPQQPAVSEDNAF KDLTDWENEDFVYVY AFLA_107060 MSHHKATASREQGQDEHIESVEDSAHQDREHPAGPGHDRALDLI ADAGHSSVLTAENNARVLRKIDLRLLPILLGIYFLQQLDKSSLSYASIFGLVETAHLH GQQYSWLGAVVYLVQLVAQPFVAYILVKVPIGKFLACTTLCWGIALTCMTPANSFAGL LVCRIFLGLFEAGIPACFIAVTQMWYRRIEQPVRLGSWYAMNGVVYMFGSLLSYGLGH IKSSVFEPYQVRHIPPIKIIFLFFGLITIAFSGLILLFMPDSPIQSKFLTEEDKLLAI ERLRMNQQGIETHEWKWGHVKEACLDIKSFFWFALMFAVSIPSGGISTFGPLIIESFG FDQFKTILFNIPFGAVQLVATMGGAWLATTLKMKGPVIALLSLPAIAGCVMLLQLPRD GHHNGALLAGYYIVSFPGSLCILELVSPMVYSWSAGNTAGETKKKIVNGIVLVGQCAG NVGGRLSSNGTVYLFYLTGSRLESVHNHRRTLV AFLA_107070 MPQKEFCPKSYQETPAGAQSSAAVHLRSGSDKRQFDFSFEPIRE NLFRVTFSSEDHPLPPFPSVTKPVTSLEDTHVSTEHGFQQKTIEVGDVIASVEWANTP VVSLSWKGTDKPLYRDLPLRSYVADASGIAHYTEHDRNDLHVGLGEKRAPMDLSGRHF QLSATDSFGYDVYNTDPLYKHIPLLIKASPAGCVAIFSTTHGRGTWSVGSEIDGLWGH FKVYRQDYGGLEQYLIVGKTLKDVVRSYAELVGYPILVPRWAYGYISGGYKYTMMDEP PAHQALMEFADKLKEHEIPCSGHQMSSGYSIAEVEPKVRNVFTWNKYRFPNPEEWIAK YHSRGIRLITNIKPFLLGSHPDFQKLIDGNGFFKNPETNEPGYMRLWSAGGATGGDGC HIDFTSAFAFKWWYNGVQSLKRAGIDGMWNDNNEYTIPDDDWQLALDEPTVSDGAKTG VKGTVGDWGPSRWVGWGYETDPEVWTKTLKSGEEQFWFGDTIMVGGVYQPGVSFAKIY LPRKANDQFDFGYVNMNEPYTYFASGQWVEVPSEWKKSIPLLARIGGAIPVGKSVHTR VPGDETPASVAVEELDDYRGVEIFPPRGSSHGQAFSTTWFEDDGISLKPSISQYTVTY SSTEEKVTVGFTRDQKSGFVPAWKELDIILHNGDERRVVSDIGKPVEYKGRDSRGRVV YTLKN AFLA_107080 MGCAKYQAWLSFDNGEKWLLQIPRTGNSDVPSELVEYLIASEYA TLRFLERMKIPAPRAFIYGLASDPFNRVGVSYIVMQALPGRPFHAHKVSPSQKAKVLE QVAEIMLEIHEHPGSFFLKHVDDKGNHLLVDEEFNVVGIVSWQFARTVPASEAFGPSY LTADLASMYSCNGSVTNDDRLLANALRERGAFDLVSYAEGKNIMRRLHHGLGRGSTTG EVRCLLKGMVKAVSGEQIDDIDLWMESQRESCRHDSRRRAIHGLCVEQLESRVALGNL GDLRAYD AFLA_107090 MTLSHCWGKRLDANGITTIGNLPSRMKEINLDDLPANFWDAISI NRRLGIRYLWIDALCIIQDSQDDWAQESVKMGHIYDGSYLTIAASASEDSNGGCYRGA GIRQDSLGGGTLIEITNKLGDISRETSTLILWAPTLSDDDPLREPAPLVGCPLSQRGW VFQERILSPRTIHFTNSQLVWECREVYEMEDRLPFVTPHDTLSLAMDREISPDWIKRI WYNWLIGFGYSSRKFTMMEDRLVAIAGIARSLHERTGIPYIAGLWTKNLGFGLGWLEA PNDGTEDLSRPSNRPYARRRPTWTWASTDHEFQYSLRNFIEDRYLHYVDSRIKTLGNV DDPFTIITGGWLKIRGGVYRGSGSYFTELHLDRSQEVVPFATERSQLESSVFNWNGAL SQLYYIRLGQFSTRPTYRTVVVRESWLIVRRVEAEEEEEKEYERLGIAYRTHTSSLDE GEGQDTTEEYRRLSIGYPVPTKRLENEWYWVEEKGKKKLTPAFRVMDLKLV AFLA_107100 MDQYVDSFPYFPDRTEYEARARSVPQHEIEEAVLPEGFPIYLSS KMAWDRESISSYEDDYILKLDDSQLKEIDDALQHFKALGQPLELLSPATFPLPSLHSV LRGVSDNIHKGTGFSLVRGIPVDRYSAEENMIIYVGISSHIGRMRGRQGYQYNVSPVD VVVTHITDMRPPSDPTLSVRVAGYTNEDMPFHTDDGDIVSLFALGEPAEGGESQLASG WRVYNELARTRPDIIQVLASDWPIPRSKKEDPFLYRPLIHYQNCSETPERLLINFSRR WLAGYGDLKRTRLLSVRQAEALDALHFLAERFHISMKLQKGDMQFFNNWSILHARRGY KDGPQRK AFLA_107110 MASGIQQVSYHLNRNDYHSRIFNHAQRRSIGTSLPPGFPKRLES KMAWEAKDISLDHALGGGTPYLLILQGPQLAEIDAALKHFQCQQYDSSHMSIKADCST SGSAQPMEALNPSTFPLPGLSLILRSVSSNLHSGYGFTLIRGVPVERYTREENMIIYV GISSHIGAMRGRQDHQFKGQPADVMLAHITDMRRPDGEQNYALAAYTDSEVVFHTDVG DIVSLFVLSEPANGGESLLASGWTVYNALAENRPDLVRVLAEDWPIPSAQEPGLIKYR PLLFYQPASGSTPERVILQFSRRSFSGFGAHSQLNRLSPAQVEALDALHFLAEKFHVA MDLKRGDMQFINNLSMIHARNSYVDGPGSRRHLLRLWLRDPENGWATPDALRSRADRL YDEKFRRGPQIFPVDAIPRSVGKVRVGTTQNS AFLA_107120 MTALTRHDQALFETTRRVLAEVVNEGLVRAKVEVTAPEGPGTLC LLSPQDVSWVKVGVTPGTVMEMKEDRVVSVIRPESLQPPVIVGETGNQELDPGAIFAV LSALLKDVADGTVLEAIVRELRNSATNQEPLKPVGPGDIPGMLTPTLAFVSVPCDNLR ITGHFERELQPLLKRLDIPQTTSDRVIVPCLAQQLPSILQRFPDVVILKLAADCADAQ ASMRTITIRPELGFKYHLKLSLACHITGALRTITPWTACGGPVQTELLEKFLPDDLWV FREVAAVSGSQKDFNEARHLACILRDTLESRAQANDEVLIMAMALTQKPYGDSRTYAE ILYNLETVVQKKEWFQRYITVLFSLVLPPLVQYGIGLEGHGQNLVVRVCRQTGQIKGF AVRDFGGVRMHVPTLNNHGVKFDSLPPGGATLTDNLDNVWSKVHHSLLQNHVGFLLVA LGLESHGGWAITLETLSTVLGGGQDSPGAKLLEYFTKDTMPFKCFLRMRMESKYRDYI EREVPNVILMDSPRWKSIIETYQPSLHAT AFLA_107130 MSQPKLAILDDYQNISPAHFAHLEDRISISYFPETLDPRDERQR ALLIERLQPFDVILAMRERTPFPKETLSALPNLKLLLTTGTRNLALDVQYCASRGIPV AGTGGRPAGVHSTVQHTWALILGLARHVARDDAAVKRGEWQGSLGMTLAGKTLGLLGL GKLGSQVGRIAVVAFDMKVIAWSTNLTQEKADEQAAALGLPAGSFQAVRDKAEFFRSA DVVSLHSVLSERSRGIVGAAELEVMKPTAILVNTSRGPLVEEKALLETLNAGRIRGAA LDVFEPEPLPKDSPWRTTAWGQDGRSEVVLSPHMGYGDEQIHGWYDEVASNLERWLNG EELNTRMN AFLA_107140 MAPIAVQPTTATASTTTTTVLPTSIETPPTHPDILRVDRGTKAF SSSAVSLVSLPAGSLFAKITTATPSKKAYTSVQTGANSHIELNSDLVYCNHSCNPSLN FDMGKMEVRVVDDRDLKVGDQLTFFYPSSEWDMDQPFQCNCGAGEGVCKGVIDGAKKM DRKDLEGYWLNDHIKELLQERDSS AFLA_107150 MWMIRMRRTRAWVISAATSVLIFGSLYLFFFAHRRGGNIYRLVT VPRPVERTNERTLVIAKTQDADTNWVDTLLQEDNLLNSTVYTVDAPNTTASGTTLTVP MNKGHEVMVYLTYIIEHYFQLSDVTIFMHADQISWHNNDLMNMDSALMVRRLRNDYVY KNGYTNLRCQHDPGCPAQIRPTLAGGKYNPDVPEAAAIGESWKTLFPEERMPAVLAQP CCSQFAVSAEVIRRVPLMNYVAYRRWLIETDLDDNLSGRVWEYLWQWIFTGQAEVCPN EQVCHCEGYGVCLGSEEYEEFFRAQDEVRRLHAEMEHTSLDDKVNSMRNKMAALQQRM DEIKATALTSQ AFLA_107160 MAVPRLSGHPEIGYMPDYDGYLARGKRRQETETLDKNVPEGFPS QLNGSLVWDPKSLANTYDWNYHLTAEELDEINNALQHFRSLNKPMGELSPVTFPLPKL HRALREISHEVHNGHGFKVLRGLPVDNYTREENVIIYAGLSSHVAPIRGRQDSTWQGK PADVLVAHVKDLSHGRDSQDIPGPVVTADKQVFHTDAGDIIALFCLSEGESGGESFLA STCHVYNILAARRPDLIRTLSEPWPFDDFAPTGDVYKLRPLLYYQPATETDPERLIIQ YSRRNLTGYRDCKRSAKIPPLTEAQAEALDAVHFTAEENSISLDFHKGDIQFANNLSI LHARAAFTDSIEKQ AFLA_107170 MLSAGRRARSKASKSRSRRVRREKAGRCGEAHIPFQNGAENRIL LLRRPQFTIPMPTPSVNRLASKSRKLPACEPCRAMKLRCDHGRPSCSRCRTRGLADGC VYRTRPFKRRLTRSLSGQAEGTSRAQSSYVREDSTIRSREDDAASVPSNASVSTATAI PFVQYPNPGYLGSFSHTTLFDQLPPQNNAEPGAESQQSEDGRSAPNKCVIDDICINKG AELILGLHREFSIRSLAHLFQKWVSTGANLALAGPLTGSCASAVDYTLAQCDGKYPTA RAISKRLFHNSCQPILSNPETAISEYCTFFSGANARWEAFGIFFVAICRASVDIPYAE PLYDSEQPRRRLQKLALSYSDQCLELCLPLDCMNDLQLLLQYENFISHSQVDGDQSYL SWRKLGDVAASLYALGYHQQQTESFRTAPSFLRDLRQTAFCRTYSADKNVSIFLGRPP RILRKFCYFHLPGTLAQPNQKAGRTPAVWDPSEKPSFVTDSKWAALCGILKEDILDLF AEESYEERARQGQLIDADARAQWDALPESYRLEGSLKTCNRPPVERDFMVNMKLNYLH VHFLLRRALLRPMSMGPAPELFNISKDMLGLVVETILLKDQIVNSGTSLVWKVVYYGL SAAGLVSLHLANQSYANEMLEMDISKIFQDLSILVGEIVSGTLVYVDSPNYALLSEAS QTIKSLLDRMILPLQISQRMGTTSGNRSAALPGSEPMGTLNDGGLDLWYDSNFQDFEM SFWHHLAGHPFLHG AFLA_107180 MTVKTLIQKPKPNLLRQFANFTRTTAGLEKTCRLIQSLAQLAIE LDITPGSTTTAQWQTARSQIALTFKFWFYSLALSVIGAVWGLLFTSSSSASSKTGSDE KKNKKKNAEKTVSNTDDSQTKAQRTALMKRIVVDGCDLLIPGVFVGWMQVSDLMIGVT MVISTVVSGGDAWVKAQG AFLA_107190 MTRWKSSQYQAIIFDLGGVILTWDLPEDTVISAQIFKRMLTSQT WSDYERGNLSENGCYQRLAEDFGIDSADIAHTVRQARESLVTDTAIMNIISEIRARAN HIAIFAMSNISQPDYAALLLDHRGMCSFDRVFPSGCYGTRKPELSFYNKVLREIDTPP ENVIFVDDQLENVISAQSIGIHGIVYTNAAELGRQLRNLIFDPVERGREFLRRNAGEF HSITETDQLVRENFSQLLILEATDDKYVGRLCQVEVKC AFLA_107200 MASTVDIASSFIEGAPPGELADVVADVKTLTSNGADIIPSLAPA FERYNETQLATVKLPGASQEVLISEYNKLDGNRYFDVESQTSFEVDHVTQEASAAQSY VLDSQNADLIKSLLKSLGAHAREHYPNCAYGVYPIENDSAVAILLVSNRYSPNNFWNG RFRATYQFPVSEPTTVTGKIQVDVHYYEDGNVALNTNKPLNLSVSSLSAESIISRIAT AERDYQEDLNRAFVQMAEGAFKGLRRQLPITRQKVEWEKVGGYRLGQDISGGKGR AFLA_107210 MQGLPLNLPSKPSGPSSLSSRAPLSPKLDSSQIYGSPGSVLPRR SRGLDFSRACTNLHHSTLAESSPDSSPIIVGRGMTIPQRRGSMGSTSVPPFSTSGPAD RTAISSSVSSVNMMESDTSSSEEDDEPMMADRDDMIMNTPQANKMGSGMSPFAVGNVP SPGNDWMGGYSQAAASLMSFQRARFRKGRSRHSSSSASGNSSKPSPGPLSPPVMKSIE NQNGYFGSRSSLSARRESLSLGTRDLRLSDLSDEGENRGNSPGTSNSEGGPLGVIRRA VTRRSSLLPKTKTFARIRAALMEESAPIDCEAKREAEVIRQVRESEPEIQQTSPSLDA LSSSNPFQPTDLNRNLDDVPAKTGTSVPDEPNFSEEANRNSGGAEFWNHFDERYRTPP PPPLRQMGTSVSEDDLSMDITPSTTMGSTSEFAKPSERPSSRCSTPIATQPISILEFK RKRRREDDFDPNLFKRRAVSPSMSVQSSPVMPNSPAVRDTGTSIWGPPKANIGSLFPD QPSTESGTRNPSTPKHAGHLKRVGLQGMTETNDGLMNMSIE AFLA_107220 MPRKKAADRVGPVKTRSRSGCKECRASRVRCDTQKPICTRCRER GLVCSTQLVLKWESEFVSRGLAFGRAGVWSKSGSQSKTSPSSASLLDDDQEWCDIPFV ESWGFVNSGVSTFEQPDQVNVACDELNAVVVRDKGKGRLAVKSSWPALVDISEYGPIL QQLPQPTASLPLFPPVTGQNQGHLFEYYLQQVCPRTTASSKLSSPFASIILPFCLSAS PILFKAIQALGACHWSRFDPTYGVIGLHLKSEALRGLRHRLATEGTLSCSTDPEVLAI MMMLCLYEIVDNCDERWTIHLKGAKDLIRLRRQQTMLSKPRGAQDPITTFAELFFAFQ DVMGRTACGEEVLFGSDYWQENERNIDLWMGCSPELVSILSSITELSRTRRQLTSDSA RAGFSLRAASLGHKLENLVQEIDGDDDGDDQTLQTAAELKRLAAVLYLHCALHGASPS TPLVVTYVRKILRLVSDLLDSGSLVSMTWPVFVAAVELDPLHDEVWSDGETVVYGRPL VLRALAAMAESSVSNVARTRAVIVKVWQARDSDMLKGSTVDSLDHTTGCNDWEWYVAP ISTAMSLA AFLA_107230 MSQSRSRGQQSADVEECPHDPTLQGDGAPKKPVTAEEALEIAIG ESQDVEYTIDSDNSPYLEVRANVPNTDDPTLPINTFRMWFLGIVFTLVGTGVNQFFSM RYPSVTITSLVAQLISYPVGCFFAKAMPIMTIRVRGREIPINPDHHFNVKEHAVITIM SNLSFNQSWVSASAIIQAQKVYLDMPTPVGYQILLALSMQMFGLGLAGLSYRYIIEPP HMIWPSTLANAALFQTLHSGANPIADGWRISRYRFFLYVFIGSFCWYWLPGYIFTGLS TFAFICWAAPNNKVVNNLFGMTTGLGYMPTTFDWSQIAYNTSPLTIPFWAQANVFAGW FCIYAVIAPILYYTNTWYTAHLPLTGSDAYDNTGNLYNSTRILNDEGAIDDAKYREYS PIFLPITFALCYGVGFAVLSCLLTHVVLYHSKDILDTFRGQNKKDVHARLLSRYPDVA WWWYAILTVIVVAVAIMVQYVWNTGLPFWGLFITLALATLYVIPVGTVYAVANLNANV LTVLGEIVSGYLLKGKPLVLLIFKVRIHAFHLHKSGFYAYTGLSQAMYYGADMKLGLY MKIPRRTLFVAQLIACILGTLTQNGVLLWMLGHVKDVCASDQPNNFTCPQGRVNYNSA VFWGAIGPARLYNIGQRYSGLLHMFWIGALLPIITFFLRRRYPNSRVLNAIHWPIFFA GTGNLPPATGINYSTAFVVSLIFNKIIKGRRPNWWAKYNYVLSAALDSGVAVSAILIF FSLVLPGVSLNWWGNNVNSGTVDSKGTPWLELKPNETFGESTWS AFLA_107240 MTVTKSSSILIIGAGTWGASTALHLGRRGYTNVTVLDPYTVPSA ISAGNDVNKIISSGQYSNKKDEIEVNEILAEEAFKGWTTDPLFKPYYHDTGVVMSACS SAGLDRLGIRVRPEEEPDVSEVTKPEHFRQLAPAVLKGNFPGWRGYHIRSNAGWAHAR NALVAAIREAEKLGVKFVTGTQGRVITLIFENNDVKGAVTADGKIWRAEQTVLCAGAN AAQFLDFKDQLRPTAWTLAHIRLKPEERALYKNLPVIFNIEKGFFFEPDEELGEIKIC DEHPGYTNMVKSADGHLMSLPFEKTQIPKESEARVRALLSETMPQLADRPFSFARVCW CADTANREFIIDRHPEHPSLVLGCGASGRGFKYLPSIGNLIVDAIEDKVPEKVHKLTR WSPDIAVDRKWRDTLGRFGGPNRVMDFHDVKEWTNVQNKDTAKL AFLA_107250 MLTSLLTDIIKNAVGRPRPDLISRCIPKRGTPENKLVAWTVCTQ TSQHVLQEGWRSFPSGHSSFSFSGLGYLSFFLSGQMHVFRPRTDLCRCLVALVPFLCA LMIAISRLDDYRHDVYDVTCGSILGTVVSYFSYRRYYPSLRSVICDMPYDKAGMAGEE GFHKLPSDEEQQVQRPGVPSRQWGAEDEVYQLSETSSSPRRV AFLA_107260 MADAGQQRPLTAFAPPPPLWKHFTPDNLKKLDEIKKNASKGEDG KPQKKKWTPTELRALDVPPELHFLVPPEIPKSGHYSVFGELQSLSTALPSLQDQGITQ LYPSPPTGDADREQPSEPSQPLNHAYYLLKISKSLLLNFLEFVGILSVAPEQFESKVE DLRNLFINAHHLLNLYRPHQARESLILMMEEQLSRTREEIQQMDKLKEEITGALEQLK KDGVDVDAAPIEAPDNDTKKPNVSEEKAIEDTRLVWELLDENN AFLA_107270 MSVVSQLTGIPFLLPEGQEWVQSRTGQKVDVEKLSPTRPPWEKQ RALNTCAMYSDLQTGAIFDLPDRTVVELHLERYRLSLMQSIFPIVDKELFQETIDAVY QQPQPDSCYAESSLRACIFAFMAFSSLIMEPCHEGIALQPPPVDGEKYFMKAHLLIPQ ILQGTPTLDGVQAVASLALYELITGSLQSANYFGTLVARMLFMLGAHTSLPDETEYPK TTAGDHRSRVQRQLRIIFWLCFTVEKDVCIRIGQPQMFTDENCDLTLPTGYVEQLYED IKVHHFAKEIPRTEITGYPLFPVDLRLSIIKSRAYSTLYSFKALKKTDAEILKDIREL DDELERWRMSIPLEWRPTLSFSHETPDPNVSMHSVMLRLNYHLCMTIIHQASSRCKSW AKGQGGVMDGVSSSLALSVEASRSTLLYIEAAEHVLVDGIFWTLIFYPMSALLAIFCN ILQNPSDPQATKDVRLLRTATGMMERLFSRQPFAVAEIVHIKLVADFVSELYRLATCA IEKAWNEGSR AFLA_107280 MAQYFFDLLYNFTDCMCCFPSTPQLKINNRSFKMLRLLGEGGFS YVYLVQDKATSELFALKKIRCPFGQESVSQALKEVEAYNLFASQSNIIHSIDHSVSTE SGSKFRADGGEAGSKTVYILLPYYQRGNLQDAINANLVNQTRFPEKRLMVLMLGVATA LRAMHQYRVKSGAGPTRKAKAVRREGEEVDTSMRMSKPKRRATQVADDEDSENEPLMD DEVTRSQEGVQDGGLRPYAHRDIKPGNIMIDDDGQTPVLMDLGSLAPSPIAITSRSLA LAVQDTAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTLYACLVGKSPFEARSEE TGGSLSMCVLGGDWRFPDEKPGTSKGKGKAGDESRKDATTTISAPVKDVVRKCLQVEP ADRPDIDELIQILKDVIKDLPDDDELAGSSR AFLA_107290 MAPLDDIQVGDLVNVPGGMYGTIKYLGGVAGKPGKFAGIELAAE HARRGKNSGDVEGKKYFSTSTPGSGIFVPMNNNKYVTKRLSAPSIPSVNPPTPSRPIN FSKSVGPGLSPAVRTPRPVRRPSLPRAESPRGPPPSKLSLTGLRTPSGASRAASSNGR PRSPVKAPFRTSTSRPPSRLSVDDDIQSTRSSDIQRKAMAAEVQELTDQVRSLEKQLL DRDRQLDEQANTLAEFHRMLEEFEGSDSLSIRAQLREKNEKIAQLTTEFDLHRADFRS TLDTLEVAAAETERVYEQRLDELMQQNKELQDRGEDVEAVARQLKQLEELVSELEEGL EDARRGEAEARAEVEFLRGEVERTKLELKKERESSSHAVGDGQQHSRELDQKDDEIRG LKAIIHSLSRGDPDLHALEQNELGAPQLEYDSEHVAHLERRVEEFERMTERKTFRIEE LEHELQQLQLNGDSRPRNPATNGSHSYHKSSSSIGKIGGEHAVNHAHRLSDRTVVPGD WHDQSQHDDQYQAYPGRLRSTSESSHQRLETMHESDARSDDGASLWCEICETGGHDIL SCTNMFGAEQKNKSNTDTKEPSQEDSTDEKFTPETSPAPESAQSNHEDSTTPQKTGRD VVLEGLKGVATTSSMAPVAGKASGAVDESKWCALCERDGHESIDCPFDD AFLA_107300 MLPPALNIPKWLEANSHLLQPPVNNYCVYHPSSPATAGYTVMIV GGPNARTDYHINTTPEFFYQYRGSMLLRTVDTSASPPVFQDIPIHEGSLFLLPANTPH CPVRFKDTVGVVMEQPRAEGAVDILRWYCKSCGEIVWEKRFVCTDLGTQVKEVVEEFG ADQEKRTCKACGTVAETKYKEGELVQPPRFLE AFLA_107310 MATSQPPLRFTGHKNFVYRLVFATLTGRTVHISQIRPSSPTNPG LAPHEISFLRLLEAVTNGSQLEISYTGTIVVYKPGLITGSGAGAGSGGVIRHELPAGC NRGVSYYLLPLCLLAPFSKAPVKVLFTGPGVITSSTPTGDMSVDSVRTAILPLYNQFG IFNNIELRILRRSNPGHNGRGGGGEVQLVFGHQVRLPKTLHLMNPGRIKKIRGVAYAV GVSASNNARMIETARGVLNPLVPDTYVFSDVSSAPLVPAPEKSNPSAKKKIGLGFGLS LVAESSTGCLFSADVASPPSGGQAPEDIGKQCAYQLLETISKGGCIAPAAAATMLGLM TMGSEDVGRIQLGRDVIADEGVIQLARDLAKFGAPGWGLREAAGENERGDIVVSVVGR GIGNVGRKVA AFLA_107320 MDNYRDYSSIATDPPSLSLGYSIVHSPPFTFLVGANHTKLTVQS GLARHVSQPLDHLMNSGETRESKHHIAVLEEEDVETFVAFCEYAYTGDYSVPGPDNRE EYQEQVVNNPFKGVFSGEPVLAQPDPKPSDETDKGQSKPSEEVQPQPEQAPPTPEPEY PLQENKAPEEPLAAPVEPPQEPEPVPEPAPEEPVEQPPATPAVEEGEPADANEGAGSG KGKKGKKNKKDKKKKGAVAAEEPTTNLTPPSTPPPQKLEQVENPPVDETPAPAEEWNQ PQESIEPPADAEAAQPAEPVQAEVDTWERSAPTPQEPETVEPERTSVKAETKEEEKVT EEPKPSHFRTNSFIDMSFARQRFNFQHESGSNLWDEFAAMDYHDPRQTHGNRPPSSLS YSASTKGDLPYLVFHAKLYVFATRFLIPALAQLCLRKLHTDLLNLGFPEHPMDSQDEE TFALTTTKARMILDLLDYTYNKTTRLEPISAISATQLRDNELRRLVVHYAACKIRDLA EFCPPEENTAGMPYPHKRSAKGLRPLLDTTTELASDLVYRMMW AFLA_107330 MQREWNPIMPIGPFDHRIRDLPCHGTSGRKKKEKKILLTCAAVG IIDQRLAHGLKGPRRRSTAHHRREVRCQAHCGYGGRKL AFLA_107340 MFNNEHHIPPGSSHSDIEMLTPPKFEDEKQLGPVGIRERLRHFT WAWYTLTMSGGGLAVLIISQPFGFRGLREIGIAVYILNLILFALVCSTMAIRFILHGN LLESLRHDREGLFFPTFWLSVATIICGLSRYFGEESNESFQLALEALFWIYCVCTLLV AIIQYSFVFSSHKYGLQTMMPSWILPAFPIMLSGTIASVIGEQQPARAALPIIGAGVT FQGLGFSISFMMYAHYIGRLMESGLPHSDHRPGMFICVGPPAFTALALVGMSKGLPED FKLLHDAHALEDGRIIELLAISAGVFLWALSLWFFCIAIVAVIRSPPKAFHLNWWAMV FPNTGFTLATITLGKALNSNGVKGVGSAMSICIVCMYIFVFVNNVRAVIRKDIMYPGK DEDVSD AFLA_107350 MTASLRPQRLLPRLSSATSRTTSGTRPSFLDQTPGTCLICQFRP QSTIRVPRVHHLQQTRRFASTSSSPNATTTDNNGTIQNIPDITNHYTIFPKTLPAGPP PSSPFHISVSDLRREFLQLQGTIHPDKYPPGPSKQQAEALSARINEAYRTLSDPLARA QYLLREMHDIDVTAEDGAAHHVLDPETLMEVMEVQETIEEVGAEPGAESTIAELKKQN ETRVVECVEKLANAFDKGDLESARQECVRLRFWYNIAQGLKEWEPGLTEIRLVH AFLA_107360 MGIDLANLPVDRDYEIPSAAAGIPSERASAILSQFERKRRAAAM VVPTDDSRVRARLRELGEPITLFGEGPADRRDRLRELLTDLAEQQDAAAAEGDVDMRE ATQEAEEEEAEQQEEFYTEGSQDLLEARKAIARFSLPRAKQRVARQKEESTIPLRTHI KHRKAVKEKLHGFDLYGSQIAGDRPVSICRFAPDGQTIATGNWGGGIKLLTVPNLEEK RSFKAHTDRVGGLSWFPGATLSTSNVSESTVNLVSGGGEGNVCLWSLDQDQPIATLSG HSGRVCRTEFHPSGRYVASASYDTTWRLWDVETTAELLLQEGHSREVYTVSFNNDGSL LASGGLDSIGRIWDLRTGRTVMILEGHIREIYGCDWGVDGYRVLTGSGDGWVKCWDLR QVRNTGGIGAHKSVVSDLRWYKGTESTSSYLPSTDGQNGRMDVDGDQPPQSTPVQPRK SGTFFVSSGFDKNVNIFSADDWSLVKTLSGHSGNVLSTDISDDAQWIASCGHDRTVKL WGIDS AFLA_107370 MTPLVFLRRACSPTCSRLQPVTRDRLLASFSNSTPWPRSSPISS SSPPPRRSFHSTRSLDSESPRISYRVAASSSGKGRRFHPAKNTSDFDPQRHHAIGVAT DVKSPAIRRQRRPDSGEDAYFVSRVGQHDNGAVAFAVADGVGGWAESRVDPADFSHAL CGYMAQSALDWDAPAEQLRAKALLQAGYDQVVADESIRAGGCTASVGVGLDDGRVELA NLGDSGSVLLRLAAVHHYSVPQTHGFNTPYQLSIIPPRMRTQASIFGGAFLEDFPRDA AVTNLQMQHGDVLLLATDGVFDNLNNQDILKLITSRMVLTGAWTATPDVGIKPSIDLD QLTGPEGLASLIPSSSTQASQHHRSTNKSHLYSLPSLLAVKPNWLAWICAATDPLPKR LNDITPATGTVAGRWMILLSWLWWLWKRATFHRREW AFLA_107380 MAEDTPTASAPAPDAAESVERAPAELKESTPEATKEKEETTNGS EEKPSEDATATEEKKETDEKSTEKPSASESTDAKKPEENAAPAESEAAPASEANGTPA SAKKSGGSKRKSTGAVPEHKSKLNRKKSQARITNLHAKPGEYYLARLRSYPPWPSIIC DEEMLPKSLLDTRPVTAQRPDGTYREDYAEGGKRAHERTFPVMFFETNEFAWIPNTDL SPLEPAACKEVSEKGKSKLLLAAYSVAADGHDLQHFKTLLADHQRAIEQEEEEREAQA AAKAAAKAEKDAKKNKRKSMEIVDDEDIDMEDADEEAKKPKSSKKRKKDAEADAEEKP AKTPKTGTKLKLTTPKTPASEKKAPASSKTKQTASTKKSGKAAASDESEESTPPAPKE PEKQVNPEEAKAKKEKESTMPRQSTGRL AFLA_107390 MGLRELRHCTQPQLYRFPNQDAPHRGLEKRAAANPYYKGKGVAI DLPDNCPVPDASWWANEESREDGIRKDSATTVDPLKVRIARD AFLA_107400 MPVVHAMIKEFIRVSDETGFKSVLNEIGDEITKFLNYPGIREGW KFLNTRLEDIPVIGLWFKTVGKAEGWTYEHLVPKSLRDSLQSCANDGIRHPAQNPLGF LLALGIDTIEHPTILDILLAIPGVGEFAESIKFAEEAVKGAEDAAKIAERLSNVVASD GVASDLDKIAKLADKADASADAAEAAAGSAKGTEQEAKALEQATKADEASKAADKAFY DGENEAKKLCPRGITSSLMSWWCSPAEQAPAEEGPADNAARSRARRNRKKRRNRRINK KAEPESEPETQPETESESEPEPTQPQGQPKPQDRPQNKPQKQPEPEPEFLKNTRFSRR NVERSIERGTSSLEGGITYVATDGKNTFPHHFSNFENIDFELPNPKEFNMKDLQEFPL TQTVFNTGMQPGPYRTVFAKRIATGEWEAIGVIRHQVGGNTGTFLRVGTFDDVGKIIR KAPPTA AFLA_107410 MLLGLFLTAIAVATCQADQLEYDFVIVGGGTAGLALASRLSRGL PESSILVLEAGPDAENEPRINIPAMRGSAIASVYDWNFTTVPQPHAGNRSLTQPRGKV LGGSSALNFMSWDRASKVEYDIWGKLGNEGWNWSEMMRSMLKAENFTLSDKYGDQGVG FGGPIQTMVCDWVPEHQTFFMEALKRLDVSENRNSLGGNSLGSGFQPSNVRYSDRKRS YSAHHPGYPSLAGPNLQIRVGKRVRKIDLVSIGGEDLVATGVTLEDNTTVLAIKEVIL AAGTMQSPGLLELSGVGQKDVLHAADVQQLVDLPGVGENLQDHLRIQNSYRLLPNYTS VDMLKTNATFAKQQLEAYNTGQRSIYDYSGGSYAFLNWTGVADEPSRMESLARKAADE PLSLSPFERIRADIQLHHIRHEEENVPQMEIISADGYTGIKGYPPETSPLHGSNFFTL IAVMLHPFSTGSIHVTSPLISTAPQIQPNYLSHGYDIQALASAAKYLRKLASTAPLRQ AWTEEYEPGLSVVGDGPDSDSQWREYAINNTETIFHPVGTCAMLPRELHGVVNANLTV YGTDNLRVVDASVMPVLISGHIQTAVYGIAEKAAEMIIKRWQ AFLA_107420 MRILLLLLIQAAVAAAAPDQFQHWYKQFRSQIEFVLNNNCSEQY QNYLNKTPGFDPEYQLWYDPTKGSALTAPLVSCMLSNLPENVKANMASAGVILGLLPT MLSMLGSNTVDTAILARVAGRPFLSLCLALGSPAVLPDRPFEYPNVKALLGADGIKKG LTIPKPGGRTWILISALEYIVALGAVANIVTACYQLGIQCICNYATEVTAQPLIWAFI VGLVHIGGTIALSLRYRVIRSPVGETHTVQPNWFRRYLIREITPSLYSTPPPDVDEIE ESYLFLLFSWCVSTGAVVHILYGTMVLSSLLFISVQDALALAGRFLASVVCCRAVLAY ELAGLRQAKAGNMGNGEGSETLELRCK AFLA_107430 MQFKTLLSTLPFITAVLANPAPVPAPVPGAVSVGYGQQLQNNDQ ANHWVVWIEGESACPNTRVLTRLTDSPCDQTFYFNNQAYHLADCGSDNEPRRVVQPGG GSKSCSRDNRKITCHGSTHDIVKHGKC AFLA_107440 MGRRNRIVASLLTLACATSTALANKKAFNIHDDLLAFPQFQVLF PDEYVLDSHAKELLKTQSSPPVYDDQQGNSLQKSQIPLKPRTDESPDTSSQQEGVPQL TYEELTLQGQRFLCQIPQVETDERSPTNETKEASETDEEQELARATDRGLELLSEMEG KCMYYVSGWWSYSFCYKKQIKQFHALPSGSGIPSYPPMEDPATHSFILGRFPRASDDE EDGEAERKKTAETTTAATTTDVAELQTNGGSRYLVQRLEGGTKCDLTGKNRKIEVQFH CHPQSTDRIGWIKELTTCSYLMVIYTPRLCNDVAFLPPQQEEVHSIECREVLLPDQVP DWEAMRQYHLAQRLVESSTTTSEFPIVGDIEVGAKKLVGTEGKEIEKGRVASAGEEKV EVVAKRENGEVLQLSKVDLEKLGLDPEKIETLKTRLEELAQGKDWTLEHVTANGERGL RGIVDTDEDEEEEEKQAKTETESKEHNTLKEPQEKAPTEKKVPEAKPKGEPKEEPPTE NVEEDEEVSFSEDAELVVYDTSDPDWTLVGVSGDFGFAPANYIEIVEQAAQTPVTSSV PSPPAEAAPPTLPQRPAAAPTEEPSNPVSSSPIDTVQNPAAAAIADIIHKQHAEPEAT RAVPPPPQPQPLYEPEPSYQREPSPPPPALPQRPPSQQISPRVESPRSPGLPPRPPQI TMAQEQDVKGHVKESPPYNRVGPAPRSPSGYHIYNVNEMVEVMGKRKKMPTTLGINIA TGTIFISPEDDGEEQEWTADKLSHYSIEGKHVFVDLVRPSKSIDFHAGAKDTAREIVA ALGEISGAYRAEGLREVIAAGSGGGGQKKVPSSYVEITGYASNSQPSGVDSGLSAVER NRLEESRLAKEALRKSRTDSVDSPRAEHHKRDSRSSQKSKPDPTKTRQWTDRTKTFTV EAQFIGLQDGKIHLHKMNGVKIAVPIPKMSLQDLEYVEKMTGVSLDEDKPLSDIKRRS TQREPEKPRPSADNKRPELAGASFQQSDYDWFDFFLKAGVGPHQCERYAQNFTKDSMD ESILPDITPEVLRTLGLKEGDILRVMRHLDTMFGRTGAKSKLRNVSFGGEEVISNGED GGGLFAGPGGVLRNNTRKGRPAPAVQTGDVVDPKAFEQKDDTNNAEPKEASPTSAPSE KPVQRGFDDDAWEVKQPKQAAPAPAPAPAPAPAAATPTSPPAAASPATTQPPQQQLTG AMADLSVLHPPLQPTPSQPTPASQPPPASQAPPVIQPQPTAVPAPAPQQPQQTGATPG FFSQLGQPGQQSLQNPAQGFSPQATGFQQASRPRPQPPQTLGQNSLLPPPPQRPLSAP QNFPQQQSSFGLPPLQPQLTGLPQAGPPLAAPGQSLAELNQQRFQQPLQPQPTAFMPQ NQFQNGLMPQPTGFQPQSQFGIQQQQTGFQGLAPQPTGFGFQAQPQQSMQTGINSVLP PPLQPQPTGMNGVGSMHYTTSPPPIPPIPQQPTAAPLTPQKTGPAPPIRFGVKHDAPK KLAPQPTGLRANLAQASKFHQQYMSLVVTNHVVQRPRIRSVSNLNMYIIFFAFAAHDI YLIHYLLSTTAVPHANHKTRVFLPLTP AFLA_107450 MAVPRAIRQVFLAIEQAEGAGARVRRSIGTAKLRNFSPFLMLDH FTIGKGAGFPDHPHRGQETITYLLSGGVDHEDFAGNKGTIGPGDLQFMTAGRGIMHAE MPHENPDGSPNVGMQLWVDLPKNLKMCEPRYRDLRASEIPVAKVDDDRVTVKVISGQS HGVDSVRDLAYTPVWLLDVAIRPGGRISQALPKGWNAFAYTLSGTTVFGSNDSTKLVK EFHNVVFDQGGDYVEASVPDNAESESRFILVAGQPLDQKVVQYGPFVLTSQEEVYQAM VDYQTASNGFERVRGWESEIGKRMAF AFLA_107460 MSQSPSPSLRKRGGKKEASPGPSEVSSPYPTNQGATPKPQSEWD YRLAITVLTVLAFITRFYRISYPDEVVFDEVHFGKFASYYLQRTYFFDVHPPFGKLLF AAVGWLIGYDGHFLFENIGDSYIDNKVPYVAFRALPATLGALTVPVVFLIMWESGYSL PACVLAAGLVLFDNAHIGEDRLILLDATLVITMALSILCYVRFYKLRHEPFGRKWWKW LLLTGVSLSCVISTKYVGVFTFVTIGAAVMVDLWNLLDIRRPAGALSMMEWTKHFAAR GFALIVVPFFFYLFWFQVHFAILTRSGPGDDFMTPEFQETLSDNALAAESIGIQYYDA ITIRHKDTKVFLHSHWERYPLRYDDGRISSQGQQVTGYPFNDTNNQWQILPTVPLEDN EGQGHSVKNGDLVQLLHLGTDSILLTHDVASPFYPTNQEFTTVTKDVASGERHNETLF EIKIENGKAGQEFRTLSSHFKLIHYPTRVAMWTHTTPLPEWGFKQAEINGNKNVLQTS NLWYAESIESLEEDSPRKQKEERKVKQLPFLRKYLELQRAMFFHNNALTSSHPYASEP FQWPFLLRGVSFWTKNDTREQIYFLGNPIGWWIASSLLAVFAGVIGADQLSLRRGVDA VEEIWGPGARSRLYNSTGFLFLCWGAHYFPFWLMGRQRFLHHYLPAHLASCLVTGALI EFIFNLQPVQAVTDSEVDPSGKSKSIRPRHFVTAKERMSRKSLVACWIATLSILAVTV WGFWFYAPLTYGTPGLDVAGVNARRWLGYDLHFAK AFLA_107470 MVELISPPDPRTLLPPLLACLPTAFVSPLPPPALLPLLSPVLRQ RVQVLSSLAASPSESWLRLLCWDSGKAERLQTIVDETSFEPHPVSGEIELPDEVPVEY KRVDEETLQSRVLLSDYRLKAIYLWCPNDKDGGGPGWRIAELLPHEDSRADGDVWSAS IGEANSHSKERLMEDALRAAEKEEEAAKDEEDDDDDDGYWAQYDATPGRTPSVKTPAP NARSGLQHQDMSEASYFSRYADVQPAMDNHDPSEEQPELGPSSLGGDLLANLLKPHAD NEASENPLQLNGHGDTDRALNHPRPSSASSNSSEAVAKLELEAENHETRG AFLA_107480 MIKEKAPEVGMAPSPLMPDVLESSGRELVEAALPEELKELERRL RWKVDLRLCTIAGILCSLNLLDSGILSSASVTTMLSDLDLEGTRYSVSIFIFTIASVV FQLPCTVAVRWVGPRIWFASITSCFGLLTLCTAFVQTWKQLIALRVLLGMAMSGIYPG LTYLISTWYTRREQQLRFAFLQSGEVTVMATGTIVNYGLNHLDGRAGLSGWRWMFLVQ GLITCVIGIITYWWMVDFPETAHRSFYFLSEAEAQLAVQRIQADRDDVVPEPFSWSKV AVNFLDPKLYGFACLFFILNLVSTALSYFLPIILQSGMGFSSNAAIILSTPPYYWSVV PVLFTSLVGDTYRLRGPLITFNALCLIAGFLMFGLPSSTQVTVRYIGTFLATGAYVSN WAALNAFMANNIVGQWKRATTAAAVSACNGLGGVAGSYIVRQQEAPQYQTAVWVSVGY VLIRQLSHLLMIIIVGIFTLAFYICNRRQKRGQLVIQNRVSTSPLRISNACFDS AFLA_107490 MRRPQFLAYFNTRLALACSLIAVSSFNYGFDNQGFATTQAMDAF DKRFGDYNPGTKAYALDPAWLSLFNSLNYIGFAAGVLIGSQISARFGRRWCMFSMSCY ALITATITVTSGNRDQILAARILNYVYVGMELAVVPTFQSEIVPAQVRGLAVGTYQFS IILGGLIINSICRGTSKIQDDRAWRIPLGLFYIVPAIVLSLIWFVPESPRWLLQKGRV EESQASLRQLRQGCFTPEQIHNEFRELQTVLEQEVENGHWVDLVKGVNLKRTALVFMV NFFQQGTGQAFSSQYGAVYVKQLGTINAFDMTIVLSLLNLVSIIGSLAYADRVGRRPM LLASSAVMAAGLLTMGGLGTPSPMTTDLKKGVVGMYVVMALGFSLGWGPQTYVVATEL PALRLRDMTLQLGFFVNVISNFVVNFTIPYLADAEYAGLNSKVGLIFGAIAVIAFVCT FLFVPECRGKTLEQIDLMFHSGVKLRDFGSYDASTLIAEPELKEGEVHSDTGKVEENG VKQ AFLA_107500 MWVNLMCSLSSTNATDRLSADTFRNPDNTEIQIALDIFFERIYP LPGYAFLHRASLYDRFHRGQADQSLLLSIIAISISLTETDSSMLRCMMCLGEYSSAFP LVSLLSRFAFSLRLNYDNQRVCFLAREARRRLMWAIYMLDQTWAAGLLEFTTCPVDAI YVGLPCPEETWELDVCPETDVQLKSQTKLPGLLAANVGVCYLRDKVLRHAKRFAASSC SATEILYGIRELETELGQFYLRLPPSSAYSERNLRLRAHSPWLPRFILLHVLWHQCYC DLYRCITSGLIESVPASTLNQFDPVFVLKCREQCTHHAVQIASIITSLIDLRLEISVL PLDMAVYNCKLVASWQAKNIQQQGPRQILSIHSLIARSEFVDDSEELAFQDAHAGDNA SVYQKDNHEYSGPLDTLGLPSPLPSFDSVEGMTPGPTMTSQMWLQGPNAFEGAWDEPG IGFDLIRQMQWDGMGYFG AFLA_107510 MTVTTATTKMPSKNPNLVIFMPDQLRYDSLSCTSTPKTTFLKTP NISSFASRGTLFTNCFTQASVCSQSRCSMFTGTYPHVSGHRSLENLIKPWEPNLFRSL KENGYHVACLAPRGDTFAPTVTELSVDEYGFLETPDFVPRFSGSRPSDEKDESIWGRL FYKGLRNAKEASDYDDAVVRSALRWLECPPQDKPWVLFMPLIFPHCPFQVEEPYFSLY ERDIIPEPGAVPGEKTGYEPRYMRVIRERYGTGRATPEIWRELKATYYGMISRLDDQF GRVVGRLDELGLWDETITMFFTDHGEYLGDHGLIEKWPSGLSDSLVHEPLIIAGGGLP KGQVYTGMAEMVDLVPTVLELCGIPEQFPHNGRSLVPVLRDPSLGHKEYAFSEGGFLT AEEPLLEQAPYPYDIKAALQHEDTTLVGKAVSIRSVEWTYVYRLYEPAELYHRQRDPE EMYNLAAEPKYVPVARMLEAEVLRWLVESSDFLPWAKDTRFPEVKLKSPKEQLEERLR KRDSGERN AFLA_107520 MKRNIILSHGVYVSLIKFAATRGYKLCLKDLIEYLHLKDEKTEL LMLAEKCSLRRASVQHDPANPVNSSYVFMSLFGEFNPISNVPLKHNISSSVGSIAVIL VFMFWPTWISSMTTKAVL AFLA_107530 MNDDNGTRLNMLQSHILQLRQGVQASSLGKDTQQQLRQLLGLSE NAAKRMAQQRILNALAFRAMRRRINAVEAHQQTFRWMFEEKSTPGTEHDNCRDHIPEY KRDVAARLFKDWLSRGQGIFHITGKLGSGKSTLMKFLYNHPQTRQELEHWAGDRKLVF ASFFFWKPGHELQNSIKGLLQSILHDLLAQCPDLITVVFPKHWDQVYGGPFSVPSKLE FQPNEIREAFRRSIEDGALYHKCRFCFFIDGLDEYQETNQDDFKTMVEMLWSWTDIAP EGVKICVSSREYNVFLNGFPPDRRIRIQDLTRLDMERYVEDKMKDVDHQTKERLISRI VRRARGIFLWVALVVKSLREQIEDGCILRELEWSSSHCQTSWKVFLTICSIPLNRQSV RRRTRLFNSS AFLA_107540 MAVGPVTINGSGKSALPLVQQMSKDHDIVLKTFRMLISDLCQQF GGGHPGGAIGMAAIGVALWRYVMRYAPHTPDFFNRDRFILSNGHTCLFQYSFLHLTGY KAMTFDQLKSYHSDRVDALCPGHPEIEHEGIEVTTGPLGQGVANAVGLAMATKNLAAK FNQPGYDVVNNHTWCMIGDACLQEGVGLEAISYAGHLKLNNLTIIYDNNQITCDGSVD LTNTEDINGKMRASGWDVIDVEDGCYDVEGLVRALEQARASTEKPTFINVRTVIGLGS NVAGQAAAHGAAFGAPNVAQMKKENGFNPDEHFVIGETVRKFFEDLPARGESLVQEWK DLVKQYTAQYPELGAEFQRRMSGELPSNWKDLIPASFPEKPTASRASSGLVFNPIAKE IENFMVGTADLSPSVNMIWPGKVDFQHPDLRTTCGINGNYGGRYIHYGVREHAMCAIS NGLAAYAPNTFIPITSSFFMFYLYAAPAVRMGALQHLQIIHAATHDSIGMGEDGPTHQ PIELAALYRAMPNLLYIRPGDSEETAGAWIAAIEAKKTPTIISTSRHTLPQLKQTSRD GVARGAYVLEEAENAAVTLIGVGAELSFALEVANKLKEKGVVARVVSFPCQRLFEQQS LEYKRSVLQRHRGIPAVVIEPYAPNGWERYADAGVCIKRFGHSLPGKVAYKYFGYDID TLTEKVHGYLGQVEKDELLKHEFVEL AFLA_107550 MAPSLLDPSLNPPTAATPAKSSTETIVTPFPNPSLQVTADHKLK AIDAPVYAPKHGEVLLQIKATGICGSDLHFWKTGCIGELIFKGDCIIGHEAAGVVLKC GEGVTHLRPGDRVAVEPGVPCGDCFLCLDGRYNLCEDVQFAGVYPYAGTIQRYKTHPA KWVHKLPDNLTYAEGALLEPLSVVMRGMSVAGLQLGRGAVVCGAGPIGLIALAAARAS GAHPIVITDLDASRLAFAKEFVPSCITYQVNRDLDAQGNAKAIRALFGSEEYFAPETV LECTGVESSVCTAAFTARRGGTVVVIGVGKAVMNNLPFMHISLAEIDLRFINRYRDTW APAIQCMSGGILDLKRLVTHTFPLEQAESALQLCSNPKNPSIKVLVVDEIEATL AFLA_107560 MPTPRYFTQAPAFPAETSVASLPTISLRGLQDKSSTEGEKLFEA CREWGFFLIDLRDSDDGTTLLQDAERMFDLTTELFALDQATLDRYAYDAPRDLTGYKS MGRLKTDDGKTDHMHLYSINQDDILGNRPPRTNAGPVESKRTQLQAFIRHCSAALGVI LGALDDQLGLKQDTLAALSPLEDESETSVRLLCSPPQPAPEYDRITLGGHTDIGTMTV LFHVVGGLQILPAGKENVMENWQYVRPEPGCALVNVGDTLVEWTGELLRSSLHRVMTA PGDQALVQRQSVAYLVRPAKKASMRRIQGGKIPPLAEGEEEEARPVNEWAAWRSRQIM LGHLKPQSRGGNMAVSV AFLA_107570 MSGAGRLIVGLEDADPSHSTGIFWSLSLSHLRYYGDPVLCRRSV AEDASRVSFNEFTLVALGCFLQRWCTWTQDGLAINSVVEMIISLGDFVGEVSSTFSTN MDMPTAVWRAAHTLARLSSGWVGVIVKACQMLEDAEERDQRNFWNLVKMGTRRGATFL GPLDEHPPRLFGLSSPQVVLNLLRDDAECHIDAMRAIVRSSPDVGDSTMFIGYTHECQ RLGKWQEFTTVVPIATKEGKKKHVGLVPADVAKVKHNAWLRLGEDYNTTRFDEVISIG EVCELYYPDSLEISQHYLTYYLPLNLSRSVGTAKIPISIAVRGHDRREPDPEQPLKRF RYPKINDNPTIAVYATGICMSDFEVFPENLTRFFDNRYFDVEKLEVHLTHVWFAKASP EYVRSMRALATADQIYKLMPNASVSLSVLRKELGQQKWVPCEDDAEHPYEDDKDDEFV IVKRNRSGFEVFDVARSESFSCVALFETGTMDLSPDCFTNVMAISSGNFIFASSSILC DPWEDAKPHEIQRLVANIGKSGVSLLIPPMNPKLRKAEFDTWQLIDHIAFNGSVEDHF DNSSIQLSFTRYESPVPGAVFHGVQDVEANYVETLAQVYDGSKWVGDIDILGALSSNF IERISLPHPCQSHPSRPKPRFPAVSIDNWDELIDAPSTARVIRAHDNFVGRLAATAIS VQKGHLTFVLPKQATWTAFSLAYLITYMNVGENQGSGSNRVLSEI AFLA_107580 MDISKITAAAVAQTNEFQLALASLNFDFSLFKVEAPQEYKAVGM SLSPSRKQNAEEDPAHRTARKLDAIIGSMVTSPDALVKVYGQRVSEICSTPSSNPRGS RAHGMFQNYVGADSTTIWAAATSGKGALAVHLLACMLARLWTPAEATSIWVEIVEERK AQISAECDPNEPSHYALIRASHLEVTRAELANWDAGVRAWLAIADKANQLLSRLGSLP WKWCRIF AFLA_107590 MASLRLPRLIRPSGRRLYSGAASPSSRLNLPIDYKTTPLLHHTS STLSESLELPGSTTSKSMNLYQAINSALRTALAKSEKVMLFGEDVAFGGVFRCSMDLQ TEFGSERVFNTPLTEQGIVGFAIGAAAQGMKPVAEIQFADYVFPAFDQIVNEAAKFRY REGATGVHAGGMVVRMPCGAVGHGALYHSQSPEALFAHVPGVQVVVPRSPSQAKGLLL ASIFEHNNPVIFMEPKCLYRAAVEHVPNEYYTIPLSKAEILKPGNDVTLISYGQPLYL CSAAIAAAEKALGVSVELIDLRTIYPWDRQTVLDSVKKTGRAIVVHESMINYGVGAEV AATIQDQAFLRLEAPVKRVAGWSTHTGLQYEKFILPDVARIYDAIKQSIEY AFLA_107600 MLSVLLLRPPSLPKLRVKPADLVHLDPQLPLSASLKTMVEFAQI QEGVLDILLSHRDKNDQSVVIDKLLRDTYDIHTTIEKRQHQLPFRETTYEWVAIEFGY YALLTSVLHLNKRVAQNRSSQEDCLRASRQSLIRLRRMQDEIWMDANFLDEYPYFLTC NNAQFLWLKLRSRKWSQFTRPKYRRPRRTSQSGQ AFLA_107610 MLILIAGITGFVGIPCARSAFARGHKVRGLARNIDNLPADVRDR LEGFETMSDPHDIAAMDRATKGVDAIICSFAAVPEMFMESQMMLIRAAERAGVKPIYM MTGAIAEYYFKRSPYDWDPVTKTFNFHGPSTFPTRYTTAEDIGNYVLEAITAPEAANG GFVHVQSFEASPEDIVKAYNAARGGRVTAKLNCLGSLKDAEDKLNEGRAKYGKSEWYN YLRYSYQYHIPARSWDYEPVDVARFPNVKQTSLEEFFRRNPDV AFLA_107620 MLRTTLKERKAETHIPHHINDNIMKDCPVCHNFQPELEENIERD SDGRVWSVRDRREVPTNAAGAHGFVFDVNIDEAREQASKGCKGCALLHQGFFYCLVSK PKPLIVEEIRPITVKSAPGQAIDCCLNILVEPIKMWQFQILAADDTRNPWKNMAKTKS SPRYVLTDEHRDWYLSWVQDCFENHPKCQRPSTMPTRLVDLGDDDKGIICLREDIKQI SRYIALSHSWKFSQARNCMTLKDNYESRKQLLPQQGLSQAFHDAIKVARWLGVRYLWI DTFCIIQDDPDDWAQQASHMGDIFEGAYITIAVHCYQSSPPTDGCFLERKVIHEVALP DDNGDRFPVFIRCAEAGLHNVNEDPSLMRRGWCVQERLLSPRILHFRPWEVSFECFTH RRCECEKMSLDIRDDIYISMCEKELANFRHISGPRQELDEDGFWYAWQDIVWVYSAAD VTVPTDRLAALSSMVQRMPRQIFGDYLAGLWSKKLIEQLAWTTRPEFKYFRYQQYIAP SFSWASIHGGPVEVNFQLWPSNPLKQKACILDAGTVPAAHDPMGPVRQGFIHMRLRVC EADVISLQRNTTEIDLRYNCKNGRPDSCRASVDTEDDMKDLEGQRVSLGEILNGSEFA EFLVMRKVADREGVFERVGTGTLYWTTKTDYGLGIPRTPNRDPFCQVPEQEIRLV AFLA_107630 MTSPLQPLKLYGDRPTVNPVKVLILLNELSLPYTISPIPFTEVK STPYLSLNPNGRLPTLHDPNTNLTIWESGAIIEYLVDRYDTSRRISFEPGTHDFYLAK QWLHFQMSGQGPYYGQLAWFKKFHHEQVPSAVERYVKEVNRVTGVLEGWLEKQAGVYG EGEGNGPWLVGGKLSYVDLAFVPWQRVPGMFVGVEDFDIEKYPFVKGWLGRMLAREGV RVGIEGEKE AFLA_107640 MGRYEDSVYRPVTETPFVHASPSFLHHDGALAILKVWNDCLSRD KPATNVINHTRRGLIRSALMRNAALPHWIHDGAFFGLVNLRHRLFALIDDITARAIVP FALQRFKLIDDTSSSSSPGSSVTLNLKAEIKPIDTSLVIWPLTLASGLEYVGSQHKAW FKAQLARLGRLVGFGILETAETDQWLEL AFLA_107650 MLPRLSTLSIYLLSSVVLLGAFSRFTHGAYTPGWYAFQEYHAPD DGSTVARITPIMDTIVGLTLLFGARTAKFSAAAVSLTFFIMGLAMQVLAGKDYKGDVA LVVLAAAAIAGALRK AFLA_107660 MLYTTLVSFWSGDDWIPGGLSEPLFNAKGTSGAPSVMKAQILAG GRGKGKYNSDGKGGVRIVDSPDEAFENASNMLGYSLVTKQTPPDGLPVNKLYIYKSVD IAQEFYVAITFDRARYKPVLLMSDDGGVNIESNVNQLQRFWFNLSTGITPEIAAYIEA QFGFSDDDMGMVTHILRQLVKLFREKDATLLELNPFVRTTEGSLICIDAKFNFDDSAK FRQPELVSLVEHSAEEKDEYEASQLGLSYIRLDGNIGVIVNGAGLAMATNDLVTLYGG NCANFLDIGGGATKETLSNAFSILQGDSRTKALFINIYGGRKRTRAARFTGSLVPRVI FIHVK AFLA_107670 MCWTDHDKLDSTYTQRYVINPVGNYGGDLHEFTITDEGTALVTI YDPTPVDLTDVGGPELGWIFDGVFQEIDIETGELLFEWRASKHYPVSSTYEPLGEAGK DRASAFDFFHINSVGKDDQGNYLVSSRHTHSVSCIDPNTGSLLWTLGGKMNDFTDLSG GEATNFAWQHDARAAYTEFSADGELLCNVHFGASAFFSFGRVVSYRSFKGDWVGHPQT TPDAEVDDGKVYVSWNGATEVTSWRLEVWTSDDLTESSFGVVAQFEKTEFETEIDIPE DLGPLFRLAALDSEGNVLGVTEVLQKEQRSSSDKFLDLHNWVLGLAMVVSVGGLLAGL YRCCCCCCCCKLFKLYRLRSNDYQLVAMNENGEHSPV AFLA_107680 MSSWTWVPKNARRSPLRLITGRHIATVGVAISFLYLFYVFVLPQ FLRFRFRTDLSQYDLGLYGFGPSTGYVSFEYESPIIEITESDLGCDPRYTFLAPRGDS VPQAGPMILDAHGNLVWMKYNWETTQDFKVQRYKGEDYLTYWEGREIESRGYGSWYMV RHI AFLA_107690 MATNVFITGKDYCDRIRYLHYGGMNVTIVDPPGGAKLVYDLLSQ LKERLRSDTFGLEPQFVKHEAVAPVQGAGTVQRPVQTALEVEQLAPKSSPGHRVKSRL QLQCETSARDDDLNVSIKTGDLLVVVDQHDVGSFEVDPWYRIFRRSGADIAQSADEWD ILCKHGTPFWKDEKLPDGWEAIGVVIDAEYLRWKHVEISRHLSWAQTTEDLFRNFVFN EKIKPELNALRTASHLIVRFDCDGVIHVDNTTEQGGTADLYIQKSENSEGDFIQSTPG RTPAIVAGFMAGMLRYFAQQKTAYNKAIQSGLLVSLKAALANIKVENGAAHHYELHDI TSSDFPDVSDTSAESADSKALAVSIVVPSSQIKNQRQYRWSILQTILETQPAYQALGN TLEQSITDIAEAIVPPDATIKGKIKTENFPTLCNKLPNDKQTSLEASFRKFLQQQAKS NTRELQAKIKAFLVDLHTTISVENFKTEIINYKEMLLSRQAENIKSKIKTLLAQALTQ EEVTEARTEIEDLATQTVEPPNEAANGEVANKIATLAQQVVTRKEAKDTVPPRNTKAL AERIVKEGTEKALASIPTARFGNLMTADRQEKESFRAIANLVTEYLRSPQEKPISIGV FGAPGSGKSFGIKEVVKAVAQRVSNGGKRVSKPLTFNLSQFREYHDLVVAFHTVRDSG LSNEVPLVLFDEFDSGFGQTKLGWLQYLLAPMQDGRFLEDGHQRPLGSAIFVFIGGTC STFEDFTTDLETKDAKDAKKPDFVSRLRGFVNIQGSHESLRDPDYMYLIRRGIHLRAM LQTRLGCEADEEIQLDEGVLNALLTVPHFRHGARSLESIIAMSQLHGQRVFRRSALPS AQQLGLHVDYASFADCFEPENHRLIARDRIAQKMRNLNGQLPPEYDFRQRIAGQIVDM IYNNGAYLFPQPAGESSQEDAEEDPNRQALVEDLAKYRYYAVNLNTTMCAWEAAGADK TRHYDAIKRLLNLLKSYLSLRNLGLSFHDQMTYMA AFLA_107700 MSEHQELRASAFGRTGLLEIIEGSETNMHDIFYTADSQPQPYLL HHERSQTGECLADHRDVDDNKALFSERQSDSIISISIVT AFLA_107710 MQHHFSTESKGFSGSSLKKLGARLDSRKVISLGTRRPIADFYPW ESLTFRGMVPHSPSSSGTGVETAESTITEYSDTYNLSNGLNYGPTVGSPSLIRFLNEH VDIMHHPSYADWSVNLTSESTAALEIAFRIFCNKGDTVLAERLTYPGAIEGANLLGRR FEGLEMDGEGLTPEALRKALREWDLSRGPKPHDPYYFLRMGAYQPGQEGPANNSDLPS YLSLDRSGRVVRLDSVSKILAPGLRAGWVTANTQIIEKFIAYQEITTVKVNGPLQLML WSLLEQTWGHQIFYSWLEHISSAYRTRRDALLHACDQHLPRNICIWDPPEYGMFLWLR LNWEKHPLFRIEMEEAERDDFLSEIAKRINNNAIENGVQVTKGLLFASNQKPNGELQF RLTFAAAPAEQFEQALKALGDAVRQEFGFICK AFLA_107720 MTVTSSFVSHPLPIPHDSPFPVSNIPFGIFSTAEDPTPRPGAAI GDYVIDLFRVANRGALTIDYADGAQDIFREPTLNRFAALPRPVRSKIRKDIQALIVNA DSPLYNQTDQAKLLIPRVAVSMHLPFSMGGFTDYTCSLEHVTNVGRVAGFGDIPPSFR NQPLAYNGRASSVVPSGTPIQRPYGVRADGLYPCQKLDYEVELGMFISTKIPIGEHIP ASRARDYIFGFVLLNDWSARDVQFAEMIPLGPFNGKSCGTSISTWVVTMDALEEAGAI VPATADVATEGKFTSNPMYFPCRSSWEMLLMWDIGGESHRTPISRSNLKHTYWSPFQM IAHHTSAGCGLAPGDLIGTGTLSSSAKQASEDLEQASTGLERLGCIHELTAGGKNPVQ LANDLSLVWLQDNDEIALEGWAGEGNSRIGFGQVVGRVAPVAEVPDMVTAAST AFLA_107730 MPPPVQVAYKRIGKPTVGENGYVDFQPGKTEVLPKGWNGFNAKP LISDIRVEHDVEIVVRDGARLYVDIYRPADTTEKVPAVLSWSFYGKKYSALDMLPMCV WNCCVPRTDLSGLEKFEGLDPQSWCPKGYAIVSVDTRGAGNSDGQICVMGSQDAEDGY DVVEAIAAMDWCNGSIGMAGNSALAIAQWFIAAQQPPSLKAIAPWEGLGDLYREQFCR GGWFFMSNFDLIAQRIVRGPENSGLEDYEEMYRRSPVSNAFWADKRVDMTKIQCPAYI RGSDVSSIHTMGSVRGYLEIPHDKKWIHWGSKQEWYELYSEPESMEELTVFFDRYLKG IENGWEKTPKVRWSALQFGDREAIDNIVLEDFPVPSTEYRNLYLGGNQQLLSTATTDY STVSYDSESRASIAEFNYTFEKPSRLIGLPKAILYVSAEEQDDFTVFVILRKKDKDGK ALMHLNFPFHATPVKSIAEIPEKDQASLNLHLGSVGILRASHRVIDSTKSIHPQFPFH PHLKQEKIEPGTVTKLEIGIWAMGVDFDAGESISLQIGGQYPSIAKDITSFSKPRPAY ELNKGKHTVHFGGEYPSSVILPFI AFLA_107740 MSQEIGALISLQPNASKIVEDQWGLGARLREQGSMIDEAFEIYN TKGELQSQILLSAVSSKYGADRVCYHRVDLHQALKERATSPDYPGRPVELRLSSRVLD CDCETGTVKLQNGETIQGDLVIGADGIKSKLRQAVLGEDVEARPTGLSAYRMMIPTDE LLKETDFMQVLDPRICRTAMVIGQDRRLVMGPARNGSVYGVVALVPDERMNESSKDTS WNTKGDRNKMLDTFSNFPKWAQRPLLSAKEVGLWQLRDLDPLSTWYRGRVLLIGDAAH AMLPTQGQGAGQAVEDAEALGAFYKGFEKRYPDRSLSDISKTNEDIFNCRYERATTIQ MYSRQAAKPGTDSSEKRVTMNPAEFMDYNCLYNGAMDWNRRRQEQGSVASAAA AFLA_107750 MSTTTTVQETITLNQPKGRMALDGKPTNYGDFRDALNRDGYAVV KGAIPLERAKKYADSFYGYLEGFDLGYKRDDPSTVKRAKLPVINEKGMILSYGVTHEK WVWDIRSEPGVVEAFEKVYDDSDLIVSFDVVNVQFPGRGDFPEKLTESSKPWPHQDQD PESPGFRCLQGLVNLNPGGPDDGGLIVCKGGHKFSEQFHREMADEPRIPAWTKEWFGF TENGMKWLKDHGLEWEKVCVEPGDLIVWDSRTPHYNVPPTGKNDRLAVYTCYMPVADA SQEDLIKKKEAFEKRLGTTHWPNAQHVAPTNIAMRDGAACPKAREVPVEEPVLGERAF RLTGIPYIKQEA AFLA_107760 MPEKINGHGVTQCEGSHKETACRQNDQNSPPNTSPQQQPQSQEG QQPSPSVEDNLADEKLSELSPVHQPFDTSLNVERGTNRMKILGGSSSQCLAKSLDVYF EAARLKPVSASFSHGMRHAEELDIPLTLSLPPLPDRDSRDRYARIYLARIHPTYPIFS PHAFRESMAQLATIADYRHLPRDNVPVLVLVYLVVGLGSDEEAQSVTEAGEKYLQAAA GLVSHLVAIPYLPTVQALLVLTLMYRGRNQEGLAWQTLGMAIRIAYTLGIHRPQTGPA LATSNNSHDERHLPTQIWAVCCCLERMMQLACGRPSSISVEHVNPVGSLNCHSPYLQW NLGLAEYQGSISQHIYNYHPGSRNVRQILLDTARLDRLLLSWANVIPPDLRPGSDIFC PEEEYHMAAYLSMQFHETLIALHRAALIAPTASFDAEIEKHCSDEPSKFRLRNGESIC VNSARAMAKLTIELSERGTASRLIPAGSSLLACIVLAIHLIKNPKSRLQTMDLELLKL CLENCSQQLARCNSDPRFMEGLAAMYDQIVLYHRVSSPYAERRHTLATTHQSDQPPLK APIWPPRNSNPQPTSNIAISHSTSNYPIPHSVLTPATYDDSAPPVSHMGHAACSEEAH IVACPLDHAPRGGLLDYSTATGKDQPHYQQGDTALHDTGSLIDQLAPSGDGLNEVDRL FPFEGYNVEDLWNWMLYFDGPQPA AFLA_107770 MSTTTTEIQEIAEATHESFTIKDLVDFSGRTYGDWRDEFHRNGC VVLKNVISPERAKYYADKQIEWLKNFELGFDENDESTWTAEHLPVSFKGGMYFAYGST HEKSVWEARTEPAIIEIFEKLWETKELLCSFDGINISLPRRKDLNWSPWPHCDQNPNR KGMQAVQGLINFAPNGPKDGGLMLMKGSAKLFDEFFAQKRDQYDHEDAPPPELKYMDL FLFHEKDVKWFEERGCELIKVNLEPGDFVLWDSRTMHYACFPEADQIRHAQYICMTPK RFATEKALELKKTCFENYLGTTHWPHCNIRPAAEKPMRDGKVCPKDRSEPFEKPVLTD AVLKLAGVKPY AFLA_107780 MSQKDKSSVGHVEDIEAVETGQMKKHEATKVMGTVKLTEGSIIY IPTPTADPQDPLNLSMLRKITILVVISIFSCLGLSLVSGFGGLLGFYIPQYEEVGVTY NGITYLMTYPTLFMGIGNLIGMPLAIGVGRRVVMLASTAILAVGAILCATAKTYEWHF GARILVGLAAGQSESIVPMISQEIFFVHERSRALMGQQAIQVCLTTVWVLFAGPIAEA ITPEWWYGLGAVLAGALFVGTFFLLPETKYERSLSAYQEESSSGDEVLTEGFDDNKPN HTEAVPCTERPELDFVNYSPRTFKSDLRLWNGKPEWFKVWEVLKQTAELLLFPNVLWA LLLNGLVIGVNVAIGTTYSTIISAAPYNWPNSSASYINCGQIVVAIVALPLLGHSSDW LVKFRAKRNNGLHEPETRLIPLILPAAIGTFTSSLYGEGGAHPYDYHWFVYAWAVAAY YFCFVGVNIVTITYLLDSYPARAGPLLVIVCAFRGIISFGTSYGTAPFIELHGYDGTF NTFAALTGFLSLVGIPIFIWGKRIRAFTGRFAKDKTN AFLA_107790 MRLQSLIVLLGTAPLALAWLPTDQGRSLSTFTNTNTTKIRGVNL GSHFIMEKWMASSEWSSMGCGDYKSEWDCVKGIGQEAANAAFKTHWQTWITKDDITRM VSYGLNTIRIPVGFWMYEDLINDTEYYPRNNSIEDLTNVCQWASDADMYIIIDLHGLP GAQEPNQPFTGRYVDPPQFYQSDDNAERAYKFYEWIREQIHNNRSAFKNVGALELVNE PLQNTENADTNWMVEHFYPSAIDRIRAKESVLGVSDADALHVTLMDDKWDSGGNPTRS LNDTQKEKLLFDDHNYEIYLVRNAETIDDMITDACGDNRTSNVSPKVVGEWSLAFDNT GDNFLPMTGDHAKSYSKWFSAQQRQYEALDGWVFWSWKTDTVPNIEQWNYQKAVDAGI ISKDLNAQYDQNPC AFLA_107800 MRDFLSLLGLASIIASSSAAVIPSSLAAISSSIGQVSQFTSSDT TSAEIQSGIDSLIVAASGTCSGPIQSAPTSYWLADQDHSGDARGYAPNVNNTDYPVWR NVLDYGARNDGSGNQTSNLQKAINDNGSGGSRKSSGVTRYPAEVFLPGGTYQLGKTLE LRVGTIITGDPLDPPVLKAASNFDGDTLVLGYDSGNGNPETSFMTLMRNVVLDTTALS ANTKITALQWGVAQGSGLTNVQIDMPTSSTGHTGIYIKAGSTIAVTDVQITGGAVGIK NSNQQVNFKNISFKYCTTAFEAAGGHTALLQGATFETCGTGINMTSNSLGSLVLLDSS SINSGPVVRFHDSSNDSGNRNSQILIQNLSHDNSNAIAVDSKDNIKLGAVSHVDTWVW GNVTPGQYESGISFSTSRPDVLLANNEYFTRAQPTYAEYSNDQIVNVKAVDGYTVKGD GSTDDSASLNAILANNAANCKITYFPYGVYLVKDTLVIPPGSRIVGEAWAVITGAGDA FKDAGSPKPVVQIGHEGDVGVAEIQNMRFSVAEILPGAKILEINMAGSASGDVALWNT IATVGGTAETTIANSCTDQDTSQCMAAFMILHLTKTSSAYIENFWGWTADHNLDGGPI TIISTGRGILVEATQGTWLTGTGSEHNWLYNYNLHNAKNVYAGLLQSESPYMQGDGAT QTAPAPWTAESEFGDPDFSWCDEDDQKCRTSLATNVDGGSNIFLYNSAAWAFFNGPWD GTYSHQCNGSCQSNMMRVTNSPSNLVWYSISTRKTDVMVLDDQSNPIEYNHPGGWEAI IQAYRQFAWAQ AFLA_107810 MSSAKVYVGNLSWNTNDESLRQTFSEFGNVLDAIVMKDRETGRS RGFGFVTFSAQTEADAAIGGLNEQELDGRRIRVNLANARPAGGSRNYGGGNGYGGGYG GGYSQGGYQGGGYQGGNYGQGDY AFLA_107820 MSLLLQCLITFLVLQGVLEVAGNPIKHNIHEKALRRRLSFGHSV GRSVAECGADAAVPGATCPDNACCSKWGFCGTSSEYCGTGCQSNCALPKDTSGHQPDT SQQGQPQTTQVPAPSSKGPCRMSSSPLISQPTTHPTPVPSTPSSTPPIAQITPGNPGD STGKVIAGYWQGWNMGKPCATMKPEEIPVESLTHLIFSFRFLAPNTYKVLPMPDTEEG LFRQVTNVKKKNSNLKVLVALGVRFPSTPCSLLTVL AFLA_107830 MVSSSANRKMFITNLLSFLTQYGFDGVDIDWEYPGAEERGGRPT DKENFTKLLQEIRQEFQTKYVLTFAAPLASYYLRNYDLKRASEIVDWINVMAYDIHGT WESDKKAAGHTNLTDVNKGVENYLQAGVAPNKLVLGTAFYGRSVKLASGGCTQPGCPF IGPGAEGQCVKTAGYLSYTEIQDIISGGAKPVFDQAGSVQHLTWGGDNWVSYDDPQTI KIKVDYARRKGLRGLMAWAIDMDDEQRSMTKALSGH AFLA_107840 MSLQVPREPLLSLLFQVWKSHPTKAIIRDLSDGYETTVEQFLYD VLTTRERILESLDSQVKDRLKGVDTDVFVGVLVGPGHEFAVLAFALYSIGAVIVPLLI RSHDPNTFVKPSAPALHPEEGKYFLGLCNAALTITVPATKDKAKAISDLTGLPTLNIN VTESVRPATLNFSLQPEGGPLINADKGFVLLYTSGTTGTPKGVLHSRRGAETAYVDSI RLFGLTPSDTWAHYSPVHWAAGWLFLFHTILAGACLEFCSSVFSPDWLLERWEKKAGP EDGLTAVFLVPSGLQAVGEKLEAVRKEGPPGRYDRILQGLRGMRLICSGGARVTPELR SEWMELRGGKPLMVAYGMSEVLAFVVAADWDSGVDLPMDCCGRVRRHVHLKLNEAGEI CIKSPARFKRYIAEDPTVMNGIFDAEGYWKTGDMGKLEGELVYIFGRSSQDIIRFCGW KILAPEVESELAKHPFISEAIVLGIQDTSAGQLVAALVVINDDTPEGNSLELSAMRRW LAVERQMNAYKLPTVLRLVRKNQELPMTLSGKFIKRRIRDIFFNQEELKSDRVQVHDL STQEPDIGERPFDWAGIQAK AFLA_107850 MERTKWLDGLRGIAAAIVAFDHYFMGEVWHPFVSFWADPPEGNR RFVQLPPIRILFSAHAMVTLFMVISGYAISINILKARPSQQYYTRISSAVLRRPFRIY LPVLVMATISQLLFFFNLYNWTFDEDLLKGLEPWKAPWAHIEWLFLYMTDSLNIIAFA YNGGFNGQLWTMPVEFRGSNVVFVLLVGLAAWRPKLRLWFLPLLSLYFLWYGLWDTFG FIWGLFLAERAAAAANPATGNGSTQTTATDDEEKLPFFPLSRSNWKSHLLNRKNFTLA RITTTISFLLGYHLLCLGDDGKLTPGYQWLSVFHPAKWHDDWQLIHWCWKVVGSALLV YAIGESPLLQRPFNTRPVQYLGKISFSLYLVHQAIYHLLRDPLRNYLWLLGNGTAYPG SVEAAATDPMSFHVAWWASGIVLGTVVVYAAHYYTIYVDNKCVALTKRIEKWLTS AFLA_107860 MLFGVALLFLGAVAANPVIPREDGGSTPTPTISSSTPISAPSDA CATSSTSSQWLSVCDTTIFWPTSTNYYYGPTTGPEASAVSCNAEWVEFDGRATGLESL GATSTSTIYSTYTTSTGACNTQIWGEGWDDPHTGPVTTLCDGIPRALGPREYSTTYWP GTGPCSSFIATETTTTLVYRSPSPTPSCSLNTEECIPIWQTYTSLRDAYYASITTATP GDTNSPIAPGSCPSTKRNYTEQDPCTNCHFLPGTATLFYWPVTTTNGDLCLQNGSTVP ATATGEGPNTAIVNGNTFVSPSIYVSFTSIYARSNRRAHPGGSCGGEYEDVIISVDPK AVSSYRSHVNAKYPTIGTAYPFEYDEFQPHEVGNYTMSLIPWEKYQGASQCPFRGGNK CTMIRDDYMPWMGIPEGVMTQIDPRWTECDREWYIPPVSMVPLVGDLESLPTGAAEAR VPTPTPAVPESGVAVPTPEATLW AFLA_107870 MGIWKDSFVAYPDTLTPILFYYCLIKHTLHSYKMTSPEIREFTV KIPRERGERLKRKPRDTRLPGQEIVPGAGTRYVTGLSTTEPEYNWTDDLYEKWTDDFD WYFVQDKINEPSHYIGEFEAVQIHFRHSRSKTANAISLLLIHWWPAVFYEFSRVWGPM LHPVNENEQALHVVVPSVPGFCCSNWPPKAGWTLQDTVRLFDSVMKKLGYNEYMVQCG GTRHFVGRELGMRCTPSCKLIHFNFIPSEMPNNAKSWTEREHAIAERKEDRYENHLGY AVCMRTRPHTIRIGLHDKILIMPSTRCFSENLPNEEFVEFTTDFCSSSLKVRFGYSSF LGDTEHSSKRMVEMTGKVSMTMGDISLLWSALGNLFKMSGSWQLKNWKK AFLA_107880 MRIQSLVVLGTVFSGAIARPEPGAELSSKWPSTTTAPVTGPTPA ILQGNNGPRNKRYERGWLLEKRDSTTEDAATTTEDTTSTTEEATTTTTEATTQADTTT APTTTDATTTTESSTTTTSSTTSTTSSTSSTTSTTSSTSTTSSTSQSTSSMTTTTTKS TSTAATTTSSTSTATTTTSAEMREYNRRGHIAAIITFSILGAIFFGYGFLHCYLSSRK KRQIAARKAAAEAGSNYSLVALNEGAKSQSEVNFDRSSMMFASQSPSRTDLSSMVQQG AGAQGYSQPMTRPSSLAVSETLHQSPPAGPRSNSPRGNFI AFLA_107890 MDTMKKADGLQWDGRSEVHAEKPVQVFIIRGKLRNRWIDLNFGP GDCGETNDAVKGNPGALVVDRLLRLTAFASKELLAETAGGAKQHLWFLG AFLA_107900 MATTEKENGKLGSAKWLQPYLESLNPETEWEEIVRTLANYTLNE FILNISYVSNFILVIQEPLGSEALVHTEKVFDRKQERFVDSVRYFTPWFIDGPSSERV RKSISSLNNTHMRIAKRLPGRWDHDDDFIMPCVQMALFGHNMKQRLGVPVDSPHIQAA WHRWSILSMVAVSATISAYTVASYA AFLA_107910 MDYPAYIESYNTANAERATALRFFNEDVILEDGKNKTKGREEVI KLLEAAHDGISEALHIRSWAQTGNTILAELDGHFVSREDAPGHFFYPFKKGEKVRFRF MAAYTLAGERFSHMRITYWPSPVSDE AFLA_107920 MALPFPDIPWLQEFDKPCRLEGEARDLVVHGDVPGELDGTFFRV MPDPHISPSYYENEPFPRFPGVAGPEVLRVRKLNVKTGRQEHGGRQMRHVHYSKEAND VQNCPALRH AFLA_107930 MQQQPPQRLLLDISKIPKLDIKQAGHLRHFHNLAWQIDGEWRHM GTQEPAQEFLDAYRYQISSMAYGAGVAHFHRLPALRSVFKPLLRRLIHKMLRREVWGY WFNTSLSGNRTDPGRKELRKPWADPVVRENIMYSGHVLLMTSLYAMLFDDDEFEKAQS LMFRWDPLFFGLGPEVFSYDNRSLQAAILAEMEKNHWIGVCCEPNLVFVVCNQFPVHT VRPCELLPANH AFLA_107940 MRYNDVRDGTNVVEDILAKYKEAWEEKQMVASNGLYVDWWYVNQ DRPEAPKQVGFTAGANAFMNTWNSELVRSAFGRQAFGYITNLDGEIRLNSPTLANVYR EIAGADAGNGALLLKALEIAKTIPPPKFPYSLPTFGYVVQWLSELGKSVELEGLLQYA DTNLNPSWEKGGLFYPRNDQPIDESHRWRHMDRFTGNAAIGYARLNVNDGQKIMWDKP WTRDILAKRPWIDGVDLSQGVDFLRGQWNSEENALILTIKTWDGSVVEVEPTARNLEK GIWAVYIAGELQMCVEVTESNSLTFRVTAGEVEVDVVVIRVR AFLA_107950 MPLFLLSVSYLTSFEEVEKHLPAHITWLEQQYEQSIYLFFARKV PFTGGVCLAVAESAHQMAEITESDPFRTSNVAKSATT AFLA_107960 MSHSTNCSPITSTKKHGSMKGPYSVQCGAADARISCVPQSPRLY STMTVNTTQSTSTSGSPLKLEHATLEDIPELIEVWYNAFDTPDMLAIWPNTPGVRQWW DQANRHDMLHKPREKYLKVVDTRNGRIAAYAKWSLETAEERGPRFPPWHSDMDPERND AFIEGLEIGRARLVGGKKNFYLDMLGTHTDYRKMGAARMLIGWGCQMADQEGAFAYID ASAEGRPVYEKFGFVDRSDSARSAAGLASMVREPRN AFLA_107970 MPSEANKSLGIINEFMREYEKEQEIYASAAKQAEMLCEDVLKRE SVECIVTSRAKDPESLEKKLHERLKRRQETAASTREGKKGYGCIDDIRSDIADLAGVR ISLYIPRKKSHVEKILRREFDVAWNLPLGEKGDIQATEPRLFPGYCADHYRVFFKQGS SQDDRVGKRMIEIQVVSVLRHVWAQIQHDRVYKQLIPTAGEDIRILDALSSLLYAGDF VLDQLFDSQASRSKSDSTSFKTVYQLGSALGQWIEGFPERRDDNLGNVQSLFTLLKAV HMDTPEQLWGALKNMDISLSQTSDYVVLARTYEPLHLSISNYIMHRIIRPRNDRGVVQ SIRQLELNTANDHCSKVNVIANAFILLDQLFSPPSQWWHLLYTGQNPKRLGEGIKWMS TSKPWTDYHSMTTVHKADQKNIDILWHCLYEHDKEPIRFVLTFSEILVSEGFSTDWGL LTRSLSLLIRAMELSRIFAKRS AFLA_107980 MEISIVADIPIIFNNCTDSIDLASIARREGVSASFQYFEEAEEN GTYQRFPEPVIRQGIAVEGQTGWPYLSEDNRALPISVRYETMQRCPYNTT AFLA_107990 MILLGLIVCSLLGVATAQFPPTPEGVTVVKSKLHENVSISFKEP KICETTPGVRSYSGYVHLPPGFLDDDTGDKQDYPMNTFFWFFEARKDPKNAPLAIWLN GGPGGSSFMGLLEELGPCFVASDSKTTILNPWSWNNEVNLLFLDQPMQVGFSYDVATN GTLAMDYDAVETTIVPGDFSVDIPESNFTHRVGTFASQELSQTANSSALAAHALWHFA QTWFFEFPHYKPVDDRISLWTESYGGHYGPAIFGFFQEQNERIGKGTAEKGAQYLHLD TLGIVNGLIDAVVQGESYITIGYNNTYGLQIFNQSLYDSLMHEWARPGGCRDRAIACQ AALKDRDPTSGKRNISEICKNIDLDCEGGGTAQYQQLDVTHHMLGYLTQESVLKALGV PVNFTEAAPVVHKQFDKTYDITRGGFLDSIAHLLDSGVKVHMMYGDRDYACNWIGGEK ASLAVPYSRAAEFANTGYTPLVTSEGIKGMTRQLGNYSFTRVYQAGHEVPAYQPVAAY EIFMRATLDRDIPTGEIGITGEFKTSGPKDTWHIKNVAPEMPEPRCYVLNPGTCLPEV WERVVAGNVTVKDFFVVEDDTDDGMVGWPQDSSQVVLGGM AFLA_108000 MMAQNHRAQVFYFSPEALQALKAEASPVNTSVPSDQKWISTNDA LSALLWRTVMKVQWPWEILEGDPISVFNIAIDGRLRTEPPVHPDTLGCFLEYVAVSVP IRKIVGSTNIADLAILIRKAILKADKQFTDDLVALIEKLEDVDRLVPTAFLDVPGFNC VQTSWINFKLYSLDWGSLLGHNIGAVRSPHVGIINGLQVVLPAPPDGGMEILVGVEES CLDKLLQDPLWMKYAVPR AFLA_108010 MGSISEFDQVPTLTAIERIGPKGYVRYIFPFQLDDDYDIDEVSR VLRAAYAATQRRVPAMACEAVPDMNTKQAGVLKLQRLDDEAIEDIVIQDLRVSDTFPT SYAELKSNSFPVASLDADLLCRRSVWPSAGERLPISLVQANFIRGGLLLNWCTLHLVG DGTS AFLA_108020 MALDQAVIAVFGPPPANVNLDDSQVAQDNAAVISLLAIATVAVI LRFIARFSMKQPFKTDDCLIIVSLVGVTLRMANSKADSNKQIPGGTFGAGKHVWSVTL PGLTNIFKILLTYTFAYAGACAACKASILFFYRRVFVIAQQDLLLRLSLLVGFFLTLS YPIIVWVTMGNSCKPLSYFWGQFNGGSGKCIDTNTFFLAAGIINMVNDIVVLMVPFPQ IARLQMNPRKKIAICAILALGCL AFLA_108030 MGDYTVSLLGCIDQYQFCNPNLEGDTACTKLGGINSVAKDMVDR FVYFNLDQLATVERFMTTTHLHSMYQAVQGRGGSALDIGQKLYNGHQYSVPANQWQIE VSNWFALSLAKAQAWPIEWATEPQNFDAPKEGEDSPWQYSPPTTPEAEAQCRNQLVHN SGDYKSLSVLGMGLILALGGVIIILGLFLDSCVGLLQRGKRAYLREQWWTEETLGLHG AAYRALGVSTNWGDEVPPSSVFQGLTSASDGYRSVPLGGADAEGKSDGGIIVSERHES HRDTVV AFLA_108040 MKMKDTLGTKPDIIFKKFQSEICDKGCKPVVAHYERFARKNVIK PLVTKVMKDMGMPQHTKIVLNLAEDVFKVVNEKCAKNLGKGHLCQDPETLTKFGNCLK GNLMPVVMGRVGELMPLVAEPICAKQLAYFEKGDLWEKVIPSYIDNESVSIQATFALG AVT AFLA_108050 MLPEVGVHSAVLCSTFIMLNSAIRNRSSSLPLHEAIASGRRWLD ECLDGHELCPKNTQPSSYPDLLLELDGSTVRVISPVERKISGPYVALSYCCRDPNSDF LRLSASNKETFEKGISCSELPTAFREAVDLVQGMSIQYLWIDALCKIPQFQSHEEHSS GWAELEVIYANSIFNLALATVENPTESCLGGCPSHVGLPFQVETSGLIGDDVRTVVPY RYYPNSLYHQPLGNRAESMQERFWSPRVLSIGLGELFWDCTQLQNASESLPQGPAAMA RWTKLRQTVIPRTSDRMKLEEFWWLMVEEYSDCELAHPETHKLAGLSYIANQLAIALD DVYIAGHFWRTLSYSLNWRVDPQLAPVRERSRKPQRIANMVNNKVDERKLEAPSWSWA SMDGTVYISRPWNFASLADVEAYTLAPVMSDKNVKGARPASLIIRTYCREVHWIDGKP MIPQNLWTPVENFHWFRAKLDDEHDTPANGTRLLLAALTEDDRMCTWEGLVLQQLKAE EDIYQRIGHFQFHRIPAEEPRETWQDDYRSMLDQRRALTLV AFLA_108060 MDSLHRARQANKSVSGRRSKLVAVFVGGTSGIGEATAKQLAAII EFPTIYLVGRNGSAGSRITQELTTLNPRGTFEFIKSDVSLLREVDTTCQHIQRKERMV DLLFMTPGHLATRKNDTVEGLDNNHVLRYYARMRFIHNLLPQLEAAEFPARVVSVLAA GREGEIDESNFDLQVSFSFGTAATYGATMNSLAMEYLAAKHPSVTFIHTFPGVVQTPL MKSSFGYILGSVIGLVAKLMSISEKESGERNVFIATSTAYPPATRAGERSVDGVGVAV ASTGKLGCGSYLLDYDGKDVTNQTLMRSYREREYLTKLWDHTLDIFQRVLGSNT AFLA_108070 MLTTAVRPVCRSILNKSPTFGERLKNGQRYPLSTCSFNANTMFS AIRASTRLTSRTTVPRNTSKASVYAKMSTSTNNSLELNTTRTYDLIVQPSDLASAISS NAKIDKFPRVLTASRMVAFMEIAAARVVQPLLSPCQLSVGTSINITHSAPTPAGAKVT AEARYIGQRGKLYESEVIAKDEAGEVGRGSHERAIVEIARLENGAKKRGASQ AFLA_108080 MGLTEAGNSAITAENAHELLPEVEALSAVSPVTCGVSAAESGDF EGYDEEQVRLMDEVCIVLDEDDEPIGRASKRVCKCTLPPHDGLLHRAFSCFVFDSQRR LLLQQRADKKVTFPMMWTNTCCSHPLDIPGERGTNFESAILGAKRATRRKLEQELGIK PEQVPLEDFHYLTGVHYKAPSDGKWGEHEMDYILFIQADVDLAVNRNEVQDFRWVSPE DLKNMFATPGLQYTPWLKLICESLLFKWWADLRTPEFQRNTNETIIRRM AFLA_108090 MEQDRVVLPGFGKPLNDDLPLIEKEGPSKGQRLRFPHALCDNCY SDGVSVRERRMLDFINKITDKPEWARKVFDEDIVGKWRSEACVHSEELNDDYLSTAMF DYCIEELRDKAAYFKDSQMVSVYDSETAIVKSDTAVTPILAESLRSNVRVLEDVPENL KDWHPGSDQTVLDLLHPSLFPLIHGRSRALPYGTVPLDDCARLTGEGELVVPDLYEYG KVVPYKNTSLPQWGNFQWLPSNVEFDKDGTPRIVSYINNLQPRQHKELYGTLERFVAA AIPLWNECLSWDHIRLRIIYRRGQDENDDFFIPDGLTFTPDEDEVDSPEKVRPYTYQE AYEDDDISAIYSFDEWVRENRVFVEKEPDPFRSRRQWEEDSEHRAVNLQAQFATSGLQ VIFKLANIHLTPEKPEYEGGTWHIEGAMNEHIVATALYYYDEENITPSHLEFRQALDA DDFMYRVPQNEYASTEYFYGIENDNVAVQMLGSVLTKPGRLLAFPNALQHRVQSFKLA DATKSGHRKILAIFLVDPYIRILSTANVPPQRKDWWTEEVRKVPPLRSLPLELFNMII DEVRDFPLSLEEAVEVREALMDERGALIDDANDAIEEVCHNLH AFLA_108100 MATEKPRVCLAYSGGLDTSCILRWLIEEGYEVVCFLGNVGQEED WAAVEEKALKIGAKKMVIEDLRREFVEELCFPAIQCNAIYEGRYLLGTSLARPVIARA QMRVAQREGCQFVSHGATGKGNDQVRFELAFYAIQPSIKIIAPWRDPKFFKRFAGRND LLDYAAQTGIPVTSTKAKPWSMDANSAHCSYEAGVLEDPNHTPPADMWTMTADPLNAP NEPADITIQFEQGIPTKLVTPEKTYTDSVELFNALNKLGYTHGVGRIDIVENRFIGLK SRGCYDSPAMTILRAAHLDLEGLVLDGQVRSLRDQFVTHNWSILLYNGYYFSPEREFI ENSLKFSQKRVNGEVRVRLYKGSPYILGRSSSTEKLYDAEEASMDSLEDFEPTDTTGF IAISSIRLKKYGLQKAEEGENLSRA AFLA_108110 MASDTTLPFLQFPPEVRLSIYQYLIPDLPIRNFSLLRDRSKTIH LRHDGSRCCPAILRANHQIYAEVIQEWYGSTSYEIVLDTKYILFCGKVIPPYVPLPST IQWVQSVRLCLSIQGTPRHIHSQSTLEHLLGFQDRLTTLAVALSDKGYRKLSRLQIDI GVNIPLLLSLSKTPSELVELLNWNLLPLRENVRDVPDVRWELQEQSYGIQSEEFQRSY AGMKSIMCAFLQDMRLDMLERPDG AFLA_108120 MIPTYTLAILEDDSFLGSPWAEKFQASQYTFCPIDIEEGKSLTF SKEWKLPFVNNISTSIGNGAYGRVTKEIIGSGHFRSQSEHHLPGAPYSKDIAVALKQF EGRGDFHSETNNLDVLRSSLSKHDRIVPFLATVTIGNSFNILSPLADMDLDVFLREGH QRCPDFTLRDLMQEAAHLAGALAFLHQGLDSNPPGLSCCHMDLKPGNILVFHGDALDF PKVGKWKISDFGISIMSRPERTGTTVTEFVDSFTQRQRLSPPPGPYQSPDGAGHGLKS DIWSLGCILTRILALGLEGADGMMHLDQLRGTDGDGASPYENDYFHRGSPPVLNPHVQ SWLSGLTSGRYNYNQEFLTRCQSLIVSMLAISHDDRPSARNVQEELHNLVEIAQPTVL RPPSINTSVGGSASVGSGSDNIRVNSRDIQPGNERLLSEVINLWNGDVNIEGIWDGND RLLIYLIRLDYATALEVLLARHPGLDLETPDSKGDTPLKIAAAAGKRHIVEMLLNAGA NIDAPSRRGGTPLMPACRHGHVSTVRLLLDRGADCSSHCEDGYTCLHYAIYSDNGANI IQLLNGKVSFNIRRSRTDETPLLSLIVRYDGTESWWDKFGRLLQGSADINMADIHRFT PLSRAVEQGHSQLAAILLSQGAKYGDRPKPRNLSSDMTKVLKNAQLQGRRESRGSSDS SNKSTRTQISLIRRFSTLGIFK AFLA_108130 MSRYDDFRIAFNVEMSNEEIDAALTANGLIALHHALSSSSGYHS NDSSHRPSSEAHRRFTRRLTPTEHTTPRTIPNLRQSPELEMNEISPRQTYFHNDRPYY DVYPGPTKSV AFLA_108140 MRVQKAGSMTCHPKEKTGRSLIYYWVALLMLRLGYREVLCTARC VTWQQLLACRVILGIGIGAKASIAPVFAAEAAVDHLRGRLLMMWQLFDTLYVFIGVFL GFACDWIVDRQWRVLLGTASIPALILLFLVFLCPESPRFLIRRGDYTGAFVSLRQLRG TDIQAARDLYYIHSQLQVETEIEEIYQKKVRETRFLKRIGKLFSHPRNQRACVAAFLV MASQQLCGINVLSFYSSRLFGKTTREKTLDTNGFGLANFLFTIPAYRYIDWRGRRLLL LISLAGMFLSLLAIGLFFRIETYTVRLALVSTFTIGFFTFFYGIGAGPVPFTFSAEVF PLAFREVGMSFSVMVNFLGLGLLVLFVPKLTDALGNYGESKLCFLFMWVFPVLSVAFN TKMSVHVKEHVVSLGNLCGRQKMDDEPFHLQQFHDPA AFLA_108150 MRPLGVTEQAMRKLINTYGIDATFLDLAVSFGDKPQSADAGHGA MTVRQKEDGSYDMQYLFAYAENNAAQGNVPWTIRQTCVFHRYNPAGSDNLWIFFHARP RSKMQQQIEAEITSQHAGVSKRWYWMHLLVLSAYIGNWRWCIRSLGEEIEKTVDIALT LDLSKADHDKDGLIRLLTPQYLGDKLLPLSSRLKVALETVRKLDEINTHFQSKGFMTD DDSQRFASEMAYYKTTIEGYSKSVEVLEGKVKGISDLLAVALNLKGQTVANEINDKML QLTSEAFEDNATVRVVTLVTLIYLPASFVSTLLGMNLFEFGDSNGQGFTISKQFWIFV VAAVPLTMLTLGSWYIITKRQVKLRQKRKEERAGSKMC AFLA_108160 MHFQLLGLAALGSLAAAAPAPSRTSELVERGSSCTFTSAAQASA SAKSCSNIVLKNIAVPAGETLDLSKAKDGATITFEGTTTFGYKEWKGPLIRFGGNKIT VTQAAGAVIDGQGSRWWDGKGTNGGKTKPKFIYAHKLQSSTIKGLHVKNSPVQVFSVQ GNDVHLTDITIDNSDGDNNGGHNTDAFDVSESNGVYITGANVKNQDDCLAINSGENIE FTGATCSGGHGISIGSIGNRDSNTVKNVKVADSTVVDSDNGIRIKTISGATGSVSGVT YENITLKNIKKNGIVIEQDYKNGGPTGKPTTGVPITDLTVNGVTGSVASKATPVYILC GKGSCSDWTWKGVSISGGKKSDKCQNIPSGASC AFLA_108170 MDMGNGIVGWESQDDPLNPRNYPPSRKWFQLATVSIITFISPFA SSVFAPGVSLADQEFGNTSSIRSSFAVTAYLFGYFSGPLVLSPLSEVCGRRITLNAAT SIFVLFQIGCALAPNLSALIVFRFFTGFGGSGCLTIGGGVIADLFEAEQRGLALSFFS FGPLFAPVIGPICGGYIAQRAGWRWTFWVLVIVGGTLTGIVMVTNRETNPTVLIRRKT VALRKELNRPELRSCYEMEGETRGPATLLLRTSTRVIKLLATSPIVLIMALYIASVYG CLYLLFTTITSVFQNQYGWSVETSGLAYIGLGLGFFAGQVVFALTSDRILIRLKRRNN NILEPEMRLPLCLPFALFVPISFFWYGWSVQEKTHWIVPIIGLFPFAFGIIGIFGTLQ AYIIDSYPRYAASGIGALTVTRSLFGALLPLAGPPMYEKLGYGWGNSLLGFVTLAMVL LPILFRRIGASLRQKFTVDLE AFLA_108180 MKAVEESCQQRDVLTTASVPVGSAEVVDSRQGNLNDNSVLDTIG RVPNATLPSALENEGEARFLHSAAHMDFVRRLKDELGNWPGADAENRVRARNVPAPKL FTLGNGLGQPISLPPQDRARHLVNLAFSAHFLHNFIHRPTFDSVFPLLFTLKVSDYSG EEYRYLALLFSLMALGCLFEIDDEGSRDAYIVEGTQYFETCRSLADLQGCNDLVTLQA ILYMNIFLLYTARISSSFSALSYTFSLALRMKYHQIAETDDAFTREDKKHVFWTTRHL LACVSITGGLPMPIGCDELDLEYPSVECESRHRPTETRSDSPHNVARWNPTVASVACF RLHNILGHVTKRLYPLKGVKKTHNPGPLRHLVSKDTVRGLESELRSWLASLPPYLRLG QEKHPLQIERAQYELCMSYAHAQIYLYRPFLHYLVTSSTGEIRSADGFPSYASACVDA SRNIIRLAQDMYHRGLFHGVHWDISNMILAASLTMLYIILARKGSSIEDMALAELRTA RDLMTLLEPYTTLAKRISIAVKVCILLTTAILPTGTRDLSPQNAVSSPSAQASDSHTT ALAERTFRFVSRTNVSDNQGMLPGGRESDSMPVLATTGISAESLSQRPFPIASTEGST SQGPPMLNAAQSSGASTSFPMQSDQAMYHTPFTSRDITEHNSLPSTLAQDYGIGGDDY GYMIDGTGPIDFSDMIGDLFASEGLL AFLA_108190 MYGSTCTDQQNRLNLFRMLGIFHPKHNSLTSTIPPHLEFVKAGM DNCFLELGFGRGKVAAAISKSNATRL AFLA_108200 MQSIRQYRRLRQDLAEARRGKLPSESLAKTSTSSDNEAAKDPTE KPAAIDPAVVRGVTVSRPQEGDGSTVYVVGWRDNDPSNPQVWSLPRKWMAMINCCCLG IALTIPTSVEGPAQEAFQDHFGVSPMAGSMSTGIFLIGVGVGSLFSGPLSETFGRNIV YFVSMVVVMLFIMAKALAPSYGVALAFRFFGALFAATPMTVAGGTIGDIWTPMQIPFG LPLVTICAYTGPILGPVIGAYTPEIGFESAGWISMIIIGAVLVFVLIAQPETCSPLLL EWRARHLRELTGDDRYQAEHTSASSLDFRLLANVYRPFFMVWTEPIILVFSFYLVLIY FVLFTFLNGYPYIFTRPYGISASSTFIIWVAMMPEVAVAIIMVPYIYSLTKKAAASAM TAGKPLQPEVSLYWAMAGASILMPVSLFWMAWTCYSDISIWSPIIASTILGYALVCIF TTIYMYIIFVYLQHLASALGFMTFARYVISGALSPASIKMYENIGAHWSLTIVGIIAT VMAPVPYVLYNYGHKVRAMNKNIQNRA AFLA_108210 MEPLSPPPALTHLFTLRCAVDPPMEIGNGPYGRRRCVPIKSGTV RGKYLNGEVVPGGADFMLVEENQTTHVNTNYLLKSDDGAYIYIRTEGTRSGPPEVLKA LMEGDDGVDPNQYWFHLHIKLETGHEKYKWMNNRVIVGRATRAKGEVAYDAYFLENIV AFLA_108220 MSGRNAPRSKKGCSTCRRRKVKCGEERPVCKRCSNLRLSCEWGV PVKRGKSITPARQLQPRWPSCDVAASTTFAASTIEIPHPPFVTSPGTLWYPLDTTPDL TSIPVGSISSPGWLGVPPYHPPPPLYPSLSVTDFACANSLVLSEHDQKYFQYFPSSSL VFYYMKSWQWSSFCYLYQGPAATNKIIMRMILALSASDMHRNGLIVRTPGRPTAEDHG RYHYGLAVKEFRQSLVSPRQVSPAELEAIFATMFLMVTYEWQYGHCVRHLELHLQGVK SLLESHPELFQIKDVNNVLLSMESEESNEPESRVSFIPEQLLLWILYIDASCQPMGLS ESLYDYVLQSGNPALHPDRLYRCARVWGRCFWGKQYPDQEVSDDMENYRALELLHAGM SLRHRTWKLLFDNIPDSGYQAESFFNEIMAVRDLQKFSDLFITAKFAGPASMRRTLNT INMAVSTFYAQILFHRRLLCPSQSPRTLHRHALNNILEITHKQYASEPRLMRRLHWPI LVAVLETDDPAQIEWLRQRLAELRQCHTEIRWANEIVDEVLAQQDATKGEYVNLAEFL RNRAPS AFLA_108230 MLYRPNTLWTWILLVSTFIEVVVLIALEAYILTLTLLGTKPADL WNRVIYTSIRNNVTPVAWDISAYRCIPTFFVLVTLWVVYQLLLVYDTSAHWGTIQLIG LGIYSAALSVYNGIQYGQLRDIVEVLIANAAVRPGSLQGMWPLLQGMMISIIAIPVSF TFLILFSAWKLYEEFAWVTLRTVDADKPMRRRYLYLQASKPFLYAVVDTALTFAHSLA TFVFA AFLA_108240 MAIMQAKPSIADIKASVDAALESLQTTLRELNREVCLCSLRHLS HPLIAQIWSNPETAYEEYKAHDAICDFLEAQGFTVTRHAYGLDTSFEAISGSGGRLIN FNAEYDALPGIGHACGHNLITTSSVAAFLALSALLKQYGIPGRTQLLGTPAEENGGGK AKLIDAGAYKGVDISLMAHAGPQKLFPGVDATGVGGVLMNARKQIHCEFTGKSAHAGG NPWEGVNALDALVTSYNNVAVLRQQLQPDERVHCAFLDTPKVANVIPAYTKAYWQVRS PTLKGLNRLMAKVRNCIEAGALATGCEVKLVEDELYTDIKLNDTLCERYQTHMGSYDR NVLKSHEKVLTGSSDIGNVSYIMPTLHTMFGIPGPDGSFPHHPSFAAAAGTDDAHVEA VVVGKSLAMIGWEMITDEALFKQAKSQWENCIQE AFLA_108250 MEKQHDVPPGTMPEIDDLKLEPEMSVTKDVDLGQMLGMDVTPQQ ERKVLLKLDLILIPLMGICYMMQYMDKLALSQATLFNLREDLDLQGTEYSWSSAIFYF GYFAWSWPSSYLIVRLPIAKYLSASVLVWGGVLMCHAAAKNFGGLMAARFFLGVGEAA IAPGFALITGMYYKREEQPARQAAWFFGNCVSTVIGGVVAYGIGTIKVNAIESWQLLF LFLGAITAAISIFLVILLPDAPQKAIFLTKTERTIAVQRTLKNKTGVMEGGDFKWNQA WLAVRDPQTWFLVLYTFSVNLCNGGVTSFSSIIINGFGFTQLKSLLMQMPLGGAQIVF LLITAGVATAIPNTRILMMIFNTTVSLVGMILVWKLDEDNQKGRLTGLALGAVFAVNI PLSLSIISSNVAGFTKRSTTSALMFVAYCVGNIVGPQFFLSSEEPHYPTGMKAAISGL ALGAFFLICLYVYYIFENKRRDAAYGAPSELTEEEERMQGLSNKTDLEIESFRYVI AFLA_108260 MSVQAAWEACSKTDTLFILVCSVFCWLIIPAVGLAYSGYSTRYN SLASFYPGLLAVAVCSIQWWMLGYSLAYGEGNSVFGGFSKIFHIGVLAEPVGSIPEIL FSEFQLIFCATVCAIAIGGACERGRLLPLIPFIFLWCTFIYAPLAHMVWSENGFLANL GALDFAGGTPVHICSGATATAMSLYLSYPLFRSKRSPIRTPQHLILHKPHNTLCQLLA LIIIWNAWLAFDAGTTLALNFKSVMAACVTNLCAASGALTWASLTYYETGKWSLDSTF LGAIAGLVLITPSAGFIDMTTAVGFGVLGASLGRQALRIKFTKRAALLRWVDNGDTFA THCLGGFLGTIVTGLFARREVAAYDGSTIIKGGVVFDGNWGQLWIQIVEAVIGFVWSF GGSYVLYALVDCVPGFEVLGTDEDIIAGMDASQMGESLYAAQWEGEEDYHPFEGVRL AFLA_108270 MRTHFSADKDASKKNIDELREAFEPTAKSLSMDFQLVPATQKPR VLIMVSKIGHCLNDLLFRTSTGQLAIEIPLIVSNHPDFATLAATYNIPFVHLPVNKDT KPQQEARILELISEHNIDLVVLARYMQVLSPTLCEAMSGRIINIHHSFLPSFKGAKPY HQAYDRGVKIIGATAHFVTSDLDEGPIIEQNVVRVNHGMSPKELTHAGSNVESNVLAA AVKYFSERRVLLNGHKTVVFN AFLA_108280 MPISLVPNTRWSDTVAEGEMPFKRIRAQAAQKARKRLRAAVDSP PAEKQHDLDVNSGQSRHPTITYKFFGAYEPIATTEGGYKEWRRRESPHSTLCYECYQP VDLDPCDTCTRVYHESCEPTGSY AFLA_108290 MKLLYTVALLALSSIAVAEDRTNETSTEATKATSQSSSNDGASK NLQSCHIPELKKGHILRASCFSDPATQQLVHSELDLNHCFGASLLKGELSAEADGHFS RKCVKCKLGGEQRGTGTHSGAWTLYCHCVAPKAEIQLEPTVFSNNQGLLECHGHVGKP ISL AFLA_108300 MAEQAVRVRVENQWFSIKPRTFTIGIEDTDDDSALSPADFFAWD NERNTYEVSVQGFEAQARPASILDYAIYLVKTSQKDCLLVTWSTVSGLPDRSIASSPQ SDPVIEEFIDGLALKTVYGLLPVRLALDWPIYTSYNEAEGYAEWAGARLPTLHEARSI HRQVEEENAEKTQDEPASKSIRDDIYTDLTGCNVGFQNFHPTPVTQNGNRLSGQGDLG GAYEWTSSLFEPQPNFKPMDIYQGYSGQSFLPRL AFLA_108310 MQTRRSHRKSRTGCTECKRRRIKCDELYPSCLNCQRRKQRCSLA ISRASTADIEPVRPSRTSDSEDPSRSAWPSFPALINIEFPLTPPALPAIWYGGVELMH HYSTVTAETLAIRPDMQNVWRTIVPEMGYQSPFVLHGILAVAAQHKAHLLPGVRDKYL DMAAYHQAIGLKGFRAALPNVNDNNWKPFFCFSSIIVIYVCSLLGQVDERGTDTVPDI LKLFVMIRGLRATLPIRDSQLAGTELAPWSHGVWILDEQDASLYEQDPSPNHSRLPQD VFDALRRLSGFFSTHLPESSRQDYEDAVILLRKAATLIVHAGTRVEIGMVMFFPYVIH ENIISDIQAANPYAMLLLSYFALLLKSMEDQFWFIRGWPARLWEAADERSKFHPKLKT MLRWPKEQALKLYTY AFLA_108320 MSCDPNYVDASWSFYRYKPSIAAPVFFVILFTSTTALHLLQMTK TKTWYLIPFSIGGFCEIVGYIGRAINANENAGCWTLGPYVIQTLLLLIAPAFMAASIY MILGRIILLTDGEIHAMLKRRWLTKTFVFGDVLSLFLQAAGGSLLGGADEHNSLMKTG EHVIIAGLFVQLFFFGMFIVVAGLFHRRMLLAPTATSHNPLIRWQKYLVTLYIVSVLI WVRSVFRVIEYLQGNAGSIMRHEAYVFIFDATLMFLVMAWMNWFHPSEIGLLLRHEEP ISNGFELLPFARLYHKSAKKRSPTA AFLA_108330 MKHHLMVGTWTPPGRIYTVAFDDEALTLDLVKKTDIPEAEPISW MTFSHDKKTIYGAAMKKWNSFAVNSPTDIVHQVSHPVAGHPLAANDDTNTRAIFVLAA RQAPYAVYGNPFYKYAGYGNVFSVESDGRLAKNIQNYEYEPNTGIHGMVFDPTETYLY SADLQANKIWTHRKDATTGELTLVDCIDAPSPDDHPRWVEMHPSGKYLYVLMEAGNRL GVYVIDEKRHVPVFTHITYPLLPPGLPPRNKYRGDVTFTTRSGEYLFATTRSNHFDVT GYITAFKLGPNGNIERQLFIHPTSTSGGHSNAVSPCDFSDEWLALCDDQLGFVEIYRF RDENLARVARVDIPEKGFGMNAIWYD AFLA_108340 MPPGRTKARSKDILQADPIIGYEVAAGTLKWHRMSRAHCSKAQG NCRPTTLSDYLKASVGDATTDVNLSMIRFAGSIHLTSHQGQDPILKCIVDLWAKHRVI VKDLFSYEKDCLEHEVNDSAIFNAIQVLQRELNVSLATAKEAARNIQLETEREMHGLY KEILGRTGTYSPEARYVRALVESLAGNVFYSSTAERNAMPLLGKSAGENEP AFLA_108350 MATPGLLYVTMQPRDSLPAAQFHDWYNTEHGPLRLRLPFVTNGF RYRAVDGIEPEWVAPYDITDMVELTRETYLALRGDGVKTPREKATMAQIDVDRRLYDL LHDHKASDYKPVEDIPDTEAAGSVLVSALVTVPADKEEDLQKWYREEHFPMLARVPGW RRSRLFTTSSIDPKAAREFLCLYEYSAINGLGGPEHKAAMDTPWRNRLMNDPATSKKR RVYQWAYTFGPAPRELSSLAHKDVAGPWASNDGRTRTFPSPTRPAVESFITTPDGVDL PYRLEGSTDPHSPVIVLSNSILVDYTIWDSFVDAFLSNPKNQNFRILRYLTRGRLREC GEKPINIDLLASDIIALLDALRIPKATLIGVSLGGVTVLNTSLLYPDRVTRFISCDTN SSAPESNLKAWNDRVAMAESDGAVSATTQEPIIGEQLSEATTRRWFVPESYETQPEVP ARVKEIVRNNSLDGFRKGVQALCAYDVRDRMAQAQVPGLFVAGEGDGVLPKTMQQMAN DLKGGAELKLVPKAGHLPMAEQPAAFTEIVNGYLHA AFLA_108360 MDHADDRSVEVRAVAAAFMSVAVVTVILRCYVRGWLVKAFGWDD GAMVVALLFYVMFSACMIGGSVWGTGRRYKDLTAVQRVTAMRYWWLCEIAYCFASVGG KISICIFLMRITVKREHIWSLYIVMILTVIAGLVFMFLMLLQCKPLEYFWTKVAFDPN IHGQCLDMTIIIAMTYVYSAFAVICDFTVAILPIFLVRKLHMKKQTKIAVVGILSMAC IASSAVIIRIPFVHTFNDPDFLYATVEIALWSNIEVGLGITAGSLATLRPLLRHWLGS RTDPTYPSPFPGRSGSRLPGGASHDRPFPLGSLDESVQNRLRPDKLAVTVTTVQSQDH NGSWHGGSSPNSSEERLTAQGSPALPAVGGEMGLGIHRTFEVTQTSTSQTVREHF AFLA_108370 MSLYLWRGVIAKVICRVSDHSVLLRVVLIKSFVVQGYITSIPQH DRTNYLLPKEFSDTFDWHLCTYGIMTYTRHSIQQLQEWYDAGNREPLDKFVRAFKYIQ SLPADHDDSFHKLGGYHGEPFHYEKAPPNRSSKWWGGYCFHGCVLFPTWHRAYMLRFE QALRTAPGCEDVTLPFWDECAGLVGRPSVGLRPHHLIPRVLTMRTYELDGQTIPNPLF SFKLKQKIEDLTVGKEQHYSKPEGYETVRYPLSGMVGTQEDEENTKIHNARFSDAESE RLLNVNVTNWLITGPRHIPTRQGAKPPSDTTSILARYLKCLDTDTYTIFSNTTSQTKL MEKLCRERNEGHWLMSLESPHNGIHLAIGGFFQQGEDGTNADEIDDANGDMGDNETAG FDPIFFLHHCFIDYMFWQWQLRHGATETLTIDEGDPGAKVPEGGILDLPEGEQLTMDT PLPPFVKPGEKNYYTSKDMVNIESLGYTYGSGSLDKHHAPILHAPHVPVKQMVKISSI FRSKHKGSFVIRTYATGPGCPEPIEIGREPILNRWNVSQCANCQNHLEAVSMIPLDAE MLALLLGDDWEKENRTVDEIKYQVEIHARSEKTPSNEKRKRGKFFFSPTPLVGRLVPG GGLPAILKPPVFTVPKDMPTVEHLLRNKSFRVERTA AFLA_108380 MVDADNKPTAKHDEVRSDPPLIQRKISVLEDIKRSPKVAGYCLA LTSGIILYGYDLAIVSNVSSMPEFQHDFGRKLGGQLIIPSLWLGLWNVANPIGGIFGA IFGGYVQDRFGRRSSLAVASIISAIGVAIAYVSNLPGEIDGRRAVFFVAKLVQGYAVN MLTCTIQTYMSEVLSPTLRGPILAFFPLFTLLGQLVGSIVVFTSLKEKGPGGYLKCFI SQWPFSALPLLVSIVLPESPTWLVRKDRMEAARKSQQRLDSARVDSEAVIEKLCSSIR HEDEQAQNHPASYLECFRGNNLRRTMIVLFANLISQLFGLTLMSKSSYFLQIVGMGAT NSLLFLEVGIALGLVANILSMWTLSRFNRVPLIMVGLAITTLLWTGMGILGCFQGVVT IWWSAVTMMLVITVCGATAWPASYAVGAEASALRLRAKSQGLGWVMNGLSNGVFGLVL PYIFNPDQGNLRAKTGFVYTGLCLVGLVGTWYVVPEMKDRTPIEIDRMFEMHLPARKF KAQTFNDENSGTSPKSRSATPV AFLA_108390 MAELSLAEGLVTFWHSYRLPILIAIASVAIILRAYRKFQPKSKA VTASSIPPSPRSQTPEKFEQIRTGESEKPVVVEKSVPKAATGNDPTPKVVSGPKRVFG KKPLKVAGRRREDQGAPLSFIQPIIFFASLTATTERYAQILLEDLRAAAKERADPENR ERGLLPPQIHDLSYIDFDDYFTTAPKPPSTSPGTRYVYCLLIPTYNIDTVLNTFLGHL DETHHDFRIDTSSLSTLAGYSVFGFGDKEGWPTEEEGFCSQAKELDRWMAKLTGKKRA YPLGLGDVKSDAESALKEWSRGLQDILVDILDNGGLGEGVAGSGDPLESDEEDLDDEE NDGNRSKRRNGQDVVDLEDIKMGSDGPSGSGPVPVDFTTAGKSTAPNQSTVKEMVPKT SPTYAALTKQGYTIIGSHSGVKICRWTKSALRGRGSCYKFSFYGIRSHLCMEATPSLS CSNKCIFCWRHGTNPVGTTWRWKVDSPDLIFQGVKEGHYKKIKMMRGVPGVRAERFAE AMRIRHCALSLVGEPIFYPHINEFLDMLHSEHISSFLVCNAQHPDQLETLHRVTQLYV SIDASNRESLRKIDRPLHRDFWERFQRCLDILREKRHVQRTVFRLTLVKGFNVDDEVI GYADLVEKALPCFIEIKGVTYCGTSTSAGAGLTMQNVPFYEEIQEFVVSLNKELERRG LDYGIAAEHAHSCCVLLASTRFHVNGKWHSRIDYDRFFELLEKEKADGTSFRPEDYMR ETEEWALWGNGGFDPNDQRVHKKGKKKALQAATE AFLA_108410 MRISSHHEVLTLEQRVDNILQKTPLIDGHDDLPILIRLKYGNQI YQDNFTTKFVHGGFPGHVDLPRLSKGKVGGTFWSVFVECPKDWQNFSDANYALSVRQT MEQVDLWLRLQQAYPDTFSTPPNGTTALQPFLDGKIISPMGMEGLHSIGNSLAYLRHF YAQGVSYATLTHNCHNRYADAAVTELPDGSVKKADPHWHGVSEAGKALVSEMNRLGMI VDLSHVSAETMRDVLGAGKDDWAGSSAPVIFSHSSAYAVCPHPRNVPDDVLQLVKSRN SLVMVNIAPDFVSCKAGDNPNGLPDFVPENATLEHVADHIMHIGQLIGFDHVGFGSDF DGIGSVPRGLDDVSKFPDLVAELLRRGVSDEDAGKVVGGNLLRVWRDVDRIALNKQAN GALPLEDDLPEA AFLA_108420 MHQNLTFTIPPHLNEYNVPLSSFKAARPQWTNFVVGGLVFSRFS RDSQDKSKEEGEPRVLLLQRALTDSLPGYWEGPGGGCEETDETILTAAVREVVEESGL HVSRIVDLVGVEEWTKERNGTMLFVAKFSFLVEVHEAQGVFGGIAGTDGEKTIEVEGD AVQRWEDRVRLEPSEHSTFEWATEEQVRLGLEGKGKYKILEDEGRNLMKGFRMVAQ AFLA_108430 MELAARRRTITTRRHPCALGLCLNAGSHDFQHRHLLRRTGWHRC GRTHYGEIYSAITGLAGRIMPRWMMTCLFGLIILPSFQCSNQFSPKYVCIKSLMCQPR NTEKSNANTTLAIMDRPKPYPCAIQTQ AFLA_108440 MVEKVDVLICGSGSAGLCAATWLARCGIRCKVLERRDGPMTMGQ ADGVQCRTVEIFESFGIGEELLRESYHVLEVVFWAANGSGDIKRTGRTADTQPGLSHQ PHVILNQARINGLFIESMQRFNDQIIDYGFDIKNVEVDSSLVNDPQSYPVKVTAEKEG RTEIFEAKYALACDGAHSTVRRSLGYKMIGDSSDAVWGVMDMVPRTDFPDIRKKTTIR SKAGNLLIIPREGDARNLTRFYIELPAGTKAKEVKLEDLQQSARAILSQYRIEFAETV WWSAYAIGQRHADFFHRDYRVFLAGDACHTHSPKAGQGMNVSLQDGYNIGWKLATVLK GLAPPSLLETYVLERQKVAIDLINFDRYFSKLFSSGGQTSPAEFQEGFIKSGKYTAGL TAKYDSSAITFHTEGSEQLSTNIVTGMRLPSSQVVRYCDSKPVQLMTALKSDGRWRVM AFVGDISKSENKSKLNAVSFGVFHSPSLGNYLSSDESPLHRFRQKDTDIDSVIEPILV GYGKRHSIELEEIPEAFHPIAGKNQIKGIDLHKIYFDDESYNKGHGHVYEYLGISPEK GAVVIVRPDQYVSAVIGLDDYRQIGRFFEGFLIPQGESASPESKL AFLA_108450 MVDSKVPQPGPAKLKRNAGPDEWLEAAKDCKYLSEQHMKQLCEI VKEYMMEESNVQPVSTPVTICGDIHGQFYDLLELFRVSGGMPDESMVEPPKTSPSVIT SADIEPPSEITDPKLRKKLRNSGSHSEGGDETSSQRERSSSAGSNEVTLNRNFVFLGD YVDRGYFSLETLTLLLCLKAKYPDRVTLVRGNHESRQITQVYGFYEECFQKYGNASVW KACCQVFDFMTLGAIIDGRVLCVHGGLSPEIRTLDQVRVVARAQEIPHEGAFCDLVWS DPDDVETWAVSPRGAGWLFGDKVADEFCHVNDLTLIARAHQLVNEGYKYHFANQNVVT VWSAPNYCYRCGNLASVCEIGEDLKPTFKLFSAVSDDQRHVPTSRPGRSEYFL AFLA_108460 MTQTAAGKSTGGVLQIPIAATQKNTANAAAAPTPKKAPKPAAPR LKLLVRRLPPGLTQAEFEAAVGPEWKLGAGKIDWFQYKPGKVSKDPAKPSRPSRAYVH VVSSDHIIPLSNKVRQVSFTDARNTFNDPILLGPPSVEYAPYAKVPGSRVRKDARQGT IDQDPDFIAFLESLTQPITKPPTVENATDAEEKKETVTTTPLVQYIKEKKANKAKDSS NKSSKHAKADKETKAEKVQAKKLLQRPDKETAQAPEKNEKKAKADKATKEAVRVANKQ AANVAKQAAKASAAQSSPKDPGQSTPATERRRERGSIAAAAKILQRDLGLAPAGSRRK GGKSGSAETDASKAEATSSESGKKETPPRSSRGGASSQNAKVKGNVPQPNEPTSQSEA NTPPASTTPTSGKSSKSKGKQAPPAASTATQAFLKHANPSQGVTEPLLEAAFKTFGKV VKVEIDKKKGFGYIDFAEPDGLQKAIAASPVSVAQSQVVVLERKINPGGEKGRGKNRN EPQPTNAGGNNNSNNTNANGGRGGKSNEGGSGSSRGRGGRSKKGGGGGAKGSGGGNAN ANAPAKDAK AFLA_108470 MVIHRLQKTFGLKRPVIYLYLSDDEHKRVKQTLDNLLGSMLKQL ISCGPNVDIPQKLIDAYEGLGNGAYSTREIMKQAFQDLVAKQERVYLIVDGLNQCFPE VSELIKEYALGLVQDGLPLSLLTTSLGYREVKKIVFCNHCRKQNLGMYVHCDCDDGQF DLCLDCKKQGIICPKNHDGEEPYDTVRIEVRARNDELEEYCRETISRALKTGRDRRDE RVHPSPKYNPRPIARYLRDKPGLVNRISRQIARKAQCSLIIAQLWLQNLFESKEPEND KVVLRFLDKIPEKRLTAYVDERIAKLKRYRKENELHIAFTTLALIMSACRYLTILQLQ HALALHSDQVIESTLNDRTFILWSANGLITIDKAEESCSFVRFFDGTLPAVLAKSDHH PFLKRAEYEMAKTCLKYLQDEQSLSHYENPTAYPFLPYALRFWGDHVRKANDQEIDKE AVRLLKHPVHLARLIRQAAKRGPKESAEWIHEAVDVLHICAWFGLTNIVSLLIAENHD VGIRDHIYSRTPLRYACINGHVETVEELLKHNTPVEEEAVIDAMCGLICAKRLQGKQE KRQEIVRRLLRTGNINLNARLGAKSRTVLMLAVGHGHYDFVDEFLGHKSIDVNAQDSN GFTALSFAVYSEEGVSIDTFDPVADNIYLSRCDHMNKIRYKEYKCQGLVRLLLQQGAS PNVRDNASNSTLILAIRLGALDIVETLLKCERLNLESEKELIHIASAMGYPEIIRLLH SALSQTNKIHIYNIDTRDERGLTPLHYASLSNSARAGEVTEVLLELGADPNLADDRGC TPYMMASLLQHTQVMEVLQSNATSPNGETRDTQDLPALTLAEHDHWALLREVITTGRA DLTYKCMLSGDTLLHMATAANETDILRRLIESKLRPESAVNEQGHTPLHLARTVEIAK LLVDDGYHVDSTDLNNNTPLDIARRQPETRDAADYLEDVSRMSEKKHRTETWEENTKV TGNT AFLA_108480 MDDPNRICLTPDAYTVGWVCVLASEQYAARALLDELHAPPPTPR DENAYIVGRMGKHNVVIARPIGQGKANAADAAVNMTRTFPQIRFGLLVGVGGGATDSP DPYVGKRDIRLGDVVVSKPQGEHGGIVQYDTGKRYPEGYKIISHLDKPKSALIVAANV LQSHHQFKEGHMKKYIQDATLKLRDLGIPYFGFPGREHDLLFRREYRHPDEGEDCSNC DRTQIVDRDPRPDDGPSIHYGLIASADLTMQDPKFRDALRKSEKVLCFEKEAAGLMDR FPCIAIRGISDYADTHKCKKWQPYAAVAAAAYAKDLLAVIQPHDIARDTAAAQVLEQS MDTKYRHEITGWLSTLDFKTEQEDLLSRSVFIGKWLLKSDEFMRWVKGSRWQLRCYGE AGTGKVRHLVNDMPAMCNDRFY AFLA_108490 MLRQIIGTLVLLLMQVLAVTSQQQPTSSTTALTFNPTSCGEIIN NEEVSIFDASQAHKCLTSLPFRADIASQLVQYVNDMIQFHSTLAYLADPPQSYQQPAV DLMSGLSQLQRDIDNNVFRNEYAFEAALNHLIHAAHDDHLELVGGALSRFTYAAPYRI VSVSSDGVELPKVYISDDLLANETRYLPWQPSAIRTINGQDVVEYLTQFAAVNSFGKL EPHADWNMSMRSSALEIQGKREAFHGAATYPGDFITFTFENGTTVGPLPWEALFCCNG GVGPLQTGDELYDFFVLGHYPASYDENLEADMTTISNRAAPVITPLNNPAYPPKADVT EASYTRDGGALLRGYFLHDSSLAVLSIPHFVVDRKAPHSFSNAVKQFLAQSTKAGLKK VVIDVQQNPGGSPLLALETFKIFFPSIKPWASSRRRVHPMANALGSALTTYWQNLTMD RPEYYNLTANEWVVTGRLDLDTGRNFTSWDDFVGPTDRYRGDGFTKKEQYNLSSTLFT MKAAGIEIDGHDNGQPYKPEDIIILSDGLCSSACALFMELMHHEAGVQTVVIGGQPSY GPMQAPSGSRGAALYKAENMHRDIELARGIDKSRHVDLPSRTHAFLITTATVNLRDQV RQTDSSATPLQFLYEAADCRIFLVPATWYNYTNLWKYAADAIWQNPAFCTKGSRTDHT QPTHPSVPGKPYNASSTLSNLADSQSEGHPSSIQDDSNFILDKVGSPGKLEGRPCQLH TDCAGQLSCQDAQVCGIEQNSQKEFYGIPEKQKRCVEWCDNYTKKCVGWGKTCYQDND PILEQPFPGEYHTKNCKPIPPNDCPLSTYKDDEGFDCYGTKDTLTCYNRVSGKWKTLM SLDSGSRLADSPILYLNETQTCCCGGWQSVCGTNNSQFCQQKKGSPVCDCSNGSRQCQ VKSQIF AFLA_108500 MYRFLPLFFLPLAALAADELPGVVVPKKPVGGLYDTCAIQVVQL SKGEKAWVTGNCSTRDGDQMESHLDLDRCFSSQFTFDITPEKDGGKFPFLKICLLVLI YL AFLA_108510 MATNNANLQPFRAIRAQSTQSTITVYQAFSPEIAEPALRAQKFV PPFSRTRMTWIKPSFLWMAYRCGWATKPKQERVLAIEITREGFEWALRRSCLSHVPGS RDQDQEKWRQRLRESPVRVQWDPERDLWHRPLGYRSIQIGLSGEAVERYVDEWIVSIT DVTGLMGDVKRALDKADVEGAEGLLPVESVYPLSEELRDILHAT AFLA_108520 MVFVATLGARLFLPHPRSHSLGWMIHVGNDFPKPIEDRLQSWDV TLVIERESDEPSTRGLLEYKDTTFGPGDISPIWLPPFYRYGPGDKPDAKVVDPTGAGN TFLGAFAAGYLQTGSIVEAACYGSVGASFALEQVGMPERSNDGEEELWNGTNVFSRLH EYRKTIGLPDIHR AFLA_108530 MPRITRNDPVISVTALEAWTTGVDALKAAVDVTIESGKRTLSFN ATAGSTMNGVFDPIDKIWAMSGRQTTGSMLMPVGEEWVAISDNPRHPNRGSLADGTGF SAA AFLA_108540 MTQDIIDNIAAEGISYYTPAQVPPAGTQVEGSTKLFSPLTIRGV TFPNRLFLAPLCQYSAKDGYANDWHLTHIGGIVQRGPGLAIMEATAVQKVGRITPQDL GLYDDGHIEPLKRITEFAHSQSQKIGIQLAHAGRKASAVAPWLSGNAMAVKEVGGWPD DIVAPSAIPQEEGINAVPKVLTGEDIGVLKKDWAEAAKRAVRANFDAIEIHAAHGYLL HQFLSPVSNRRTDKYGGSFENRVRILLEICEEVRAVIPTAMPLLVRISATDWFEFDDN LTKEFPESWTVAQSIRLALLLADRGVDLVDVSSGGIHAKSAIAIRSGPGYQVHFAQEI KKAVGEKLLISAVGGIKTGALAEEVVQSGIDAVQAGRWFQQNPGLVRAFANELGVKVR MATQIDWSFEGRGKKAKKSSL AFLA_108550 MRIFHFSNKFPPDDLADLFRRLRLHSKCPNHVILARVLEEVTDV VREEIAELPAELRSLLPPFQSILDLAESFNWHQGPLSGTFECVFLVLMPVCLFVGRPD EFVFRRDTSLFTGLGLGFLAATAIVASPSLCSVPVTVAEVVRMAMRTGLLIYQRSQDL EPQSLDGALESWTSIVKGMGEVAVREGIDEYNSSTDTPQPSSIYISVVEPDGSVFING PPSRLRKFFSTSGKVQSAAHAPLPVYGGPCHAPHLYDHSHSSWAVKKCRAKVLSRDLS HAAYLLSMADGNPLKADTVLELFESATYILLTSIIRWGDVVNAITASSPLLEKDMKLQ VEILRPSPVVDGLVSAIQKSHPGCSAYVVDLGEWIFDDTHISPHGAHEKIAVIGMSCR LPGGADDLELLWELLREGRDVHRKVPADRYDVDSHTDITGKQRNTSHTPFGCFVDQPG LFDAGFFDMSPREAGQTDPTHRLALLTAYEALEQSGYVPDRTRSTRRERVGTIYGQCS DDYRECNAGQDIDMYFIPGNYRAFAPGRISYFFKFSGPSFNIDTACSASLAAVQIACS VLSRGEADMVVAGGLNILTGSDSFAGLSKGFFLSKTGNCQVFDDAADGYCRGDGIGSI ILKRLSDAQQDNDNILGLILGSATNHSSNAISITHPHAPTQANLYRSTLMQAGVRPQD VDLVEMHGTGTQAGDAAEIESVTKVFSPAVPRRSQPLRISSVKANVGHGEAAAGITAL IKALLIFKHNEIPPQVCLRTTLNSKFPDLRQLNVHIPKKIIPWPRLPGRKRYIMVNNF SAAGGNTSLLLEEPPARPDPKGCPQTRFVVTVSAKSTVSLIRNLEGLLGFLKMDPFVD LASLAYTTTARRMHHKYRIVVHGASIQEIVKSLEQHISIAETQCAIQKAPTIGFVFSG QGSFSQGVGRQLFQEYPPYRNEIQRLDEICTSHGFDSILPAITSRSSDILEISPFMAQ LVTVCVQIALCRLWRSLGVIPNVVVGASLGEYAALYAAGTLSASDVIYLVGQRARLMQ ELCTINSHSMLAVKATIGEIRHTVRNNAYEFACINGPRDVTLAASVEDINDIQQTLVS QGYRVAKLNVPFAFHSSQIEPILEPYNKIAHSVIFRNLKTALISPLLSDVVFDNKSFP PSYLRDSTRGTVQFSDAMTKAQEIGLVDSKTVWVEIGVHQTYTGAMRANIPNLEVVAP SLRSDESNWHTLAASMSALHSAGVHLDWNTWYKPFESQLRLLNLPPYQWNLKNHWIQH NGDWLLLKDKRSRTGYERSPAPAPPPLRTALVHHILEESFGKDGGTVVIQSNVTDDEF HAVASGHQMSGRPLVSVFAYTDIALIMARYMYSRLKSGTELSAMDFGKVRVFQGLIPR KDRSKPQYVRMRMQADPMCSSMPLSLHRVLDDEMNEEELAIGVVTCGDSHSWRDEWAA YSYLLTSRIEALHQLADQGLASRVSKDLVYTLFKNVVDYAEHYRGIQSAVMYGLEAVA DVILSPSQDSRWTAPPHHIDPITHVGGLILNAGPAMDHTNTIYIMEGWESMRFSDSLM AGELYRSYVKMNPANDNSGFFSGDVYILHGNRVIGRVREMTLRPLPRILMSRFFDPPD SQYGQMAQQEPSTALPSTPQHTSSAKTTESTPSQQDESDNTSLATPENENKAPISGSW PNANSQLVRDAIALIASETGVEPDALTDETEFSAVGVDSLLSLVLVEKFALELNIDLQ GSFFLETPNVCDLKAYLEGNQMTLR AFLA_108560 MEVSEERDLYLHSITAALERLNSAASECQSRLLSEHDGSIEDHS ANQTAHDNLVQEAYKFLQIAQGPIDTVATCFERTAHVACARSLLEMGAFEGLPIGGES RSTKELAEDLSVDEALLARLMRNSALFEETGPNQYRHTPFSEAYLRPEIRAMFRFAMD EHMPAHLKMHEFLKSNSWTEPTSTSNNPYTYAHDTNGKSMWEYLSERPTRMASFNDGM TLQAMTELWMIDLFPWESLSDQQPTPTTVVAVDIGGGTGMGISRIRSYCCSLPGKFIL QDQAHVIQSADPRGNGIEKMAYDFFEEQPIRGALTYLIRRCLHNWPQESIIQILKNVA AAMQPEKSRLLIEEIIVPDMNAGIEEGWMDMIMMNLGAKQRTLKEWEEVLALAGFEVR KIYQIPGNCHGLLEVWLK AFLA_108570 MQESLTSGSSASLNIHDKRFAALYELGGKITELFAKELISQSGL PWSSQEPLVVLDNACGTGAVSSVLHHTIGNDKKANWHLTCGDKSEDMLHYTRQKMLQE EWHNAEVKIVNAQDTRLPSAHFTHIFTAFAIWVCTLSAAITNLSGDLPAPSEKEIHGV YNVGWDEEASVRAKFEQAGFNDIKVRKVIKEYLVPVNQFVESCTILIPTIVNIFWTQD QRDQYESELPMAVHRYVEGKYGRDGMASMEAEAIIATGHKH AFLA_108580 MSACLRWWSRKFDCEKEYAEAYKQYSKTGKPYATRLKNNDHGIV LPLNSTKEWRTLPHDQLSFLHALSEFADLYMHINMTDRTPLQAVHYCNNTKTLSRFNR LMVDATDRALPLIVGKDTESEWKRANAFHTILSLCSTVAMSVLLGPEFSMDTSLIQTI MMYNTAIMPSCAKRTSYPRILRPFVWRLSPLCRAMKSDLTKTKIKLTPEIKHRIDIAR SKKGWLEEGPMSLLDGLIETAFEKGCLSRSSDRGDDDQQVALLAEEIIFYHFELSTPV AFFIIFAVYVIMNNKEYSTPLREEISEALKLSGGSFTLDTLNHAPKLASFVKETCRFF RRVMKPIHLESINLSLKPGTIIMAPGRDVHLDPDYYDNPTTFNGYRFYDASRGTCTPH ISTTSPTFLTFSHGISACPARVLATQITRTIFIMFLLKFDVELAHEEMPAYGFANGPA YLPNPSVMMRVRPCQKDVLGV AFLA_108590 MVKGLLREQEWLDFAYNLDLRLYGTSAFGSPTGRAQGIGYLLEL SARLERKLIDSSDTSINTTYDNHSATFPVHQPLYMDMTHDKVIIGIITALGLQYFNYG PKGMPSNVSHAVPRKFQLNKVAPFGARLISEIWTCPEEASIEVLDNTLYANPDLSDTK NTTDFIRFVLNGAPLPIFDVVGCQHSKNGFCEVTHFLDAVPKLKEQAMYQQACYGDFK PGHQVGDGRPE AFLA_108600 MASMLTLHRVTTLEQLEPLYQIGVDAFRDDPCMNWFYPGGRDHP EDFAIGWKNILQMEFFDKGKFILAATIRDPDDDQTQPGRVVGFAVWERNGVCDAARSW QGISLSKRLKRFSLNFKIAYTFRLDTPRRSISWSKLTHFLHEIKLAKAGQPTESWYLS ILAVSSKAQGQGVGKKLLQWGIDRSEEEDIPATLVATDAGLHLYESNGFERTGWLFFD DERQKQTVMRRDTRLS AFLA_108610 MTITLVAIATGIVAFILYYICWSLFSSPLARIPGPKSFALTKWR LAYEDYKGTRTRKIHALHERYGPVVRIGPNEVAFNSLPALRAIYGAGSGFERTNFYSM FAIYGRKNMFSFNTVKQHAERKKLFAHAYAKSAMLKGINASMIETKVRKYMELLEREG RSQDIFTTLHYFSLDNITEFLYGDFGKTACLDGIEEDRALLHDILATGSRTLSWFTVH HPKLTAWLYSRTGFLGCVARQFYPMQRPTPYTSIKLHATKAFQGFADASVAEKAKQSS LISKLWKHHRSMKDGGLDDLDIASECADQLDGGIDTTSDTLMFTIWSLSRPEHWGFQQ KLIDEVRSISEHDLNPEGIPRVEAADKLPYVEAVIKETLRLFAPLPASEPRSSPEATT IDGYLIPARTVVSISPYTLHRNAEVFKNPLEFNPDRWLDPSQDLTEMNRFFWAFSSGG RMCIGMQ AFLA_108620 MALQLLVAPRSYYDSLATDHNVTYTGFNKDTNEQLLFSRYDEIC VLDKQATHGPYYVSGEHIRQNISEMQLGIPLYLELQLVDVNTCQPVQNAFVDIWHCNS TGIYSGIARDPKLDLPPNGNADDRDNVNTTFFRGIQKTDDFGVVRFETKFPGHYALRA THIHVIVHENPHVLPNGTLELGTGSIAHVGQVFFGQTLIELADTFYPYTQNTNAIVLN QEDGIFYDEASSMDPVANYVHVGDSLEDGLVAWMAFGINTTSDYTDTVQEASFYGAEG GETNENYNITKLGPLETVPSGVPTPSAFTTTIGL AFLA_108630 MFQGQGLRLAQVLLIVAPAFITYGYNQAGVGPLATLQTWVHTFP EIDTINTHGAIKERNATRKGAVIASLQLGALLGALSCTYLGDRLGRRKTIFLAAAIVV IGELLETSAYNTAQFTVGRVILGIGVGQLSATVPVFQAECSSAKNRGQHVVVDGICMV LGFVLCNWIDFGLSKTTGQTQFRVPLALSFLFPLIILVSVFFLPESPRWLVLVGRTGD AVRSLAAYRGLPVEDETIQAEIASIEAALELTEQSSCFKLREVFSGKNEDRLFYRFTL CMVIQFFQQMCGGNLISTYISTIFEQNLKLGSDLSRILAASALTWKCLCNFIPFFAID RLGRRKVFMFSGTGMCLCMVVLTITTSFDTSNKAASVLSVVFIWLFNLFYPIGFSGAN FVYCTEVAPIQLRVAMASISTANKWLWNFIVVMITPVALDTIGYQYYIVYAAISACIP VSVYFFYPETMGRNLESLNQVFRDAPSVWHVVSMAKDLPKGDVSHLDVEGVEKKLDIE QKEHA AFLA_108640 MTPLPPGSEAEEQTNCTTDIKAMEKHIEDVSEYNKQQPPEGVYI PSEEIQARFELLRYLSPEQMEALNKRVLKKIDWHMMPCVTLMFLMNYLDRINVSNARL AGLQSDLNMTDTVWNAGISTFYVGYLVGQLPGNLLMAKSNPRWFLPVIMFMWSCGTIC MPAMTNGVGFCVVRFFIGLAEAPFFPALTLLTSSWYTKEESPMRMAIWHAGNTISNII SGFLAAGVLENMDGISGLHAWQWFFLIEGIASIVVAVASFIFLPAWPHNTRFLSEEES QMAQYRVLVSNGGRDETVGGTWDGLKDAVKDPFTWFFCLMHFALVTAQSFKDFLPSVS LLPIIKTFGFDTMTTYLVQAPPYAIAYVFACAAAWSCGRLQESTWHIVIPIIISAAGC SILISTLNVGARYFGIILLICGTYSGLNLQLSWETTVVPSPRAKKAALIAIANCISQS SHWFSPYFYPTSQEPFYRMGGGLVLMGCALVALSAFAVNWRGRRLNKRLDEAEGWTMH SGNERGWRYKL AFLA_108650 MATNPVAELRSTASTPKTQPQIAKKNAVSPGISLAAGAVAGAVE ATVTYPFEFAKTRVQLSQKAASGTILTQASRHPLAVILETARHDGVRAIYTGCSTLIL GTAFKAGVRFLSFDTIKNLLADTDGTLSPARGILAGMVAGTVESVVAVTPTERIKTAL IDDARSSTTRRYRGGFHALRTIVAESGISEVYRGLLSTTMKQSATSAVRMGSYNVIKE IVSSRTSIKDTKNPALTFGMGATAGVITVYMTQPFDTIKTRAQGAKGASTMEALRDVL KDGGVRAFWRGSSMRLGRLILSGGIVFTVYENVAALLMGSRKRRTNE AFLA_108660 MESVHGNKSVSAPETSFRPRRRRALEACSFCRRRKIKCNNERPV CINCKTYAQDCIYEPIGGRGRETGGGHHDRSRHRRSIQRGALNVDKDEDSQSSLLPQN DGRIDHLPEVDEVTTSSNRSNGDTERRGAPGCSEARVAHILVSANGESSYHGRTSALF EDHAQDRSIGADQRPGMPDDWVERGLVAEAAKQRQMEQLNFRQGKLDFDGVDPDLGMH LLSLHWNRQHHSFLITYRPAFMRDMACNGPYFSKLLLNAIYFGAAKFSPRLEVRKDPN DVRTAGWRYRERVRELLGGALDRSDITTIQALLVMTNSLFALGDERSAAWLYSGLAFR MLIDLGMHVDLTSTRRFSDEDLEIRRRVFWGAFVVDKIQSLYQGRPVSLKETDALVPI KFLDTYEELEHWQPFAYSTSAPDYPGMPAYSISTFTCLCKLSLTMSDILSCIYTERSS NQSPAELASMLDELQLRLDQWQAGLPEHLRFDPGKAHSVAFPPPHVSSLQ AFLA_108670 MATELVTETRRLRRHALPCVLMRAGTSKGIFLHQKDLPTKEADW APHLISALGSRGNDPRQIDGVGGGTSTTSKVAVVRRSQRPDADVDWTFVQVAVGKESV DFTGTCGNMTAGVAPFAIQEGLVKPRRDQTKMDVRIYNTNTDRIVIETVALDDSGDYE EDGNFIISGVKSPGSEVKCRFVKPMGSMTGKLFPSDNQQQQTLHVQPGSLMPNLEPFD VRVTLIDSANPFVLIDTTSISTTLLGTNPSDSDRNDLVETIRRAGAVAMGLATDVEAA SRTRGTPKVALMYPPTFTQANGSKKSRPDIRVQAYSMGLPHPSLQLTGAVTIAVALSY PGTIAAGLSAMGAIMHGALPPTPEQSPPPDDREKENFGWERDVLIEHSQGTIKVGVVM DDVGEVASCAVSRTARRLFEGKVRYYIQEDAM AFLA_108680 MTQLSIETFVVFTAFGLLWLSYEYFRPGSILRRFASIRSKLPPG PPGLPIFGNMFQFTRARDAGLWGPFVATDIINKHGKITSERPDMPIAGDLVSHGLRTV IRPTAAWTEGRRVMHHLLSGSVLRIYGNWQEIESLQMLSAYLREPKHWYAHHYRYSIA VLYRLVMGENLSKTQDELNDYQKVTMEITLSSLNSMVDFFPRLDRWIPIYLQWWRPYW AKMGDFHHSVFKSWWDPIRDAVRQGTANPGFVRDTLLHPDMRYKGTEEEAMYLATSVI AAGSDNTRMTLNTFIMAMISHPETMARARQQLDAVCINEDGTLRLPGMADFDRLPYLA AMVKEVLRWRPTTPVTPQHQLTEDLEYEGYRFPKGTCFVVNGIALGQVCDNPDQFDPS RWLDGNEGNIVHNLWAFGGGRRICVGYRVAQQALYVAIARIIFCFDLCAQCTSKDKPA DRNLINNARFVGDAMKLTPGDIMCCPPPLFHCFGLVIRFLASFCYGSSKYQKHILRDI GKRLVHHKVTGARL AFLA_108690 MADFETLALTTKPSAQLSYNYQPAQGISKPVLVVFLNGLGLPQA FWQPVIAQLKALRQDSPIPAFLTYDRYGQGQTTDRDPSDAGAEDPTHGHDCLTAVRDL RQLITQISADKLGATDVDSVALVLVGNSIGCALARIYAQEYPGTVAGLLLLDSILANS DFVSVFPDPDAPDFDPASLGPLPVEAICAVREGARRIFHPDVGNKEGLSRRNLRELLP ASDGPLLKGPDGHGPYVTVVGHDFNTFAEESTKMGPPKPVTNRFVNPYWHKYNEGLAK ITEAEYSKGPLQAPNAGHFIQKDNPETGVFATIRTIESGLGLKNGISDASYTTVMGLM WAGMERNIAMMIGSVPALRPLTTPFMKLTSETMSYLGKRSSSKTQSSSGVNGSGSFPN RSGNKSTKHLPTTSMVMVAMSEKQTRWYRLWMMVC AFLA_108700 MVLSSVCLANRRASQFASMTNVDGLLSTRGGLNVTQVLGRIPSH VLNPALHRDEIDLSMAENQVIRHEIIQLAKVAIETSLYYETPADCLQHLDWLKGFFGD ATLLDLLASTVNTHFRSHSQVAADNIAVTAGAAAGLDTILYNICNPGDGVLVPCPYWN GYDALFALHSGVRPVGVVVPSLEDSFGPALLSALEESYEKASCPIRALVLANPHNPLG RPYSRLILEQCMAFCQRRNIHLVSDEAFALSSFTSPDFTNPEPFISCLSIDPSRVGCD PQRIHVVWSMSKDLSASGVRLGCVITRNRPLRDVVGLVASVHVSVLSTVFAKEVLALP QLPKLLTLSATRLAKAYSTLTTAFKATGIEYFPSYATVFVLARLAPNATAWDEEMLAL RAYMQAGVAVVPGRAYHMPEGQKGWMQVTFAVFHEDLLEGIRTIKRVCLSLLAGGVIL AFLA_108710 MTSDSNSDYVFQGWMGLDKDSVGNMKWQTYEPKPWEETDVDIKI THSGICGSDIHTLRSGWGPTMYPCVVGHEIVGIAVRVGSEVKHIKVGDRVGVGAQSDS CRNRRGKCSDCSAGRENMCWKEGRADTYNGVYLNGGKSYGGHADYNRAPGHFVIKIPD QMNPAHAAPMLCGGITTYSPLKANGCGPGKRVGVIGVGGLGHFAILWAKALGADRVVG VSRRESKRADVLKLGADDYIATEDEKDWAQTHAASLDLIICTVSSPDMPLRDYMGLLD SYGRLVQVGAPEDKLPVLYAFDFIPKGKSLSGSVIGSPKEIEEMLQLAVEKNVQPWVV ERPLSDANQAFIDMENGLARYRYTLVNEKHI AFLA_108720 MHNVVANTTRPSLDELRMTEILQAIERGHVDTAQSLLDGVSPDI QDRTGRTLFSRAVSLNSKEELQSRLKAEILFDLLIARGADPDLGDHTGETPVHWAAKA GDHEMVCLLLQKGALSDLPDKWGRTPLSRAAERGHNLAVERLLVDGRADSNSRDNRGR TPLSWAAESSHLQIVETLVNHGADVEIRDNEGQIPLWWFLNNTDRSSPTDRGTTKEPV DFQRWCSILGPKSSIEPVTKKRRTFLAWACERGDRQLVQELLRTTWTDPNSIDRHRKT PLIYALEWKHYEIADMLMSGVEPERAKKDCVSLHLLIREGRSRLLKMFLERYKSNLKE EDKYSAIPLMRMALQQSDRPTVRLLLDYKASTQGLKSSDWFGPCSTLRAAEPLVGLNK ANWDGYSSIPVMEMTIQERDRIAVATLLGKRAQILEIEDDDDDFAQYSSNIQQSIAVD IMTRKDGRQAVEWISEDTFDQKMRELTKSPDESHLMQQKSVQDF AFLA_108730 MKDPQDSREDSSGEHYRIRTIEWSVLEAPPKTIHYFSNLPFGWT PQSDLELIELFMQTWKDDWMCFCRDERRNLGHLRSYQLTAAGKDDFLIDAIAGNMQKW TQMQWMLEDQLNQAREFVAQYQTFTESRQLSEYMGKIITAFEHDVSSQIDKMEQGIRD LLQVEFAWVSINEAHRSTSLAASMKRLSWITFIFLPLMFASVGTISHDVTAIPDLSRV FSE AFLA_108740 MTHRNDPRLVKNITHTRKMGRYTREEIDFWREKFREINTNGDRY IEPYELIAAAKEQGFEMSDDEAKEWIEELDGNHDGKVSFSEFLTAFGELKSKQ AFLA_108750 MEAWGLQLTVPSTHTLLESGGESHAPPITGSIAIAVPSSGLRSS TENFPKFEISFTRSVTPKPCDVVVPSNNKSYSFFFKRNSKHKSSRNQNSPHATTQPEP NVETLVQYDLCHAPDEIDPKEGEDETWLKFSFYLPIPSNIPPTTETVLGSVSYAITAT VAPSTISSTRILKDTQQIKMSRRVVSEPIRHIRQYPGERVLTELSMVPSQLYTDTPEE MKVAYSLEWVAHSTIAKGARDMEVKYVVGKELKWRVEETVKYLSISRGGNPQREAVTT TCKEQRVRQLCEGKQKGHWVANGGLQGGDHTIEIPFDINIPAKVKASDGIDLSSYLCH HQEKACDCSPSETTGVIVEHNLILEVITGQDTFDEATGRLVDRRPRMKSFNAVFPFPI KNFVSGDSISLSEFYE AFLA_108760 MYLLTATPETQSMDRGPSPPLDSFSCQLLHHFDQNIATKLAWVD GPENPWRQIILPLSHASPIVRYSLLAMSSEDLTHKYTVDRPYFHHLKAQSLYYRDRVL SHLPQHLERLLKAPISLDCANEARFVLATVLLLYNLELLSAKTTQWRLHIQGARAIIQ WKLQAIGLHRPPDVADNFLRYEYYFTAVFNGLTTFDATYDVIDDIPINDKIAVFGDFV RIMHCVTRAERINFSGSPNTETTRVEDVVGEIETARDRALQLNHIIRFQYPDARHDFE HLTHMYYHASLIYSHRVLSDPAPSQELIQASRDAILDHLSHLIDLAYFAHDLVWPLFV CGTECRGSPDKQDTIERALLGVIRLSGSLDRRRVLSFLRMFWQLDCGDREVSWIEVAR SRPADCSFMII AFLA_108770 MDPPRLDKITADSNLEALGYTPELSRNRSTWQVVFMVFILASVP YGLSTTMLYSIAGGGSANMIWGWIVVSLIMLCVAASLAEVTSVYPTAGGVYYQTFALS PVKYRRVAAWICGWSFIAGNITITLAVNFATALFLIESLNVFTDATGVGITEDFQAYQ TYLIFLGITLICHVIPAFGNKWLTHLETFAIFWTLVGVTAIIITILVVASNGRHTAKY VFTDFSPQSGWPDGWSFCIGLLQAAYALSATGMITSMCEEVRAPAIQVPKAIVGGLIL NALAGLAFLIPIAFVLPDISYLANLASGQPVPPIFKAATGNSAGAFCLLIPLLILGII CGVGCVTAASRSVWAFARDGAIPGSKWFKKVEPRLDNIPLNAMLLGMIIELLLGLIYF GSTAAYNAFSGVGVMFLTLSYACPVAVSLILRKRRDIKNCSFNLGPLGLFCNIVCLGN MDLPRHPSLLHAHLHGRYAGNHELRLGRLRWFLHHLCRVVLGMGLSELRRSPDRRGYG GCPY AFLA_108780 MGHTEDIQDVSGIVRQETNSEEVGSEGESSFGCGLIMTYSTAHK EPEML AFLA_108790 MADLFTTIETPSAKYEQPLGLFINNEFVKAKSGRTFETINPTNE KPIVAVQEADENDVEDAVKAARAAFEGEWSKVTPSERGRLLVKLADLFERDSDILAAI EALDNGKAFTMAKGDVAAAAGCLRYYGGWADKIHGQTIDTNPESLTYTRHEPIGVCGQ IIPWNFPLLMWSWKIGPAIAAGNVVVLKTAEQTPLSGLYAAKLIKEAGFPAGVVNILS GFGRVAGAAISSHMDIDKIAFTGSTLVGRMILQAAAKSNLKKVTLELGGKSPNIVFDD ADIDNAISWSNFGIFFNHGQCCCAGSRILVQEGIHDKFVARFKERAAANKLGNPFTAD TFQGPQVSQLQFDRIMEYINHGKQEGATVATGGERHGTEGYFIQPTVFTDVHSDMKIA KEEIFGPVVTIQKFKDEEEAIKIGNSSSYGLAAAVHTKNVNTAIRVSNSLRAGTVWIN CYNMINYQAPFGGFKESGLGRELGSYALENYTQVKTVHYRLGDALFA AFLA_108800 MLSSLTTSTPTTDSTKLCPSQLTGTSIMLEVSESSYKTVNHNTL LADSVQGLINTDLLKPDDEVVSTYVCRFDHGYPTPSLERYGAMTNILIYLQEKDILSQ GRFGSWKYGVGNQDHSFMLGVGAVELILFSGFEVTLSNPDFVNSRANTECRLASTKVV RR AFLA_108810 MVQYYESISDDIRDWALRQSVFFVASAPLRGRHVNLSPKGLPDA SFAILGPNEAAYVDATGSGNETISHIRENGRITVMFCSFDKTPRILRLFCTGSVIEWN EPEFPQYLECMGGKNVTGARAIIRMDVFKVQTSCGYGVPQLALTHDPETDEVKPYLKD RETMGYWAGKKVSAGQMRAYQQECNSSSLDGLPGLHSALRDNHKSVWRAQLAGWMNRH RDELEMTKTSILLLFVGMAILQWAGYI AFLA_108820 MTSRPVRIAGASGSASDRRHAITEFARNYPQDPVDVIIADFMSE ANMVTGAARRIDQDKAQGGAGNSMSAMPASAPGYEPAFLLALEPALEDLAKHGIKVAV NAGNADTEGLYKVVTQMVKAKGLNLKVAWVSGDEVLPTVKTALSTGKSTFKNVYTGET LSDWNFEPIYAQCYLGGLGIAAALSQGADIVLCGRVSDASPVIGAAYWYHGWNRSDLD QLANAFVAGHLIECSNYVCGGNFTGFKTLEKVGSNGWRNIGYPIAEISADGKVVITMQ SSAPGGAVTVDTCSSQLLYEIQGPWYYNSDVTAVLTDIHFEQVGSNRVALHGVRSAPP PPTTKVGITARGGFQAEASWFLVGLDIEAKARMLEEQIRHLLAPYSSNYTALEFTTLG SAPDDPRDQNSATVTFRIVAQARNAEDLAPNNFLRHIFDNIMQGYPGATFHLDARQGF PKPIYEYYVSLLPQADVKHRVHLPWKNQVLDIPPPPTTQEFPPRQPSQAITEPPANRD LDFGPTTRGPLGWIVHARSGDKGPDANCGFWVRHSDEYLWLRSLLSIPKAQELLGEEY RSNPKLQIERFELPNLRGVHFLFRNLLDRGVGATTTVDFLGKNVAEYLRAKWVDLPVK FLNRGKL AFLA_108830 MPDLGDAETIRILVATDNHVGYNERDPIRGDDSWKSFHEVMCLA RERDVDMVLLAGDLFHENKPSRKSMYQVMRSIRMNCLGDKPCELELLSDASENFQGAF NHVNYEDLDINVAIPIFSIHGNHDDPSGEGHLAALDILQVSGLLNYYGRTPESDNIQV KPVLLQKGRTKLALYGMSNVRDERLFRTFRDGKVKFYQPSVQKEDWFNLICVHQNHHA YTETGYLPENFLPEFLDLVIWGHEHECLINPKLNPETKFHVMQPGSSVATSLVPGEAV TKQVSIVSITGRDFKCEPIPLKTVRPFVMKEIVLSEEKGAQKLARKENNRTEVTRFLM TIVEELIEQAKAEWLAMQEDVEEEEELEVPLPLVRLRVEISTPEGGSYDCENPQRFSN RFVGKVANVNDVVQFYRKKKNAANRKKDDDVDATAVSQLSTLDTVKVEQLVREFLSAQ SLSILPQNSFGDAVAQFIDKDDKHAMEMFVNESLESQIKHLMALDRDNDVMDDEEEAQ SSLQQAMNKYRDQMEDMFSRGIKKRSRGKKRFKPKPDGWDSEFDGVWEDQPGALIHSD NEGDPNEEDAAEDGTGPATTKATSTRGRGRGRGGRAVATTTTRKAAATAKTTSTAPKK TAPAKTTRGRKKAISDDEDEEDDVIMLDDDDEDEAPPAAQALSDVDEDDDSQSLFVKQ PSTRSRKAAPSTASTQRRGRTAPSPAPSSNTIGGTATRRSATTRGKQTQMTLDFTGSQ ISARGSQTTSRSTRLGRKTRATSVLSEEIDDDSDAFESAPASRRR AFLA_108840 MQSEPAAVSERTSPTDSPPRESFRNTQHGHVLDGDYQVSGPSLE ARDFEGVSDSLRLSPLNQAKTDDPVPASPVLDRVSQYENAMAQSPYRQTDLSFRVTPS STQSHLSLDAFPNAFSRYFPGPYVAENGTSLSEANAPERVTSDKRFFSRLTALASWRS EYILRTRLLRSLARGKPAQFDPAKKSGTVRSVNMRNGSAVVTYTSQLLYPVSHLAASF GTEVTKKQPLFIHGASEQGIASASDPSSVKVGTWGLSDHQLFRHFADLFPGEAEYGLG SGNMVGLPNRMDVSQPYGMIYGEGCPQGRTYFISTMEQRGRFLGLTESTSQPQLGIPT LNLITNAVTAVWIAKSSNVLKMTGGLVAMMSGTSSGVLTAYAIGPHPTYEQRFERGQV TARWVLCPGVPIIAIAVDNDYSTKRHARRRIWATVLNALGEVFYLSDMPRQPEPPSAK MSPEQVDTLAWKTGRSVRWELLELSRRTARPDPYSREPVDGSYSPRSSSDSMKLDENQ LIAETKEIEQFMSFKPKHFRKVCEGWDIRREMQVDFAGDDSHGAGESVIVIARGVGED EKASIRRYTRKLTKVEMPSSHFGTYQVGESMASSLFGGPVEFPSLSSAVNTPSVPPSR ASSRMGETVCSAANTEWRISDFVFGDHKSIEITTSALDVSTYAVLTPDEDPLLGMSGS STLSSGLSSPMPHMKQPSANSEIPGQRGRYMAVGTTTGGVFVWDMRAPTAKNSEIINS VNPIRIIQTDSPQVSCVALTSLYVVHGGNDGLVQAWDPLASSTRPVRTINSRFSSRAR RRLVQAEASIQGVGNNFYASGAICLDPDPTVLRGMVALGSHLRYWSYSSGAADQYKTS KRRFRRGRRGSNSTPEGQRFNSSGRGALQDFIEDEQAEMKRQEKADRKEKAHLSNRFG IDLLGPDVDEEQLLAYAQLLSEEAFASEAQKRGDIVPSSMASSTSSDTIGRNDSSVAA DEFSSSSSPYEQPVDDEFAPDIAEAIRLSLLDEGPGSFEQYPDIPIKYAKGSQSSSHS FSPAEVVAGSSRQQEMDDLELAIQLSLADSQPQEQPDEFPSLPWGESDKGKGKGRAL AFLA_108850 MSQNPTILLIHGAWHIPTHYEPYTTALKNAGFEVHCPHLPTCTG KSPPTATFTDDVSLIRQTLHSLTTAGKQILLIMHSYGGCVGTDAAQDYIYPVTSTSES PTSIPTPNPEEKRNQKGGIFHLLYLSAYILPPGSSIQTIMDKAGVNEDLWAQYMDDDE VGLTMPRDPGLWFYGGLDEGTVERCVERLVRFPVSVLREKTGGNVWRRCPVTYVRTER DYAVPKGFQDLMLEGVKGEGVEVRVLGFEACHSVFLTNVGEMVGVVDGIVRDWRGGL AFLA_108860 MPSMYTRLFAALCALATTANAVTTIEVKGKDFVNSKTGDRFQIL GVDYQPGGSSGFTKDKDPLSDKDACLRDAALMQRLGVNTIRVYNLSPSLNHDDCASIF NAAGIYMILDVNSPLYGSYIDRTAPKSSYNAVYYEQVFGVIEAFKNYPNTLGFFAGNE VINEQSVKEVAAYIRAVQRDMKDYIKKNVDRSIPVGYSAADIRPWLMDTVNYFMCEDS DEPSSQSDFFGLNSYSWCGDSSYKKAGYDILTEDFKNASIPVFFSEYGCNEVTPRVFT EVQAIYGEEMTQAFSGGLVYEYTQEANNYGLVKINDSDTATLLVDYDNLQKQYAKLDM DRIQASNSTQTSFTAPKCSSDLIKNGTFLSKFDLPSRPSKVQDMIDNGLSKANTGKLI DVSTTAIPQKIYDHTGKEVTGVQLKALSSGESNTPGNSTSGSSSSGSSSDSDNTKDNA AGKMTASFMGLVVGAVVAAASVL AFLA_108870 MNEWKEGERERKRDPAEGFLNERKDGVRANPWPPSEPTDAQQFS FIKGPKCVTRQEKHLGEKE AFLA_108880 MRTSTLVAASAGTVLTGLLAYAIYFDHKRQTDPEFRKSLKRNNR RLARAVKEEAEAQGAQERESIKKSVQQAQDEGFPTDLEEKEAYFMGQVARGESLCAEG SDKVEAALCFYKALKVYPQPKDLISIYDKTVPKEVLEILAEMVAMDAALKLGTFTGEG GSAESSHGVE AFLA_108890 MESRGLTLRSKSRRARPQISAPKPISGPLPPGSKAVDPRTATSS STSRERAPQKDATSDLVKRRYSTRFNQAPDLDSANAPPVPGVPKVPTQYTALSPPQST SRRPSTEASGPPQVDLNALRDPSLPVDRYVANLLANATEEEIEEYQRSLKKVKNRTST DLQQNVYQNRTQFIKISKEAEKLKGEMRTLRTLMAELTTALGQTATVGNTQNPMSPTL DERITKRNNRSSVANLESMWNVQLQTLWKTVEGSQKFLPVVPGRHIVLETGNWVELDS ATWKPRRPVHIVLLNDHLLVAAKKRKRVDQSNPNHQGPVPTKLVAEECWPLEDVDMID LGANLGPGVSREEAEDRGIMNAVSVRVGSKPFTYRHDKRNGSAKIDLLATFRKTVEDL RRTLRSETETAGKSESFGYLGVRQSSYSMVKPDFSALETQRETPEVRIDVDGKQQNLR WVEGQIDELDIDIALQRFEEAVSNIERLRKLAKGLKGNSVAQDVINSKVDERAAKLAG VLSRSLVDTPSFLNATKTKVSWLTRLGFENQARETYLKCRSDVITKRIRACVFEGDLP LYIFQISYVYFTLIKNTVSIYQQCFPAIMTSACIKWSKHHLDGFNALLTRQLSSVQRG TTVWQKCIDIVHEHANLLTEVGIDFTDLVAKGLELNEGEKVEKPKMTRSETLISGLAD AAKA AFLA_108900 MMLRGPALRTRLLWGPTSLLRTTRAVSSLTPPLIRIQDGTFYQN YPSPDDATNNQNPPLFANFNFVLPADQATSAENNGDQSLQHWAVIGSSGRTQLLEILR GQYVCLPPTARSYPYLLTDEIAKKDPRLRFVGNAVQYIGFSGEGSGAIGGTRGAYLSA RYESLREETDWSVLQYLKGQTSLNPLEGEEGGKLRDEKLLNQVITDLRLGELLDMPVA NLSNGQTRRTRIAKALLSKPELLLLDDPFSQRAEANTVFNVWKRVVNRGREVASHTEE EKAIFREAKSAMEAGHLDRQLLWDLQLLSTRTSGLALPAVKGGEPVIEMEGVRVQYGE KVVLGGWTQRVNGEEKDGLHWTVRRGQRWAILGANGSGKTTLLSLITSDHPQAYALPI KLFGRSRLPEPGQPGISIFELQSRLGHSSPEIHAFFPRQLTIRQAVESAYAETFLSKP TLDHDRDLDVSAALRYFKAELDPDAAVTTKEEPPRVSVENREHFPRIAFVRGASPIFS PIEFDVEYADSTLFGQLNTAQQRVVLFIRALVHKPDIVILDEAFSGMPASMRDKCIHF LEAGEYSRNRASSATRRSGSRLKEDWLRGYNTDESNVRHLGLSDNQALIMISHNREEI PDSVRYYMRLPSESVEGSEPLDFRFGQVKYKKTLNEPSTWEHAWLPPSEFKARGAMRS KRGKRLGEDEESVNQDEKVYEWYSVG AFLA_108920 MVDGCVMTSYSASRATSKFDFDALCRRVLELTPEADVITACQKI EGGFNRVFIFHLNNAKRIVARLPFTLAGPARLTTASEVATVKYFGSEYIIMEHADGVQ LHQKWQSLAGDQKIRCIQGIYESLKEMVDLEFPSFGSLYYANGPPDPDNRRPLDRNFC IGPHCGPRYWDCNVGEQRYYDIVRPNHGPCMLLEKLVIIRPSRLRQTGSIIVEFSEGL IDAGLSRLSPTDPDAGSRPSYHGAIQAHKNLLGHARKVLKQMSADPRIQGAVTPLLFH PDLHKRNIFVSEDDPSIVSGIIDWQAASIEPPWWYADEVPDFAMLTEPGSNLCLQAFE ACTQFLTPRLAGSRLMNDNLFRPFRYSDRTWKDGAVALRDDLVATAQDWEELGFAGQC AYPLPTPKKLARHKKEFKLFVAAHDLKRDLASLLDTATDGWMPAEQLETTTQTNKEMF RGMLHEVLINEDMEDEPVTDEAVLRSIWPFDLDQ AFLA_108930 MGVLSEPSNGVNPQTQSPELASIPSLPLFLAAKNHAQTNPEKIA VIDTTKNQQFTFGQLLADTAALKKQILEQLKLTETGDLQERRIAFLTPNGYDYVVTQW AIWAAGGVTVPLCMCRKHSS AFLA_108940 MPPKRTLKYYLFLKPIALLLRTLAYTLAPRITPTPNETLHIPSR DPQRTIKVHVYSPPNPPPGNPDKGPLHSTPTPRPVLLNFCGSGYIIQGHGLDNTYCRH IATNTPYTVFDVQYRIAPEHPFPAALEDAEDVLAYVRSQPEIYDVSRVGLSGFSAGGN LATSLAANHEGPFRVLVAFYPVVDATRPLGERRAPEVGGWALPGWFVRFCTVAYLCGG FEGGDVRISPIGRAVGGDSGDRGWRVERVLLVSAARDLLALEVEELGGLLLKGGDGEF LKKVVVERVDGVGHAWDKVAKEGTVEWEKMMRVYGMVVDLLN AFLA_108950 MGVTLRTLWLLGLSSAASAKAFEPLEHLGANSPWFAGPNVNKIA STVPEECSVDQAIYIVRHGSRYPDPGAYQEWEDLHNAFQSAEYRATGSLSFISDWSPV LRHPDQEISQLSITGYKELYNLGADLRFRYPTFYQDNTPFLLWANDYQRTIDSARLFA RGYLGPNASYGDVYVVDADASGAAGNSLATSDQCPNFKDASGGDQITEWQDIYLPPIT KRLNGKLSGNLTLTDDQVSLFPYLCGFETQITGQVSPWCDVLTKKEILEYEYAQDLRY YYGTGPGVGKNMTVMLPVLQGVVNLLKEGPSATAEKGNDTLQLPPLVVAFTHDNQLNE LASLLGVFDDQKPLASNKMDQDRIYVSSNVNPMRGTIAFERLTCTSGGQSTANVRILL NDAVYPIPSCRSGPGSSCPVDQYVQYVAQKRKQYGSFASVCGLPEKNITTAGADGSVT FFTDLTLPFLRVVKP AFLA_108960 MPHSAGHEEVALPSSPLTGGAVSYNQINKELQPLPSMDLTSGAV IPPASSRVRGGSGKLFALELEDGTVYQGYSFGAEKSVAGELVFQTGMVGYPESVTDPS YRGQILVVTFPLVGNYGVPSRETMDELLKTLPKYFESTEIHIAALVVATYAGEDYSHF LAESSLGQWLKEQGVPAMHGVDTRALTKRIRQKGSMLGRMLLQKSDETLEEAPVDKDS WRSYFEQTEWVDPNKKNLVSEVSIREPRLFSPPEDVALKHPSGRPVRVLCLDVGLKFN QLRCLLARGVEVLVVPWDYDFPTLAGKDYDGLFVSNGPGDPATLTTTVNNLSKTLKEA RTPVFGICLGHQLIARSVGAQTLKMKFGNRGHNIPCTSMVTGKCHITSQNHGYAVDST SLPEDWEELFVNANDGSNEGIRHTSRPFFSVQFHPESTPGPRDTEYLFDVFINSIKDT LTSPEAINNPVTFPGGTMEENIKASPRVSVKKVLILGSGGLSIGQAGEFDYSGSQAIK ALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVNADFVRKVIKHERPDAIYVTFGGQ TALQVGIQLKDEFESLGVKVLGTPIDTIITTEDRELFARSMDSINEKCAKSASASNLE EALRVVEDIKFPVIVRAAYALGGLGSGFANNMEELKDLCTKAFAASPQVLIEKSMKGW KEIEYEVVRDARDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNV IRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLGI PLNEIKNSVTKVTCACFEPSLDYCVVKIPRWDLKKFTRVSTQLGSSMKSVGEVMSIGR TFEEAIQKAIRSVDFHNLGFNETSALMSIKGELQTPSDQRLFAIANAMAAGYSVDDIW KLTQIDKWFLSRLKGLSDFSKLMSTHNATTVPRPLIRQAKQLGFSDRQLAKFLSSNEL AIRRMRVEAGIIPIVKQIDTVAAEFPSVTNYLYLTYNASEHDVAFDDKGIMVLGSGVY RIGSSVEFDWCSVRTIRTLREQGYKTVMVNYNPETVSTDYDEADRLYFENINLETVLD IYQLESSSGVIMSMGGQTPNNIALPLHRLNVRILGTSPEMIDGAENRYKFSRMLDRIG VDQPAWKELTSIEEATGFCDKVGYPVLVRPSYVLSGAAMNTVYSEHDLASYLNQAAEV SREHPVVITKYIENAKEIEMDAVALNGTMVGHFISEHVENAGVHSGDATLILPPQDLD PETVRRIEEATRKIGNALNVTGPYNIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVD LIEMATKAMLGVPFQEYPPVSVPKDYVGVKVPQFSFSRLSGADPVLGVEMASTGEVAS FGRDKYEAYLKALLSTGFRLPKRNVLFSIGSYKEKLEMLPSIRKLHQIGFNLFATSGT ADFLKENGVPVKYLEILPGQEDELKSEYSLTQHLSNNLIDLYINLPSSNRFRRPANYM SKGYRTRRMAVDYQTPLVTNVKNAKILIEAIARHYDLAVQTIDYQTSHRTVTLPGLIN VAAFVPGLMTPGSKDFERVTKASMAAGFSMVRVMPVGIDASVTDARALKVAQQNAQNA SFCDFNFSVVATSTNSEQIGQVTGDVGSLFIPFNHLSGNISKVAAVTSHFGAWPSSKP IITDAKSTDLASVLLLASLHSRNIHVMSVSSKEDITLIALSKEKGLKVTCDVSVFSLF LSQEDYPECSFLPSAEDQKALWEHLSTIDVFSIGSTPFQLAGEKASPEVGIAETLPLL FTAVSEGRLTIQDIIARLYENPKKIFELHDQVDCSVEVEVDRPYLFQNAHAWSPISGK SVKGSVQRVTFQGKTSCLDGEITSDAPKGTDMSAHRIVPASPSVKAMSPLVQARPEGI DGRLSVAGTPARPSRRALDQAPAVGELGPPLYPTVSQTSSPLHEMLSRSTFRQKHVLS VGQFTRADLHLLFTVAQEMRLGVQRQGVLDVLKGRVLCTLFYEPSTRTSASFDAAMQR LGGRTIAISTEHSSTKKGETLQDTLRTLGCYGDAVVLRHPDPASTEVAAKFSPVPVIN GGNGSVEHPTQAFLDLFTIREELGTVTGLTITFTGDLRYGRTVHSLIKLLQFYDVRVQ LVAPKDLSLPEEVRQQVIASGQLVVESEELTPEIVARSDVLYSTRVQKERFADLEQYE RLKNSFVIDNALLKHAKSHMVVMHPLPRNAEIAEEVDFDQRAAYFRQVGLRLVLVIGR VRANFGFTDEIWPLLSHGFACIDSGALVGNARLEGLTTDINMTGNQYLTGLTLYFIGY VLFEVPCNIVLKKTTPRIWLPTLTLVWGIVATLLGVVQNYAGYLVSRTGYTAAEAQLL SVPPYAVAFILTITVAVLSERTRRRAPFVMGSTALACIGYIILLTDHRPGVSYVGTIF AAAGIYPAVAIVLSWPANNVSGQTKRAIANAMQISIGNLGAVLGTQLYRTETSPRYYL GHGFALGYLIANIIVAGILWQVLRRENIRKAEVREREGLQALMGDIGNSEGEFQGDKD PRWIFQT AFLA_108970 MKGPRPVAALLNSVSRQEGKAQFSNATTVRRAHNDKMEGSRHPD RQNDTAGGEQRTSIPVFLARQDASMVRVAESFSPLESN AFLA_108980 MLASLLRPKKRRVYAERSPFSSPYTTRDLPWPFLQRGSEEAQYE EIRGNDDADEDVDDGEEDESGDEDDGPFESSPLLPIFSASHLDTLPVYDITHTIRALI AARCETTLSWDQLRSPQISQFLVKPIQQQIRAFHFSRATLYALLTNCLQFNKEVHLNP GNSGTSQTRAMVSELLAIKLLREYTTRELIDALSYEFFPLQGQSLPGGSQQPPGWTPT AGNKRLPGVARISCLEVAIRAQAKRFLSHPLVVQQLEAIWAGTIVFHSAADYLHRSPT RVSHSAGPTYGTTVTATTPNPFAGKLSPRSAELRRSVTLYDPRDASLFKLSRLRVPRY RQFLSTLSFAVLLALFLAVLDQRRVHISALEVVFWFWSAGFMLDELVGFNEQGFSLYL MSFWNLFDLGILFLLFCYYCLRLYGAFMPFTRKQAVADQAYDILAANAVLLFPRLFSI LDHYRYFSQLLIAFRIMASDLVAVFFLIIIACSGFFVAFTLSFGSGEDRSPGSIAYAL FQMLMGFTPTAWALWNDYNTLGKMILTVFLFICHFVVVTILITVLTNSFMGIVQNANQ EHQFLFAVNTISMVKSDALFSYVAPTNIIAWIITPFRYVMPFRQFVRVNRTIIKITHL PILFTICFYEKMILSSKVIEPTDLVDSQTRAATSARTRGPRHSRFKAFSSRAPRLVRE PSVATYQKDRALEEVFRRPFHGETMEPVREIDQRKTNKIVKNWMQTMGPGPANPPDEQ DSDEVSQLEKRPQRPRHFSRRRLTRSLRDFTESNRSVVSNPEDHVTYIASSPATPRAG RDFMASTRRRQLSRHTGMEGDDELTSDGHEDQSNGKSSDDEDTPKDESPGKATPKFYS SRPSTAKVKSRRNSPVRRVKHHSRSYSGATMLYRPLSTTSNGEETEAPTIPVRSRAET PDHADWAARSTSADRWAMRRHSTDGRSRNVTMPISNPMSVPEFGHFLAPGPRYSERGP DPILHGLGSDIGDNKAIASGFVGGAPSSLTTQMAYATGGMRRPDSSHSSQDILSKLVL ARMNNIEEGFREVIREMKDLRREGTSRSQSGPDESKGNQREKKRTEKKGKKPNPRSRR SKTGSEEQSSDATSEASQRRIIR AFLA_108990 MDDSSRLSADLRVYDIYPLAPGRNIDNPFSRLLLLSDADTLLTS SSQGLKLGPSVAADSEASSRMRFKLSCPLGIRNWAKATTCWWDLPAARVGGEDEVESP ESKPASTSTSSVTSSNAPGSKPGLPVEYSVGRHREGIEHIVYALEGFPPKLDTPCKFW TFFALARLFGVATVPKISDLIISWLYDSENARLIEYHPEIAYKIGCGVQRDYLCRDAF SVLVGEEALLLLANSDKPPLPGRPQWTFHGRLREPLLDDDELQRIEYASKGFLEYVIN RFIGLAGSEMKWLFELPSFLHLSKFNPETYWEEILMTELVLTCKEYVRARIVQWLERG NGIWLPAVIGSMGKDRSTHYKYAYSSMRYPERILSRTFWKNLMNEPFTESDFPGINEL FWDSTIAGLGDWVPAFRTHADAKIRPVTRSELCEVVDKFNSRVNPQGPHYQVQAGYPW DIVDDYDPATWLRGFQSKREGLFKLDMFISDVRLYVTKYASDMFELGRGSIDRTDTVT CLTENELKYLPLWAGGNDDGTGGVFMDQDIPILETGGFSTPGPDVHLGSTASTADSFS IIGTGEIESTVQGASHETTDGYGTDVMSIQSMTVSSQIEKGRDSSHEEPASLEGYETV DDSSLLLNSSADGDDEFSFDCESDGSDTVVMDTLSQSDPLSDFEEMDLNEACPSPPPA ER AFLA_109000 MASSSKPPSFLLYTCIAHRTTILAEHSSPGTSSTSASSLASIIL PKITHEKSQKLTYTHERLFVHYIADSPTGASDDSSFRQEPNSYAPLSYIVVATAEQGR RIPFAFLLEMKRKFLSTYPPSSTDFAALPAYGCAAFNNELRSLLQTYNTAPPSDSLAS ARREIDSVRDIMTENIERVLERGERIDLLVDKTDRLGGSAHDFRIRSRGLRRRMWWKN IKLMVLLGVVIVFLLYLFIGVGCGLPAWGKCVGHSE AFLA_109010 MSNDGYDWLLNYPRPDLYHVDHRYGPASGPAQGDQAPYLGAPPA IKAATVNPSGYFHSPYHLPNQEFMSYTNSTTQYQSAAPMPGSYQGPPPYSTPSTAPVT SQGQASQQPLTYSNTSWKSTPQTSDYGHDTKRRRTDTFTPSNQHGITPTVTPAMSKPV SKDNLSSFSFSPRDTTDKSFLKNRADIVKPLNKLDAAEKLSYDSRTIARDVLIAAGRH PTEPALNHHLLRLRDVFVHVDTSSDLETFRWDMVDMQNVKKPRDPPRAPMQVSAPPKE PSLHGTPAPTIQTQSQPVQPPPPPPPQLQHLNQQHSQTPEQIQPQSPVPTKSQSVRKF IVQVPTKSPSIQSGTMAGRRPGRPPGRPPGRPPGSTKIQVVAPPARTPPVSYQVYSCE WDSCNAELHNLEILAKHIYKVHVPYTLTCAWKGCTCDDKFPAAELVKHIRNTHLESIA WKLGDGPSVPGTVQGTPGHSPVPSTIPESLVGGGEDSLIFPAHQSSIRAFNRVHGYNT QRDKAQGIFKAVQKLKEQIGVGLDPGGCQLATPARNERVSNEEDIYEVKIGS AFLA_109020 MISDDDLHRLAVFLGSCAMMMIVLYHFLEVNAKDSGVEDASDVK KSAAASQESVAASPSTVPAAAAGGSSAIGGEKDR AFLA_109030 MNEPYVATKNPGPPKAGPSLLACLLCRHKHLKCDGKTPVCGRCA ATGSECQYTPSRRGYKGPSKKRRANPFPDETAEDLAASFDPQSVGLVNIPPDWNSLQN GFQYMPTATLTTPSSGSPGLTEKSGSSQPVPLHNEPLTPDSSSAVPGDGYLIDIYYTY FHPSHPILPPLRYLYRSYVPPYLDHVIKFIGSHFTPAASSENYRPNVMSSVMEQDSCI EKLQALLLLAIVLHSRNERPEAGDCLATAVNIAFELGLNNQSYAATMSEGDPVREESL RRTWWELYIIENMLTALGLQRVARTGLIPLEVGLPCEERIYQDGLAPPPAPTIAQFDE RVFADEERDFSSFTYRIEAARILARVVSIQDMVEGQQDHVEAIDARITSWFHHLPESK SELLRSDGSVDEMMFQATMVVNGAAIYLHFPRSDLLSSPAMAAEVICGHHGPCSIPAF SHHAHAMKAVKAASEISSLASIRMPVVKHTPFFICALVMSSIVQLAACSVKAGQMPDP SRDRLTLTIGVFKSLGRTWAISQAIVRQIKAVARDVMDLGLRPTMDHIDLNSVLDSGR FWMPESLAR AFLA_109040 MRIPFLSDRHCENISPFTSMKSYLSSHLHPKQCRRSGNQTSCLP HAIELEHVDEGNRRSYVAHRVPAFYTPVYSPRFVYGCVLSASIGGILFGYDTGIISSA LVVFHRDLGHDLSREEKQLLTSLTSGGAFIGALIAALTTDAIGRKMVIGLGCIWFTVG SVLASSAYSVALMSIARFIIGVGMGLETMVTPIYISELSPSSRRGRMITRLLASSNRW SSPRLRNRVHIQPRLAGLALHVRLRRHPSPNPSRAVPHLPRDPAPPHLPEPNSRRHNG ATTDIPTGAPLRHPDPRPNHPRRRQPLRLLRPGDPTRMLVLETAAHRPLASPFAHHSL RPHGAATALRFQFSDVLLRHALQYNGVLETNRSGPYRLRDELHLHSPLSQIRGPRSSA LIDWHCVGIASGAGHGCGGVLEDQHRYESRACGYTTVVGKGPCHHFFRSVRGVLCDRI GQCAVVGQRVLGPGGQGRWDGDVDYGLLELEYSCFGDFSFACECDLGVGCVWALCWGL FCWVGVHYFHVSGNSWVRAGECAAGV AFLA_109050 MEKWRDYVLFWGLYLIALILMALRVASRISIRERLKADDYFAFS SFALLSVETAIHTAAVSPYIDAFNAAQNSADFGNALSESPALRENVTVVLRYSFASLI IFWLTVWCVKFSMLFFLRPIMLPIPVYLEGWYIVFGFTVMNLVGCFLIQFFGCLPFGL NFSIDNDSCVSGNSREPGKLYGTTALDTLSDVMSMHWTSTEQKRVKLIFLAQSSSSQL DTYRIFTCPSNTCLSGRRRFPRPNKPALARNLEPCGVCCCGDCGLSSANMGWVSEALE ESA AFLA_109060 MPRVPTTREVVPGAPVNIILKADQSTGRTVSGTIADVLTRGNHP RGIKVRLTDGRGQRTRRTTSEAELPSQNIGLDAYMVSTRPRRGARRDEPQQPVHDGSV VTCPVCGVFEGDETAVAHHVAGHFAD AFLA_109070 MATQADTKSRSHNEYTVGWICTLLKEQTAATSMLDQRHPSLPQP SNDPNTYTLGSIGKHNIVITCLPRGRHGTNSAATVAIQMVRTFPSIKVGLMVGIGGGI PPKVRLGDVVVSTPVGEFPGVVQWDMGKTKDGSFERTGALNNPPTSLLTALTKLETEH DLYGTKIPESLEELKQKWPKLAPTYLKCDSLEDPLHAHEFHHSRGRRQVILSLWEMIL AFVTYLLGWWVFTPRHRGSNRVASNATNIVGDGSQSQPGDVRVHYGLIASGNRVINDA TFRDKLDRQFGGHVLCVETEAAGLMNDFPCIVIRGIFNYADSHKNNKWQGYAATVAAA FAKELLEYVKPSDVDEERPVKDFLDQILETVSRNGADLKVMRSKLARKEDQDILDWLT PIDYGPKQSDIFRRRQPGTGQWLLHSAEFQKWFNTSHQTLFCSGIPGAGKTVLTSIVI NSLINFFPQDSTVGIAYIYCNFQRKDEQNIDHLLASLLKQLTERCFSLPESVRDLFNH NKVQKMRPSLEDISGALHSVVAKYSRIFIAVDALDECQTSEGCRMRFLSELSSLQAKN GVNIFATSRVDVEIGKAFTKAAYLKVYARDNDIEMYLDERMRLQHSDIFDDTIRRIIK RDVIKATDGMFLLAELHMNSLVSLPTRGHVKDALQNLTNRIEKLDDIYEQAMIRIEDH GKESQDLAKQILSWIVYARRPLLTLELQHALAVRPHTTELDKDYIPNINILQSLCAGL VTIDEENDTIRLVHYTAQEYFQRTRQRWFLGGESEITRSCVTYLSYSAFQRGPCDTDE EFEKRLQSYPLYNYASQYWGHHARGALTLCPEVMEFLSRNMKVEASAQTMMVSYRTYL AASFGAEEAVKTLLQKRAEPDASDSYGRTLSSYASQNEHSAIIKLLLEQGIGADSLSN EELIPLLHAVGYSHTTILVQPLATERVDQGYKNSCGRTPLSSAAWNGYEAVVRLLLEI GADVESKGTLYKGTPLLLAAENRHEAVVRLLLGMKKVTADGKGNCGRAPLLYAIKNGY GAVVTSILSDSVVDQNQIDHGGSTLYSIGVRNSHAEVVKILLDTEIVACDSWGYFGRS VFWWAKGRGNGDIEKILFDYAKKRGISLCGDENGEVMLISHDTTSRYCHVCTLSDPDI TVYYDVRYATEVLTSIQSAINLGGGVGGSLFARWS AFLA_109080 MRLVPTCIESDHKRKDGPTVEHDEDAIPGLHYQTVDPHVNPDAI SYGPSGVKGLFTSNYVFGAATLASLGGFSMGYDMGVISIINVMEPFHQAFPKAETSFG KGLMTGMLLLGAFIGCIFMSYLSDRISRKWAITAMVVAFDIGAILQTAAVNYDMLVAG RFIGGIGVGTLAMGAPLYISEISPPNMRGTLLVLESISISAGVVIAYWITFGTRHMTG EACFRLPFGLQMVSATALGLGIHFFPYSPRWLALVNRDAECLTSLTKLRNLPATDERV QIEYNSILSEVRFQKIVQERKHPGAKGLKLEILSWFDLFSKETWKRTAVGCGIAFFQQ FSGINAFIYYAPTLFESLGQTSEMSLILSGVFNVLQFVAAIICFLLIEKIGRRPLAIG GAFGMTGA AFLA_109090 MAESDIEHPQRRSSSRTSSSSRHSQKPRPVRTRSQKRQSAASSN ATTTDLTSFPSLSPDRSVEGFFGKPALNRALTNALLDDHESVDSSSSGEMTGRDRRAT LAKLTGGMSRTSGRAALFDDAVPVHDFPGALHLADDAHIERLIAGTGAVKLVRQFARD LAQRDAEISSLRQRADARERELKRMLREVSVSNQDIERRLYALENSPRRVEKEFDDNG NAEQEAGSSSGVHGLMQQAMSDGVGSHQEGSPEATAAQATVRGLQRLESDAKSGASSV ESGSGTTRKRQSSLRNWQDYLFGSNTGSKKTSRASSIMTDVGEVDEEDTRRQRNPNNI ARRKALDEQLFNPPDGQTSSTGEIIGKHVAGVSVNGDDASIHSRKSSKSVSSWTVKLF AGKAQAGKEENYAEAPRGRALSTNPGSSKGDSPSLQATSKGGLSAVAALKRINSNTSM HGAPGNLQGGPGATTRSNQIGRKHAASSVSHGASSETTDKNPTNLGPVEMDAILPMES RPPTLSHMYNNYQPGDLLTDRFGFIYDQRRKKRQREASLLKNNNNRLSIAETLDSFRS DNSDGDNDDLQKLPHSAAESTRSPASASPEDPEAGSVAIRRWQDYLKIATGPAELLSH TPSAGPIVSLTAGESQPRGPGVAVDKRGSLSVNANAQPSASTSTVVADRPEFAGTSSD EPTATAATATANENEPVKLLLEQLTDLHDSLQRDRTVRWNEFLRKVRAERRKEGEAAA AAAAASDRPLQSVDMPEASLTDGEVVGIAGLGNKGKVGRAKWREFRALVLGGIPVALR AKVWSECSGASSMRIPNYYDDLVKGVGGNDPDPSVVAQIDMDINRTLTDNVFFRKGPG VTKLKEVLLAYARRNSEVGYCQGMNLIAASLLLITPTAEDAFWILASMIEIILPEHYY DHGLLASRADQGVLRQYISEVLPKLAAHLEALGVELEALTFQWFLSVFTDCLSAEALY RVWDVVLCLNVTSVINPAPTSANTSTSSPRDPNDFTASSVKDLTSGNGGGSTFLFQVA LALLKLNEQQLLTTCSTPAELYTYINHQMTNHAISIDGLIQASEALRNVVRREDVVER RAVAMREFGAYNADESK AFLA_109100 MHQAVSCTNERNPKLGANSPAGIGRASAHQFAHNGAKAVYLCDY DPTHLATHKREIESLYPGVDVHARQFDAADEVAVKSVVDDAIQRYGRLDIFFANAGIV GQPKVFTDISGEDFMKTLKTNTLGVFLAVKHAAGAMKQTSASKPYPSGSIICTASVAG LRSNAGSTDYSASKAAVVSIAQTCAYQLAGTGIRINAICPGLIETGMTQAVFDAARAR GTQRKIGQLNPLQRGAVADEVARAALFLGSDESSYVNGQAWAVCGGLSAGHPFVPGKL A AFLA_109110 MPPSLREQSSSFARPSTRDRPATRDQGENSLVVPSRTSSLHSRI TQPIPSQMNAKPAQRTPKTLTHAYMVCGVGREPSQWVRAPAPEQGKIGHMKGAVGQFW LPEILGSSPRLEQDNEIAKSLHSAMRACFPHDVEICTGKTQPHCVHHAFVLQQDSSHT LYGIALRVWSRADEKRAETIRELRKKTEPDFYDNPEETYWIPYCLSFLSRYPLYDLLG DYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQ NFAMWPLFNCLSIPNIVGVIEAAVSPTRRIIFVSHYSAMLTIAAETIRYCVRVYEWSG LYVPVVHARHIKDLVQEPGPYILGVTAECRTLFNAPNDALVVDLDRNFVLTSSPPNVL TPGQRTKFINRLTQALNGDVSPSGVPNHLRSAYAGGKLIPAGQIIVMRGEVESIQDPQ WWNQDAVMGVMDHVCEKLGRNTGVKAIFGGSVKKPLMTKVSTRHLNEIVRERNQYSRD AMEAWQDFINLKGRMDTELSKVTKRNNFLVEELETWKQQFLKFQAFAEQLTKETSELK VKIENHKRENRRLTGLIDQQKDDVARLTLRLSGTEKQRDDALEALVLQQEIAEELERE RKRNQQELSSLQHSNKSLARQRDEAQRVVLHLRSLINGQTHHMEHIIRSIGSEVDISE LAEQEAAEESKEFKESKAAEPTLAPTPKPRRQSSTPRLDDNRASRVMKDMSPELEQHL LNIGNGHNRSLARLSITDVADRYLRDKTDAISDIIRSISEQCAAAVEGLHLAQDAEDD DSDESSKTLNGNRLAPEDQSSIREGSEMGDVDNSLHAHRASSVPPTPDLVHNRSSTSM SMVSNSTFPERSSQQYGPGEVPTRIVEDDDEHSHETDGLDDQTETGTLSKQTSEDLMR SSTSRLVA AFLA_109120 MGQGYSLTTLSAGSAGIDIPELSDLSYEKSMGGGRFMKSIRARQ QNGLVFVKVIMKPFPSMELEPYVKAIIRERKLLSDVPNALSYQRILETGTGGYLVRQY IHSSLYDRMSTRPFPEDIEKKWIAFQLLCALRDCHALDVFHGDIKTENVLVTSWNWLY LSDFSSSFKLTFLPEDNPADFHFYFDTSGRRTCYLAPERFLEAGEKRESEQVNWAMDI FSAGCVIAELFLESPIFTLSQMYKYRKGEYSPEHGQLAKIEDPEIRALILHMIQIDPE SRYSADEYLNFWKNKAFPEYFYSFLHQYMSLMTDPSSGRAQVEAESANRGEADDRIDR VYLDFDKISYFLGASTKSSKDGSSRTSSRLTGSTFPVQLDLPQYGHQGPKSQPQTDDG VLIFLTLVVSNLRNTSKASSRVKACDILLAFAERLSDEAKLDRILPYIMILLNDRSDS VRVAAIRSLAQLLEMVHVVSPVNAYLFSEYIFPRLQPFVSSSNSNPSPLVRAAYASCI ASLAQSSLRFLDMIQALRTDTRLPALIPAGSEPRWTEDATYHNLYDVARVDLLEYFEA HTKALLTDSDASVRRAFLGSVSSLCVFFGNLKTNEVILSHLNTYLNDRDWILKCAFFE AVVGVAAYVGSTSLEQYILPLMVQSMTDPEEFVVERVLRSLAAMADLGLFQRSTTWDL LNITVRFLIHPNVWIREAAVTFVVNSTKFLSVADRYSILTPLIRPFLKVNIVGFSEGD ILDALKKPLPRNVYELAFIWASKVEKGMFWRTAHREGTFSLGANDGIIPRGSQRSSSY SLSSYSKNEEDEQWLSRLKNMGMGSDDELKLLALREYIWRVTARQVKESENGTSDLNN VIALTQYGVTPQTVFFDKNQNVKPRRASSAKDQPSKAGERRPHTITDALLDASTTIDS RPNSRRKHARSRSHLQRELGPVLTTPRQDTLDTLRAAESSAASSPVASSPSAALGSGP LSPPSSDTERRLTEGRDSPGHESSSTPTDAENPVVRGLATGVQRKPSAISLLNRKESA KAYAETSTSSANAFGKVDVPSHRGTPQPSPLSIAHDRKALESGPQKYHASHSYGGHNP VILRLLDNVFAENYPTDFFDLGPYVKEIDTRRAIKKASGYETNKIWKPTGGLVVIFGE HSGPVNRVVVAPDHAFFVTASDDGTVKIWDTSRLEKNLTPRSRQTYRHSTEAKIKSLT FVENTHTFISGATDGSIHAVRVDYNNVNETVRYGKLQLVREYQLSAAEDGTVEYAVWM EHFRVDAQSTLLIATNTCRVLALDMKTMLPVFSLQNPVHHGTPTTFCCDRKHNWLLIG TTHGILDLWDLRFRVRLKAWGLPGSSIIHRLQVHPTKGRGRWVCVSSSGSHGNEITVW DIEKVRCREVYQTDSPGVGNQAPNSDDAKAKQTRISSKEYEAWHVDSDRPEGMLSRFA TEGPMSGAIEQGSGPSPSSTPAGICAFAVGFDAPDDNRDSSTRCGFIVSGGCDRKIRF WDLARPELSSIVSGLDVVSESGVAGKPRYEVSQPGPSLLVTSEHFPNSSANAATTGSG GKNNNKKGTGGRLPRSTVISLQQQQLLKSHLDFIQDVAILRVPYGMVVSVDRAGMVYV FQ AFLA_109130 MPSVNLDSSTSSIGGHENDHPEIPIIDDNEPLVDVVRKLYNYLT LTVSDVSYTFEQIGSTSHGYSLRLLIHSLVESSHNTYIIPALMILKWQFDNAADYDWG VSESRGYACEYVAWQFVCHLNQRETLVYLLEELTWPTQKAINLPQAEMGTSGYASAAA TNHRTLEEDERAPLLLGSSSSLYRFFGGTRRLGSSLEDDNSGSHDLTYDAYELEKFSL FFGLNALEIATIAHAKKFLSQKVVQRVIDHIWKGEIVFWDTLSVHSTKKPHFFNKRTA DPYSRLRVPVYRKAFEAAFFVSFLILYYAVLVERKPTGIGIFETLMYFWIAAFAYDEV SGMADAGMLFYQMDFWKLWNMGIIGTGLAFVISSESLSPVNLPYPLFSSFSWTRILCH RGERPLGSSGWPKIATTLQTCHLIYFH AFLA_109140 MNTKTSLKRYDYFLVPSRVGWPESPSCLNRRLHHSHQFVSILLH NGLHWETRTASLPRQGYQTVGSSLNLFDERAVGEVCAGV AFLA_109150 MEQTPTSFSSPSPFARSPPDNHIMLEDTSAYSSPMCSVSSYPSN SQSTTGLGISHCEMEGPSSQLRLFSPDTYSSPVTEWPNQLMHPESLLETTLDVGHFSP GPCYEPFGGHSDVSVSPLTYYSPQTLNAPSGYGSAMDFAGNPGTLSAPSSRFWPNTPQ SDVASSEIQPLVKEEPDDNWEQHLLTKVGDSTGIDPVPQIPHIASDSAYLKSQHFSDN GNGTLVELNPNVGRQGDESRKPTARNAPVEVVFQLAKSDSELPDERCKILSANGLECT ICGLRFTRRSNCREHMKRHDPSLRKSYHCEFCDRPFGRKADLRRHVISQIHHGIRKFG CEDCGQRFSRQDTLSR AFLA_109160 MAEITILFPFPPPQGRIDKYNTPLWIFILCERHILAVTMSVTTT TTEPPRITAENVATLFPEVDTSLAREVLPKADGNPSAASSNELAGYDDEQVRLMDEVC IVLDDDDKPIGSASKKTCHLMTNIDRGLLHRAFSVFLFDSNKRLLLQQRATEKITFPD MWTNTCCSHPLGIAGETGSELDAAILGVKRAAQRKLEHELGIKPEQVPLDKFDFFTRI HYKAPSDGKWGEHEIDYILFIQADVELKPSPNEVRDTKYVSADELKTMFEQPGLKFTP WFKLICNSMLFEWWSHLGSPTLEKYKGEKGIRRM AFLA_109170 MPESTTSQNASALRPRFNPVINPANSCPVLVDEHLEDNHHIFLS RPWLGALLFENVTSDARDHCANERTFLSWLRLSMYLAVVSVAIIISFHFHGGPTGLER RMALPLGIIFWILSLACLVNGFANYVRTVRKYSRKAALVQSGWKTQMTFTVVGTVILG SCILFLATDANNKN AFLA_109180 MGRSNSLRSAEEQALHGRFLSSSPLAEAAIARDLENYADDEGSM ITTDDEASETSTIRAINSQPGTNPHSLSGSYRRPSFFTTVSHATVVPYTAERERLTRR ERERAIEDERDLLSDNNVMDARARRRVTPTSAPSGETTALLGSHVGGREYNATDDEEI DRKWEEAVAAGLIHTTWRREAQVIGKNAAPLMVTFLLQYSLTVASIFTLGHLGKKELG AVSLASMTVNITGYAVYQGLATSLDTLCAQAYGSGRKKLVGLQMQKMVFFLCTITIPI AVLWFFADKILMKIVPEKDVAALAGLYLKVVILGAPGYACFESGKRYVQAQGLFSASL YVLLICAPLNAFMNWLFVWKFQWGFVGAPIAVAITDNLMPLFLFLYVYFIDGAECWSG FTTKALRNWGPMIRLALPGLVMVEAECLAFEVLTLASSYLGTTPLAAQSVLSTIASIM FQVPFPLSISGSTRVANLIGATLVGPAKISAKVTMGYAVIVGMLNMLLLSSLRSYIPR LFTPEEEVIELVAQVLPLCAAFQLFDALAANCNGILRGIGRQEIGGYVQLFCYYAVAM PISFGTTFGLGWDLLGLWSGVALALFLVSVIEVVFLIRTDWDRSVQDALQRNAMA AFLA_109190 MDNYGYGSSSYGGGGGFVPGETNSPAAGKSADGNNTTLRPITIK QALDATQPYPEADYQIDGADVGSICFVGQVRNISTQSTNITYRIDDGTGEIEVKQWVD SATADTMDTDDSKAGAGKNQVVNNGYAKIFGKLKTFGNKRFVGSHCVRPLTDINELHC HMLEAVAVHLFFTRGPVGGSGGAGAAAGGAGGADATMGGVDDYSAGRNLPAMSPVARR VYNLLKTEPQSNEGLHAQLIAAKLSLPMPDVARAGDELLTAGVIFSTVDEQTWAILDY AFLA_109200 MPHRAASPAASENEFDITNALFQNDSDSDNDTSLKQTKRPQKAA PPQALDFLGGDIDDDEDDEAFIAGQQASANRKASNLKGRTVKKGGGFQAMGLNAHLLK AITRKGFSVPTPIQRKTIPVIMDGQDVVGMARTGSGKTAAFVIPMIEKLKSHSTKVGA RGLILSPSRELALQTLKVVKELGKGTDLKSVLLVGGDSLEEQFSLMAGNPDIVIATPG RFLHLKVEMNLDLSSIRYVVFDEADRLFEMGFADQLTEILYGLPANRQTLLFSATLPK SLVEFARAGLQEPTLIRLDTESKISPDLENVFFSVKSSEKEGALLHILHEVIKMPTGP TEAAQRQKEQGDGKNFKNFKKRKRGDDKAINFQESPTKYSTIVFAATKHHVDYLYSLL REAGFAVSYAYGSLDQTARKIQVQNFRAGLSNILVVTDVAARGIDIPILANVINYDFP SQPKIFVHRVGRTARAGRKGWSYSLVRDADAPYMLDLQLFLGRRLVIGREHGDQVNFA EDVVVGSLPRDGLSTSCEWVTKVLENEADIYSQRTIAGKGEKLYMRTRNSASLESAKR AKQVVSSDNWTAVHPLFNDQGSQMELEREKMLARIGGYRPQETIFEVHNRRNGKHEGD EAIDTIKRIRTTVDYKKKKREMAEKQSDFVEDASSGNKGEANETEETGAQPDEDEEDI GEGVPDNMSMASESDLEVTFSSYNGGKAKKDSAASFQNPEYFMSYTPSSTNLAEDRAY GVHTGTNANFTQASRSATMDLLGDEGARGFAEPRTMMRWDKRHKKYVSRQNDEDGSKG THLVKGESGAKIASTFRSGRFDAWRKGKRLGRMPRVGEEETPALVHDLNTAMRRRRFQ HRKEQAPKAADRLRGDYEKMKKKGEAAKQRQLSKAGGAAAGGKSELKSTDDIRLARKL KQQRREKNARPSRKK AFLA_109210 MVVDEQKYQVNPDRMRKGTQRTHRLKRRGPVTQSPMIDKLKMLT YMAYGAKKNPHGDLQMMEIKSIGC AFLA_109220 MSASVSAEDPLETSGNVRRPGQSETPQPVTAVESSTVSAPTGIS AGVAGQVRAPKTPTTTIHESTYYRVISGPGNDVPRHPFPVSDSFSSRLPASLSVPVTS QPSVPTFSQPTFGTSPPGAAGRSLQQKPTRRTKAHVASACVNCKKKHLGCDPARPCRR CVLSGKEATCVDVTHKKRGRPPLKAEDASLRTYASQTDNSGTSGEQHAAQPRRPMHRA TSSRELRPMTDLQVTGGPPGPYGIRVSPGQPTRWPGAIYSQAIDPSLSMQRNIGHRRF SSSSSVQSMATVSPGSFVPIGGYSPVMGASHMPMGVGRPLSSYGNQVVHPSSSPPQYY QPYGVAYSPYPPNARVVNRMPIADQQVPRDPRENLVESSVRLPPIYPPTMGNPQPGPQ AHRLSDPYPANWSPRTREELMQQELRQLPSHGHGTIEPVSPSSQMRQAASDFSFGSQI PRHLATVPPMQEQPPQESPVRARDDQPAAEVETDDSRPAKRRKMALDDMVND AFLA_109230 MIMPGPRPFILFFNPVRHAVPFYHQLQKVARTEVVTSKSREEFY SDLRRKYKDISIIYRTSASGAVAGNFDADLIQHLPSSCKHICHNGAGYDQIDVNSCAK RGITVTYAPDPVTEATADLSIWLMLGALRQLNPSLSSLRAGKFKTGLDFGHDPQGKIL GILGMGRIGRAIKKRAEPFGLITKYHNRNPLSSDQAAGAEYVSFEKLLAESDIISINV PLNAQTKGLIGEKEISQMKDGVVIVNTARGAIIDEAAMAKALDSGKIASVGLDVYENE PRVNERLLGNDRALMVPHLGTHTVETLAKMETWAMENARRAALGEKLLSPVPEHVHFQ AFLA_109240 MAVHDIEKGPGRAPQEDAGQKAPGFVTDPLGSNTPFVNADKLAR NLSARQVQMIAIGGTIGTGLFLGTGKALATGGPASMLIAYAICGGIVFVTMLSLGEMA SFIPVAGSFCTFAGRFVDDALGFALTWNYWFNDAVSTASDVIALQLLLQYWTDNFPGW AISLIFLVVVIALNMFSVKVYGEIEYWLSLLKVVTIIIFIILGIVVNCGGNTEHQYIG GKYFYVDDAPFVDGIGGFASVFVTASFAFGGTESIAVTAGETKDPAKNMPKVVRNVFW RIILFYIVSIIIIGLNVPYNYPGLSNGDTATSPFTLVFQQAGSAVAGSFINAVLMTSV ISAANHALFAGSRLLYSLAVDGYAPRFFGHLNRFQIPWVAVLATSVISGLCFGASYIG AGQLWSWLQNIVGVSNQISWVCIGVASLRFRSAIRHQGLEHLLPYKNWTYPVGPIIAI CLNSVLILVQGWKCFSPHFKGVDFVSYYIEIPVMIVMFLAWKLFKRTRFVHRGEMDLI TDRYNLIHSTGVDVNDSNEGSSNIHDDNAPQQEEILLVGPRGQERPGED AFLA_109250 MAPSNGPRSGKNAKSSHTLKRKRAQDDLSTLTQRVEDLDIKETY KSFSDLPLSEPTASGLASSHFKTLTDIQSRAIGHALKGRDILGAAKTGSGKTLAFLIP VLENLYRKQWSEHDGLGALILSPTRELAIQIFEVLRKIGRYHTFSAGLIIGGKSLKEE QERLGRMNILVCTPGRMLQHLDQTAMFDVFNLQMLVLDEADRILDMGFQKTVDAIVGH LPKERQTLLFSATQTKKVSDLARLSLQDPEYVAVHETASSATPSTLQQHYVVTPLSQK LDVLWSFIRSNLKAKTIVFLSSGKQVRFVYESFRHLQPGIPLMHLHGRQKQGGRLDIT AKFSQAKHAVLFSTDITARGLDFPAVDWVIQMDCPEDADTYIHRVGRTARYERDGRAV LFLDPSEESGMLKRLEQKKVPIERINIKANKQQSIRDQLQNMCFKDPELKYLGQKAFI SYVKSVYVQKDKEVFKLKELKLEDFASSLGLPGAPRIKFIKGDDTKERKNASRATAYL SSDDDSDEGGEKKSKKDEKQVRTKYDRMFERRNQDVLADHYSKLINDDGTLVDPSKTA SAADDADEDDDFLSVKRRFDAGDEDLGGNSEEELEQKGVKVVQLDGKDTLVIDSKRRE KLLKSKKKLLKFKGKGTKLIYDDEGNAHELYEMEDEEDFKARGDAKEQQARFLAEETE RTRTADMEDKEVAKQKRREKKEKRKARERELLAEEEAEEAVAQLAPYKEDDEFSASDR EDDAPRPSKKQKVRIAEPEESKEEPWYKKSKKPAAKAPEQIQTLEDLESLATGLLG AFLA_109260 MSSDEQDALDALEREASDFVKDAEIDRIRKAFSLDAYAVLDLQP GVTESDIKVQYRKKSLLIHPDKTKNPAAPDAFDRLKKAHSTLMEEKSRTYLDECIADA RRLLIREHKYTLDSPELKTEEFKKEWRQKTVHVLLDEEARRRRQAKAKLQEEGRERRK EEEEIEERKRKRDQDKAWEDSRDERISSWRDWQKGKKSEGDKKKKKKMKVLG AFLA_109270 MANIAQLPPELFCPVLDLAFENQSDIQLLCSLSLVSRQWYEALV PRIYAEWTYNGARQSFRSLWNFLRTILNDSRIAALVHSLHIGNWGYYPYARSGEWEEL NLPQDEITLFREAINRSDPVLSAVLRQILDCQSGDNPSTILSKLSDLYVLGEVDVPPR DLVNHNLLPGADQTPLRLDDLWPALHLTGLRTLSLYGLDTANAALRLGASPAISRLKH FSITGGFNSSCTYADLRALLALPEALTSFSLYIQDYAFGSIGGDMISNAGLWKVLKKH QSSLEYLDIYRDAEHTGLYMSQGHFGLLHSFTCLKKLCIQAEVLLGSFWDRPNAPYRL KDRLPCNLESLTLYGGKRFFNTSSIGVHLQEALNSGIFSSLASVELEGFYVDSDISEV CRQNGVTLSMGRSCHHKAPRDCQLRKEGSCPPFVQKTHHMRMDGQRRAVMFAFFPEEC RDRREILLPLDDSMDAYEENDAKDEDLDQCAGDLKLRMLDFWVHNGGTAYMVFQNFAH SSLPPLFSFAIYFTHAHVSREKIDHRALYHALCDSYSNYDVRFDLYFVPGITEEGCIA HYRQEGRFRGDYKRQLKAYKESSRYDVSPGVGALPSMVRDYSDTGFYRGLLFICTEPE WNGDQEILWSVQFDPIRQAEDGTESSDEENIPLNCIQRHPINDSSRWYEGYGGECPID RWISDIASWHREELRGPWLKATRRGWQSWR AFLA_109280 MNWMMWLCLALSIGHGACCMVAFLCSCRPLSYFYTQFADPSGGK CIINLYAFYLGNAATNVFTDVITLLVPIPIISRLQIRPMQKVLISGIFLLGGFVSVGS MVRIYYLTLLATNPDINWVMGDVYLWSTIEPCIGIVCACLPTLNALLRRTTKLVLGSN AERLFGSFSLSASRRKRRDKSQSKSRSFQQLDGNEATPNAQLRPEDEIVLTTVSAHSE PNSYRRDTDSVVLMDDSARMAITVKHDFDWSEDHP AFLA_109290 MDIAFKLVLLGATLILSHLIWNYFRSPLKSFPGPFSANLSNLWR LQDVFKGLCDITHNELHRRYRSAVCIGPNVLSLSDPALINQVYSTRDPWVKSDVYNVN DSVVSGVLTWDTMSQLSYSQSIGMLEAKNDRFGILEVSNRSLDYFASIRSLPYLDAVM RETMLVHPGVGLLLERIVPKGGFTLPDGRFVPEGTIVGMNPWVINRNRTVFGPEPDSF KPERWLPAEGEHDEAYQTRFSKMKGTDLTFGAGPRACLGRYISQLESYKFVATLFTMF DVSFGCLQDVI AFLA_109300 MAPHLPSPQAQYTSTSSTQQPTTSSSLSEIIAQCHHKVHAFLAE SHPPDSLLAAVQRQTRISLDVAATALSRYSLPELALSYNGGKDCLVLLVLFLASLHPH PPPEKGGLKSIPAIYALPPDPFPTVEEFVQWSSSAYHLDIIKYTTEPPKTTLKSSFAH YLSLHPSVKAIFVGTRRTDPHGAKLTHFDRTDSGWPDFVRVHPVIDWHYAEIWAFIRQ LGLEYCPLYDQGYTSLGGQTDTHPNPKLRVDTTAGNEATKHYRPAYELTEDLEERLGR N AFLA_109310 MAPQHSGDVLTPLLGALNLQAQRPKYPFVFLPDQMPQIPQIPQV PYMYPNVLPYPTLPYLVNCNEEQKNESLSLPFQMMKTPNGYILQDLESLTQQDPPIPR AVPAMWTNPSDLTLAKCLENREGITNVYIRGFLPETTDEMLYAYASRFGKIDRCKAIV DLDTGLCKGFGFVQYYNFESCENCIRGFFYLGYQASFAQKSRNSRLKDLEDKTSTNIY CTNIPNDWTEADLRRHFEPYRVVSEKISRDEKTGVSKEVGFARFETREIAEKVLTEYH NTTAKDGVKLLLRFADTKAQKLLKQQSNERRAYRAGEYNYSVEVVQGSTPSPSLHRLQ QTASHLSPNSQISYPSPVGVGPTWTPATSISPPYPMVKNQPSNVHLNTWSAGNSPATL DHTPVYRGRLPITRMGWMETISSGSSRTVSSRTALPNSPCAESRSGPTSPRKENTKAE SLSPIPSRREIIVKSPRSIH AFLA_109320 MSMSRALSLPLRRVSATSPTMRLSPSAFTATRAFSSSLRRDDTW GFIGLGQMGYAMAKNLRAKIPASDTLIIRDINENTAKRFVEETQEAVRNSGAKEDTSK VLIAQNAREVAEQSTVIVTSLPEPEHVKNVFYCMLRHGELPALEKERLFIDTSTIDPA SSREIANAIHTTRQGRFVDAPMSGGVVGARAGTLSFMFGASSQTGELVDRVQSVLMLM GKKAWHMGNSGTGVSAKLANNYLLAINNIATAEVMNLGIRCGLDPKVLADMINTSTGR CWPMEINNPVPGVVETAPASREYAGGFGISLMNKDLRLAISAAEESGTPLALADKARG VYKAVEDEHRGKDFSVVYKWLQEQSATQS AFLA_109330 MCRLLWTYFFRYSESPTATLRKVDEIARIALPVGKRTYLSTEPA ITEPLIQLVRMIGFKHPEVCFRNIIFPMMNSDLFLSGKELKIEQMEPEKMVIGIRSFL AIITDLENCDQLCPPFPTGSIPNPFTDISASTNLLRPQFLTDPRLPTALESRDDTRPR PVNTSRLSDNVRTYYIRFCEILGKITLLCDNTFGGQAALDEKFGGATPKTPISEAFSF GRRDDHINTLDQRQGFYDLLHVAVQALPRCLSDHIPFNSLINLLCTGTAHVQSNIAFS SAESLKAIARQCHAQQVTIGFARFIFNFDARYSTMSDEGMLGPGHIESTLRLYVELLE IWIEEIKHKSKGAVAADSGDKSGSGSRALQLDLSSVLAHVEEIESHGLFFLCSQSRRV RAFAITVLRLITEFDRALGKENTRIIKILEADSHQILNVSDEQLTVAERSRIQKGKRR SASQNTLIELCSSEVSYDSTLWSKVFPNIIRISFETCPFAVTLGREIVCARLVLMHKT ITGLAENPQHPPYGPLDATQTRPHGRSHMTAEILIEQWKLYLVMACTTVNSVGAQSQS QLANAQHARKSSKGSQQSNDKISSARSLFAFVIPLLSAERTSIRNAIVAALGSINKNL YRTLLESLQYAVTTCNEEAKIRIGTHHRSPSSPKRNRRTDRLRTEVTHVYKLTSHFLQ EPEVYNDDWIVNNLVTYAKDLRIFLSDAEVQNDWEFQRLRFHFCGLMEELFEGIHRTK DSSRWIPFESRKSAFSLMEDWCGYSPNQAQISQREENMRKLAITNQRETGDVRNTAAA MEIEKKNLRAAALNAMASFTISDKWHAIGRRALKNLIVHNKEHAYLLERSIEMCYITE RPKALESYFEVVSEVLIEHTDYPLGFWRILGAVLVTLGNQKREIRMKSAKLLRILEER QQKSSRLQDFDISISDKTTAVYKLAQFETSKRLAKQHSDLAFTLFSEFSLHFRNLRPD SQRNMVAAILPWVQTIELQVDPNGGPTARSYMLLANLFEITIRCSTILPNEVQALWQA LTTGPHGGNVQLVLDFVISLCLERKEQNFVEYAKQVVVFLAGTPAGSKVIEFFLLQVV PKNMVQERKDITPPPPDIKGLPYVADLAAVLPVGNKQAGLSLGQVALVFLVDLMVAPV TLPLEDVVKLLHVVLILWDHYTLTVQEQAREMLVHLIHELIAAKLEDDASAGTRQSIE DFVESIRKSDPKVVWEYEDLNDKDEEDNGSRVPSSMGSVTGQVVDFFSLAYEGINDLW AKEALNWATSCPVRHLACRSFQVFRCISMSLDSRMLADMLARLSNTIADEEADYRTFS MEILTTLKIIISSLAPTDLLRYPQLFWTTCACLNTIHETEFIESIGMLEKFMERVDLS DPMVVTELIKGQPPKWEGGFDGLQNLVYKGLKSCESLNLTLDVLHRLSGFPNNELMGD GNRLLFTILANMAHFLHQFDPAVDDPKTLARATLLARVAESERCPRLAASLLGFANGQ YKAENDFLSHIITEIRSYYFPRQDVQSLIFLMGLLTNTTNWFRVKIMKILCVLIPEID MRRGEVTCHGFDLISPLLRLLQTDLCPQALQVMDHIMTVSGNPMERHHIRMSMASSSS SRAIRKEYERIQSLYGIPEPTGWSIPMPATQSSITRHNVHAVFYTCAEVDRIEVQETT PSEVEFHADEYNDSFFPMRADTMKSIDTQADGNIGDIVQKLDSLDDFFEETETSNPTI ESIPDSALRGFTGTYVDTSANLYDQQTAPILRKSLARTASSSSFHNGLAESRPPNFRF DNPGVHSPGVLTPQASSQTLRPVSHARSVTSPVNQLFSPTSSSTQFSTPPIGFNESAF LSDDEVEEGMYDLDERIIANRLAPHQLNQTRSATDGSSSLESMIRSGMRRLTGGAANN RDKERQRDLVRAQHRALAQAASSPRVPKVPPEYLTGPASNPASPGQ AFLA_109340 MPLIPDESGLASHHTFASPPERLVTRSTPSSTVHSRETSAVRGR PADPSTLAPSTLQPQNTRRGHSHSKSPETASGRPGASYDATLERRPSNSYGHHRQTSI VHGIQHSRNPSFAASSTSNSPLSPEMIASLGRGVSVEQDNSPLGRLEQLDMHTFHQNQ ATNGTAHTLQGMLSTIEDRDTDEAAEGGLANPAHRRMNSSGKPRSHSRSHSKNHLTES KTVGEYALHHLFNSFVGQADNKINQAIMKLGESDVPVEMVCGPGADPGFDQLISALGH IARQKPKPLIDTIMYWRKVKGDAAILAKQVPNQSKENGLLIRRNTEPPQVAAETAAQP DHPPNPIFSRSDDVILAERRATVVVLLLLPSTWLSAWKKLCLANSRRLIQTRLQPHHF A AFLA_109350 MAQLDTLDLVVLVALLVGSVAYFTKGTYWAVAKDPYASSGPAMN GAAKAGKTRDILEKMEETGKNCVIFYGSQTGTAEDYASRLAKEGSQRFGLKTMVADLE DYDYENLDKFPEDKVAFFVLATYGEGEPTDNAVEFYQFITGEDVAFESGASAEEKPLS ALKYVTFGLGNNTYEHYNAMVRNLDAALQKLGAQRIGSAGEGDDGAGTMEEDFLAWKE PMWTALSEAMGLQEREAVYEPVFNVTEDESKSAEDETVYLGEPTKGHLEGQPKGPFSA HNPFIAPIVESRELFTVKDRNCLHMEISIAGSNLTYQTGDHIAVWPTNAGAEVDRFLQ VFGLEEKRHSVINIKGIDVTAKVPIPTPTTYDAAVRYYMEVCAPVSRQFVSSLAAFAP DEATKTEIQRLGSDKDYFHEKITNQCFNIAQALQSITSKPFSAVPFSLLIEGLNKLQP RYYSISSSSLVQKDKISITAVVESVRLPGASHLVKGVTTNYLLALKQKQNGEPSPDPH GLTYAITGPRNKYDGIHVPVHVRHSNFKLPSDPSRPIIMVGPGTGVAPFRGFIQERAA LAAKGEKVGTTVLFFGCRNRNEDFLYQDEFKAYEEQLGDSLKIITAFSRETSQKVYVQ HRLREQAELVSDLLKQKATFYVCGDAANMAREVNLVLGQIIAQQRGLPAEKGEEMVKH MRSSGSYQEDVWS AFLA_109360 MLLIRLVCHRKANRLEIYTLTPDGLNLAASCTLYAKVSMLARLP APAHSPTDHLFVGTDRYTYCTLSWDSAQNRIRTERNYVDIADPSSRESQTGNRCLIDP SGRFMTLEVYEGLVAVVPIVQLPARKRGRAPAVPTGPDAPKVGELGELTTARIDELFV RSSAFLHVQSGLPRLALLYEDNQKKVRLMVRELNYTSATASTSADATLTHIADFAQEL DLGASHLIPVPAPLGGLLILGETSIKYVDDDNNEIISRPLDEATIFVAWEGVDSQRWL LADDYGRLFFLMLVLDSDNQVQGWKLDHLGNTSRASALVYLGGGIVFVGSHQGDSQVL RIGNGSFEIIQALSNIAPILDFTIMDLGNRTSESQTHEFSSGQARIVTGSGAFDDGTL RSVRSGVGMEELGVLGDMEHITDLWGLQVQAGGDTLDTLLVTFIDETRVFHFSPDGEV EELDHFLGLSLSENTLLAANLPRGRILQVTEQRVLIADLEGGMVVYEWTPPNELVITA ASANDDSLVLVIGGELMTVLDIGTEAQVITEKKFGADSQVSGVTVPASPTEVCVVGFP QLAKVSVLRLRDLTEVHTTSLGPAGEAFPRSVLVADVLADSPPTLFISMADGSVITYS FKTDDYSLSHMNKLILGSEQPTFKKLPRGDGLYNVFATCENPSLIYGSEGRIIYSAVN SEGASRVCHFNSEAYPGSIAVATLHELKIALVDRERTTQIQTLQIGATVRRVAYSPSE KAFGIGTIERKLADGAEIVTSRFMLADEVLFRQLDSFELRPEEIVESVIRAEFPAGKD ENGREMTKDRFVVGTAYLDDEGEESIRGRILMFEIDNGRKLTKVAELPVKGACRALAM LGDKIVAALVKTIVIYKVVNNNFGTMKLEKLASFRTSTAPVDVTVVGNVIVVSDLMKS VCLLEFKEGENGLPDSLTEVARHFQTVWATGVACIDKDTFLESDAEGNLIVLRRNLAG VEEDDRRRLEVTSEISLGEMVNRIRPVNIQQLASVTVTPRAFLGTVEGSIYLFAIINP EHQDFLMRLQATMAGKVESLGEMPFNEFRGFRSMVREATEPYRFVDGELIEQFLNCEP ELQEEIVNSVGMMNVHEVKVMIEALRRLH AFLA_109370 MSSPDTSVAAEALKDFFGQLYTFFETMIVRFFRNFYNSFATVGF NRWTKVTLSVIGYIIIRPYIEAWFKKMSERDRKKMQEKEKAKREAQGGKKAKVSANTL RGGASGGGKVLGEVENTDDEIEDGEDFATASGVPEWTKNARKRQKKYMKSLKEAEANA NKLSQDQIMELLDWSDSENEKKDA AFLA_109380 MLNTTLDTGTARATLKTTSPMEAVRFHGPRDIQVETIDEPICGK GQVKIRPAFVGLCGSDIHEYTGGPVLIPQEPHNITGRSYPVTLGHEFSGIVEEVGEGV THVSPGQRVVVRPTIFDRQCTACKRGYEYCCENIGFIGLSGYGGGLAKYHVAPAEHFY PIPDNVSLEAAALTEPLAVAWHAVNLSPFKMGDNVMVVGGGPVGIGIVQVLKLQGAKN IMVSELYEKRRKFAIEYGATHTFDPGQEDVTQRVMEVTDSIGADVIFDAAGVEKALNG AISACRTHGTIVNVAVWEKKPAIPVNDLMYNEVNYTGSALYDEGSFEAVIKALGYGQL KPEKMITSKIKLNETVEKGFETLVNDREDHCKILIDVQA AFLA_109390 MGSGGVVLRFFNLAIRVLQFLDGAVILGIFSYFLAVLSRHDQNI PQWMKATEGLSGAATLYGLLGILFTCCLGGVAFFAAVAVVLDVCFVGAMVAIAIMTRN GTQQCSGRVDTPLGSGESNAESPSKVKYEFACELQKVTFAVAIIGIFFFLVSILFQVL YARHHKREKRFGPSPANDYTYGTRPRAFWRRKKNNPDAASADDMLPTHPTPQDVELGP SQEKPSGFGGFFSRNKDTAQAPPSAPQNGYGYGNSAYTGNY AFLA_109400 MKGLAFVCGLLATSVSAHMQMSKPYPIRSPLNKDADGEKDYSYT NPLSTSGSDYPCKGYANDPFNSVATYSPGQEYEIELQGSATHGGGSCQIGLSYDKGKT FHVIHSILGGCPIEKKYKFTVPSDAPNGEALLSWTWFNKVGNREMYMNCAQVTIGGAA KLAQTNALSRRDSFDSLPEIFQANNNGPGQCTTTEGEEVNFPLPGPSKEGSLSGKGYT CKSSAPFLGDSTSAASGTSSAAHAPKSSAHKFGSASASATPSSKVASAFGSPSSLHGA FATPSPSQPGRVDSHPLKHHESSQENCRDGSIICSEDGQTWSMCTFGHPTFMGPVAAG MRCRHGAMHRVW AFLA_109410 MFLRSFHHQQRTFGRALSCHHAARFHDVEEFGARSRRVRHSPAS RITHPVPVASSISFLAMVHTSSRSHYKSRVDGLLHSLPRRSLKLATTPVTTSLHSRRL TGGSRGTVGTSQAANMPVDVKLENGDSVNSPSEENVVQAQARTSDLPPDVPPDPESDE DVPVQPEELQEALSRPPPVNSSYLPLPWKGRLGYACLNTYLRYSNPPVFCSRTCRIAS ILENRHPLLDPSQPAHPTKNRPDRSQTPDIARGQAFVEALGLANARDLTKILRWNDRY GIKFMRLSSEMFPFASHKEYGYRLAPFASEVLAEAGRVIAELGHRVSVHPGQFTQLGS PKNEVVESSIRDLEYHSEMLQLLQLPPQQDRDAVMILHMGGVFGDKEATLNRFRENYQ PLSQDIKNRLVLENDDVSWSVHDLLPICEELNIPLVLDFHHHNIIFDSTQVREGTQDI MGLFDRIKATWTRKGITQKMHYSEPTPSAITNRQRRKHSDRVSTLPPCDPTMDLMIEA KDKEQAVFELMRTFKLPGHELFNDMIPHVRTDENKPFKPPRKSTKKNGGFVDLEGHVP PAPTVPEEVVGMGGPERRVYWPPTMEEWLRPKKVIRTKAAQSPRRPRQTKKVDSGVEE SLEQDGEVASTALTTPASTPASKTPQRIQRTSSVKKMMMLCPKLLTSRDLGALGSVEV VERSQSVTLKTANLYDHTSIKPYCVLTPLTQLCINAILGEVPEV AFLA_109420 MSQFLSSTSSSWGSGGGAGGGRRQKVYQYLRAANELRQTYAAQW ASQINPSREYDEEYLKNTPGAFPDIEIARSEDEEMVIFPSYARRLVKDYDRQRRGSTS TLDEYRGVAEETELERLEREVLEDENAIVAVDVRGWVYSPHRGPMNRKQRLLIALARK LSGVPAPSNYSTDSEGPDSDNASIGKASGKRDDELVDAEAQSIIRKAEGRADAAWKSS ASERDGEATPARPLQRTTTSSTLEPSQMSMDELSIANAHLMERLRPFLSNPMNQQPVT VFFFNDGKSQSRSVLTDESGHFTLRAALPFIPTHIRVLASEDLSAMKQVEVIEPSGVS LISDIDDTVKHSAITNGAKEIFRNTFIRELADLTVSGVSEWYTKLAKMGVQIHYVSNS PWQLYPLLERYFKLAGLPPGSFHLKQYSGMLQGIFEPTAERKKGSLEQLLRDFPTRRF ILVGDSGEADLEVYTDIALANPGRILGIFIRDVTTSDHKQFFEKSIDHLEPHPSRTRS TPQLIDASDVAPNRPALPPRRPRAPDHRSADAISLDNADLIDLRDDDDLPDTVRPPNG RLPPAKPSKPSSLRTVSAMSDQPGKDNSSQMQDAIKRKPVPPVPPRRPSETPIPPNAL PMRSKTFNEVTPSESQELARSKQPPPPPPPRRSNTGATSSTATSNSSTSRPPSQRQPQ SYPTAAATAALQYASERLPSPSNLLRSTTTNPSPARSSTNSVDSDQQSVAGSVPPAPL PNKREELWRRRWERAHDILTDKGVVLGSWRVGTDVQDVTMWLVQEAMKDVPRSDTRKN AFLA_109430 MAGKITSDEGYGSSADSVCDKTLSSSNSTSSITMNEILPVTKVP ALDTIDPYVVNRSADLAVARSPSVELFYTSEIQNLADDIVQGYARFISSFTGLEDVAF FVSRHSPFVSGTQRACGVICASVFGSDRAEQQTGQECCKVREVDTCYYNKDEVQFSLT LGLGVSPENGELQPSVQENVFGLNVRSTTNEGVLQIDFTYPMQLIPDTAISQLLKTLA SRLADSSTFLTLEIPPPELSIINFPPLMVPPSRGTEPNEATEAESSRPSLLHAAFEGW ARRKPNSIALDFVHSLSSAEIPAEHSILTYAALNTAASNLATHIRTLLSGHDGSANYG LILPVYMSTSPELYISYLGVLKAGCAFSPIPQDAPAQRVQEILQDIGSPIILGNTSEP SSVPWRTETTDTEATTPTWVDVAEVSKWKELRADQTPSTAISEPLEQLNISESQTAYL LFTSGSTGKPKGVQVSHLAVTCSIESHATAIPLPGDSAGDFRWFQFASPTFDPSLMEI FVTLSRGATLCSAYRSLTLTDLEATINEARATVMMATPSLAALLRPSRLTTLQSLWTM GEKLNRTVIENFASTPDANGVNGSSGPSTMLVNAYGPTEGAINCTFLAPVDRSTRGSI IGKALPTSAMFILDPNTHVPKPVPSGLAGELALGGPQVSKGYLNRPKETAKSFVHSPD FGYVYRTGDMARIVWDETGSQVIEFLGRITSDQVKLSGRRVELGEIESVLSTVSGITE VVAVVSKRDSNMQGSEQVFACLVADNATEAEKDEIVRTAQESTQLHLASYMCPSAYAF FDSLPRSSSGKVDRKAISAKLQQGDVELYSTQKPKLETSVDAQHNWETTDEHTQQVQQ LVVSLIAETSDEDVTAIKPGSDLYSLGIDSLGAMRLLQKMRDNSIEGLSVGDVLRAET PKGLVSIIAKPHSTVNGVDSNGALLADGSAELLNQRLTSFSTRNHSVCAERLGLNSDQ IQKVLPTTATQSGMLTSFLRSSADKSFQTRSYIYHSVLPLEAAVDLDRVRNAWDIVTA NYDSFRTVFCWLDDDMAPFAQCILAADAVPQPKWNVYNASEGNSEKETLNKALRDAEE TIDLSTPPWKLSLITSSQRTLIILSMFHGIFDGGSLQLLLQDVSLVYGNKAMANRTSL EHIVQHHFGADHTATSNFWNEHLDHFSPISFPSVTPYRAPPVKAADCVEITAHISYDR LKRQSKAIGATPLSVLQAAWGSVLLAYTGTPDQDVVMGSVVSGRLDPDSEICIGPTFT TVPVRLAMHLIPQDATGTRTNRAVARYLSSFNAKTLSHLQPRLGSLVTGDGRLPYDTL LAYQDFSAGSSTSGIWSSIDHPPMGNDFAVMIEVWPGANSSLTFRASFNHALLDSESA EVMLRQMADIVAFILETPEGSFLDAPSQTQAELKSTFNPTSIVVPEVSKGALIHSRFE EHAESHPEDIALIFKGDLDDESNPHNISWTYGELNAIADNLAGNLLQAAGPLTNTPVP ICIEKSPAMYVAILGIVKAGGAWCPIDTLSPAQRRHDLIARTGAKVLLVSSDDGDQPE GSIPAGVDIIDVSRFTANTSELSGVGRPSTKQRTSPDDMAYLIWTSGTTGAPKGVPIK HSAAVSCMKSLAKDIPTDVQGGVVRCMQFSQYTFDVSIQDIFYTWSLGGVLISATREI MLGSFSKLANITKATHAHLTPAFSAGVPRKSCETLEVITMIGEKLTQQVADDWGTDMR AFNTYGPAEVTIVSTIREFGNEHKNIKSANIGWPMDTVSVFVTRNQRMVMKNAVGELA LGGPQLSPGYLNQEDVTKAKYVLNEETSQTLYYTGDLVRMLADGSLEYLNRVDDLVKL GGIRVELSEISFSLDSCHPSVENIETLILSRSDRPTKVVVAFLSAPAAASADDDQLLI LNSTALEIARAASDKAHSVLPDHMIPSVYLVVKNIPRTPSAKTDRRALQAVYEAIDID SWEGQLNPENEDSAKQPEDEADVTTASKIIDMIASLANISSSIITKVSRLGSLGIDSI RAIRLASRLKEAGYQLSVVEVLNCVTVQDLVKLASSSSKATNAASDTFNLEKFNLTWH VLAAKKIQEEFFTVRATTIQESLLSETMGTYDMYWSNHFFSLDPSVSLDRLKQAWLAV CQKTEALRTGFIPVAEVASTCHDNFDFSILQLIYNLPTMDWEVHQCTEHDWVALRDQR IESIMTKHQSNYFSYPPWAVTVFDKGSERVMVLTIHHSIHDGPSLSSIMDDVQSAYMY KPPLRHQLSSALSIILPTEVKSAETRNFWQSELEKFSELDTPVWPDLTGRKVEPGVEQ EFKLISEEIPLTEKISELQSAAAQLGVSSIASIIRAAWGYVSLCYLGIPATVFAETLS DRVLHPDLEDAVGPLISVVPIPFHPQGSARDFLAEQHRISVQSWKHRHIHARDVRRML NRPRGEALYPAVFNFHVAGDSKGPTRSQIWQELEDQIGLHVEHPMAFNVFQHADGALI LEASSDSRMMSREQLSLFVRQVDGLVTSMLAFPDKQLADLVHHLPTALKSISTRPVPE SVRDSVHTSPTYWLEKNAREHPEWTAVEVASDIAPEGIVKEAWSYETLNTKANRVAAY IASQGYKNKMIGVCCGRNLPSYPIIVGIFKSGNGYLPIDEGLPDERKAFLTEDADCPI VFTETQFSQSFSRVPEGCRVICVDDSGFQELVETMPAEDRDYQSHPDDVAYLLFTSGS TGKPKGVMVTRGNLSSFIESFREFCIKAAPGTLTLGGTGRYLAQASRAFDPHLLEMFF PWRQGMTTATAPRAMILNDIKTTLSQWEITHASFVPSMVDQSDARPEDCPKLHHMTVG GEKISKKVLDTWADSQIALVNAYGPTEVTIGCTFAHVGKQTNMRNIGPPLTACACHVM IPGTDNYALRGQTGELVFSGDLVGKGYLNRPDATGFVTGPNGEKMYRTGDIGRLMPDD SVEYLGRGDDQTKIRGQRLELGEVSEVLRSSSAVPIAVVTTVVKHPGLARAQLISFIT RSDARRHQPDESVAFVQSDIATLGKELQDILKKKLPAYMVPELILPITYIPKAPMSGK ANLKELHALFVDLPLTTVLQGNNATNKDGSAVSRPLTADEEAVVEEVCTVISTDPSNI GPMTNIFEIGLDSLSAIGLSIKLRKIGYQATVALVMSNPVIEQLARLPRTPSSLNGQD SLSNTRQRLLDIESQYRKSSPAGVDLSQVASVRPCLPLQEGLVARSINSDGDQLYVNH VILQLGKVDLSQLKSAWQTVVSDNEILRTAFAPLDKEIVQVVFSPDSNQLRWTEGEYG TLEESIEKSKLQQEEITRDIISNISNTPPVRFHTAKSSETKEPLALFISIHHALYDGE SFSMLMDDVTARYAEDPVPERGLPLAFIEHVYGQDSEKAKKHWTEYLAGCHPTIFRED PSVMELPTSVHKQLGNKLSVLERRSASLQTTVPSLIQAIFALLLADTVGASDVTYGLV LSGRAVSVPGADSVLLPCITTIPSRLDTNGLGTVTDVIEAVQRSTVRSLDYQHTSLRH IQRWSNSQTPLFDCLFSYIRATDPERHSLWKELDSHMPAEYPLAVEVEADHATDTINL NCLFSPAFGSLYNGEEFLEKMDAVLASVASGESFSLDSFNLLRLEESGSRSSAIQWDE TTWSNTETEIRGLVASFCGLSLTDVSKGASFLSLGIDSVTAIQFARKLRESGLNSSSS DVMRFSCVGALAKHVEQSSLPQSTTNGELVNGTADIPLDDYQKHVRLLSYNDSVEAMF ECTPLQAGMITQTLASSGQVYVNPHPIRLNNSVDISKLRGAVQEIIKRNDILRTSFHL IEELGSSWIGAVHAHPPLEWQELSLPSGCDVLAKVNALFSFGEESSFEVPPIRSVLVN QPEGRVLIIVMHHSLYDGASLPFFFEDLAITYNGESPSERPQFSETVKYVTNGQDEAC HFWTRKLSGYEAVELPQLPCSESLDHMFISEGRVDLDLPLIIDACKKMEVTVQSVAIL AYAKALARLIGKRDIAFGQVLAGRSVPGTERTFGPMFNTVAQRVTFEPKFLSNRAMAL RLQQLTTEAQEYQHAPLRVVQNSLRKADMLKATSLFDTLFVFQKSADLAGSILEEQQI WKPFETDDYAAQAEYKLNVEVDHGQDGIAVSANCNGQYMSQMALDSFISDFTTAFDDI IQHPARCVTVVPERLGELPLRLSLEEPEENLVDETDAPPHEAIVKSVLADVSGVPVDS INPSTSIFSIGLDSLTAIRIASICRSKGLKTGVADILQGNTLRGISQRIRPIAEQAVK PQGALIKDYDQVEKIVLGQLKLNKESIETILPCLGGQYYHLVSWLKSGRKLFEPVWPY FTTERINAEMLEEAWYQLRQRHPVLRTCFAAVSPSEAVQVVMKEAVRDGESFKVIESS DSITETAKAQAKAEALVPSSLFVPPVRLRLLKASDRDGILVLVNHAAYDAWTMPLFIS ELGKLYRGQPLDSNPDFPSFVDYSVRSLQEVDEKAYWTSALGSGMRTVINSKQEAGQV PDQLFVGAWEKVKNVSQLETTCRSFGLSLQAVVLLAVARTLARATGSTSPTMGLYQTG RSASFPNIEKLSGPCLNVNPFTISDVISDQSVLNLLDKARAVQSSLAERVSFEQSSLQ DVLRWVDAQKSGSPLFNTWVNLLWMQTSIPSANDATPDADVSDNAEVFLPLRIGVPTD FIPDEPLPGLESTSVSALDTSLLPDENVYIDIGPDPKTDTIGFGVRVEGGALSEDEVN KLVSDIGAEIEGIVSSLQ AFLA_109440 MAATRRLQQTLSHIQPPNAVEQLSIVYGPTQPPLLDITLGELLA LQSLQYGEHECLVFPWTGTRWTYSALNDEADRLAQGLLAIGIHKGDRIGIMAGNCEQY ISVFFAAARVGAILVVLNNTYTPSELYYALEHTGSCAFIHYELASMLRVYSCYLDCRL LFMTPRIGRHNLEEVLSKMGPHPKRKGSSAALEEIVILRGEHSNFPTYSSVIERGLSV SSNALLDRQAQLRPDDVCNLQFTSGSTGNPKAAMLTHHNLVNNSRFIGDRMDLTSFDI LCCPPPLFHCFGLVLGMLAVVTHGAKIVFPSETFDPKSVLHAISDEKCTALHGVPTMF EAILSLPKPPNFDTHNLRTGIIAGAPVPRPLMKRLFEELNMTQYTSSYGLTEASPTCF NAVTTDTIETRLRTVGKVMPHAKAKIIDAEGRIVPVGQRGELCIAGYQLTKGYWNNPD KTAETLTTDADGTTWLKTGDEAIFDPQGRCTITGRFKDIIIRGGENIYPLEIEERLAS HPAIEVASVIGIPDHKYGEVVGAFIALAPGYENKRPSDEELRVWTREKLGRHKAPQYV FVFGEEGVDRTIPITGSGKVRKVDLRKTAAQVLERRTKAN AFLA_109450 MPSNEALTPLLRQFRVLIAGGSYGGLSAALTLLDLSKGRLARFN YTEGAKPPQYQIPIQITVVDERDGFYHLIGSPKALACDKFAAKTWTRFCDIPALKSPN LQIVRGSVNTVDFQNKVAQILDLETKETRQEKYDFFIAGTGLRRVFPTVPQSLKREEF LKEATGHKEAIRNADEGVVVIGGGAVGVEMAAELKELDPQQKVTLVHSRDRLLSAEPL PDDFAERVVAELREQGVEVILGQRVIDTTAVEEEQGKRTWSLTLANGTKLKAGHIMNA VSKSSPTSSYLPKDALTEEGYVKIRPNLQFANPVPNAENHFAVGDIAQWAGIKRCGGA IHMGHYAGTNIHQLMLAEATKAKPEFMNLINYPPVMGLALGHTAVSYTPDEGTKHGKE LLGTLFGEDMGYSICWNYMKMSEPCQA AFLA_109460 MPTAVDNATPTTIRGGNEQSRPRISQPLEYTGTLDTYTHHDLTP VIGREYHGVQVAEILKSEECDRIIKDLAATISRRGVVFLRNQDLTAQEMRQFGEKLSI LAGCPESSTLHVHPLTEEGSELGDQISVISSEKQKKGGGLTHQLSDTSRFASVGWHTD ISFERVPSDYAMLKIHTLPETGGDTLWASGYEIYDRLSPQMAEFLEGLTATHDATFFH DEARRLGNPLRKGVRGSPLNHGEELTAVHPVVRTNPVTGWKSVYVNKGFTRRINGVTK DESDMLLQYLFNLVTQNHDAQVRFKWNKNDMAIWDNRSTWHCATYDYAEARAGDRVCS LGEAPYFDTQSKSRREALAEN AFLA_109470 MYNPLTTGNHTISIYLPFHDKTSQKTLLSPHRLVNTFQCVFEAD FPDSNQPRLCPSFGPFMQIFHWCEEKLGHESFDAPAFIGIRDGGIAGGHWLVSVKFSE GSSCRLNDGVLLAFRGAISSSSLFWFESPRLKDYCTRPRQFMESSLRIKVTC AFLA_109480 MRKSRPLCLYLSKSTPATMQRLYALALLSWCSAQVQAKAVFAHF MVGNSAEFSDKEWKNDIQLAQNAHIDGFALNMAHNEMLPATLDKAFEQAEDLDFKLFF SFDYAGNGSWPKQDTIDLMNKYKDHPAYYKYDSKPFMSTFEGATSKDWPDIKKQTDSF FIPDFSSIGPEAAANRTYVDGLFSWAAWPNGPTRMNTSADDAYRHALNGRPYMMPVSP WFYTNMPGFDKNWVWAGDNLWYDRWEEVISFQPEFVQIISWNDYGESHYIGPLHKDGY EAFNRGEAPFNYANNMPHDGWRTFLPYVVDQYKNPNSTIPIKEENVVTWYRLHPASAC TTGGTTGNSESQGQVEYKPSEIVHDRIMYSALLNSTADVTVSIGKTKVTGSWDNTPKD GKGIYHGSVPFTQSGEVKVSISRGDKEIASISGEHITSECPKEEKGFQNYNAWVGTSG ADGTVAPSTAMCLFSLGTVTFILAREMIML AFLA_109490 MPSRLIFITTSLFLTHLSVGIHANIPSSVSSPAPIRHQPAGHSL DIPARNSVLASDLEEIILNGPNEQKAREWNLHYTSEPHWLGQGESLAVWTQEKWREFG VENTTIKSYPVPKSPSIPSYQRLALLERKPGDADGQNDTELFIASLNEGSTFVNPISG KIISTPQFFSSTPSANVTAPFVFVNFGLDSDYDDLQQSNIDVSGKIGIMKQGSLTVGP ALSKAQERGLVGLIFYLDPEFDANVTEAHGYLPFPEGPARAPGSIVRRGIDAPDPLLK IPTIPISYSEALPFLKALNGHGPQASEIGTTWQGGQLGYLGVNYNVGPSPDGLMINLV NIMQTSNVSVYNVIGTIKGETEDEVIVLGNHRDAWGAGAGDPNSGSAALNEVIRTLGT AMKKGWKPFRTLIFASWDGKEPSSWGAALWVKDNLPWLSEAAVAYLEIETAATGTEIF TKASPLLRDVIYSAAAKVLSPDQSKPGQSVLDVWGGHIEPEGGGDTNIFVSNGIASLN LGFAPGPTDPVFHWHSDFDDIQWMDNFGDPTYEYHTASAKLWALTATQLADEPVLPFN ATAYPVSLGSYLNELKVTLEEASSDEAYQQGQDSCTVNLQPLEDAIAELHQVAVQFDT NAADLAARLNQKNTTITASTYQEDKTIQDINRKYRTFEGQFVVPPASPGARAQHVVYP RTSYRTILPTFPSITKNVTNGNWCDAEVISFSPSQKRR AFLA_109500 MPIISTTSTTHEFAGVLFDFDGTIIDSTEAIVENWRRIGEEIGI DHEVILQTSHGRRSIDVLKDLDPSRANWEYISATESKIPTLCKNPAIEIPGARALLET LNSLHAPWAIVTSGTNALLTGWLDVLRLPRPQEVTVAEDVKIGKPDPEGYYKARTRLL QHRGEDDIKDVLVVEDAPAGVKAGKSAGCYVLAVTTTHTVDQLKAAGADWVIPDHRFV EVRRKNGSQGTFTFTFNNVF AFLA_109510 MHLHTPSRLVIACQALKPVHAHKSFIRQATSSAESRKEGDISSV FVSLSGKEEAPLPPRFAEQKKRLIAGREDQIERSWHRLLHTLKDEVRLIEQRGSDIIP SIDFKDIHAAPKTFRDELRKRGVAVIRGVVPEHDARAYKNEIEDYVKANPGTKAFPPH DPQVYELYWSQPQMRARTHPNMLEAQRFLMSFWHSNSPDAMISSTHPLTYADRLRIRQ PGDAGFALGPHVDGGGPERWEDNGYGRGNVYQRIWQGEWEKYDPWEASCRVLAEADLY NGAGACSMFRMFQAWLGMSHTGPNEGTLLVNPLLSLATTYFLLRPFCEPIYTPPKECS RMATETFLHPSNWRLERETSSNLQGATPGFAQEVTATLHPHLELEKTMVHVPKIAPGD YVAWHCDTIHAVDRVHNGTGDSSVMYIPACPVTEANANYVKRQRNDFLEGVPPPDFPG GKGESEHLGRATEAGLRKSTSQLGLRSLGLTKWDLNDQSLTQGQRLVLDKSNKILGF AFLA_109520 MSAFNASNVDLNTASKEDVLCFLALSDNDYNGHLGARISSIFVI LFVSSAFTFFPVVAKSLPSWKIPFGVYLFARYFGTGVIVATAFIHLLDPAYKRIGPKT CVGESGYWGEYSWCAAIVLGSVMVIFLMDLAAEVYVERKYGVHRDEDATGAFIQGGHQ SAAVAHNAYDQEKSMPSEQATPAYRSDSESATAERSFKQQIAAFLILEFGIIFHSVII GLNLGVTGSEFATLYPVLVFHQSFEGLGIGARMSAIPFGKHTWLPWILCAMYGLTTPI SIAIGLGVRTTYNPGSKVALIVQGVLNAISAGVLIYSGLVELLARDFLFDPDRTKRRS QLSFMVFCTLLGAGIMALIGKWA AFLA_109530 MYHSGIGGGGIMLVRTPNSSYEVIDFRETAPAAAFKDMYEHNKN ASVYGGLASGVPGEIRGLEYLHSKYGVLPWSTVVQPAVQTARRGFPVGEDLIRYMNHA AGYENFFTKNPTWAIDFAPGGARLNLGDTMTRHRYADTLEAIAKYGPSAFYSGRIAET MINALQNENGTMTLGDLQNYTVAIRNISQIDYRGYKITSTSAPSSGVIALYILKVLET YKDLFRTEQSVNLSTHRINEAIRFGYGRRTYLGDPLFTDDMATYESQTLVQSMIDATR SKISDHRTQNISAYDPAGLECLETPGTSHIVAVDNTGLAISSTSTINHVFGSYVMVPE TGIIMNNEMNDFSIPGSSDLFGYIPSETNYVYPGKRPLSSITPVIVERSDGTLVLITG SAGGSRIITATVQNVIHSIDEGLSAADALAKPRLHDQLVPNQVTFEYNYDNDTVAFMK SLGHDVSWVAPGQSNAQLVRVLPNGTFDAAGEPRQVNSAGYSI AFLA_109540 MLPELLTQLAQLYRANETIISADQIMRWKECLSASDVSALHRLF SLFLLQVEHPRTDT AFLA_109550 MGLQSWPPGQHLRSHRNSVFDTVGLLRLRPLTATTNKSHMSTSR LSLQFPKETCRTDRGVFLREIGKSRAVLPTGQGWEAAIAAKVDNADLRDRMKIYHRFE CYNIYQHVVEAGYHTGTYWIRDMRTNLAKKLCEKFPQRFRDQQAANKSLNWVDQGCKY HEWAGQFKRGITDLGYLIALPLDVPHSAYTSRCTKKRMHEVANDLKSRGIESLVTQYE LTELGNHIAVTLRDMTCRERREAPGKDYNHRNDIV AFLA_109560 MESSSPTEILTINELRAAASSNLQKDVEEYYNEGAGGMVTMSEN ETAFDRFKIRPRILCDVSNIDTSTTFLGEKVSLPIGFAPTCIQCLAHPDGEAATSRAA TQLNIPMVLSTFSTVSLEDVISERKEGQNPYAFQPIFPRDRSRTLDWMKRAEKSGYKA IFITVDAPVTANRLRKKRKSLQLPPHLSYPNLSDNSDRSSDKSGHDPGKRWDEVIPWV KANTSLEVWVKGISCPYDVLKAIDYGLDGLVISSHGGRQLDGVAAAIDVLAECAPLAK GRIKIGFDSGIRRGADVFRALALGADICFLGRIPLWGLAYDGQAGVELAVRILEEELR NTMAHAGCASLKEISRTHVSVIGANGLLCNV AFLA_109570 MDEKLETQPSYTGGSTCHPGPRGFRSFLRHWALAICFLLAGGWL FWSHSCHVRLVSIEERVENILAQTPLIDGHNDLPINIRKHYKNHIYGSNFTKPFADGT LEGDTDLLRLKQGLVGGTFWSVFVPCPKNWIDPLNRQDAPDPRMTIEQIDLMLRVQRA YPDIFSSPPNSTTALQAFREGKIISPLGIEGLHSIGNSFAHLRMFYELGVSYATLTHN CHNIYADAAIVRGPGGSTRKSDPLWHGVSPLGKDLVYEMNRIGMIIDLAHVSEDTMRD VLGAGKDDWSGSRSPVIFSHSSAQALCAHPRNVPDDILTLVNERHSVVMVNFAPDFIS CTASDHNDRLPDIDDEHATLERVVDHIMHIVDVAGIDHVGLGSDFDGMPTTARGLEDV SKFPGLIAELLRRGLNDEDAAKVAGANVLRVWREVDRVALEMQAEGAVPMED AFLA_109580 MPSKVVHLPCSHGSMGSEKTNLPVAESPRDVQTTLTFSKQNEDC SAPPPMYIGKPQSYNRPTTTLPATIHDISGHELEYTLDSHGFQFYSHESKLKDFLDEE RIRAEYYPETEQLLHDVTGATRFCVFDHTVRQASQDWTTERDARGPVQRVHIDSSYAG AEARVRYHFPDEATELLKHRYQMISVWRPIKTILKDPLAVADAHSTPESDLFPVKIHF PDREVEGWAVKADPQLKWYYRYKQPPDMVTLIKLFDSKLDGRARRVPHTAFVNPATEH EAPRESIELRALIFHPDDPN AFLA_109590 MDIPDRCTVLVVGGGPGGSYAASVLAREGIDTVLLEADNFPRYH IGESLLRTTGSFLEFVDAYDSNFLQHGQHAWNVDRSQCDDLMFKHARECGARTFDGVK VQSVEFSQTFCLDEKESGEQAHLGKPVSASWVRKDKTTGSIKFQYLVDASGRAGLIST KYMKNRKFNEGLKNVASWGYFEGFEMYGVGTVAEGCPYFASFPDGSGWVWFIPLGENK VSVGVVMEQKSATAKKKLMESPSSREWLLTQAKEAPGIGDLLAKATLVSDVKSASDWS YTASTYATTNIRIVGDAGCFIDPLFSSGIHLALTGAFSAAATICSSIRGDCSEKSAAD WHSKRIQEAYARFLLVVASTYGQITGKEAAILNDEGESDFDSAFELIRPIIQGSAEES TGKAGPEDATEAVSFCMRVIRKAQNRLEDISIGDKIIRAAMQPDTGSIGGSDIDGMVI HAERGALGLVKM AFLA_109600 MGQITLYYSPGACSLAPHILLQESGLEFSIHKEKTGQFTPALYA LNPKGKIPVLALDNNKIITENPAIMNAISTLVPEKGLFGKTPWDTVRVHEWLTWLSGT LHGQGFGLLFRFRRYTDDEGQFEGLKRRGMAIVTDCFQIIEQKLTQAGHGGFAVGGAF TAVDAYLFVFHRWALGCDIDMPALYPRYTALYEAVGGMEATKKALAAEGL AFLA_109610 MYPERQGFRGAASWKSSQSRWNKAIYRSHSTSPDEAENEDPERV PFLQASDRSLQNDADRRSHHGPVPSVFAVTITACMVMLILDITSAASYLISLRSGINL VVLATLIPALKRLFTKIWRSRQAQSDKYITLISGLCLALGSFIIFLAASPGVLILGQI FSSIGFAFAVTAHSLLTAMVDPRHLGLANTGVTVTNSVGHMAGGPLLASIFQWGLQMG GFWVGTPFLFTAVLLSIATLAVSISNAP AFLA_109620 MVSNEHACDSMTPSNRAMTTEAALAAEKNFSAKNYESLPIVFAR AQGASVWDPEGNHYLDFHSASTALNHGHCHPKLVAALVEQASRLTLTSRAFHNDVYPK FAEMVTKLFGYDRALPSSTGAEASETAIKVARKWAYKVKGVPRDQAIVLGAAGNHHGR TLASISLASDNMSRENYGPLVPNISCTIPGTDKLITYNDKAALREAFAAAGFNLAAFV IEPIQGDAGVIVADDDYLREARALCDKHQVLLICDEIQTGIARTGKLLGHYWSGIRPD MVILGKTMTGGMYPVSCALANDDVMLTVEPGTHGSTYGGNPLGAAVAMRALQVVEEEN LVERAEHLGNLLRAGLRAIQAQTPVIETVRGRGLLNAFVIDQNKTNGHTGIELCEVMK AKGLLLKSSRTGVIRIAPPLVITESEIERALGVIKDSINQLVNGSSKTI AFLA_109630 MTIQDKPTFPDDVLFRRLIDIAIERDSKTIVDDYSTGTQFGYRQ ILHGIAKLQQTLQGLLHLSESRKPGSVYVALLAPNGYEFIVGVIAVLAVGGVVVPMLS FTATGALPAEAAYIIQQCNAQVMIVSRELTESATQIQREVEIPSITIEGNTHTSSNLR PAKSYRLDSTLAVSEETPSILFFTSGTTGPPKGVLHSRRTINKYAHMETEPATNDDIC IIPRGAFWSIYFTKLFQMLLAGVRVEIQNFGRNYNLIWERLREQAGTKIVLSPTFWYG MMLHYESHISKLPEQVIQDYIDGVRYIRDACATGAMPSSRVKQFWQEMRGGKPLRVLY GSTETQEIAMWDGAIGSEELTWLSVIQADLGTPFPHVTMKLSEGDQGELLVKTPSMFL GANVIVGNITRYLNSPDATAKRLDAEGFFKTGDLATLENGRFIFKGRANMDLFKFFTY KVPRMEVEAKLTALPYVSEGYILPVQDPQCDTRTAALVRFHDSYDKIDLGSLRRDLAH DLPAYQLPTVLRSLREGETVPRTWSDKTAMMKVIQMFFPQDTEDKICGDATEVMDVSG FMKMKTTKLWELSGIR AFLA_109640 MLNTKDYNAMSLAQPTNFKAMLNSGQFLWGTGCRIPHPEAARII AATPFHFCFLDAEHAPLNATLLVSLVRTIQYQSNGCMVPFVRIPRCSPELYNYVLGAG AGGVVMPHIQNAKQAEELVRLCRFPPMGDRSFPPAALIGEQQNRTPIGQSTYDVWDSH VAVFCQIEDLEGLENVEEICRVPGVDGLFIGTGDLRMCMKLSHGSLDGDEPVYLAALK KIRDAAKANNLPIMGFGISPAALQLRIDMGWNAFIIHGDIDAICTSATDSLQTYTNAA YGRNGHQDGGKNGESLVSGTRSLDDVACRLSNVTMTAKSSMQPRSHQSP AFLA_109650 MASCEAAQQADLKSISLAGLLKGDADTANDLVSACKEKGFFYLD FRDPSTCGTLTQVDELIAVGRSVFKLSLEEKEQYSTEKHLPSRLQGYKRAGCSVGPFA EKKDGYESFSIHNNGIWGNDTLELPRAFEENLPLIEAWMGDVHGYTECILSILSKALN LSDDLKDCHRKDAPSSANMAMLNYLPWGSSTEKVGNMAHTDMGTLTVVFTKNEGLQVF EPQTEQWYYIKPRPGHAVVNVGDSLRFLSNGALASNLHRVVPPANPEGLDKFSCIYFL RPEFDAKFTSHDGREMNSVEWHNQKYALFREASLDAKQHGAMLTGRNGYLGATTQTV AFLA_109660 MTVNKSLLHLDTQAVRRQFPGLEKGAVCLNNGSGALVYKGAIER YCRIAVMTERYNAANTSAHSIVRTMSAPHMNLRGLDSKSMVDVKERTAQYAKLASFMN ADPDEIGMLRTLTNSLRPNLNTDSEIIVSVLCHEAGNTAWVALAQSLGISIKWWAPEG GIGNNRDPKLSLDTLRPLLSSKTRLVCCGHVSNITGTIEPIKEIAKLVHTIPEALICV DGVAWAPHRPIDVKDLDVDFYVFSWYKVFGPHIAQIYARRKVQKRYLTSLNHYFFDPT ALHVRLGLGNSCLELEHAVTPIITHLVDHVGWDAIIAHEELITAEILGYLTANSDLYT VYGCATADAKARVSLISFSVKGLASDKVAEVIHETSNFRIITGDCWSPRTVHDVIGLP DYGILRTSLVHYNTVEEMRAFTQKLDQVVRSMKYETLSS AFLA_109670 MTVAETAPPVPSGHKKGVTEGSLLREPLELRGHLNQFKSFYVTP IIGTEFPDAHVVDWLKAPNSDELLRDLAVTISRRGVVFFRAQTDLTDELQKELAQRLG VLTGKPESSKLHIHPLTNYNLDKDPELNVITTDKAANPAEDLWKNRPADIRNSWHTDT SYEPNPADYSILKLIKLPETGGDTIWASSCEIYDKISPVYRRFLEGLTATFAQTRLPV TAAEKGFKLYSEPRGSPNNIGTSLSAVHPVVRTNPVTGWKSLFAVGNHVVKINEVTAD ESRRLHDWFLQMIVEEHDTQLRHRWQNPYDIAIWDNRTVYHSAIFDFAGLGARTGHRA VGIGETPYFDPNSKTRREALAAANSI AFLA_109680 MQPLTGELSDIFGREVSSIFATFLFTIGSLLRRFSTSHEMISLG RVITGVIGGGCTSIAMFIFADRMLPGYKGIWHSVSIYGLTIAGSIILVVDLYVRAAWY PLTCARLCGYWIHLRLMHQKLQVIQVIPSLVECTLRCKYR AFLA_109690 MGLSSQELTEQYRLEASGGNSPHTDFGHHEGQFEEPSSYSLGSA RLEALASAPLIGNHRRSQSADTDDSNNGTDHDDTLDTAAEKSEKPASWSSLPRKGQLA ILTLARLSEGLTQSSLQAYLFHQLKSFDSSLPDSTISAQVGIVLGIFPAAQFLTSAWW GRLADANCMGRKRVLLIGLLGTMISYLGFGFSRSLATAVIFRTLGGLLNSNFGVMSTL ISEITVEKNPTFSDTSFKLKLRQRRKLGQLWTRNVLLTLLCHFLLAFHTNAVQTMTFI FLPTPRVPTESHTGIFHFGGGLGLPSAQVGLATAIIGLTGLPLQIFAYPRLQGKLGTL VSLRTFVPFSPVSYILMPFLVLLPNILWVFWPALTFVILLQAVSRTFVLPATSILVNN CVGDPASLGTIHGVAQSISSAARTLAPVISGWGLAAGLRNNIVGAMWWALGMEAFIGW LMLWCISEGKGIDPVKEKMEEDDDDH AFLA_109700 MVRLMSPLAALAGATAASCQTDDQNHDSYTGYTQTITYIKRHPD WAREEFWTHWQTEHAPKVAPLATYFNITRNQQILVGGMIPPTAMGADQPANTTLVSFD GIAIFPYLNPSALTAMLSHPYYIDIVEKDEETFIDKSAYGDGMVTTYVGKNVEVADEG SNVWVGDATTAEKYQKLFESYL AFLA_109710 MEDNDSLLDHFSRVVQYCDDCGSLLDESPEETLQCELCGKLAKN TVFFHTQTTVSENFLSKLRNKMKSFTQKATRDELGPGPTIEVDCVKCPSKDVTYSQVQ LRSANEGSTIFYNCLKCGHRQIHWLLSQA AFLA_109720 MYGRATTLYPRTLEMLDQLELLDELNQIGYIGRNSVTYKDGKRV TSRGWHVMFQRMSGTYLDYCLNIRQKYSENVIRDTYLEMGGRAYIGWALEDFTVGSQS EGDHKVTSQIRQVGVLTFYSKFIVGADGGHSLVRRLSNIPFEGDRTDFKWVRIDGQFK TNMPDADLGFASIESKSHGNVLWVQLDHGMKRIGFAMTAEMLAKYGNSLTEEQAKEEA VKSMEPFLLEIEKVDWWTLYSINQRVADTFFANDRILLAGDACHTHSSGAAQGMNTGV HDAVNLAWKLGGVVKGWYSTDMLRTYDNERRLAAQHLIELDKAFSATISGQIPDTHKG LYADANELFTKLFDESIQFNIGLGIHYNESIINKAPSTGMVSAGWRAPDALVYAPGSR IPVRLFLLTRNTGMWSILIFAGQPTLTRETLALSMKKLTVSLENLPKGMVRCFTLIAH SITEGDQVFAIPRIGHAYYDSDRSAHAAYTISTSSGGVAILRPDGILGYATALEEIET VEGFFNSFVLGN AFLA_109730 MIAQKPVTPLPEAIDDCYLSAGAPTCKQPPRVLSRVTWFIETLK LYDTLRKILKSLYDNAGPTEAGNHMPAGNTRQIQNIIEIDADLENFKTKLPEALIYSY LKILLYRPILAQTLRNSNATLCSESNDSTHQAPLHSRVNLDCSIYCVNAAIDLISLVH QTCNTDLSSVWCYNVFYAFTAGSVILLAGLSQSLVNNVTQEALQQSWQKCQSALSKLG LYSSTAERCAENLRTIKERCSITFPHSAMSTTNILSQEQPSANQFPTFEQLDNDGNDD LVSEGTDYLPLTDDLFRDLDFNEDTFFDPFWFSLQF AFLA_109740 MGLTVGPLDRDQNTSREFFGDSSTVAFIQQLQQSIPPTITGPRS GHEAPCRSIDLHNYDRHPKLSTEPSPSVELLPPRPLADHLVDCYFSKIHTLYPFVHKD AFFSAYRSLWVPAESRDITVNHTTFHCALNAIFALGCQFSNVVQTQRETTSEAFYRRC KPVLDLEFLEGGDLAVCQTLLLITHYLQCSRTPSRCWHVIGMACRLAQALGLHSDLGN ERRSFAEIQLRRRVWHGCVMLDLYGIFYLVPVYHC AFLA_109750 MASHLLKSLASLAVTAAFAQAQLWDQTIQTNYGPVQGFKYFNES TLEKYFGVSESNVTAFLGIPFAADTGYQNRWKPPQPREPWNETLKATDFGPACPSNYA SNISEDCLSLNLWTNAASADAKLPVMVWNQGSDETSNDAWWYGGGMALKDVILITFNR RDDAFGYLAHPELNAEGLQLTGHNTSGNYGVLDQLEVLKWVQKNIAKFGGDPDRVVVA GQSFGSSQVYHAVNSPLFKGYFHGGISESGIRYPYDPLLAGLATSYVNMSAAITHGVN YTTFHNVSSIKELRTLSMEELLIGSQDRVNDTWIDPITALSAGYPLIFKPVLDNYVLP STYLETLINGPANDVPVITGNTKDESGASTTTDYTVEEYESYSTLKYGNLSSRYFQLY PDHGNASTADRAWNAAARDTSLIGSWAYATDWYKSASSSFYTYYWTHAPPGQDQGAFH QSEIMYALNALYANADRYPFTEQDYAIQEKMSAYWANFAKTLDPNEGGSYTGSGSLPR WSPNSANGTQVVMELGNAFGNVPIAKKAQVELIMEWFHQQTPY AFLA_109760 MNQIVLGNMLYIGQYNLTMNDRLWSRLLYEKIAHDVSSHESMSR ILSRLRSLSTAQCCAEDCAQAISTALISYKGRAWVAQNLKSVMHWAESMDDFGIMVLG AWLGLRDLVRVLLGNGVLGDKSHEYLGSAMYAAAFNNDELLVELLLDHGAGAWKMDGV YGDALQLAAYKGSKTVVRRLLETRVSKEASPNTYGYGPYGSPLGAAAAAGHDDIVRLC LKWHRDPRQLGPHLRTPLFYAARSGRAGAAKILLDGGEMRPNLDDDFNDTPLSVAVEY NHEDVVSVLLSSDKVRADYSGVQHGKLTPLQTAVVKGYTNIVRMLLPRCHVEVGEQED KKSPIIMAALKGNTEIVNLFLTKDKAPYVQHFLPWVASRGLTKMVKLALDSQIFDPNS HDAEFRTALHHAVGRRHYDLVKLLLQHNDTSPNREDREGRTPLILAIESGDQFLFRLL LDDPRTCIGISNFEGMTPLHVACKHGLSTFVASILAREDVNVNALDEHRETPFYHAVV SKSADTIQLMLENENTDPNQPDNNQGETPLYIAVRDGRCELAMMLIQRYNACPNCWCV EYLATPLMIAAARGDLDLMSVLLEKTLDINVPNNRGRTALGIAASHAQAEAALMLLQH PDIDVHHQAVDGTTVFLMAARGGHLDILIDLLAKGAHPGIANSMGETPIYVASENGHY EAVMLLLERTDVFPDQPTYYHETPLSVAARRGYVSIVRLLLEQGGVDFNPDCPWSQKL LSMVNKSTIMELLLDASERFVEVP AFLA_109770 MGDSNSLSLDMALRTTLGAWANLLTSYMAWGKALRDIVGTMGST DPFWFGRQGVGSPLTKRSHALRLVEQYTSIPAPRVADVVENLGKMYLIMTRLHGQLLA VVWHLMSYAEWSQLADDLKACIAQVQKTPNNMPYELGNTIGGPYIDHRIPDGSAGLFN SESEFYAHLTSHLSGTLATIFPERSICLDHHSHFTHSDLHPLNLLADRGRLSGIVDCE CASAMAEYWEFTKAMYGTRARGVFEHIFYRAFGREYEYELEVGRKL AFLA_109780 MDSHQLFLHAAATGDVASMEQEYLKNKAVLTGKDSDNRTALHLA VLNGHLKAVERLLDYGIAWCPKDNQGQTALHLAAQLSSATIAETLLERGANCCTQDHD GKTPVFYAYQNYSPDVTRIAASRHRSFSEAAAANGLPSTLNEAARPLNIARLYGVGSE NSLFLRHSNPISEKMHVRSRLRPPRVSSHLGKSILNSAGDCLFSTIE AFLA_109790 MRPDTSIYIIGTQCTGKTTLVNALFNAFHGQRNDIVIHRIPEVV RTVLRETGITRNDIPNDPWKALELQKLILRAQYEAESKQSGNLVLSDRSGIDALVYAA RYGPPGSREMLENTREWQYLPSRMMQSLVVLCSPHREWLVDDGT AFLA_109800 MVLRQFSTSSLEALCAEEHLELLDSVDTLRSQGISHYISLPQII VCGDQSSGKSSVLEAISGVSFPVKSNLCTRFPTELVLRKSSHIGVKVSIVPHRSRSHV EQDALSRFHEELESFEGLPTLIENAKAAMGIFTHGKAFSNDLLRVEVSGPDRPHLTIV DLPGLIHSETKLQSAADVALVQDVVQSYMKEPRSIILAVVSAKNDFANQIVLRLAREA DSFGHRTLGVITKPDTLVEGSESEYQFVSLAKNQEVTFRLGWHVLKNMDTEKGNYNLS VRGQEEAEFFSRGIWEDLPRSHVGIDTLRQRLSKLLLGQIATELPSLIDEIQSKMDLC VKGLEKLGEPRATLKEQRSYLLHISQCFQTLVRSGLDGSYNSSFFESAHSENGYHKRM RAVIQNLNEDFAQHITENGHYRDILSGGQPGTSQSSSAEQLFVSRKEYLQHIERLLRR TRGRELPGTFNPMIVNDLFKEQSQPWQRIATAHVTKVWDATKTFICAAVAEVSDMATL GALLKDVVEPALSDLLHSMEARLTELLEPHQAGHAITYNHSFTEDLQKARRDIMEDRF SHVLTDFFGVPQITTSINTYYNNNGNPPSDDNYDKTPQKDPFDDDCYYNTNKKRNKNR DFNLRKLLDSLLESTETDMICYAAREALDCTLAYYKVALKRFIDGVATDVVETTLTKS LNQLLSPIVVHHMSDTSVSRIAGESKENRDLREQLSKKLEILESGSKTCRKFVNIQGI SMLSSYL AFLA_109810 MDSTEGTFEFKLYRYTPSLGAAILFLVLFALITLYHLYQVIRLR SWYFLVFVIGGVFQIIGYICRTLAHNDTNSIPIYSVQTIMILLAPPLYAASIYMTLGR LIRYLDAEALSIVPIRWLTLIFVIGDVVAFVMQAAGMHRGGIMASGTLSAMNTGETVT IIGLAVQLAFFSVFIVTSTIFHWRIHQNPTEKSLIKGQTKRTETTWVTIMGVLYVSSV LILVRSIFRLIEYAQGNAGYLISHEAFMYVFDSMLMFFTMVVMSFYHPSKLLNPRNMQ RRGGESDGTTTQLHRLSSA AFLA_109820 MSDTSSPSATAAAQSTTSRARQEKRHRARHLKSRKGCYTCKQRR VKCDEVRPVCGACSFRGESCSFPPPAPSMYVYTDMRDPRMTDSEGPRNSRKPKRVVPI PHPLQPLEFHLPGLSAAPVPSLHGETINMSDMNLLTRFMLQTSKKMSLHQKRMFIWQQ IIPDMAAEREYLMHLLLALAGAHALYESEIASTGTPSIDGSQLIPSSIDNPAIHDLHR IIEHHQKGLRGFREALSDMTAATAEYVFCGSLLIVAFAFASLSIRDLSRTEPALRNGD NDESPFTDWLHLVRGLTSVVQEHWFTLKLSRLRDMLYYEYANEDWRHTLSTARVPRLT NGSRMVLMFADGAAREISTLRTYATTLSSSPAAHEANPTSIQYSPDIPTGEDDKQDEH SNTIDKLEEIYMRILNVFHFAESKRDCSALRDFQIDLEEAAVLSWPQMVSNVFIASLR PRDQVEIAEGFSYTILAHFYLVFVLFEDLWYINRGFYKEIEKIFQLVNALNNDRLLTL MEWPMAVIAANRME AFLA_109830 MGSSDDAASATPNEATMFAFYRYDPNMAGAVIFTILFTITTVWH AVQLFRTRTWFFIPFVVGGIFEIIGYIGRALSSHESPNWTLGPYLIQTLFLLLAPALL AASVYMLLGRVILILRAESHAILSKKWLTKIFVTGDVLSFFLQGAGGGIQSSGSLDNM KLGEKIIVVGLFVQIFFFGFFIITAGSFDLKLRKYPIPRCHDPSIPWRKHLNVLYATS FLIMVRSVFRLVEYLQGNNGFLLHHEIFLYIFDAVLIFMAMAIFNIFHPSELTHLLRE AHEYELQSSYDKYGV AFLA_109840 MFISVSDLGSDIQFHWAFYLVQSRGQGIMFHVINSIETGNRWQY QTKPVTGIPNSLNLLVAVKIAVMDPALHSALADRLAAVPDTPPITCRLWLKRALLELD EEGYIQLTGRVDNIEQEVLIEAAENQPRRIRTGLCSRYRVV AFLA_109850 MNERSGDGNGPKVPRGPAKVSIWEKLQDQINPRPPDDDEPQDWW FASTAIPLIAATTSPFANVMSVVALAMSWKSEIHPEQQDPEGNPVQVLLADPRWCIGL NATSLAFGVLGNLFLLFNFTRTIRYIIALPASIILWLLATAILVGITSSVHIYASPIP PNQTYSQAYWYAVIAAIHYFILTSILMINMLGYFLGHYPQYFALTDGQRTLILQTTAF GIWLIVGAAVFQKVIGISIAEALYFCDITILTLGFGDVTPKTPVGRGLVFPYAVIGII ILGLIVGSINKIIRDLQDTNVVQKHTERRREATISRSLMEEDLQQRLRLTPNTSKIAY RPKHTRKTPIISKVTAIYRDAIGRPKDIVMKEEKDRFDAMRAIQYESVIFRRWYRLIL SLIAFGILWTCGAVVFWALEEQFTYFQALYFAFCSLLTIGYGDITPTTNAAKPFFVVW SLIAIPTMTSLISEMSNTIVAVFKHATSHVADYTVLPRTGKYKSFITKFPPIQNYLEK REQNKRVNRGFQIGPDDIEGTQTGESSGGARNKQSIEKEGDKEPSDFDLAQRLAFAIR RTTRDAVNGHPKRYNYDEWVEFTRMIRFTDPNAGDTVLYEDEYGILNWDWMGENSPML ASQTEPEWVLDRLCESMIRFISTQAQKRRSDGVGDIDEDEPTLRKEKDI AFLA_109860 MSHFGTVYTYPNNPRVMKIQAAGNLNSLSITTSPDFQMGVTNRS PEYLSKFPMGKAPAFEGADGTLLFESDAIAQYVAESGPAKDQLLGVSAAERAHIRQWI CFAEGDAMGAVVPFAIWQMGLRKYTAEELEEHLAKAERALGAVEAHLKTGGGRKWLAT EEKLSLADISLVAALNWGFATVLDAELRAKYPNVVAWYERTIESEGVKQAFGEKKFVE KRPAFQ AFLA_109870 MAEQAVAYTPLEVPIPPVPTKEIFSELQWATLMSIADTVIPSIR GPNAPKSFTTKVIPQAQFDASLSSLTASIPGSDATSLATQYLEENASSNPRFRPGLQR LISEYVHEEGRNGLGFILNTLNSKAGSLILTGSTTPIQDQPFHVRERIFCSWETSRLK PLRVIYRALSAICKRTWLTYSPTLCPTIGFPRVPVHGSPADGFEYEFLQFPSGDGPET IETDVVIVGSGCGGSVTAKNLAEAGYKVVVVEKAYQYSTKYFPMNFSEGSVSMFEGGG AVSTNDGSIGIFAGATWGGGGTINWSAALQTQNYVREEWAKGGLPFFTSLEFQNSLDR VCERMGVSADHTTHNKQNRVLLEGAHKLGYAANPVPQNTGGTEHYCGHCTMGCHSAGK KGPTESFLVDAAHAGATFVEGFRAEKVLFTETKGGRVASGVEGTWTSRDAYLGVSGVG AVKRKLIIKAKRVVVSCGTLQSPLLLLRSGLKNPQIGRNLHLHPVVISGAVFDEQTRP WEGAALTAVVNEFENLDKQGHGVKIECLTMLPPAFLPAFPWRDGLEWKRFVAKLPHMA GFITLTKDRDSGRVYPDPVDGRPRVDYTVSAFDRKHILEALVATAKMAYISGAKEFHT SSREIPPFIRPEDASDAASAEGTNNAALQAWIAEARSKTLDPEKTTFAAAHQMGTCRM GSSPRTSVVDPDCQVWGTRGLYVVDASVFPSASGVNPMVTNMAIADWASQNIARSLGK EGGVMARL AFLA_109880 MRTIDVLTTVANNGTVSFEGLYRTKTERTPIPIDKVLNKPSGYC FVFQNPLDLHKLLEDPDPASVAICQGMKKLRFDLLQHIARDKVTFREALDGKFKSVDL RALMENWRMACRNIPKDHGLEELTFDLSRAKELCKLHVVSSTVQLISTTLVLKAAQNL RCWIQGLSNMNRWETFHVQMALVLLWRSKFSDVHEMMRLCGKLNMSWNNAYSSGVGIS TQSNTCSGIEIPKDIKAA AFLA_109890 MSDRSTSTPQRGPSPSKPRPKCTLPPEKVFSIQIGTELFRVSGA SIASDAPSYFSQFFEEQMLQTPDGSKIRTLYIDRDPNTFKAIARHLQGYHIRPKDGTE FVQFFADAQFYSLPRLISQLFESEIFIQIGDKDFQIPRDIFSSPGDSPNFFSLGFGAF FASPTEIFPGLNRHGLLRPPAIVPPSVPNRSGEVFAQLLHLLRGYPLEIKNETHRAEL LRDCRYFHLRGLEQKLIPHHISFNPIRQRSEIVVRLEDVRRSGVSVAHDSIPSSGWVT YSRPFVDEETYDLILEIGDETTIVDLDTKHVEFLNSTKARFSSLQQIITGKVNPGLSE GQSTKVSIEQDTDMIVDGQARYLEGIGHGSEEAGVSQPAAKRRRVEGSSNEGRRYIVR NGHWRLRFHPNATGDILEFTLVAVKLDAYTEQRSRNHTRAFLGS AFLA_109900 MSLYYDAVKILTSPSPTGGSFKSRIYNARNIKANPAQIYALTIE ASKWDTVLKEVIDNAGILKLEPKLTPLLALLLVHDHLLAKNGIAAPSSHPIRQAIERH KTRLKGEFIKARVRRGCASVEQLKDAVQKEKQPLGSAAFYPRWIRINNVRTTAEKQFE STFASYKRVNALSELAVKDDTKRIYVDSNIPDLVAVAPGVDFTATPAYKNGEIILQDK ASCFPAYLLFGEGSVWSGGDLVDGCAAPGNKTTHLASLLCKNEKRKKPRQRIISMDAS QVRAKTLQKMVSAAGADHFTTVLPGQDFLALDPEDERFEKVSALLLDPSCSGSGIIGR DDVPKFVLPVSPAEERKKQGKKRKRGQDEAGDAADGSVSMSATDENEMASTHLDLERL TKLSNLQTRIVEHAMSFPAATRITYSTCSIHLTENESVVERLLTSEVAKRRGWRIMRR NEQPEGLRTWKHRGVQTESRGSGDSETQDGTQGAELKVDLSDEVLEGCLRCWPGDDEG LGGFFVAGFVRDESLAGKVEDPQHGHEDKSEDDDGEDEDDEDEWAGFSD AFLA_109910 MATHVLTATTTPANPISVYGNPSPVNTASNSPTSPRQQYLPLQT RQLRPPKGPLYVPAALRPTERPQKASPPSPPRSVHGSLDSLNDGSEEPQPALTRRSTI ESAVSDGISKLAQDEWMKHEHLGEVTGLPTREHWKADSASPSCDSPTCRSSFGLFLRR HHCRHCGHVFCSSHTPHVVPLDQDARFHPEGVPSRACDLCWLAFQRWEESRSERLNKI QTQIDAQNTKTEEVAEETPEHVDNIDEESRKALAAMLGQSTEIAASIPRGWNWSTF AFLA_109920 MHESVVSSIDDIWFASGQEWFTERGPTCRTLQSARVVSLMLDRV TKTSLGLIFQVDWAIAEDVRREVVESG AFLA_109930 MKLAVFSAKSYDKHYFDATLRKHHPALCEITYHSFALSSETVSL AQDSDAVCVFVNDQLDAPVLETLYANGVRAILLRCAGFNNINLQVAEDLGFFVANVPS YSPEAVAEFAVALIQTLNRKTHRAFNRVREGNFNLEGFLGRTLYGKTVGVVGVGRIGL AFAKILHGFGCKLVAYDPFGGEEFKKYGEFVELGDLLAQSDVVSLHCPLTEGTRHVIN DENLGRMKKGALLVNTSRGGLVNTKAVINALKSGQLGGVALDVYEEEGALFYNDHSGE IIHDDVLMRLMTFPNVLVCGHQAFYTEEALSEIAGVTLGNLEDFVLKRTCKNSLVREG HLVVPMDKEPVRL AFLA_109940 MPVEEQERMTRMTVDSLGRQSATAALTKLDGEHGRADSRWEQDP FQGPFGILRLSPSATSPGGHDASQPDRAESSQADWDFLWPSLDSAPTIAEDNHLFDGL YDITEDVEDVPRADLSLEVDPMLDNLEVLLPDPLGIISDELVGQQTPLQISLIPNPSL ALSRSKYIPSQAPKLLRYFKENIISLSFPLKNCRKCPWQAIHLPTAMSTYAELSIQQT ASHTRLSLFYSLLAASCLHMLSRNPNAVDFNTSSKGYKEIAKQHLELALNEEVLGPRR AKYKELLMAVLSMVMLSIFHGENSNAQAFLVDAEYLIRIRGLPKPHKSLKVRSLHHVY TYIRIMAESTCGCALLDICPDRPSSSLLTIESSPLSLRSFRVAHDSLDEEIDLSLQKS DEVGHNDIHLEVMGQWRDTLYPDIYGVPESLMTLLSQTIRIANERELLHRSATVDTNV LQDLEKRASLLEQYILSWELPSRPYPSLISHSAIEGSEDSDNHTSQLLMRAMHQALIL FYYRRIPNISALILQDTVRKCLDFLRRSDNARADSVSNDTAILWPGFVAACEALDPDL QRGLLDWLVTTGQRTSLSSFSAAAKTAQMVWNARDQAKNYTLSWFDVLKHERCPIIAT AFLA_109950 MSNSDYPTTPSRNHYDVVIIGGATSGSSIAWHLNTNPDFKGSVL VVERDPSLQFSATKASNNCMRQQFATAINVKIAQYAADFVKRFGAEFPPDECVPDGPI RNFGYLYLSDSTEFTEVLKKDQQLQASCGAGTQIILKADIKNKYPFFYTDDIDSGSLN LIDEGAFNALGMVEWLRKTARQNGVDYIENEVVDMTVDGDKICDITLKTGEKVTVSTL VNAAGTRAATVSQLAGIDLPIEARRRYTYIFSVDEPLPQDLPLTIDPTGVHLRSYGAK DYLVGCPPIGPDTAVDVDDFSFAENAWEEKILPIITRRVPQFASARVTNAWIGHYEFN IFDHNAIVGAHDKVSNLFFCVGFSGHGSQQAPACGRGVAELIVYGSFQTLDLSALSYK RIVENRPLTERAVI AFLA_109960 MVYLKRLLALSSLLSLGQAQWSPNVPLETRSLDEIYEAAKKESG PLVVSSGGDAGNQNDFIINAFQKRFPDIQVNWTVDLSKYHASRIDRGFYGEGETTDVV ILQTLQDFPRWKSQNRLMYYKPAVWNDIYASERDPQGAYLPIAECKLTLLYGFGGIFW DSTKLNESEVPDDYASFTDPKWHGKLVLTYPNDDDAVLYLFAKIIGRYGFEWLDALQA NDVQWVRGSYTPAAVISAAHNNTSNPRSITFTTADGSEDWWGTKTPQKDESMSWSQTG AILASTKRPETSKLLLSFLVSDEWQKAQADAGSFVPRVSLDQGRLYEQNVSEVGGFRV FMNQRNVVDWWKSQLETTLGTPQGISPLDVYPRV AFLA_109970 MSDLEPGAYIIVPRHVSNKRLDVDDVTDPDNVELQLYEPLTDRE KADQVFVFAKCTESQYFIISIKNGTYLTASMTEYHNLINEQ AFLA_109980 MEQDFPIHQAFPFRMQRNRLAELLTADVIAAAGSASLITPAVMI LDRLVVEKSSHNQPLLPAFRRHLWLSITQPATFLTSRPSLLVWGLYTATFAAANATET VLDRVYPDVDHAISGMATFLSTFVVNSSVGIWKDVKFAQLFGHPPAAKPTNPIPNPTA VSKSGFLRRSIRTMPLATYSAFLLRDGLTIFGSFNLPAVVSSSIPDWIASRDYAKILF AQLAIPASIQLVSTPIHLLGLDLYNRPMQLPARDRIARVSRDWIGASLTRMCRIIPAF GIGGFANTEGRAFMHQQLQLCGEEYENEG AFLA_109990 MGDQRIRLSEGGEARCFSASEIISPQTSANCQRRQSSLKPLGAR KNEDSLYRSESVEVLQNAQQPAVELCAERELSSYSRFTRGSISEFMTMFSKTVAERTK QGQRQDIQEQDFTFHVYARTQGIAGVIISDNEYPSLAAHQILSKVLDEFLTLNPNAGT ATQPVSFPSLKTYISAYQDPHQVDSIMKIQKELDETKIVLHKTIESVLERGEKIDDLV NKSEGLSSQSKMFYTSAKKQNSCCILM AFLA_110000 MSQILAEYYISGSNVLVPTLVKELHIPEASVVWPSTALSLVVTS TLLIFGRLGDMYGGYVLYLAGAGWLAASSILAGFSQTWLMLIICRALQGFALAAFLPS GIMILGSTYRPGPRKNFIFSVYGACAALGFFAGIFFSGLCSQFLSWRWYFFIGAILSA VTFISSYFSVPSDFAERRKAKVKMDWAGCCLSVPGAVLLVFAIAESSYAPQGWKTPYI PVCFSLGVIFLGLMVYVEGWVVKNPLLPGDLFAVKYLTPLVIALLCLYGSLGIYFLYA VLYMSDIMGAGPLQIVAWTVPMGVGGLILATAGGLIMHKVSGTILMLISCIGYAGSGL FFAVIPKGGIYWGFVFPAMICGTVGIDISFNIANVFITTHLPKAKQGLAGALINCTLH FGIAIFLGFADIVKSETEHLGQFKSFKAVFWFETALALVGALIVVFFVRIHHAKSDLT VEERAALTAESRNT AFLA_110010 MLDTEDQQTPTLPVNSGPRVNLLYSVPGFAAPDPDSIKRTVTSE NTIFSWGSVEIARISTDIVEKFGFHVTLSEAKNMIFVKQNTESLPIPKVLAYYTYGPM SRDMDDYGSLFDIYIFMDYVEGQSLDKVWGTYDEITKGYIANQLKEYLCQLCQISHRN YIGSADLGPVTDPILERRHNKVDMSVGS AFLA_110020 MDSTSSSRNGRATRSSLACLPCRSRHVKCDGKRPCCARCAEIGQ DCNYARSRRGGLDRAALADRRRRLAANDRKSPAPDSSRQPHTRTQQVQEHTTPLMAED LRHEPNERISVGDVILGISFPDAGIAQPDDIESDFLINAYYEKFHGCHPFLLPRKHLS RMYQDPHRQSSFTPLLAVMRLIGHIYTSHEWSTSLRDHIEACFLQASPSDPIMVQSRL LFSMALFWHDYKDAAKLEMDAATSLAVDLKMYLSDFAASHGRDDPVLKECWRRTWWML YIVDAYYTGTLGTMNFRVVDIAATVDLPCEEREYESGDIPEPRTLQEFNCREFSAEPI RFSSFAYLIGAVQCAASAIAIAPKIATKGDSTHVIQAADCSLDGWRLLLPDDRKQLMD KDGKIDELMFQAHLIIHVSTIGLHRPFSALKFNAVEHVSSCAREPPLDTPIPDLVNVH TVRVLRAVEAQIRLLALPVPEFHHTPFTTCMVSEGTLALLSACSALFKGTQLSTARDQ IRMTLGCLKVLGEVWPRIARNVREIQTIAQTVLGIGPVGKNSMTPSSSAGPSFGGGED GLDLFTRVPSNDTTNSSSLGSIEDLCGWYSLGELSDLPWGMGNDS AFLA_110030 MQAIRVHPAPPSCDPYSPSNPAPVSALHLDEDIPVPKLSKPGEL LIRVKATTVIRDMLTWPETYRHDYAIMGNDLSGIVIETFDDNSKFKSGDEVFGMTHVD RAAAWAEYTMVKEDEVALKPKCLSWEEAAALPLSAHTAYEALFVHAGLAIPSVDTALR NKAQSSQHQKQILITGAAGAVGIHLVQLASAAGLHVVAATSSNARNQDFLRSLGADET TEYARLDGYQSDFDIIVDAVGGDVLAKCWNYVKDDGVLISVDSASYNFVEEHQKRGIC KEGVQALFFIVTGSGEALRYLAELVEQGILQSLVIQTYPFRSVREAYEHANGRHTGRG KILLTEFFAV AFLA_110040 MYNTSRPLATTTLVYQYKLVNCPGKSTVGLLVEYPPDGATPPHR HGGASVSAYVIKGSVLNKMNNDPMKVVEQGGSWYEAPGCHHRISANASKTEPAAFFVN FVLDTETLEREGPAVLVQYDEEYKEIVAQKMKA AFLA_110050 MTGEKNTGSGSGDSPLSQPAHALPYDVVIRELGTRLDEGLSTDE AKQRLQQYGPNKLEEGEGVSVIKILIRQVANAMMLVLILAMAVSFGIKSWIEGGFISA VIVLNIVVGFFQEYAAEKTMESLHSLSSPTGTVSRDGQTFSVPSVEIVPGDMVELRTG DTVPADIRLVEAVNFETDEALLTGESLPVQKEYDSTFKEDTGPGDRLNIAYSSSTVTR GRARGVVIGTGMSTEIGSIAAALRASNSKKRPVKRGPNGETKKRWYVQAWTLTGTDAV GRFLGVNVGTPLQRKLSKLAILLFGVAVLFAIVVMAANLFSDNNEVILYAVGTGLSMI PACLVVVLTITMAVGTKRMVERNVIVRKLDSLEALGAVTDICSDKTGTLTQGKMVVKK AWIPSKGTYSVGTSNEPFNPTVGDVTFTPVSPAHFDDEKEGEPAAKPEDLIPGNRQLE DFLDVASMANLSHVYKSDEGEWRARGEPTEIAIEVFASRFNWNRDRWTKGEGAVWHQN AEFPFDSTVKKMSVIFTKVTPQEERSMVFTKGAVERIIDACTTIIWDQDSSTPVPMTD SHRTSILDNMEELAKLGLRVLALAHRPYKEESRLLEDSDLNRDDVEKDLCFLGLIGLY DPPRPETAASIAACYRAGIEVHMVTGDHPGTAKAIAQQVGILPADLSTVAADVADAMV MTAGQFDKLTDDEVDALPTLPLVIARCAPQTKVRMIDALHRRGRFAAMTGDGVNDSPS LKHADVGIAMGQAGSDVAKDASDIILTDDNFASILNAVEEGRRIFDNIQKFVLHLLSE NIAQACTLLIGLAFQDLDGRSVFPLSPVEIIWIIMITSGMPDMGLGMEVAAPDIMDRP PQDKAGIFTWEVIIDILVYGLWTAALCLSAFSIRMWGFGDGNLARGCNREWSEECDLV FRARATTFVCLTWFALFLAWEMVNMRRSFFRMQPKSKRYFTQWMYDVWRNPFLFWSIM AGFVTTFPILYIPVINKIVFKHTGISWEWGIVFVEAILFFMGVEAWKWAKRVFFRRRA RGQHSLAQANATQMP AFLA_110060 MTPSPRERVPRACEHCRSRKIKCNGEQPCNACSRNPQRCVYRTE PRNPIHGLGSRGPNFTPTTTIDTNPVPTPYMYPQDGSFPVLGTLASDVAMLSGSQVNC QWLSNGLDPRSIPGCLH AFLA_110070 MSQKAVVYTAPKQVSLVSDRSLPKLRDDYILIKTVSVALNPTDW KHVEWDLGATGCLAGCDYSGIVEEVGKDVKKSFRKGDRICGVTHGANVLELEDGAFAE YIVAKGDLQMHIPDSLSFQEAATLGVGITTVGQGLYQSLKLALPTEPISQPEPVLIYG GSTATGSLAIQYAKLSGYNVITTCSPHNFDFVNKLGADAVYDYRDPNSAAAIREATND NLRLAFDTISLDDSAKFCDNALSTKGGEYSNLLDSKIERENVNDRATLGYTAVGEPLQ FGDLQIPAIPEDREFAEMFWKLSEPLIAQGKVKVHPIKVCADGLKGVLEGMQLLKEDK VSGQKLVYNVAETP AFLA_110080 MVDCITYLEAIVKTGQTFSGTFPAFIGRYRHLSLFYHAIVGIVG NDIVPSLQF AFLA_110090 MVQRRVKPNIPDFPLALNRSKKFGVGRYILRYQRKGVVSRGVER FWFMNKRL AFLA_110100 MTTTTTTTTATTTTHPPTPPKTSTSFTAHLIASFTSPISSLPTP APEPLYKPADVPRIKNAKRLTGTRTKQLIRAADRGHDDPPPPPGLGECCGSSCDPCVN DLWREELACWRERWGEGAVEKKEGDRKDGEGGIRGKGGCMPGSFDW AFLA_110110 MVGPDSSDHVRDSSKILGRSAGANDGLELRQGPWSLSHDTYPLT QVHSSLLFDPCDDRFPFFEVCILERDMCGKGFPGLVNHDGI AFLA_110120 MATKTPNFRATFNFDLLQIRRKLCCIRQGVAKTQPDLTDLTETS ASEIAQKG AFLA_110130 MASSRVFASRLASQMAATTKVARPAARFAAPKRTFTTQRKTAIP MTPFQTVKRQQPSMIQANARQVFANVQARRQYSSEIADAMVQVSQNMGMGSAAIGLGG AGIGIGLVFGALLLGVSRNPALRGQLFSYAILGFAFVEAIGLFDLMVAMMCKYV AFLA_110140 MERSWALPRSLTVRRAISSTSLWALIPRSWSPMVPVSEDKELQV EILEPKGLREKGNEVKLDMETSHGSGKAIMEKKGEIKWVIHLEPGKDVRVVLEYGTKA PRGSEVDTA AFLA_110150 MLVCSVARIHQIQDSAEVAPQAFQRDRTQTDDLKIDYSFAQPAS PQSLTYPNKLIYRDQECASPARKTKPATSTASRAHPSTSPSWSSETQPDKPSSPTTKA SHTANSSSPRAQHSSDATCTTTCPTRVVQNLSLSSLFIFRCSVFLARSPSSTDPQSSP SSSPTAQAPSPPSHTPPQPDDAAETPPPAAGYKAPRTHLASPRPHAPDPSSWHTHPET PGWKPPSSVHFPLAQVALRLRLWMRR AFLA_110160 MKYSKLLLLLVSVVQALDVPRKPHAPTGEGSKRLTFNETVVKQA ITPTSRSVQWLSGAEDGSYVYAAEDGSLTIENIVTNESRTLIPADKIPTGKEAFNYWI HPDLSSVLWASNHTKQYRHSFFADYYVQDVESLKSVPLMPDQEGDIQYAQWSPVGNTI AFVRENDLYVWDNGTVTRITDDGGPDMFHGVPDWIYEEEILGDRYALWFSPDGEYLAY LSFNETGVPTYTVQYYMDNQEIAPAYPWELKIRYPKVSQTNPTVTLSLLNIASKEVKQ APIDAFESTDLIIGEVAWLTDTHTTVAAKAFNRVQDQQKVVAVDTASNKATVISDRDG TDGWLDNLLSMKYIGPIKPSDKDAYYIDISDHSGWAHLYLFPVSGGEPIPLTKGDWEV TSILSIDQERQLVYYLSTQHHSTERHLYSVSYSTFAVTPLVDDTVAAYWSASFSANSG YYILTYGGPDVPYQELYTTNSTKPLRTITDNAKVLEQIKDYALPNITYFELPLPSGET LNVMQRLPPGFSPDKKYPILFTPYGGPGAQEVTKRWQALNFKAYVASDSELEYVTWTV DNRGTGFKGRKFRSAVTRQLGLLEAEDQIYAAQQAANIPWIDADHIGIWGWSFGGYLT SKVLEKDSGAFTLGVITAPVSDWRFYDSMYTERYMKTLSTNEEGYETSAVRKTDGFKN VEGGFLIQHGTGDDNVHFQNSAALVDLLMGDGVSPEKLHSQWFTDSDHGISYHGGGVF LYKQLARKLYQEKNRQTQVLMHQWTKKDLEE AFLA_110170 MKAATLLSLLSVTGLVAAAPAGNGPAGGIIDRDLPVPVPGLPTK GLPIVDGLTGGNKGGEKPGSKVTPREDPTGSAPDGKGNDGPDGDLTGRPGQGGLDSPF DLPTSELPPVKLPGGLDGGKGGLGLRRRGSPVDGLPVVGPVVGGVLGGGGAGSGAGAK GGAGSGTVGRRGSPVDGLPVVGPVVGGVLGGGGAGSGAGAKGGAGSGTPKRRDGPVDG VPVVGELAEGATGGLLGGDAGSADAAGADAGAGAGAGKVGGGEGANAGGGVTDKTRFL RGKRKRTNLWDCLFKREVFNSASPAIP AFLA_110180 MTTTTTTTITATPEEPCTLVPINLHNSAEFAELKQQRRKCGWDY EDVNLIAWRDKQDANLKSFFWITVPSQSTDADAPRIRAGHISLDSYADPPDPELATAD RSNLTIQTFFIDPAHRGGLGRKAMDIVEAMASEEPHGSPECKYLTLNALSKRYFYEES HFWDKLDRVRPAVCTAEWYERRGYVYWKSEPRYHEPLLEGDDAIIWADFLRKPLRR AFLA_110190 MAEMREDIQISEGNNDEEKLQAKKREYREALVRQRRADLKKYQE SWIHVERDQNILNRGKGKPLHVVKDICTRAQYLIMPELARIATAMACTAELTFEEKLF FLGDLRTLCSRKEDVIYLPNEQPIEGFCPAQGCQLEIENCGGVLISPNKILTAANCVD GRSLSDLKIGYGSLDRDSEPTTSHLSEITIHPDYDPLTLSANIAVLTLRDVFSAPSYA PLAQQPSIRTGDSLTLYGWGRTSLEKIKLPTELHKVEVQALDTIACVSEHLDLGSGQF CDTSTSGKGSCFGDHGGPALDSSGTVVGIISGRQNCGLAKSELITDVAHYYTWIISH AFLA_110200 MRLSQLFSYLSVLGMAFVDAGGAGGKYHLHSLFPMAKDPLEPCS DYKDCVNNCDSGNFGVFNPNGYPQFICV AFLA_110210 MMKDTLSFARLALLLFGFVVIPTQAIVGGIATTNSISIGAVYTQ GLFGSQYACAGTFVSSNKFLTAADCVLGHSPRDISIKWGASNRLNEPSSSPPTLVTIH PDYNELTGDANVAVLTLKTSTTGPSHATLAKESSIQTGDALTLYGWGLTGLEGLSTRF PAELHMVEVPALSTSECRSEGIDIGAGQFCDQSDSGKGFCIGDHGGPVVDSTGTVVGI ISGRENCGLGTPEVITNVAYYYHWIISQ AFLA_110220 MLGSAYLLEYPCEKLIEMYQNESPQLKSLNDGLIRTGITKDNWR QFLGKKKYTFTFIPSRNKLTIETRYTAAYTTFFDQELANTQNDWKELVNEYLFTPPQP LINGFIGGLGHAFIHLAYAYEFSHPQIATEALSLGCTDRDPIHHYLDTPYPDTSTYKT TSAKEILHRVHTDIRFSSLFSVPGFINIATTFAHAEHALLEHWNAWDIVNPAKQFRDV VDLAGLLLIGSRNGEGEYDFFLAHLLTVGHALRVLLPSFPWGYRVGVLRQFWLFVLYV YVAQLRPGVGDGEDVSGVELRGRDWGWVYGRCLEGEFWGDAHVVKVVRAFRMLEEWKN EKDGWCLRAAVSFLEGFRGWTGFGVGVESVEVEE AFLA_110230 MSTYPTAFCAAQVVGLTGAAWLSGKILSLSTITVPALIQSTRED RLPLDAAVKLWRNLYNRGKSQAPPIAAATSAAFLYCAWAVRASTTLAPLAPTHSSSLY CVAAALTLGIVPYTLGMMLGTNNKLMDLANSGRVVDEKSSVEVESLLSRWLKLNAGRG LLPLVGGLVALTAAIPWPLEMI AFLA_110240 MASTDTQLSLKPHHHVVKIEGAREDSENHGEDLISQLKSIPSDI TALRIEEDAPSDKEWAILGSHFTDIQSLELESGFNEDLNDKELPLHWPLKRCQISSAC GEVTRTPHIRQGRVSHLILLLTSGIRFEGPTSSELSKAHSQAIARGEEKADFITVKEG TPEERQIQITSIPELASKWMINKYEGKEHQLEEDNHPPPTINLRTLEILENDAIDTFC RMTLALPHLIENLTTLNLRSTHCLDFHFLHESMIQQFLPQLTGLETLKLSVGEVFTDE SRLHTLYKWLPPNISTLRFRGPASLTKSTEWNNWVQAFAERDFLPNLKRLSFVLDLDY EPSDSSFGRKKNLKTIPEHTLHEARAACEPLYEAARNRGIVIERLYDEWSDECQILRQ VDDRWLC AFLA_110250 MYIVQSDGYAHLPEEQQNQGGVVGVIYMPAKEENRKMAFPGGGV WFPVGEGWEVSVTVRGGYRFMFKGRADGVSRVVEWEKRVAKGRSSSASEGSETNADWR FTLNIAELGLRRPCLATLTKQGLKVGGWDRAQREYLASSLRSGNEVEEDAALYTSVLT MGVYVAAQEGWLNQYL AFLA_110260 MALASKDRIEPGSFNVPVGAFPATCSSTGIDADKIASEVIRLLN TALGLKQPQEISKLFLEDGYWRDHLVLTWDFRTIKGQENITRFLQENPRLLQVEIDRS SAFKAPAIFPIDAFGDVTGVQFFIKVATDAGSGKGVVRLAEKDGEWKIFTFFTSLLEI KGSEEKINHHRPVGVSHGEHHDRRNWQDRRTADFNYEGKSPTVLIVGAGQAGLTIAAR LKMLDIDALIIDEEDRIGDNWRRRYHQLVLHDPVWFDHMPYLQFPANWPIFTPKDKLA EFFECYAKLLELNVWTKTKLQSTSWSDANNVWTIELQRQKEDGTVETRTFNPRHVIQA TGHSGKKNLPEFKGVETFQGKRICHSSEFPGADPNSKGKKAVVVGSCNSGHDIAHDYF EKGYDVTMVQRSSTCVISSKSITDIGLKGLYEESAPPVEDADLFLWSIPSDLFKAQQK KVTAVQNQNDKATLDGLEKAGFKVDRGPDDAGLLIKYLQRGGGYYIDVGASQLIVDGK VKVKQGQEIAEVIPQGLRFADGSELEADEIIFATGYQNMRTQARIIFGDEVADRIQSV WGYNEEGEMRTIWQRSGHPGFWFMGGNLALCRYYSRLLALQIKGVELGLNH AFLA_110270 MFYSRIRSVASTAAVTSLFTATVAQAGNCSSSVIPHPSIPGGQV LDLSAVPVSNYAYDESTLDFCNVTVTYTHPGKNDTIHATVWLPFSNWNHRLQGSGGGG FAMRSEDAVLAAAVAQNYTVLATDGGHDLNSQSSASWSLDASGNVNMALLEDFAYVAL GDAATIGKQIATSFYGLAPRYSYWNGCSTGGRQGLMLAQRYPTAYDGIMAAAPAINWA SFLVAEFWPQFVMNQLNAFPPTCVSDAITAAAIKACDDIDGVLDGVISAPDLCDFDPF TTVDTRVNCSGVSVRISKKDAEVANSAWEGFRSSEGSFLWYGLDKGTPLSMGASSLAS TVCSTPFNCTGSPFAISPDWIRRFVLQDPSFDLTTLDHKALDRVFSLSKERYNDIIST DNPDLSAFKQAGGKMITWHGLSDTLIFPKGTEQYYKRVEEKDPSVRDFYRFFKAPGVH HCSGGVGPVPVDPLSQVVDWVENGVVPETVDALAADGRRRNLCQYPLVSVYKGGDVKD AASYRCEEGY AFLA_110280 MITKAGELPSPGKVRSKFRWHCLSKQLICCGTTFTGGLRSRAKL TGTWYVDQPKKFLDITRHTMTVKYYNLSQDRLIT AFLA_110290 MVVKVLSQQLAALSTYVANLDFDNMCRELEGTIPVTKMDRSTLP QHASVFALLELIDEVVQIRQILNTVEDLVSFTHG AFLA_110300 MAAIRRGIAILGSARCYALDRTTIDQLATLRRLYFDDMNLINER LAEAYHAPPKDHKRKRSDDESDHDDQKSPPTDTDIHRIVQDTHNIGDIQDTHHVCTLP DSLVIDIHNEVYASSNWVLGPNATGNDAINRFAPLFESMDVVKLLS AFLA_110310 MACKHAKTKDAEIEKVVLEAKEIANDVVGNNVRNTIKTGSVRRM IGSLLLVQLDGRQLPPYSCPSKSGLAPSP AFLA_110320 MQSGDNQRVIVLANQWLHNLTVQLVFSNLALRDHDVDIAVLREE LVNLDHLVLALTQATCIGLSFVVHVPPDLHLDNDGSRFELLSDGLLLCVSLRVPSKRR QVTSDCCFNTTATMSRNLR AFLA_110330 MHIRTAITAGAALVQTAVAASVQAKNVIYIVPDGYGPASQNMAR DLMSLVDSGTTGSNPKIDELPVDDLAIGRVRTHSANNMITDSAASGTAYAAGHKSYNG AISVTPDGQPVGSILEAAKLGGMKTGLVSTTYISDATPGVYAAHAANRGSMPLIAEQQ LGYSHPLGPMVDLLLGGGRCNFSPNSTEGSCRTDDFDLLTYAEEQGFTVATSREEFNA LGKGQGQADLPFLGLFSDGNMRYEMDRRVIQDEPSLLEMAETAVNALHRATRRRNKGF FLMIEAARIDHAGHDNDPANHAFETVMYNNVVAWVRDWIDQHPDTIMLSAADHETGGL TLNGYDPLPLRNATHSRAYLQSVWDNDRPADVDERDFLVSEILPAYGLENVEDADIQT ILDADSIGAGIAALMSSRAGVDWSTGGHTSVDVTLHGYAAGRKRQALKADLAGGWDNT ELPRYIEEVLGLDMDAVTERLRKAAEEDSSWLGPRELARRDLGGCDHYH AFLA_110340 MNRFICSGRMLGGSAILLSTIIIVWIVFVNPPQTRILHSSSPQT VSPTHNSSSPWNPSDVRCSGLLDTSHIQVVVKTGSNIIYDKLPTQLLTALRCCQDPLI FADSEQDIGPYHVYDVLANVNETLKATHPDFAYYRTIKDYLSSGRDIRLLRTSRQAAW DLDKYKFIHMLVETWERRPGHDWYVFVEADTYLFWGNLVQWLARMDPAKPLYLGSAAT FQSEKFAHGGSGVILSREAMKRVLDGDADLAARYDEHMHDEIYGDYVLMKALKEKGVE LSNKWPMMQGEKQNTLPFGPGPNTGSRHGCQPLITMHSVTPVDVNAMWNYEQRRKHPQ EPLLIGELYDYFMGRALPSQRDDWYNLSDDLMFRAPGVEGQRQKSPADMTPVEKEAYS SFEQCQKACQEHSKCFQFVYHDQTCGFSFSYRLGYQRDPEGDEGPYKSGWILDKIEKD REDHPCKAPEWL AFLA_110350 MTDDAQKSSVRQVHSDTRFPQRKNPSKDTSAPVLEPTSTDKLIA GIWRQVIEPGIDIRTGVSGEVFRAVNTLCLKYYNQSQSSRALEMIVQAYWIECYEARI AVLRLENPNLSAMEIRMMGLREACAVLNWKEKDLRNRIAIWRGYKEIKDAGGWASLIF ASAGVYRFCKYRTGFGEGFSTRLRHIRSSLEVAADTLHPDWRDLLQVIGQQETRQYHG HPHEWVTVTGRPAVPLSSTYEHLQLPNGFHFRFIDECVLDMAAFGTEDPRRVPEIDPD VCLVCKERQSDEIEKNHCSCFSTLFGGVRNPVPVQLFHTTSGKNNGVIARSMGNFTRF INHSCRPNSQFQRFYWRGKERIIVVSRGVTAGSEITVDYSDHYWKQLNKICLCGEPCC RFRERR AFLA_110360 MSVQREMGYWGGNVNGLSNHGGCLLRGDRGLVNSDVTREGGTGT CPDVADLGYADVPIVEAWAELPVWPGRVSSSVEEGFWIGPDWYPSVEGDALDKCAVSS GCGRR AFLA_110370 MTETQTVLASRQSGRSSESSSLDAIATEKQNLAVHSVPSTRPDL HNSASPKRWKTFWTAFRYLQHLTPKQVDDFMASYVIYNLDWSDEKQMVEELGPNYQEK VGDCLKSYYGVLNHLCALGDVEKMYIPPFMSKKATVLENQLLYEESIAEHIGLKPGDK VLDLGCGRGRVAAHMTQYSGAHVTGLNIDPNQIAQARSYNEKLGFKDNRFIVQDFNSL PLPFEDETFDAFYQIQAFSLCKDLPALFREIFRVLKPGARFSMLDWVSLPDYDPSNPE HVQLMRRVKPLIGAVGTPTPKILENALTDAGFTVTRSDNASVGGLQAPLIAKVDLYFR SMRQLILGLVKTHVLPKHFKTLINRLCLDGEAFVKMDNMRLVTTSYRIIAQKPLH AFLA_110380 MVALGVRSKDNQPTSSQQGLVRMRTGSKTRRYFLVGQRGEANPP RRREFGSWPENEDLKDLLIETTAALIALRIRSGLDELEILRVPKD AFLA_110390 MEEQTIQTAHTVFTSQLSYTFEDWNDCVQFQELILAAKLVFIAG IAEAKSKGRGEECISQNLRILRGYNGKQVILFFANSQRKELKRYVSLPVNCIESVQPP KKAGRPVVLQLLPNFDHLSQMRSLQIHFLDHGDGLSFCQFLADHAK AFLA_110400 MQARGARTGVGSKEETNLENHIVSHASGVSPGFLSGRSWKLRAL RDDAHLINIHVRKLVIGGPQEPQEPQSELDYASQRRTWEKNWPLLHVQAEANATR AFLA_110410 MTKFRSRLYKTDRARDFEHEQVRSSSHPTNSLPSKSDRHESRTA MSACDKFMRPSIDRVINGLWYLWPGWASSSMDIQSVEYEAIGLGDGSIMTNTYFSSPQ LFASNMAFPMLSYVYWRDDASSMKLTNINIATLAGTMLGQVLFGYLADKYGRKKMYGL ELMLLITSTLGVVMSSNGVNHSMSVYAWLIWWRIVVGIGVGADYPLSAVITSEFAPTK HRARMMASVFFMQPLGQITGNIVSLIVVAASRSQGHEDLTRTVDIMWRWVIGIGVVPG VVATVFRFIIPESPRFLLEVEDDPIQAEFDATTLFNEPNNSPSIETDSWHNLPLPAIS MTSQCFSDRSPSQTEILQPATLNSHWHLTRKDITQYFWTEGNWRTLAATSLSWLLLDF GFYGIGLSNPQFLAKTWGSLKLHGPAPVWQTDDTPNADVFKMFLDSSTHALVILNSGS FLGGLLLILVIHRLDRVALQKYGFLALAALFIALGTMFLTVHKEGAVAVALYIIGQAF FNFGPNATTYIIPAEIFPTRYRATCHGISAGAGKLGSILVQIFSSHFNFGSGLGNEPI IRHGWVLIVFSVCMMLGAVVTHFWIPPVQRQDGQGKFWGGKTETLETLALGRMGWKSR YAVKPRERERVISPTLSPGGFGL AFLA_110420 MVYAIFAQDQAAITPHFDTEGAHHLSIQQTLSNPSCAADEPLFI FETKYGNVPAHPFTENIIKAYDEAGPLQIYFTPKSNSPDQEWRVDRNTSGDVTLQFTV HPRKVDITTPMGPRVDMRRDQGGLCAVGRWFLPRAAVEREYHNIVELESRTGPGRNAR RVVVRRGPWTGSQGRETGRCFKFNLYGWARIAEFYSIFLPYRFGLRGLEYLHNRLNAV LLEYGTSPRIEMDAIDAQHEFYNDWKMTGRFGIDQNSPLDDIIVDMGKRWHRGEQVLA RDWLEYLRPLLGEDMDVSEDFQSMLRVAGVVPGSRAALAGLKDEDHIVATSRISYWPR SFTKARVWQLVQ AFLA_110430 MAVNGTDGTSKTNGHSVNNGTSAYHAASTQEAIQAESDFAAHNY HPLPVVFARAQGTSVWDPEGRHYLDFLSAYSAVNQGHCHPKLVAALVEQASRVTLSSR AFYNDVFPRFAQFVTQYFGFDMVLPMNTGAEAVETGIKIARKWGYKVKGIPENQAVVL SAENNFHGRTFAAISLSSDPESRDNYGPYLPGIGCNIPGTDKPIAYNDKAALREAFEK AGPNLAAFLVEPIQGEAGIVVPDEDYLQEARALCDKYNALLICDEIQTGIARTGKLLC HEWSGIKPDLVLLGKAISGGMYPVSCVLGRKDVMLTIEPGTHGSTYGGNPLGCAVAIR ALEVVQEEQMVERAEKLGHVFRDGLKAINSPMIQTVRGKGLLNAIVIDESKTNGHSAW DLCMLMKEKGLLAKPTHENIIRLAPPLVITDDEIKKSLEIIAEAVSELPTLKGAAEDK VIPPPEKKVKIGVEN AFLA_110440 MSTSSRRRRSPLELSSSRSSSSSSSSYASWASTTSPSTSTSTPP SLSRTTTVSTSHVFLFLLAFRLLNALSLRTFFQPDEFFQSLEPAWQTAFGETHGAWIT WEWRHHLRSSIHPLLFATVYSIADLAARALRLSPAFRADLLIVAPKSAQAILSAIGDL YTWKLARYVYGRRSHEAWAALALTVLSPWQWFCSTRTLSNCLETTITIVALNLWPWEW SSESTPTVQPRRNTRSTTRDTGLDNTGDGAVVVRLRKCLTLAALACILRPTNILIWMG LAGVAWFRSAWRERTILCREVLLCGVSVLTGSVVLDRLYYGLWTFPPLKFLYFNIAQS LAVYYGRNDWHYYATQGYPLLLTTALPFTLVGLYRTLSQSQSTINTRHVSVQTQLAAI CLLMPLVLSLISHKEVRFIYPLLPSLHVLTAPPLVDFFLPAVSRSNGAYMPRRLSLVF LLLVNITVAIYTSVYHASGTLNVLSYLRDQQQAHTTVDKSAYSPGSSQRITAGFLMPC HSTPWRSHLVDPNIHAWALSCEPPVDLTEPQKAVYVDEADQFYNDPSQFLRENMVGGL RHLPRKPSYLASSKSLEASPQAYQQATPHEWPDYLIFFAQLEPTLHSFLRSSSYGECW RTWNTAWHDDSRRRGDIIVWCLDPTEQAAWRSATRKRTLEHRDRQFDRIVETLRKNAP GQRKSSPWTRWTSSLSGRSASSTWSWSWPWERRRRSWFGIQLPVWKKSSWTLPTWTWP KSSKRKTRAVDRDLWS AFLA_110450 MGIFLCMRCAALHRKMGTHISKVKSLSMDSWTAEQVDVSDRVSI CRTNMKSHGNNLMNKIFNPRNVKPPVPADVDESDACMERFIRQKYQHRTLEEGKPKPP SREGTRRDDRSPEGSPPPLPPKPARPHGLGLRSASSTTSLHRLSNRQAASSRFESYES PRSVSQGMGASVGNSNASHESQMATLRSMGFTNEYRNSAVLKGLDGNLDKSIETLVRL GEGPPSLQGGTRVQTTTANDAARQQASSNPFDQLDSKPAQPSGQSYNPFDVPTPQPAA QTLEASFQNLQVSQPLFPHSTGGYPNQQPSFPQPLYQQPITPPVMPTISQGAVVQSPQ PVDGGQNPFFQSGSFTSTPNQTPGLAQPQTNPFFTQPPSQLNSMQTPSQAPAGYPHPP RHANTMPAISSTSPFGTASPFQQQQQQQQQIQPPQLQVQPPQQMQPSHNPFQPMTAPP TPQSAGYQVQSQLGLQAPAQHLAPQPTGRIDKNSILSLYGLSPPPSATSEYSQPPNPA GAFPGPGTAPAPAPGYATTTQPQQPTDPHSAGTRNPFLTSQAPAAGLPQQQQQQAYLQ QPQLQPQPQYTTTSPFTMPVKSNTMPPAAPSAFPRPQGHMSQQSVDINAFQNGRHSPD AFASLSARYG AFLA_110460 MADYNYGGSEEENAELRKLEAELLDDPDNFETWERLVRAGEALE GGINRNSNPQAITTVRNVYDRFLAKFPLLFGYWKKYADLEFSITGTEAADMVYERGVA SISPSVDLWTNYCSFKAETSHDADVIRELFERGASSVGLDFLAHPFWDKYIEFEERVE AYDKIFAILGRVIHIPMHQYARYFERYRQLAQTRPVAELAPPETLSQFRAELDAAAGH VAPGAKAEAEVERDIRLRVDSYHLEIFSKTQTETTKRWTYESEIKRPYFHVTELDEGQ LSNWRKYLDFEETEGSYPRTQFLYERCLVTCAHYDEFWQRYARWMAAQPGKEEEVRNI YQRASYLYVPIANPATRLQYAYFEEMSGRVDVAKEIHGAILINLPNHVETIVSLANMS RRHGGLEAAIEVYKSQLDSPQCDLATKAALVAEWARLLWKIKGSAEEARQVYQQNQQF YLDSRPFWTSYLTFELEQPTSSETENVQYERIKQVIDDIRSKSSLTPDAVKEVVQIYM VYLLERGTKDAAKEYMTLDREVHGPASVAHAKTGAAAQAQAQAPPSANQATPVPEAPA VPTPPQPNSYAYYQQAPVNGTTAA AFLA_110470 MSTPQAAGSLVYKLPQRLRNFFARYPPQIYSAAVAPRPEPTEEV NAESLPSPYTPNRDAKGHKRPDPTEYSPSRAILYSNPDHPNPFLPRKNFRTGKWIGPR YGLRTQADLVKLAKKYNVEALLPPGRKSTEFKETRREERGLQIKGTGIGQKVKGHKWE RTMESRLEERRKAMMEMPEMIRLWKQRGHGRGWKQWPKR AFLA_110480 MQAKTKTESRAEYCTGYSEDVDVIREREYPFLKDTTYLDHAGTT LYPKSLIDSFARDLTSNLFGNPHSRSSSSQLSTQRIDDIRLRALRFFNADPDEFDLVF VANATAAIKLVVDVFRDSSPQGFWYGYFIDAHTSLVGAREIAERGHRCFLTSGEVERW IADLATDQKNFPRLFAYPGQSNLNGRRSPMQWCKKIRDGSSGAGNVYTLLDAASLVST SPLDLSDASAAPDFTALSFYKIFGFPDLGALIVRKSAAGIIEKRKFFGGGTVDMVLAQ GMPWHAKKSTIHECLEDGTLPFHNIIALDSALSTHGRLFGSMSNVSFHTRYLAKRLHN RLAAMTHFNGQKVCHLYMSPESDFDNSTQGPIIAFNIRNSSGAWIGKSEVERLANVKK IHIRSGSHCNPGGTATSLGWTGPELLRNFSAGLRCGDDHDVMDGRPTGILRVSLGAVS NLRDIDAFARFIDEFYIEKEPEFVSLVPPMEVVLQEPSFYVESLSVYPIKSCGAFKVP DGQRWEIKREGLAWDREWCLIHQGTGAALSMKKYPRMALIRPVIDLERGVLRITCGSD SKELEVSLRREITNLVTTSLCQSAKSSNVCGDRVVVQAYSSPTVASFFSNFLGVPCTL ARFPPQISNRISNPTRSSRRSQRALMPGSFPEDPSPTSEQPPILLSNESPILLISRSS VNRLNENIKYNPRPSYSTPAKAVEADVFRANIVVAENLHQLANAERPYIEDTWESFSV GPEQLCFDVLGSCQRCQMVCVDPYTGTRREEPYSTLVKTRKINSKIVFGRHTSLSNME LSQGAGNPKSCTVMVGDVVTPQIA AFLA_110490 MLAYFIDGYLKANVITPTYILVLFIVSTIAVFWCFDTLIRHATT KRSAAFVAFVDLLFFGAFIAGVYQLRFIANANCSHWDGGSVWVSLGPFGAYGYRTGNP LALQVNKHCAMLKTCFALGIIEVVFFFWTAFWALFLHSRSDVVVKETTTIRRRSHSSR RGHGHRRSSSHRRPQYVV AFLA_110500 MGHLPSKLPFSKRRLRPRIVISYILDYVILVACIAGFYILDSIE PYHQHFSLNNISLMYPYAVHERVSIPLALCISGVAPLIIIAVYTLLIDGLFSHNKPVD PTSGKRKLTGPYRFKDRLWEFNCGFLGLLLSQGLAFLITQVLKNACGKPRPDIIDRCQ PRPGSEDPFRGLSNYTICTGDPAIIKDGFRSWPSASFAGLFYLTLWLCGKLHFMDNRG EVWKAIIIIIPCIGATLIAVSRIMDARHHPFDVITGSLLGIVCAYIAYRQYFPSITEP WKKGRAYPIRSWGRDPVVPSEAAPLVTTNESTVALRNPEEERLNASGVPDTRDPTQLR ASRYMPPANNPYATNMYGRDDDGHWSSSSEDVADGYEMQHGYARTQNPTYGGQLPRYE TDTSYHSQMQPQVTGVSVSHPPPITTIRSDGERELTDVPPRAF AFLA_110510 MKNFATVAALAAGANAFVGRSNNCCFHLTASGGESGTVGQLDDG QNRIHGGLQEAEFCIDSKGALTDGHGRGCIITGPTTQFQCDEGATPMTGWSIDSQGQV SYNGDADFVACATGQNGGLNIYTTESSDVTGCKDIKLSADSCSGSASGSSSVAVPGSS TPVPGPSSSASTPYVPGPSSSASVPYVPGSSGSAVTLHTTVTSTYCPESSTVPVIPGT PGTPGVPGTSATPGVPGTSSVPVIPVTSGTPGVPGTSGGQPSQTASSAQPSGTSTAGG SCPTDLSGEYEFPHLIIPIDSSSPDTAAGTSYNGTISSTVSTIFNFDIPSSDAGKTCS LVFLFPKKEDLETSSYSFSGDGKVDFASLQSAATQSTTYSNAPAVKEDCGDFTISPGN SYLVSTFECPAGQTVSYEMKNSGSTELDFFEDYNPSPLGLYITVC AFLA_110520 MMGVPGSNLQDLERQRLELEGNILKLQESLYHWRTWEAEYDGLK DEISELDDDATMDDFLRIGREFGGSLVTEDEVKVILGERQGVTRTKQQVIDLVSRRVD YVQQNVTIMEKRLRSVENQLHAFESAEQLPAEPTSDFPMTEIVEELDEDGNVISSSIA TPGDQAPELLELLKKAGVKDVPDAPNANAQPGYKTEDEPSSADKQKHGDISEKSNLRE TNGSSGAYSEEMLAASESATEKQELPVTDIDESPEDAKLRREMLQYGLNEVGAVVAEL ELDEDASDISIDDDYDAFAYDDEDDEEEDEYGRSTRTVLSEDYHQQMRELEAKLNARG MWNMGKDTGSLPAEVQKELEQPSVVRVEKSTETSDQQAPEKKPKKKVAFAEELDIAPA PKPPTAEKKAVPPRQSDVPVLSDAVVERTASSVQDPVTNDAPKKTSRFKSARSTGGSE NSIRPSEARSSLRKPITSPSPALPLFPAKPSEPKPFSQPISDVIEKPPAPRGPEGKIL ADTLVEHEVSQGAAMPPEPDEIDEQIHRKEIASEFYRIRNRMIQQNGGFVGEEPETVP IETEDPPKRVSRFRAARMT AFLA_110530 MRTVRLRPSIPRDSSANIFSEVCPVCKSSRYLNPDMQFLINPEC YHKMCESCVDRIFSSGPANCPVATCHKTLRKNRFRKQTFEDINVEREVDIRRRVMQIL NRREEEFDSKRAWDDFLEQREEIIANLVHGTDVAKTEADLQKYAQENMRSIRANQALE AQEASSFQARQTQEQELARLRREAVRQEYENERRELLAGREDVLSRLAAGRPGDAATI AREGQKVLLKKSSARRSEEDRIRQKQAALRNSDARKAGQSGITAADKAGDAGDTGLIK GLKRIKTPEPEKPYDPFGGMVPDKRDYYTLQDFYPSSYLDPIRQDTRMQAGGYDLREY YSRTLLEAFAGLGCFIDEEVSEREAANTDTAATEGAAIAAASTSPAGSA AFLA_110540 MSLFRSCRTASVQARGFTSSASLRIGPESPNFVDVPRTIQPDLP SKQHVKGTLPVPREIFPVRRADKPSEEYIAAATPLPSKETKADPNDPHAQYINWKRRM AEMRRQNLREGLLELHSRKQRTDKSMMQRSVEKQKRRERIFRQPEREDERLTRPSVIQ EMLPKRTPVLPDPNREERLAISKARLEATKAQKQAEQQDSLQTLYMNARNFITTEAQL AAEIDRVFPEGENEAWRNDHQQGENIWNLGLPPTVQSIVNESRKSEAARWDLIQGRVK KLGEQITGGKL AFLA_110550 MGTGKKEATRRERQGKVGDGMGNVRVKGENFYRDAKKVKRLNMY KDGKPRRDAEGNITVAASYQSREAPVARIEPNRKWFGNTRVISQEALSSFREAVAERA SDPYQVLLKTNKLPMSLIRDGQGVNGLKQHQAKMAIETNPYSDTFGPKAQRKRVKLGV GSLEDLAGETAKMHDAYVEKSDHQTHADGSLAVSGDVSAAQDDAHTTTATAVESVFSK GQSKRIWNELYKVIDSSDVIIHVIDARDPEGTRCRGIEKYIREEAPHKHLIFVLNKCD LVPTGVAVSFSRFPSYAAWVRHLSKDHPTLAFHASINNSFGKGSLIQLLRQFSSLHSE RKQISVGFIGYPNTGKSSIINTLRKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGV VPPNQNDTPEDILLRGVCRVENVENPEQYIPAVLKRVQPRHLERTYGVKGSDDPLEFL AVLARKGGRLLRGGEPDFDGVAKMVINDFLRGKIPWFTPPPHTPGEEGEKVNGREGRL GEMGRKRKLDAVSEETEKNEAKSGSTSDGEFEGFGDSDDDDNDSIANLEVSDEESGEE ND AFLA_110560 MADDGMSIVPYGSSNLDVVLRHNDSVVVFDRDSQQLVLRNATES NADIDLTDCPYCHRPLHNNGGGQEGHHTSSGGQPEFINPNYFRMLHRSLPSSATSSTS SSPHRRLVQPALPDGPTSEPSGGTSASQGISSAAFTPNYFKKFFVEEGILGKGGKGVV LLVKHVLDGVSLGHYACKRVPVGDDHEWLEKVLGEVQLLQHLTHQNLVSYRHVWLEDA KISTFGPSVPCAFILQQYCNAGDLHNYICGSVQTSTTAQQLKERLRRRSRGEPDPRSD ANEPRKLHFEEIYSFFKDITSGLRYLHANGYIHRDLKPNNCLLHKTSDGIRVLVSDFG EVQAQNSIRLSTGATGTVSYCAPEVLRREYPGGPFGNFTFKSDIFSLGMILYFLCFAQ LPYSNADLIHEEREDLDRLREEISQWAGFDDARRMRPELPEQLYTFLERLLSVNPDRR PSADDVLNGLQAGASVNENIRSRRTGSSSSDGHSGSRMQFAENSTAASFSRPPTSPKK PFSRSPVALRRNPAYESNGGGPMAFVDDLGPHDERRMSLGPERDMIIRGRYSNTPSLP SAQNEPSAVHENREPLQHLLPPPSSGSSLTRIFPFSSSTLPDLQIPLPTIQLGFFLLK VVSAFQPCSPLAVNPWMFYPLLLLAALNLRTQSIGMQTMFLIIHLVAVSLSMQFGVMC LWQTPRLMMFSK AFLA_110570 MMSNEQEIPGGFEDTDTHDHALLAPGESNAVQKVNNNGKQSDVK WKQASKIVDEQLMPDLSNEDLWLLVRRFNKQIHHVKAIQGPPQDELDLNRADEEQFPP EKLRATTERFYTSVVVGLVNIFSHVTRLRSWKEPRRTTAFCITYFVAWFLDLLIPVTT GVLVALILFPSTRSLLFPPRITSGDGSDAGSVEQSTRDSITNSPETYKGEAAEQEASN LVNDIANIAMESARGKYGQSVIDDDDAEGSSEPEPVDVGAITADVQAENAPVEDKTKK PMKKKISKATNQTMRILGDITDIYEQFSNILSPTPPFLAIAPRLQLVGMLISIALISL VTSSHFMIKSGSFLLGLAVFGDPVLQRTIAFLNDKVANWKEYLDLQNTLLKGVPTNAQ LTLALLRLGEINSTPLPPPPTSHNNEPLWPIRKPFGSITSGKNKDEPSSALISQTPSP KLELSKAEARKKKWSKILKFIGRTIATAMKGHIAFDRAMRITESANTKNLIGLLSRRG WITAPPVGPLKFEAKFERKRGTVVIDSSQEQPVLYFTTCQSAKLDDLRLENQKKSAVL FQIPINEIKELKKTEGLGWKGKLIVELTAGTKDSIDGLVISRMEPQYQSYHVTGMRGR NQLFNRLIAMDAQFWESH AFLA_110580 MDDIVMNGSPEVPPPQPPPEPVERPPTPPPPPPEESVAPPPPPE VVAPPPPPEDLPPAPPPPEPKKKKVGWGAKKPAATPLSVEELVRKKREADAAAARVCF PSLVFLELSI AFLA_110590 MYMANGSVCIQPKFLSRAERERIALEKRAKEVEAERRLKASNGV DRSATQSPSVSSEVNHSDGRTIPTGPRAMRSSDTPTAPAAMRNSHSHNKNRDLSPPPP PKSMSFGLASSKGDKRPVDDDEVAAQVALVKQRYMGADQTSTFSAKKKRKRTTDRKFN FEWNAEEDTSGDYNPLYQHRHEANFFGRGRLAEILEMERRRREESTRNQLDKHWSEKK LEHMRERDWRIFKEDFNISTKGGSVPNPMRSWDESGLPKRLMELVNKVGYKEPTPIQR AAIPIAMQSRDLIGVAVTGSGKTASFLLPLLVYIAELPRIDEFEWRKNDGPYAIVLAP TRELAQQIEIEAKKFTEPLGFNVVSIVGGHSFEEQAYSLRNGAEIIIATPGRLVDCIE RRMLVLSQCCYVIMDEADRMIDLGFEEPVNKILDALPVSNEKPDSEEAENSMAMSQHI GTKDRYRQTMMYTATMPTAVERIARKYLRRPAIVTIGSAGEAVDTVEQRVEFIAGEDK RKKRLGDILSSGEFRPPIIVFVNIKRNCDAIAREIKQWGFSSVTLHGSKTQEQREAAL ASVRNGQTDVLVATDLAGRGIDVPDVSLVINFNMATTIESYTHRIGRTGRAGKSGVAI TFLGNEDTDVMYVFPSLPLLILILVVPGGYANGFTGTISSK AFLA_110600 MHRFSSTRLPPLVLNSAKKPPLSFPSRSPLLFSRSLSGPSSSTT APSSPSAASSSSVNRLSSRRSPLTQISKRYCSYRRMCRRADVPSGSTNITHGREVLPT NVKPVHYDLTLEPNFESFKYEGTVVIDLQVTEDTTSISLNSNEIDIHSAIVSAQGSVV TSSPEISVNKDTQVATVKFAETIPAGSSAQLKLTFTGILNDNMAGFYRSSYKTANGET KYLASTQMEPTDARRAFPCFDEPALKAKFTVTLIADKSMTCLSNMDVASETDVEGGKK VVKFNTSPLMSTYLVAFIVGHLNYIETKDFRVPIRVYATPDQDIEHGRFSLDLAAKTL AFYEKAFDSSFPLPKMDMVAVPDFSAGAMENWGLITYRIVDVLLDEKNSGASRKERIA EVVQHELAHQWFGNLVTMDFWDGLWLNEGFATWMSWYSCNSFFPEWKVWQTYVIDSLQ GALSLDSLRSSHPIEVPVKRADEINQIFDAISYMKGSSVLRMISKYLGEDVFIQGVRN YIKKHAYGNTQTGDLWAALADASGKPVEQVMDIWTKNVGFPVVTVAEDAASSSIKLTQ NRFLRTGDVRPEEDTTLYPVMLGLRTKQGLDENTMLTEREGQFKVPDLDFYKLNADHS AIYRTSYTPERLTKLGEAAKQGLLTVEDRAGMIADAGALASSGYQSTSGLLSLLKGFD NEAEFIVWNEIVARVGTLRAAWLFEDSQAKDALKAFQRALVSSKTHEIGWEFSEKDGH ILQQFKALLFGAAGSAEDPVVVKAAQEMFQRFAAGETSAIHPNIRGSVFSIVLKNGGE KEYNVVYDRFRNAPTSDEKTTALRCLGSAEDPALIQRTLGLALSDEVKNQDIYMPLGG LRNHTAGIEARWAWMKNNWDALYKRLPPGLGMLGTVVQLTTSSFCTEAQLKEVEDFFK DKDTKGFDRAVEQSLDAIRAKINWINRDRTDVESWLKSNGYLRDGKL AFLA_110610 MSDSASKSAKGVMPDTKALEEGPRRADGVSQLYEGNVFDATPED RRQIGVVSASFLIFNRVIGTGIFATPSTILSLAGSVGLSLFMWVIGTVIAMAGTAVYL EWGTAIPKNGGEKNYLEYVFKKPKFLITCMYASYVMLLGWAASNSVVFGEYILNAADV EVDRWNQRGIGLACISAAFLIHAFALKWGLHLQNFLGVVKLVIIVFVVVCGFVALGGH MKIDNPPHNFRNAFEGTTNSGYGIVMSLYNVIWSFIGYSNANYALSETKNPTRTLKIA APIAIGSVGVLYMLCNIAYFAAVPREQFLSSGQTVAAVFFGNMFGPRAEKVMSVFVAL SAFGNVLSVIFSQGRIVQELGREGVLPLSKFFASNKPLNAPAAGLFEHYIVSIIILLA PPPGDAYNFLVNLISYPLAIINVFVSGGLVYVYFTHKTKFPDWNPGIRATLPVTIFFC LSNVYLVVAPYVPPSAGQSVYEELPYYLHCVVALGIFAAGGIYYLVWAVLLPRLGNYV LVKETVVDADGWSRSVISRLPLAEAEIRQAQWQQEQQHS AFLA_110620 MSSSHHRRSRETSHAEMPIGRYTRLDEIGRGSFATVYQGVHTKS RTYVAIKSVNLSKLNKKLKENLSSEIHILKGLYHPHIVALIDCHETTSHIHLVMEYCA LGDLSLFIKRRDTLGDHRYTQDMIAKYPNPRGGALNEVVVRHFLKQLASALKFLRDRN LIHRDIKPQNLLLCPSPSSYRSGVAQVVPFKGCDESFSPATGLESLPMLKIADFGFAR SLPSTSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVVGKPPFRATNHVE LLRKIEKGEDRIKFPEENPASEQIKSLIRMLLKRNPVERMNFSDFFDCDTITGPIPGL IADDAPSTSRRSSVAVNTSGSTSRPQSRTGSRTPTGMKREKDASYPGKKDDQVSYPAA HRPPTQRSDTPPAASPMRRMGSGDRATTSKETVTTTPRRPSVVSLATAPGRQELVDRN ATAAVMERQRSRNTYAGVPQTEKQAEKTKEESERAAQEIAFERDYVLVEKRAVEVNAF ADELAHSPRIQGGFPRNAYALSRRPGTQGSSTATATSPLATTGKAMQVASGRARADST HTRQGSYERRYGQSPTSAISKALHMASGRLFGMGFSPPMTITKGGRSPPLGYNPFPAY PAAQGSLMVVGDGARTNVTLDEDAKTVQVIEECATRSDVVYGFAEVKYKQLIPLAPSV QTDPSGRANVPGGERDSTDLTDGGLTVDAVVTLSEEALVLYVKALSLLAKSMDIAGAW WSRKNRGEAFGESAMGRTDATSTLVSNRINNVVQWVRNRFNEVLEKAEFVRLKLIEGQ KRLPPDHPSHPSNHSVGPSVGSGASTDVVVSSGVTAEKLMYDRALEMSRAAAINELTG EELSGCEIAYVTAIRMLEAVLEEEEVSRSGPGSGTDRGDARRDGDKAMLDGVRMEDRQ VVIKLVSSIRGRLASLRKKLALLAKRQSPPSSVPGKVAPSSLVPAAQAVGTTPK AFLA_110630 MAASQNHEERLTPSSNLIQSDSFISDSLRNSSRSPHPYHRKGSK RSEPHLLPTDGRDQPTPNSWPKTSSDSGTEADDESTGILKGLPAPPLRQRKGLRSGFN GTADRDSWLPLLQPWPSLGRSTSRSSRQSSSEDTGTGRVGLRGKAGEKRLEVLRRLLE TGLLLSVGAVVLSQENTRLLAWAWRKGTYDCCWHFVKFIAYLYRLAELLAHGLLVTGL YAVYPLRRNGRLRLSGLYSFTIPSSFDPAPLLYPILIPIYTSLSLAHCRPALVLPNII LSLSSLPAPVIPLREWMHGHSVVHWLVTLIPILVSEHFSADHTIPKPLTLRGLNSEVL TLVFPFHQALIPTLDFLLTTSILPAELQLLTSALVNLFLFASAPQAEILKALLWLGGL CIFISCRHVLRWEVALARIPSWKFRRSPSGSQSRINLLYVIDHKLCQKLSRTGSSEDA LSDSESEAHIAPIPRRTTHEFRDKTPARELADKAPQENGHRHAVHRRRHTISSVDEVA HSERIRTTPSGRRKRSMAPGLASFLSLTVPQAQVRKWLYALYVYAAVTIIIMGPVRKY VGERALQGEEPFGWALSYLLGNVSWFRFWVIMWNLEYWIPLPPRLDGEICSLGWMECL RQTSFGEANTRLLIAAHCIVVIMMGLGVVFQLSSLVEVDTRRKVFHGMMVLMFLPTIY IDPAFCALALALVLSIFLLLDLFRASQMPPISRPLTYFLAPYVDGRDHRGPVIISHIF LLIGCSIPLWLSLADIPRSEDHPWGAWNVQFRDVSMVSGVVCVGLGDAAASLVGRRFG RRKWFWGGGKSLEGSVAFAAAVTGGLVFARLWLAAGQWAVHGNDGQNQVFWLWTVCKA IIAAAGTSATEAILTGCNDNVVVPIVLWLLVRGLGL AFLA_110640 MTPSPQPQGKSLNVIALISGGKDSLYSLLHCIRNGHKVIALANL HPPVQDAQEDIDSFMYQTIGHAVIPLYEQALDIPLYRAPISGGAVDTARIYRNDAADQ MAESHQEDGQDETESLVPLLKRVMERHPEANAVCAGAILSTYQRTRIENVAFRLGLTP LAWLWNYPVLPAPVEREGVVTQAGLLEDMAGVGWEGGEYESLAVDGPGFLWKGRIEIE EREVCSGEGGVGFVRLRGARCVPKDGEDGVSPGDVRRPALLDVKFSGVLDGVVSEVGD LEVKTVEESQSMWRLGEVAQSRNGGTWAISNLAAPEAGPGAGEQMEAIARKIQLILES TGTRTPADIVFATVLIRSMVDFPLMNDIYVSLFKKPNPPARATVACGNSLPEGVNIMV SLVVDLGPRDLRQGLHVQSRSYWAPANIGPYSQAMSIPVRSERLVYIAGQIPLEPASM DMVAGPESWLEGYSLRAVLSLQHMWRIGAAMQVDWWLGAVAYLTGADHIGTKAQIAWR LWEMMYAQQTDSDEDDEEPVLDAWDIKYGGRAHDQPINLEAAALPNISVVQSDVLVPP FFAVQVAELPRGSDIEWQGLGCRCGGLKMAAEELDVGRKIDTITDGNLRYTGVEIDNG TELESCLQRLLERYSTAGVSHAVLYTAQPLSANTWPGQIVPCTSVWGQKGRQLAAGII LQTHNPTDAWTE AFLA_110650 MDATYTMAPTVQGQPSFAYYPTADSQRQQYTSHPAEPQPYYGQI QAFPQQHCLPEQQPVYNAQPMMNMHQMATTNAFRGAMNMTPIASPQPSHLKPTIVVQQ GSPALMPLDTRFVGDYYSFPSTPPLSTAGSSISSPPSSSGTLHTPINDCFFSFEKVEG VKEGCESDVHAELLASADWTRSATSPPMTPVFINANSLTASQSSDFLSAHGSCPSLSP SPSPVSSLFTPSQSAFPVEQATSDFCDPRQLTVESSVNTSSPAELPPLPTLSCDEEEP KVVLGSEAVTLPVHENPSPAYTSSTEDPLSSLPTFDSFSDLDSEDEFVNRLVDFHPSG NTYYVGEKRQRLSAYSFDDEEFLSEHSLEDSSDDLELAHSGLSFLGCADFAPAQSDAS ETSDEMKTKKRSNSRKSLKRANSEDQDALKKAQAPINSRANSTEANVAQQAAAPSCSA SEANVSSSCEAPSVPVSVNRRGRKQSLTDDPSKTFVCSLCSRRFRRQEHLKRHYRSLH TQDKPFECNECGKKFSRSDNLAQHARTHAGGSIVMGVLDTNNASERYDNRDASTMGAV LYEAAHAAATKSTTSESSEDGVSDAPSIDRRSAKKRKRDEHV AFLA_110660 MSMRHLTTNWYTKLAGTKTFSRVIPIDHSHHSAQADQSLFYPLS ILLAVSPSSLSHIGPVSRFPATVH AFLA_110670 MSSPVHISSKEQFSTLLTKSRFVVADFYADWCGPCKAIAPAYEQ LAAQLSRPNRITFTKINVDHQQDLAKAYGVTAMPTFIVFERGRPTSTVKGADPQKLSE VVRKLASEASKPDDGGEGSSGSSDADWIGLAAPKGYADITDQYDPKGLELLNRDSEFG TAKTLFETSKPSALNNGKGKAKDWVESDTDEQLMLFVPFQSTLKVHSLQITSLPPSDG DELPMRPQTIHLYTNRSHVLGFDEAEGIDPVQTVTIQPEDWDKKTGTAKIDLRFVKFQ RVTSLIMFFVDGDGDSEKLRVDRIRIFGEAGEKREMGKLEKIGDEPGE AFLA_110680 MTRSNAVTPDYYEILNIQSTETTAQLSKQQLKLAYHKALLKHHP DKASSVADSADLPRSNQDLSRDGKPYTIDEITTAYKTLSNPQLRAEYDRALRLDRAKI VEREKTGAVFHTGLEVVDLEDLACEEEGDSAFWYRGCRCGDEKGFLVSEEDLEREAEH GEIVIGCRGCSLWMKILFAVEDG AFLA_110690 MASAARTASRAFLRSTPSVRPAVRSTRFALPTQAFRASARRGYA SEAGEAKSSNTFLWAGLAVAGGAGAYFYLQGGDSVSSKNFVPTKEDYQKVYDEIARRL ADETDYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAGLKAAR DFLEPVKAKFPWITYSDLWTLAGSCAIQELGGPAIPWRPGRQDKDVAACTPDGRLPDA SKDHQHVRDIFYRMGFNDQEIVALVGAHALGRAHPDRSGFDGPWNFSPTVFTNEFFRL LIDEKWQPRKWNGPAQFTDKTTGTLMMLPADMAFVKDKAFKKHVERYARDSDAFFKDF ADVYVKLLELGVPFESKPEDRIVFKTSQ AFLA_110700 MSTKANCKMDKEQVEWAKEATDIEGNVQQKDMAGNQSAPRLTDW KFVMVIIGLGMASVGSQVQPFVFAAITPLVSASFNASSLLIWFFTTQIVSCGVISPFA GPLAGMFGRKRITPADIASSMIAVIVCASTPTAGGYTAGQVLAGVGIAVQELMAIAAI TEIVPIKYRGYYTAMVVAAFLPFAPVSLYGELISRTNWRYCACMIAVWNFLIFIIVFL FYRPPPRPNSANLTWFQKVKRIDFLGGFLMACGTVLFLVGFNWGGQAYPWHSPRVIAF LKIGLASGVLLFLYEIFLAPHPMLPRRLLQHPRTFTALMLVILFSGINYVSILVFWVL EAVGVYNSDETELGIRTLPFGFCILGGAIISALMVSAFKGHLRWIMSFFCIIQAIALV KLIGTSIFYTQFVSVLTHNTYEYVVPVAIETGITDFDVLETMMPTLLETPWKEWALSV SALNTAEKLNMLHDAVINAFAPAFARVWYISIAFGVAAVIASGFIEDLTNLMDEHIAV NYF AFLA_110710 MRLDIHKSFRLSILPVPTLHQVLKRKDPAVFLNVPRFMNWKDEL CIRVSEGLCSGYWICQGPPEKPLRPKDSDVVLLWFHGGAYCLGGPLGEAVALLRTAEC AASIFSVDYTLAPFATYPRQQEEAVAAYRHLLFNEGIEASRIVVGGESAGGHLALSFL LALTETTLPKPGGSLLLCPWSNLTNESPSTGLEAGAPGTFMDQY AFLA_110720 MVMKSIHGAAHFCGFITELSPLAMDYLRYLADTAFLKMVYGCEY VLRSCEILYMHYEDTKKYLRTVRDVAQLMSQIAIDATHAAKVYGDSILEKVDRIEQSL QEHSALYPQGLEPSTESHVQSDPSSSSTAQYDLMKAFYV AFLA_110730 MSILILETVEKQADASELGDKKPAPSSRQLLRISAILYRSGGVR LLLNGISSACTYWAMHISVAKLSTTLLPSSAAHILASVLLAETHFLWTTRTILPRDQL RFVSNPGDRRRWLVFPTLVYAAAETVMLHVPAVFDSSIAPVPDEEVTMAGLLYIVRSD ILVSGLMLSAQLFLLLPSYMVLILVQASLLPPTCETLVFSPSRHQRGRRVGEIFSAVN RGPLRAQEAAQMIRMGQLLSCLELHGKMCLCLVGVAAVVHSVIYCML AFLA_110740 MERVKTANTSSVLSEAFRLHQVVRCSLAEEDPCQGGSHKVLKVV FEDSVQWAARLCHDPNNWKYELRAVKMFQHIKQTHPDIRAPSVFFKAEHPVLYSEWVT GKSLKVWNSQIPLNKRQTLLKDLAEFLLQLWTTAVPPDFMPEQKPRYSAWLTESLDRG LRRTLTGTARWGDAIDYLIMRSMIPKYAGDYDKYTDVGFVHGDLKAYNIIKDDDFHLT G AFLA_110750 MGNSQSRSRTPAEWCDYYENRLCCIACGIQINECFKREILKERD DWKQSARWRKCLFTTAAELEHMYFKKNPLLWSYYYRIILVEPQGERLSGICPRLYNKK NINRVPVDPHQARIFGLARKGSGNVDFRVAKVAVNTEPDRPKERIGYPIHAHCWVILD RVIGHEMVQKHLREFTRAVEAYWSRNRIYWGSILGHDTCCGICSLETCHQWPLFHFSG SPLRIPGILGLITKATECTGVGRVELRRDSVIVNPPLDVAILIVDQIYGSRPCSLEML RDTRNLLEAFQWKLPDTYWRTRCESRLVFEMDDLIRENRPVNWKEFCLGLEELLLDKD WFCNSGMRIRLRTLTSLGGIKECFLDLVDQQA AFLA_110760 MTGILVQFKRCLAWKPSWLSLFSFTTKKHIPTLCFAILFAALAS ASSPVFATLLGEAFNSLALFGSDQISAHELIQKTKTSCIKLACLGVYSWFCNSIYFIL FIIFGELQVANARGTLFDGLLQKEQEWFETQQDGTRTFLSCLQAQIYELQKSTSQPLG LLLQYSFRAIGSLTLAFCTSWNLSLVTLAGIPVFSAMASFISSKMKLNIEAQQAELAS ASKVVNSTTTSIDTVKCLNGEAFELHNFSNRIDGAASQFLKQARLNSIQIALIRLMTY GMFVQGFWYGSSLATSGRLSPGDVLRTFWACLTAAQSIEFIMTQVIVLDKGAIAASAL KKTLNRQTKGGGPKEMEGAVYPHHCDGDIEVSDVSFSYSSQPKRISLNWTSFFFPAGE TTFVIGKSGSGKSTLGPLLTRIYSPTSGEILVDGYPIQTLSKSWIRNNFTLVEQRSVL FNESIFMNIAFGRHDYDQIRKEDVQECIDLAMLQSVIDHMPNGIDTCVGYGGSFLSGG QKQRIVIARARLRDTPVLIMDEPTSALDGANRHEVIRAIREWRKGKTTIIITHDMSHI MDKDFVYVLDQGSVVQSGYRYELEKVLGNEDFFPSNEEDYQLDNCGDSILSESADMPH DKNRRISSVIMGRLSIVPTPQETLQDGVQLADFTDRGTRHESSKNKDQMKSLFQIMLT IIPNLTVGQRVLLLLGVLFTLCHSSTTPIFAYFLSKLQVTFFNKRSALKWALAVLGVS ISDGMVSFFMHYLLSLCSQAWVDCLRKRAFRRVLAQPKKWFEEENSPSQLTACLARDG EEMREILSRFGGYALVATSIAVIATVWSLAVCWKLTLVALSVGPVVYAITRGFERISG LWDRRCNEARGAASEVFVETFSEIRTVRTLTLEPFFQGKHTKALLKCLTTGLRKAGYT GFLFGLVESVIVFVSALIIYYGGLLVSVLEYTVEDIMTVLSMLLFSIGYAIVVLSWIP QISVSRERGSRLLQLANLAGASHERLGYLRVATPTPVKITRLNFQYPSRPDTPVLKDV SFTIPENSCTAIVGLSGSGKSTIAALLLALEETPASDSVPAISLGGVDIRDLHTPTLR SVVAIVSQQPTIFPGTIESNISYGLEGPLRDPRNVRDAAKAAGIDEFVSSLPQGYSTV IGDGGVGLSGGQAQRVVIARALVRRPRILILDEATSALDPASAEIIRHTAQKLVASQV GLTVVIITHANEMMAIADNVVVLEQGRVVDSGPYKTLAKRPHLQALINDQHRT AFLA_110770 MSQKFDPETAENFEDMEKQFAVKAVEHLMTYWSILEKVPGSKLR LTKMDDQILESFKKEFPDFDPAATLNEDDMKSKAGKEKWRNWMKQYEKTIDDFNFGTM LRSNPKFEYDQDTTIFAVRMQFYAVEIARNRAGLNDWIYERAQKGKSSS AFLA_110780 MASETPGPKSKFEVALFLAASKGYESIVQLLLGTPGVALDCKDE DGRSALSWAAEEGHEKVVQLLMASGNVDVNARDTKLGQTPLCWAVKNGHEGVVSQLLA RSEVDPNIPDLNGNTPLYWAAEKGKPTLMALLLKRNADPGMKDANGRTPLLWAADKGH VQVVMLLIDSGRINVDDADAAGRTPLWWAARNGHLPVVQLLVRQGANLEAHPPVDTSG ISRSMVALLLESTVDINVTNYSGETPLHKAAERGHRKMVDFLVQNGADIDLQDDYGRT ALHRAVSSKGHALRLLVNRNADVFARDMFGQTALHMAAKAGLRNDVYFLLGHGASADD KDDSGQTARDLAAKAGETDVVKLLSSMTVSDSD AFLA_110790 MDFQTLRGADLNEKKLSSTVKVQLLLQLRQHGTNPADAGPIYSK RGMEILAKYGVDGESVDIRRAALRCVANALLLDPKMRQLFADTGHGGKLAEKLKCDSS EDEMVISRILFLSTYDTTMDFDNLVNKHGLGDNVNYQIVRHAKQFPKSLKKPLPQIDE LALIDTLKLIFNVSKIYPDLSATFAPSIPHIFKMISRIEIPAKPLDGLLSYLLNCLST LDLENKKGKPFDSNPLFPTFNQNCNVDKLINILDHATSLYSPEELETKAIPLLHSLIT IHELAPDGPRKYMQWLLLPEDNDRNQPIGQSDTLSSKLLKLSTAPYPNLKTAISELMF VLSGKNAENLTKNIGYGFAAGLLATRGMEIPKTAGEAFAAERFDPEINPITGQRWAAE KQDTGPPMSQEEKEREAERLFVLFERARANGILKVENPVAQAVREGRLEELPDSDSD AFLA_110800 MVQALGPLSARPPTPPRTSRTELNHTQDTPITVKTSHNSPLPAK ENGSLASRKSKRVNFSPWPKSHPNKSDLKALPPSNECKPSKSILKATSSPAPVNSPHV TSYTPESFAMLLESITQQLAGESVSSRLDAYMQFFGALRAYDGLPGGQEIADKLGLIT QFIQRDVTRDLGTGGPSDTNLVTQALKLATALVWHTEICAQLPDDFKIFLVDHSINGL QDAKLPKSVATHYLSILSTQTFHAKIMNNARLNRLMSVLHDITNRVNGNAITLQRLAI YQRILNQNKSLFISQTALWMNHLISGLLHHIKDVRIKAISLSYQTSLAFGPNPILSKN IRDNLDRPIGQDRKLVQEVSERMSRMMSSADTGVHVPQIWIAVILLLRNRRLTVDHWE HLKEFTTPLQKCFNCSDGQTRAQSIIAWNRFVCVIGPNDATNPAVLKILIRAILSQLE RRSQSKLPSQPNQMVLCSYYNLLYYAFRPSASYHHLDIVWEEYLAVPSSTTFSMVPGL SDKLAQVLSNMLWSSQAKVWLENKANESNRLLPEELPSLDCRWVRSRITAVLKVFENI FRSSTWSDDIEQSSIAAAWVSLSRALSYASSKEITPSPESMQAVAHMLGLLQRLWKAG PSSLNAIEDHALDKFFDRFRFLSTTMIVSLGSIPFTEKLLLKTADEKFQAANTPTHRP LRVNTSLDSPILHFLRLVSDVSGVREPTASYLRLINDTLSAACKGRTARSSRLELLRQ CADLYPCETEFSFRTHNFAQVGWKSTARLAADSVCSYPIESARERDGSVLRDYDNVIK ILSTGLKFSDTIQEWDQLVDSLIRVVRTEKGDDAIATMVVEPLSECMMALNVRDTYLP AASLLNHSLSITYCLHNVRNTGGPVSGPNQRASGSSIFPAKLVELVNRILRESYGGFD PTVTNGIADFLESFTSLLSSGVPEFRSAILETTQQPLALWLKDDVRKINVESGVESRI ITARFEPVTCSGLESSHMSIAKRFLDFWNASFGQQKSHPYPESISRALQQLESQIKLQ NSGQAQGQRQAELETTSLESQSSNSNRIDMSEKSRIAFILDHPVEPSYPVGFNSSPVT RVIEPRVAEQPSEARPRRSAEPNGSDQIGVEDASVSFLPTSEEPNKRTDVFSMIENLR SSSPPTNTPKEYGFMTPPQLRGLRGPDRGSGTPQTPTLPPVIADNEDGFLGSSPTPGI RGRTQSVGSQIPSSLSTPAMDSRFDSDLPSSPPELKSQGANARNKQISLSTTAVENRV SKKKKAKKSKSSASKDKKRSDSQHTQSEEAREGPSQAGTPLSSRLRSSTGKTPKADAQ STTEPQPNMPPMGESNLAANASNSNHGSPDKPMFSKSTPKDVAVSGETTDGLDPASDW IADSFSDDMETQIASQLEQDLEFAVDSDKPDQEQETGLPFEPPSEPPMTRKRKRDEEI ASTPSSKERRRSTRHSAKEIDNADLEEPRSTRSKKSMSSSNAQQVASSPAGSAPKKQK LHAKGAADDAPASLPDLQQGNPGTTKVNEATDSQKRRSSRLSGHSPLAVPKEDATPRK SPRKGRWRKRNAKRKGNASREPSPRPEAHAEEIATAASHDNHEEETQEGSLEHHDIQH PEEPAPAAEKTATAPTSEKEPPTNQEPKNDINIGNADVDPVFRTGKQALSRTTQMDAH PDNVSGATGIITSFRNLLDDIKSATLDRDAIRQIDDLMFEIRVETGEALRRHTG AFLA_110810 MDRSNKPSAIGVGASVPQPTISLRDNTVEATLPSGESVTIHLYG ATVTSWKLANGKEQLFVSEKAHLDGSKPIRGGIPVVFPVFGPPPQNHATSSLPQHGFA RNSTWEFLGKSSSESLGKDRSGDGSVKLDFGLSRPMLTEDFQKAWPYDFGLVYSVTLT KESLETSLQVQNKGSQNFDFQVLMHTYLKIDDISDIRVKNLESKTYVDKTQNAAVITE TSPAVEINKETDRVYQSLDPKVPIIVSSASDDKPIFSITREGLNDVVVWNPWIEKAKG MADFGPGEAYKNMICVEAGSVAGWQTLEAGDFWEGGQSIRPRL AFLA_110820 MDTARLESALGHKQELVRNFDLVSLTSLGIIIANSWATTGGTIV AALQNGGPMAVLYGLILVSVFYTLISASLSELASSMPSAGGVYYWSSVLSQKHGRIVG FFTGYLNACAWLLSASSISSMMGNEIVAMHLLRSPGMKWQPWQVFIVFQLVNWICCGI VCLGNRFIPLINRIALLLSMCGLIVTVIILAVMPTKHASSPEVWTNFHNTGRWPDGIS FMTGLLNAAFAVGVPDCISHLSEEVPNPEIKVPQGIMLQMLTAFTTAFIYLIALFYSI QDLDAVFNSEIAVFPTAEIYKQATGSRAGAIGLIAVLFLATFPTLIGTLVTGGRMWWS LARDNATPFASYFCEVHPKLNAPVRATVAVSTMVTCLGVIVVGLMAMTAVWWFFRGRR DYRGPQYSKDAALRLLSESDRPVEGKT AFLA_110830 MGNVSPTPRQLLTEECTYYQCYQHGNQHILRRSSRISRSIAAGS VKRLSFDDYVMTATFMIYTSLLILIQVSSRHGTNLFPTEETQQILSDPQQVRDRIYGS KIVIGLEQCMLFSTWGVKICMLSLFWRLTANIRFLHLYVKAIAVYVAVGFVVIMVTYF GVYCRPFEQYWQLPVENIQCATYQHYSITQAVFNISSDAAMLVVPVPLLMKTQLKARR KFILVCIMSLGVFTIFAAILNKVYNFLSPLTTMYQIWYIREASTAIYVANLICLWPLL RKLFGLKAFQFNSKHYRHHGAHLIKESPGASQSPGTTVSSQSRPSFSIPRLHLSRLGS GRTVQNVVHKGSDEMHPSPEATNKMSLTRLDTKEATHQEKKGLGEPGPTTLETHASYD LEAGDIGHIDYHSSLKFG AFLA_110840 MGDHSDKHSPAAPLGYLAPVCAGLLVISVWYRHFRRDRRNAGFL DLESVPFRRGSTVISSGEVDKQFPLMKYSDWWAGRSRKESIAKETIGSLSSASEDPKN GLEDKEIAITVPEGHVQKTNSAENAPDTQIDKAQERVRGSGNACEHDTDDAASETGCL CAICMDSFEGETYIRPLTCGHIFHSSCVDPWLTKRRASCPLCNKSFGDHEASNREETV AHIFPVLAVPRAAMLRSDVFPRTI AFLA_110850 MSKSQCVIIAISKGIVKVNVAAEWRTDWHRGLGGMAAAPGASRR LRLPHQNAKL AFLA_110860 MYIPSQYGRLVARQSGPPGADNNHDSRSETSRRNNIFIIVAASI VFTIAVILMTYFTLRTLRRMNCRPKYIPGKSLKDRWNRWQAGASYGQVPNDGASSNRA EAGANTGSAERGSEMNTNSAVRRETSVRSVMTLPAYSSSPKPSEQVIAREGERGGMDV VVEFPETAEEEESRREELMESLYQIRQQRRQEIAEREARREERREARARGDYIRLEQL RQESRARAQGRPSANGSNSNLSSAVALAEARSRGRERRISSVSYAALGYVRHDGTRMR NASPDEPDTDSRPLLSNVDTTSTETANRSSTSSLTNVHSRGESYSSAHTADTGVSEQD SLTPVQSHAASTHSMIPSSGEGDLGALHIPPPDYEQLDWGEAPPYESPTAERGSHPPQ LRELTPLPTIQIELASPVNNTPTTPTNPHREEHSSTENQSTHRDS AFLA_110870 MIFHLFRSWLTVLFGSAFQSTEKTSYKSPYIGGSDFTSRNYRSR RGPPSVNPITNMTFSESKERIVEDVKMQNMNIYSEPVADGAIFKGIMVSNQIEVTHET RSSHNLTSVTTHQSW AFLA_110880 MLSPAVVELWTLYSFGVAITLLRTYARYRAVGWKRFAADDYLVW VGISGWLENPGCGLGDLYGFDRVLETVHDGILRPTHFWIGFALVIGSFLASFIVILAA CRPFHKYWQIYPDPGNICQRAISEAIVWVTFIANIITDPYLIFIPIPMLWKSSLKLIT KIATTIVLGAGVFVVVCATLKSVFLITVRPRYLSK AFLA_110890 MYLLKMEAFTAVAVWAMTSACRSVARDWANGLCPRDDADLQELG AKLSSTAKVYFPGSSEFEDASARWSTLAEPNVTVVVVPGTESDVVETVKFANKKDLPF LAYNGAHGALTTLGQMTHGIEISLSQLSSVEVADDGKTAKFGGGTISKTVTDELWKVD KQAVTGTCECVSLLGPALGGGHGWLQGHHGLASDQFISMNVVLANGTLITVDEKSDLW WAMKGAGHNFGIVTSLTTKIFDIEHRDWAIETITFSGDKVEAVYQAANDYLLKNGTQP EGVINWSYWMNDATADPNNPVIVFYIIQEGVTTVDSKYTKPFHDIGPLSVVPDSGTYK DLATWTGIANSSIPCQKAGYSNPRFPIYLESYNPQAQKKIWDIFAPAIRGNSVFNNSM FQFEGYSTQGVYNTDSRSSAFAFRGEHLLVAPLINYLPGGADRDSQARALGTQLRDIL HEASGRKDMRAYVNYAYGDETPEQLYGSEQWRQNRLRSLKQKYDPEGKFSFYAPIP AFLA_110900 MSREHTANSFASFGSDFDLEHEAFASTKEVGHSPKLPDMKDSLG KSRHESDHEEEPDYAINSSMLERYFPEFSQAGSSEEDDLPEDDEFSVEVGRGPAKPAR RLDDSRNSYMSIENSVRSSSPAVRLDYPTFTPQKPATRNTSRRVASENLRKDAQLRRA SLAQKENVDPQTSKSKASSQRRTLSDMHAKVRDSYDGSFLGDERPPAATNNARTTRFG SHQIADAVERASQEAYAREIRKGKQPANSRLAYMSTAGDTATQQSFLLPDLPNLSELV SGVYEDGTPVFTRQNRARTTRFVSPPHDATDVSLTRDHVPLDAVPIPEDEKALFVSLR LLQDKVSELERAKAEAERKIEEVKSENATLKAGKPRAKDKHGRTRYDTDEDDQRKDRL TNENRKLDATNLALQNKLDVVERKVEIQETALKRLNRERDMAVSQLGVAYLESQDLKN EIEDLRHENTELKSQLMKLAPFIAKKREETQQSEQTSASEASTEASQDNTHSRNVSRG TKELTSKSTRSKTGRREDSKARVSTQVDNEISRLEKERADEALFTIDVPRSKEPSSST SRSGQRSQTKKSNTGKQRVKRVVVEEVDVTEPVDSTVEATGNTRKSSGAEQDLTLLSF IDEREIAQLRKTLEEERLARKRRQSNTSRDHTSNETDNSTRRSVSKSAAPRKSSLKEP KEIPARPASAMGDVTATSKASEGDSNLSVPIERPRRHSDHSATPRRKRQVSEDMTSAF ILPDITLHRADLVAENPARLPPSAQRALDSATQHNGKNCTVCKRSIPGDSCDHTRESV KIPKPIPVSERMPEPSIYNEEPTMRPAQPPAVALATVLKALEDELSHLKMQLVTYQGA YNKLDASLSKRQRKSLSTKIEKLLKDIDMKADQIYALYDVLEGQKSKGQEMTEQEMEV TLQSIGIDVGAARADVTATTDKSSQKNAETDFDIDDDEDLPWEGIESTMDVTGGSNHQ AFLA_110910 MGPSRLRVIPPRPIDDVDTGITTEDTDAQHSQHRKTRSPPEGDN HSASAHSYHSTLDEYDDTESVDTVIHDGDSTHDRSLTGASISRRRRGVSDDSEDEDDS DDDPAESSSEKPVTWRSLPKKGQLAILTFARLSEPLAQTSLQAYLFYQLRSFDPSLPD STISAQAGILQGSFTAAQFVTAIWWGRLADTEWMGRKKVLIIGLLGTCISSLGFGFSR TFASAVAFRTLGGFLNSNVGVMRTMIAEIIHEKKFQSRAFLLLPMCFNIGVIIGPILG GILADPVKNFPQLFGPGSLLGGKDGVGWMLHWPYALPNLLSAVFIFISLLAVILGLEE THEVTRHRSDWGRKLGKRLANTFSRRRVPQYYRRLISHEDDESLYIDGSVASRSAPPS PARSRVRPRGDRPSFRQIWTPNVLLTLLVHFLLAFHTSACNSMAFVFLPAPRAPKGSR DGFFHFGGGLGLPSSRVGLATAIIGLIGLPLQIFIYPRVQARLGTLTSFRTFLPFSPL AYALMPFIVLIPRYPWLVWPAFTVVVGLQVVSRTFAQPAAIILVNNSVTDPRVLGTVH GVAQSIASGARTLGPMIGGWGLGLGLKYNMVGGIWWALAVEAIVGWFLLWSIYEDKGI EQRKDQTEEEDT AFLA_110920 MSDSIPSAHPDPDAVDQHRPANAEDRKAAAALSSLNTNEIGADS GAKPPSSADQEALGKAMSRLEIVAGQDAGKKTTEQQKEAEVVRKKAVKVTPEDISLLV DQLDLTKVKATELLKSNNGDAKQAIKAFITPIGA AFLA_110930 MDPWTPSSDSPLAWASKPIKQDVPYSDTKGVQAALDKLQKLPPL VTTQEITNLKKNLKNVALGKAFVLQGGDCAELFDYCNQDMIEAKVKLLLQMSLVLIWG ANKPVVRIARIAGQFAKPRSSPMEVVNGVEMPSFRGDNINGFEATLESRTPDPSRLVS AYFHSAATLNYMRASLTSGLADLHSPLDWGLGHVITPTIKEKYERIVNRVKDALRFMQ TVGIDTDRGVETVDIYTSHEGLLLEYEQSLTRLLKNPATETTHPAQAPGATTAPAPPQ SYFATSAHFLWIGDRTRQLDGAHIEFFRGIANPIGIKIGPSMTPDELVRILDVVNPTR EIGKVTLISRYGAQKIAQHLPGHIAAVQASGHLPVWQCDPMHGNTQSTPSGVKTRHFT DILSELRQALEIHKAAGSFLGGMHLELTGEAVTECVGGAGGLTEENLSERYTTFCDPR LNEKQALELAFLVAGFYREMDEETNSI AFLA_110940 METDCQVEDQSGEAVKEGDKGGEEGEGGRKKEKKKVHWVSIEMN IGDFEHLMSQALLNLLNNVAQDAVGSELDRDVRGLIDSAMEWFVGFD AFLA_110950 MARAAVIPAAASPPRATRTAKRTTTTTSRAGAKPAAKTTKAKAP TSATEGRKRTARTNLSSMSKSADDVTDEDTDDELGVMNTTEKSSTTKARGRPAGSTTA TTGRGRKPATTTSTKSGTVNENEDGETHADGQKKRAGRPRTKPATETDSATAAPKQRG RPKGSTNAKSTTAAADTQKKARTLATENTSSQGPKELTITTNSTLMRSNLLRGPAKKK TVTFKDVSDSDDFSEPSPPPPAGRRRPAERPAGLAAKPSRKGTPGRGRKPAATKKGAS KPLSPKKATQVAKGISSYASSDGEDDELSTTKDQIKLHVDSPNKHGSEQTGLSSPVKR INFTSSQPPKAVDENGQPTLQPPKSVDFSDAAFLSSPARRPPPSPFSYSVRETPRRGL ALSENPKSIAQPNFTPTDNSPLKSSPRKANLETPRRGNLGFDDLRPLSQPNFTPGQNS PLKSSPKKGLFGASFLSQPSLQESSTPLKRSFLQSPAKKVASPFKSSLLFSRSLMTEH VESDSNRPNELEIPSPKVAESSYQRYDSEETLEMPDDELVTEALAGRHDAETPEPEAE PEFIEESNDVSYTPQSQMEDDSHGQNLEHTDEAHVDAVEDFGMNRGETASEHEGDMEH INDGLEEPLDAVDMDRESTAESDGADMGLYELFEGQNEIVENILMEDDFEQDHFEERL EAYVPEIPDEYTICLEVAEQDDYEPTKYVESLVDTEIYDEHATAEDRISVAPDVEEDI TTLENQQGDDYEEENYKSEDDSQNDELQEPVEFASRESMLEGLEDVFIEDPSGASEMP RNEETDDRAKTPEVAGDQSVGPNLEYDEEEVDMLDDYEFDEEEATLVAFDTPGRTLRH TPRRTPRGTLRRTSRRVSERTPRLASAQSSRQTPVEVPRHTPEQTPEQIPEQVPEQTP QQPYEQMPRETPEPTPQPRAITPEVYAPYEIEEPPTPQLPHYFYFVPQYFASFAPPRF ADEYRDTAVETVNFDVADNTTTTDLPVPDAQPSPQPQNHAPGPEKERHQRPRFTLLAE QLSELKASSPQKTEQRRSGRRGIFSLSGNLSGPSNVNTVQEDVSYPDLSAKSQSPVLD ELQPNEELAATEDEYDIVSPEMGRCAFEDLEKSPGLPMFEIFSDEDIVENKQPDAHED TQVSIVYESPLRPAASIQEALDDEKENYEVQLPAPATPVRGKTNPLQTFHTVSKVPLK PEGEVSPLKVSRKRGRSLSITSPTRSSPRLRKSILALQEDTDSLPPRKAPRLSYSTMP QLQPSRSRSSSRARETTQEAERKRAPSRSPSPAKSSRRRSSIHQPTPTGALQGAVVYV DVHTTEGEDASGIFVELLQQMGARCVKNWSWNPRSSVSPDEQTETREGKVGITHVVYK DGGVRTMEKVRQAAGLVKCVGVGWVLDCERENKWLDEAHYAVDSSIIPRGGAKRRKSM EPRALSNVNGTLVKADAGSRRSGVGAADFARSTTSTTRDAPSTPKRSKKSEAGYPGID PRYFQTPKTPAFTFNMDSVGMSPATPFFLSQRSKLVQQTCPPKQLRQGLFSNSGPSEE QSQKLRVKLEAARRKSLAFKPKIGSPLVQ AFLA_110960 MKPTTAAIALAGLLSAAPGPHGERIERIDRTVLERALPNAPDGY VPSNVSCPANRPTVRSASSGLSSNETSWLKTRREKTQSAMKDFFNHVTIKDFDAVQYL DNHSSNTSNLPNIGIAVSGGGYRALMNGAGAIKAFDSRTENSTATGQLGGLLQSATYL AGLSGGGWLVGSIYINNFTTISALQTHEDGAVWQFQNSIFEGPDGDSIQILDSATYYK HVYDAVQDKKDAGYETSITDYWGRALSYQLINATDGGPSYTWSSIALTDTFKQADMPM PLLVADGRYPDELVVSSNATVYEFNPWEFGTFDPTVYGFVPLEYVGSKFDGGSIPDNE TCVRGFDNAGFVMGTSSSLFNQFFLQVNSTSLPDFLKTAFSDILAKIGEEDEDIAVYA PNPFYNWAPESSPAAHQQELDMVDGGEDLQNIPLHPLIQPERHVDVIFAVDSSADTTY SWPNGTALVATYERSLNSTGIANGTSFPAIPDQNTFVNNGLNTRPTFFGCNSTNTTGP TPLVVYLPNYPYVSYSNWSTFQPSYEISERDDTIRNGYDVVTMGNSTRDGNWTTCVGC AILSRSFERTNTQVPDACTQCFQKYCWDGTTNSTNPADYEPVTLLEDSAGSALSPAVI TTIVATSAALFTLL AFLA_110970 MDKPPELKSEWETALRGAGLEGKTLQSLDLLSASKINIEQFLLL KKHYDACFFNPDHVGLGGPIKQANEILANYKDFANYRSVLTPRRTTASNHTIPDLGTF SLVEDFQADVQGLQKPVIQSSNVQFSPSPSSGRTRAKVKAKSIQQREGPGQGAPETPS KPPKGLFHGRGMTMMMEEGEDLDLDIGGAAFEARTDGYLKDHRTGNVIGIVEVKAGLR SSEKSIRMQESAEMVAWITSLSLARIFLVDRHEIYVTFAEYDVEYLKYIKGQPYTGDS FQVMHEYGPWDIERKDHMRMGGALILGLVIRAREDRDGELISLC AFLA_110980 MTWQDRCCDSASQVSFKADKSTRHQPPSHYKYNIQTNTLTTYPN HHITSHHTLTTMSPQPNPNFLPSKAPSGAKYHKIDFTTSNPPLPEFKNRFAAVIDNIL TEEECNELIRLAEASTVTPQSPTPVWERAMINVGNGKQKLATDTRNCGRIIWDTPELA DKLLNRLMPFLREFEIDRLENRPLVTGLAGRNKTYRLTRLNERLRFLRYEGGEYFRPH WDASYTTPDRKEKSFFTVHLYLNGDGEQDLKELRREQARVERGEGDVNLGVGGKLLGG ATSFLPRFEEKERHLRVFPKAGSVLVFQHNDLLHAGDSVFRGTKLTMRTDILYQEL AFLA_110990 MSVSIETHDIAPAAPTTQAAPCLGFKNRMPEFSLAGKVVCVSGA ARGLGLTQAEALLEAGAKVYALDRLEEPSPEFFEIQKRAKEELGTELQYRRIDVRDTE LLDSTIEAIADSEGRLDGLIAAAGIQQETPALEYTAQDANTMFEVNVTGVFMTSKAVA KQMIRFGNGGSIALIASMSGTIANRGLICPAYNASKAAVLQLARNLAMEWGPYNIRVN TISPGYIVTAMVEKLFVEFPERREEWPKHNMLGRLSTPNEYRGAAVFLLSDASSFMTG SDLRMDGGHAAW AFLA_111000 MGSSSSKPVRSAAQAVSRRQYPKQPSTLPSTPSKPPSPGPASAP RPPKEPETKTRAPTGPTYHSKEQPSLTKSNAIDLDGRDPDFAASLRGIGPVSPAPTLS NSSTFASGAQRGDSVQTVFPRAANPALLVVTARQKIAKAAEREVELTGRQGFTGREYL DALTIRQALSMRDRQGMPSGEIERLLRLKKGVVDRLGEKGVVSEVG AFLA_111010 MQLWNTVLQLSLLAFTAAPTAAASAWGFTDATVSVQTKGAGVGS GLKENIPDNKALTKPVSLGSADTLKVTLTAREGSSGKRAHQVFLLLQDPETGLDISYP FNVKENGKSRVELTQKDLPVQFLSLAEPLDAKLLIGSFGSAEAYNGAAFKLAVTRNPD QPVPTVEVSRYGKLPEIHHIFKEDARSPPIVITLAFVAMVLGTLPVLAGVWLFLGANV CHLPKALKASPVSHCVFLGSLLSIEGIFFLYYRSWTLFQILPAVAVAGTVAFISGSRA LGEVQGRRLDGLR AFLA_111020 MAGRSSVTRGEASPAPSLLDSASRNSRRSSSRASRKATRELELN LSHPSRLAVSLPPTPITSSFEEALSSTQRAKRHRSVNYYEKTPKSKGAETPDQKNASF SAQPSGSASRKSSRSRKSENADKDVVKARDSTGDQAVTPASTSNPKRKSQTEQSTLHT YLQKKPQERRKTLTTQAEASDKNNPKSDSKHDLNSPAMGAAVSSSRKTRKSIPAKLNG IESTEKATPKSKLATRLSTPVSRKDRKSKSLVEAEQVPKSTPRVKPESTPAVNSMSKA DKSQAYITADPVTPAERTPVSTPNATVTRSRRRDRKSARKSMAGRSQLANESSQTDTA TNTDAEDRKPVIQDSDKPASQDSDKGSSEPQQATKRPSNTVTLSLGRKSLESFVQKTL ESASYGNEVADSVEGTPVRVYDDSYHFDYDTDMYRNNFGLDGQMDTPASPTSFSTTTS TGARMSGRTRKPTIRALESLESERRFRRPRAQTPGKAESSATGKQSDKAPNGQKDAEQ PKTAPAETTQVPSATPQPDVDAFARRIFELAAAAVSDDFVPAPEADTWLEQLRKEYQG KGDTEVAAVAAVVEGESRPPSDDQPTTEQWTDEDGWTHTGQINQFGEEYVVVGPDFEW YRPNNTYGDKQLPEPPVRLRSLEQSEKDRIFGFPPRIGERNLPRATNFPFMMEDVYHE RAKIKAREEARQKGITVDRSMSVPQIEALIDRHSKSGSSQSSETPAPAPASAKSSKPD RPAGSRKRRRTEPAIPSEAPSTNDSTTESTHKPKRRRKNTVGASAPTPTETEPSSEKP KTLKLKLIFSKRELPATPSASNTTNTSAKPKKRPHSEIETDGDNAASNGPSKSPKTAS SNPTTTPRRLLKLSTPKQGQKEKSNAAPTSTPEVPPSADQNSLTTPGGRPRRRAAAAL MAEFQNHKEERARRANARKKTNPDNPDEPNSSQASGSSAKQH AFLA_111030 MSNQQPRVIINPQSLQRPPHPPRRGPQSVRDIRQTKEYKIAARR WLSTIVALPILMYTSYVLYERTYLNKSQKHLARPSGSGTEERKDGSA AFLA_111040 MKGLYEDRPVRVEGLFRRLSNSKRVAIVSIMAVLSVLLPITFLL SSVTGTPVTSPRQQSCNTVDEGYQCFSGVSHLWGQYSPYFSVDDESSLSEDVPDHCQV TFAQVLSRHGARYPTKSKSEKYAKLIKAVQHNATSFSGKYAFLKSYNYSLGADDLTPF GENQLVDSGIKFYQRYEELAKNVVPFIRASGSDRVIASGEKFIEGFQKAKLGDSKSKR GQPAPIVNVVITETEGFNNTLDHSLCTAFENSTTGDDAEDKFTAVFTPSIVERLEKDL PGTTLSSKEVVYLMDMCSFDTIALTRDGSRLSPFCALFTQEEWAQYDYLQSVSKYYGY GGGNPLGPAQGIGFANELIARLTKSPVKDHTTTNTTLDSNPATFPLNATLYADFSHDN TMTSVFFALGLYNTTEPLSQTSVQSTEETNGYSSARTVPFGARAYVEMMQCTDEKEPL VRVLVNDRVIPLQGCDADEYGRCKRDDFVEGLSFVTSGGNWGECFA AFLA_111050 MSPISPVTGPSSEDALRTEKHCVLEKKRPFVDEDSSLSGREPGR PRPVSWHPSTPVEPPLNSTQLRPIGVDSILNPPAKAPSVSASAETGREGLGDQFSTSP SRQHLLPATVHLPSPSTHAKRLSTSPGMRSRQIITPVSPSARFVSSGVGYPRKASASQ SPLVQETLPGTYTVAPGSPLPVDSVTGQPMSVSGYQQPVPVSVHSTPTFHSRRTSANP TPNPSPQETSPTTPISTFSQFGRSSPAATPASGPQPAPSYMHSTPHTKMEPVSRLPSV VAGHKHVSEEPVVLPGSQPENPPYPGMIPCILDLKSGSSSQAEKRKANSDASRRFRNR KRNELQMEQKITAQQDEIRKQTELLQRQAQEIRALMQERDFYRSERDFYREHVSRLAP SGQIPARPASPRSFQPSDRDHQAWPTTDTTQRVVDPSGVPPPASATRSTGSWTSRGTL ADEQQARSLPQFPGPWTRT AFLA_111060 MSAFQKWTVTEPYIDIAGTLLEGPFYDEPRNEFRFVDIWEQKFY VVDLAKGPDSLKTIDTSASIGVTANIKDSGDAYNGQIIVAAKHGYALLDRATGQLSYI SKVWDDQKDPERARIMRFNDGAVDSHGRFWAGSMNDPKVQSPVNEAVLFRLDPDMKVR RMVEGLTIPNGIGWNHADDTMYLTDSPTGKIYAYDFDAQTGEISNRRVHFDLGEPKEP DGFAIDAEGCIWSAIYGGGKVIRISPEGKVIGEVSLPTRNITCPIFVGTELLITTAKD DTDDEQFPNSIRYGGRVYRVDVGIRGKPKNEFRFQN AFLA_111070 MSDVTVQLTAPNGRKYAQPIGLFINNEFVPSKSGEKFATINPAD EKEIASVYAAGEEDIDIAVKAARKAFKDPSWKLLPPTDRGALMLKLADLIEQHREILA TIETWDNGKPYSVSLSSDLGEVINTLRYYAGWADKVHGQTISTTPDKLAYTLRQPIGV VGQIIPWNFPLAMAAWKLGPALACGNTIVMKPAEQTPLSILYLATLIKEAGFPPGVIN IVNGLGRVAGSALVTHPDVDKVAFTGSTLTGREVMKLAAGTLKNITLETGGKSPLVVF GDADIEQAAKWAHIGIMYNQGQVCTATSRILVHESIYDKFIELFKQEVASVSKVGDPF ADDTFQGPQVTKAQYDRVLSYIEAGKSEGATLVAGGEPYKNVGDGKGFFIAPTIFTNV KDNMRIYREEVFGPFVVISSFAAEDEAVTRANDTTYGLGAAIFTKDIERAHRVASEIE AGMVWINSSNDSDFRVPFGGIKQSGIGRELGEAGLEAYSQVKAIHVNLGSKL AFLA_111080 MTTMPVKKRKSESVPAAEENNSPKRAAVPDPATGEKRKRGRPRK YPEGSGPKPSPGPKRGRGRPRKDPSASTPSKPSTPKEGKRPVGRPRKYPAQNGADTPT DRSTQPKSESADAKAEDEADEDDSGRSYWLMKAEPESRLEKGVDVKFSIDDLASRSKP EPWDGVRNPAARNHIREMKKGDYAFFYHSNCKVPGVAGFMEIVQEHTPDESAFDPAHP YYDEKSSREDPKWDVVHVEFRRKFQNFVSLNDLKAHAKAGDPLENLQVLKQSRLSVSR VTKKEWDFILGLAKEKESSSSEGESAEETSE AFLA_111090 MRVETITRLTFTLHLPIGRLMLHPMCYTERSIVRPTMPITRANG HCQRWRNFAHHLPSYAR AFLA_111100 MSSWLANGDRSAPRAVVNCVIWRRNSDETVIRRTSIEGEDLEGS RSWRAEGTLGGYYRNLESGTRKRYIPSPSAPPPPKLFQGLLSPLHSPSSLASLHHPHH LITLSSLLGYLLYSFTMAQERAAPIRLGSTAPNFDADTSNGPISFHDYIGNSWAILFS HPDDFTPICTTELGAFAKLEPEFTARGVKLIGLSANGTDSHKLWIKDIDEVTGSKLTF PIIADPERKVAYAYDMVDYQDTTNVDSKGLALTIRSVFIIDPNKKIRLIMSYPASTGR NTAEVLRVVDALQTTDKHGVTTPINWLPGDDVVIPPPVSTEDAQKKFGEIRAVKPYLR FTNLNNKKE AFLA_111110 MMSRSQSSLGYLDSNREDLAGSGVSPGPQQPSSASGPINLSGLV CNVRRTTGREPHPLVGATTTILGDKLYVFGGRVLSKSRPQLTSDIYELDLIRRHWTKI DATGDIPRPRYFHSVCALGDNKLVCYGGMSPKDTTPNGSTGQEAQPEVVVMSDIHIFD VPSRTWTRVPATDSPQGRYAHCATILPSSAHFTSATAPLSAIHHNPASSNPHQGSIGV DIDGFGGAEMVVVGGQDSSNHYIEQVSVFNLRSLKWTNTSPLGRSCGAYRSVVAPLAG INVSDIGSASIDKEAHEPVEESQVEGCPMLIYSNYNFLDVKLELQLRLPDGRLIEKPM QNQASPPGLRFPNGGVINGHFVVSGTYLTSSKQEYALWALDLKTLTWGRIDAGGTVFG QGSWNRGVLWARRNTFVILGHRKRSLVEDYNHRRLNFSHVCMVELEAFGLYNNPCRTA PTSGYISHSSPAVPASLHPKLAKLTSGGRPFSSASDELGRLAQSLPEMTDMELQAVGG ERIPVNSRILTRRWGPYFIQLLRESSDNGVSDSATLRPALQMYPNRNSSITITPSIGP NSTYSSATTLVSNHSNPSKSLLANLEIPSAHSLPPTSRPRVLYLPHTYLTLQVLVYYF YTSSLPPAGSSLCTPQILCSLLQLARPYQVDGLLEATVERLHQVLDGRNAAAVFNAAA MAAGGGRGTGFTSGPGGTLEALNGVHGSTDSVAGTGTDTSHSHLTSDSSDTEHGTSGI SVASSTSGAASGSRGIPLRINTNIFSRRQRNTTNLERDRDDSISNASTATSASTNTSF DHSDTDDATHSHRRRNTDAELRPNREIWTGDLSSVIGLQKRGLRGLMEGRRLRERSAK PASTSGPVSIPPENPPSAGAG AFLA_111120 MDRPRRIDDLADELISHILSFLLGSEPSSNDTSPTNSHGSYVPN GSSAHGETSDLDRFRLVCARFKRIATPWKFPRFVLRFSRDGFRRLEDLLDMQLACHVR SFTYMVRPFYQGSGTVSANSLNLHGSQADRFLDWSRVLKVVESQNLAVSQVQKSRRED QEYIIAGAHDMLLLRRAISAFSSLQQIKLLRLQDGADEQLLDYIREQSSAETVYLDWE SACTRAITSLAISLLESNCSAIRFVGPQISPESAVKLSQTPPLTLSTLGARLTSIDVT FHSPKDMTSSIKSVSSVFHDFFLSAKNLTSIKLGFRANMPLDLSLELIFHRLQWKRLR ALSIQGWRLDSEEVVSLIRRHRRQLRDIALVGVYLRNGGRWRDILSVLHDEMDQVERI DLRDIDYADHLDSDSPDTNGYASTSSTSVLSVVPEPTLALPPYHASFNADYPFFSPCG STRRSFSETTLERLRALTADDLGDNGLSVRREQRLLWEAWVLSSPGNMLRRRQ AFLA_111130 MALDRISSASALLLLPPPPAASFEQCRNVYESLLSTVFTKLAEL NGTNHAAILDIALFLPGLQSHTCQPRAKLFKSLQCLLANLYRLIGIVSVENNIELDAP GGINTRVILLDLDTVHPSGKDSSQASQIGPVLDLQTLATSARPWDRIYYPDNQVGQNL ATAFSSIYSQFKDPNAGALHSIFGASNWTYLESIVAPDDSRGSQTNHSVIVGGTFDHF HIGHKLLLTAMALVLDPVRDTNPGKEALLTIGVTGDELLVNKKYAECLESWDERCEGV ASFLTAIMDFYPPDKNATRTERVTQPGPNGKYIVMKISDPFGPTITEEDISAIVVSQE TRSGGAAVNEKRAEKGWKRLDVFEIDVLHSKDVPSSDFEDFASKISSTDIRRQRMEQA KNESS AFLA_111140 MSNPSQSFEPNRRATTYGRPEELHIPSGGMGAANLQRQSMSHEF PATADNHVPSINVHPTNSQPNQYGAGGNNTALPGALQPGNANRPPAVSINTAPSGIPT LSQMSTQLQQQPQPQPQHQQPPPQQPQPPTTPRSNMSNAHGHSRSSPANARYGSSPGA GFPPHTPQGAKYSPLGLADIRPTGDLLGDPITSPGSLPFNGDNQVPSNSNYIAPWPIY AVDWCKWPIPGNSGSFGGKIALGSYLEDNHNYIQIIDTHWTKPDPDTPDAATGEIKLE YVKTAEATHSYPVTRILWEPPSSQKQSTDLLATSGDHLRLWSLPANQPVQSSNSITRS ATQRDTPSAKLSPLALLSNSKSPEHTAPITSLDWNTISPSLIITSSIDTTCTIWDIPT LTAKTQLIAHDKEVYDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPTEKSD KLVSPGNGSPSAPASIWPPPLLRIAASPHDAHLLATFSQDSNIVRVLDVRQPGQALLE LKGHGSSINCVEWSPNRRGLLASGADDCCVLLWDLMNQHNAASVPPPVHTPGAPSATQ ERGPAAAWQCDYEVSNISWSPQGGTTGAGHPRDWLGVCGGRGVWGVAL AFLA_111150 MAFNGRDQDLRSSPATDNIPLNDLESGINRDSQETTKWTNITDF TPSHILSDPSSRAHALTNNWKACLESFLDRLAIAITPSYLQHLVGGQPPESSKLHAIA ALDGLRGWACLLVFNFHFLFTYTWKVAVGWGFSHGNYNLFQLPILHMLVSGHIMVAIF FVISGYVLSYKPLKMIRSRSWEQTFTVLASSTFRRGLRLYIPSVIGILIVMLAVRLGV YDYSQRVLHEGHTIQGTNEQHPPIMKSFHKQFWDWYSTVVHLMNPWDWALYYNYYNPH LWTIPVEFRCSIVLFLTILATSRLTTVIRMSLVSTLVWFCMRWGRWDVVLFLSGMLMA EADLINGTWERPTEGEKPWSVRFPTKYHPFGLSNRKLWIALFIVGLYFGSAPNTGYRW TPFYMWTWSITPKTYPEPHRFPQTIGAVLIVFSINHSKDIQKLFANPISQYLGKISFA FYIVHGPILHSLGYSLMPNIWAVIGKASDFQYCLGFLIGWLICLPLCLWAGDIYWRAV DIPSVKFARWVEDKVIVKATGKENGSANSRRE AFLA_111160 MDLRTIMNNDASGTSDAPSTAPLQSPSQVSRKPSDPMYAPRDQQ RTSSYPSAYSSHPPQPPPLQRPHASPERSSSYGSLQSPYQYHPPSAQIAGAQSQRGPS PPPYGSSASRDSFSTYGHPQQHQQQQSPFAQQRSQSIQSVLTPSSTSTYSFHPRESPP AVASQPYPSQQFSPPAQGSVPNTPRGSVAASYTRQTPPSARPQSSGHESLSNRASSPW VGPDAQVHMSPTAIPRVSRQDSRPLEQTPRQNSSATDRRDSDESVSPKTAFPSGSRQG STAGYTDLASSSQPKPTENGISLKESPPNLQTSQPAPAASNFDSSPPARKSLTDDTSA IDQARSLPTKMDMTPDATANSSPQAPRVKRRRYEEPPIYAQRSVRTKGRIPMIPNRCP PIPKHARNSMQNPFVMRQQTVSAQASATDSPAKLKSETPPTNGPPAPRRPPEPAQAGS LGPWEPSIYGYIPHEEVTKTVCDFLFQHVVMRNDATAAPAGATATGQGAMIEVEAKLG QLVDMDRGERLLLPISTEGIVNKENTRLRTAFESTMTIAQHRAMNNFLNEAVKMSMPQ ANPGRIPLSYTHKKERDTFYEISPSELPPVIRQNLNPRHKPKVRVTLDQRTGEVLAKI VKCRIADLDVYSPRTCVDWRISVNLEMSYEGDVSHLPVVDPGRGRGGERNKDRMSYRH LAYQIDLTQVAKSEPPSKGEFEHELEVEISAAEIRRQGQLAIAGDPKNQYEELVKGFV DNIRILARAVPP AFLA_111170 MAELGGEMHPDDMDALPVGAETDDRRHRQLDRQRELEASMDAEK QAQLLKERYGRNRAAASDAVVVPKRLLLPSVEDPSIWGVRCKPGKEREVIFAIQKRIE ERPMGSRNPMKIISAFERGGAMSGYIYVEARRQADVMDALQDMSNVYPRTKMILVPVR EMPDLLRVQKSEELLPGGWVRIKRGKYQNDLAQIEEVETNGLAVTVRLVPRLDYGMNE DIGAPFMDPKRKRPGMNPAVARPPQRLFSEAEAKKKHGKYLSATSGLGGKSWSYLGET YVDGFLIKDMKVQHLITKNVSPRLEEVTMFARGSEDGTANLDLASLAETLKNSTAEDS YLPGDPVEVFRGEQQGLIGRTTSTRGDIVTLQVTEGDLAGQHIDAPVKSLRKRFREGD HVKVIGGSRYQDELGMVVQVKDDTVTLLSDMSMQEITVFSKDLRLSAETGVDGKLGMF DVHDLVQLDAATVACIVKVDRESLRVLDQNGSIRTILPTQVTNKITPRRDAVATDRNG AEIRHGDTVREVYGEQRNGVILHIHRSFLFLHNKAQAENSGITVVRTTNVVTVSAKGG RSTGPDLTKMNPALMSRGGPSGMMGPPKSFGRDRMIGKTVMVRKGPFKGLVGIVKDAG DVQARVELHSKNKLVSIPKELLVVKDPVTGQTIEMGRGRGGPRVPSAAPPSGWQGGRT PMAAADSSRTPAWGGASSARTPAWAGMGGSRTPAWKNDGSRTSNPYDGSRTAYGGFGS RTPAWNAGARTPYGGSGSGQSDFDAFAAGSRTPAWNANSGSRTPAWSGATASNGSKDS RGYDAPTPGGAYSAPTPGAYASAPTPGVSAPTPGAWADSAPTPGAFNAPTPGGPSKKP YDAPTPAAWDSRPYDAPTPAMGGDGDDAGPRYEDGTPSP AFLA_111180 MSRNMLDHDFGSDEEDDDFNPAPAYDSDNEDARPTHQDRDDDDD EEDVKPSRRAERRVGSEEADDNEDADGHDDEEEDENDDDDEEEEDEDEEGAVSVSTST I AFLA_111190 MSKTFSKEDVASHNKPDNLWVVIDEDVYDLTKFQDEHPGGKKIL SRVGGKDASKQFWKYHNEGILKKYKSKLQVGSLNTKAADASAPEPAAAKETPKPQQAA PVDVGSAKSSEPQEPYGDLIPFADPSWYQGYSSPYFNQTHAALRAEVRQWVESEIEPY VTEWDEAKNVPDHIYKQMGERGYLAGLLGGKFPVDHTKNRVQSVAPENWDLFHEMLLT DELSRAGSGGLVWNLIGGYGIGCPPLVKYGKKPLVDRILPGILNGDKRICLAITEPDA GSDVANLTCEAKLTPDGKHYIVNGEKKWITNGVYADYFTTAVRTGGPGMNGLSVLLIE REHGGVSTRRMDCQGVWSSGTTYVTFEDVKVPVENLIGKENQGFKVIMTNFNHERIGI VIQCCRFARVCYEEAVKYAHKRRTFGKRLIDHPVIRMKLAHMARQIEATYNWLENIIY QCQSMDETEAMLKLGGAIASLKAQSTTTFEFCAREASQIFGGLSYSRGGQGGKVERLY RDVRAYAIPGGSEEIMLDLSMRQSLRVHKMFGMKL AFLA_111200 MIAYLVASDLPDTAAALRREVNLSEDVFDPTTAKRYEGMLEKKW TSIARLQKKIMDLESRNATLQSELDNSTPASRLKRNQDPASWLPSTVRYSLESHRDKV NCVAFHPTFSSIASGSDDCTIKIWDWELGELERTLKGHTRAVRDVDYGGPRDNVLLAS CSSDLSIKLWKPTDNYKNIRTLQGHDHIVSAVRFIPSRNLLVSASRDNDMRIWDVTTG YCVKTINGHTDWVRDVSISFDGRFLFSTGQDMTARLWDISTVSNIEHKRTMLGHENFI ECCAFAPPTSYQFLAPLAGLGKRPSSTNGADFMATGSRDNTIKIWDSRGTCLMTLVGH DSWVQALVFHPGGKYLLSVSDDKTLRCWDLNQQGKCVKTLDAHESFVTSLRWAPGVAK NVPGGDGAAEGEGNDKNGAGSENPANIQMRCVVATGGWDQKLKIFAG AFLA_111210 MVGLASAAGLVGFLSEPDPELRVFALKTLDSQIDLLWTEVVDAV PQIEALYEDETFPERELAALVAAKVYYHLQEYNESMVFALGAGKLFKLDNGGEFEETI IAKCVDTFISLSAAQRPAAGDQPANLNTAFPTSSEGATSTSASLTSPITPFSQSALPS KSLLSRQEVPGIDATYPGGDDASVNQDETPLVLKRGVQGQLQAVIERLFEQCFIQKRY RQVIGIAIEAKSLDVLRKTIIRASEDEKKQNGESRRSEELMEYVLDICMGIVQERAFR NEILKLILELLNEIPNPDYFSIAKCVVYLNEHSMASVILRQLVEKGDARSLAVAYQIS FDLYDNSTQEFLQKVRQEIADLVPEAEAEEKQDTVEGDREPKESDPLLEDQSSSSQPR SIGANDKSKLSSESQSAFKNILDILDGIKSIQLNLEFLYRSNKADIAILNKVRDSLEA RNSIFHTAVTLSNAFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATAALGVIHRGNLSQ GQKLLQPYLPREHIAGVGGSGSVYSQGGSLYAFGLIYANHGGMAVDLIRDHFKKATEE VVQHGGALGLGVAGMATGDEGIYEDLRNVLYSDSAINGEAVGLAMGLVMLGTGNMRAL EDMIQYAHETQHEKIVRGLAMGMALIMYSRQEAADELINGLLGDPDPTLRYGGIMTIA LAYCGSSSNKAVRKLLHVAVSDVNDDVRRIAVLSLGFILFRKYQSVPRMVELLSESYN PHVRYGAAMALGISCAGTGLDEAIDLLEPMLKDSTDFVRQGALISLAMVLVQQNEAMN PRVSTLRKAMMKMIGDRHEDAMAKFGCAVALGIIDAGGRNCTISLQTQTGNLNMPGIV GAAVFTQYWYWFPLTHFLSLSFAPTSVIGVDQKLEVPFFKFHSNTRPSLFDYPPEQQV KTEEAPEKVKTAVLSTTAQAKRRAQRREKQQRRESMDIDQTPTTPKVSDQMPDRMETD DAATKVEDDTKEGEKESGEGQRKKVERERVGYELENMSRVLPAQLKYLTFPDPRYEPV KRPTGGVVVVLDKKPEEPRETIEMKASKEVRQPAAAAETLQDRLQAAMGAAALQTPQR GSSRLAEAAAGAAAAAGVLTAVDEDDEDDEEAPVPEEFEYETDGDED AFLA_111220 MSTTLPKNGDSRLLSLPIRASAYHQDPLIYIDREAKHIQHSLQA LIDAQSEGLLAGLQGPRLNETPTGSSTPSTEPSVLQRPLTIPVRQPVAEKISLRAARE GIFKSIFDLLKLREEEREILTARVAVRTDALDEINGFITKKAGLEEAVSTIYKNRESQ LTKELREEGHKLEADIHELETKLSQMRARHRHVMEELAHMENSVESKLSSYKASLSLL ESDIQKFLQKPPIPPQSSFANGTTLYSLNPKRRTLDMALEQWTTEQSELRKRQEEVDH EIQALEAGGGVWKQVVLEVCRFERRLKVEMRRSLQNQSQVLESSRAAENNSELDHVRG ILEDLHKTTEHVEHYLGIAEDKDWKLLVCCIAAELEALREARAMLLDAFDIPDEGPSQ SSERRVPDKSGENNLHNTHQDPLGVDNPDPPADLLQDAEEHHSDTISRSEDEDDEPDP AWLLPET AFLA_111230 MYLPSPTYSFTIPSLHDETQLDCRLYLPRNSSLQSETIRGAIVA HPYAPLGGCYDDPVVSFVGGELLESGYIVGTFNFRGAGTSGGRTSWTAKPELADYVSF YGFMLCYLHSLRSQEVSRRGDGNIPCGANVEGSNPQLTLDRADIHLILGGYSYGSLIA SHLPALNVVADLFRNTNCRICPVVNFNRPRLTELDISGERMG AFLA_111240 MAILHDSPILRPHCHPNSKHVRKPSSVKEASGTQFRFLSRAVSL PSLSSVIKSSLTWAGDTLYSVNQDGFSKVDKDGHTTTDDRKQVLYLKMRNAVSYKEWK DCAYELDELEDNNSWKATFESSEYDPHLVQERLKQLEEARISCDVSRMIFLIRTSLSR DLGNMRNDSLYRHSHVGTKDLIDQYITTALDTISSLVDLSAKGRCDGLELKYILDQLL AARQAFGRSALLFSGGATFGMNHIGVLKALWQAKLLPRIISGASAGSIVCAVFCTRTD DELPLLLDTFAYGDFAVFNDPDQEENILQKTARFLKYGSFLDISNLAKVMRNWLGDIT FQEAYNRTRRILNICVSSAGIYELPKLLNYITAPNVLIWSAVAVSCSVPLVFSPFVLM AKDPETGEAVPWNDLHRQYIDGSVDGDLPMTRLSEMFNVNHFIVSQVNPHVVPFLPKY DGPTHGTPQTPSLTSRLFHTMTHLAKDEILHRLTVLSELGIFPTSLTKTVSIVNQKYS GDINIYPEILYTHFPAILKNPTREFMLKACLSGERATWPKLRRIRNHCAIELALDSAI QQMRARVAFSSSQTTLRTIGLPGYSETIDGSCGRGRLLNRRSSYSHEVEKAKHIRANS GRRARPLLRRCCSVQSPEQSSDTDRQDEADDDRAEARESYFPDLNDDLSLTDSGTDEE TRPLALERLGLAEGRAVHHQPPRWSAPHGALPSLRSLPTTSIHSRRSSVGTQHQPSTH VTDPSLISARQLSTKLISSPQNRTLRMTPTSHPDLSPPAYN AFLA_111250 MASIVQRPLNRLRKSDSYKPLHERFGDVSISAPTEGSWNQLQNP RQSSHRGYGNNLARGNSTRSSREHYDTASAPENTTAQARQNSFSMSTLNPRRLSMRLA PRSRHSTEDPDEKEHQHHPDRRTEFAYKPIHQDYSTEVAEKAASRVHDSPRFRYIPAD ARAAAVSPGSHRYSTNSQHNTQSNYAGTEERENRPRRHHRDSHYEDKYNHYVEPHERS HRPSRTGYRTSGEYSEWAMAAQMNATSSVEKKRIRAAKRMTMTMVPDAEDIYG AFLA_111260 MAEPVVQLQCGVKNDPWGKQGKNSLAGQLWSKTPKNGDVKDDQT YSEMWMGTYPTVPSRILSTGELLSDYLKKNPQLVGKSALDKYGPEIPFLPKILSFSKA LPLQVHPDKSLAEQLHKENPDQFNDPNHKPEIAVALSNFELFAGFKPLSEIEAIMKLK PIEQLVPSNQPFDDDLLRELCKTLLTLPPIVVSEIIQSLKDLPEGQFGKHRYIPGMLD RLSKQYTEFDNGNLVAALLMNYMTLGPGEAVCVPADSMHAYLCGDIVECMARSDNVIN TGFCPRAERDNVDLFLRALTFKPHGVDEALLPRRKSDKGANGKSDEYAPPFSEFNVLA TSLGAGEHETHKAISGPSLLFVTKGSGRLELSEGKSVKTFDLQEGYVYFVGQGVSLDL STDRGIAVYRPYAE AFLA_111270 MFLPLCRRSLVTRSSDPATDQIIIGVVLGCVAAIAITAGILFFL LKKRRWDRIRQYEEDMLVMQAPMGYTPQGCPSGSQGIERPRPYSSMYSYSQPREQNHT HPRGRLSGDTPPPAYTTIPAYDPSKYQAISQLPPSVKINRPTQVDPVEMASIAKWDGS VGFG AFLA_111280 MKPGAGPGCLPCHSHDQPSFPEPVTIVSRCAVFEDPSVTKGDRS VALHLLVLCQPPLEGDRGGANQTAGPKTRPLLAPNLPWNPPVDGLSFRKAFPLPPVQR DMQLSFDGRLAVSSNSTFPVFCSV AFLA_111290 MLGSWGLVPRSTSVWAAALIILCQLVPLAVALRTAPGSPCANVC NKQSTNTTGSEITCLDTDFTSTSKGSQFKQCVDCQLRSTYSDPSSGETDVDWGLYNLR YTFTSCVYGFPKSVSNISTQCTVNCQPLDRALEFDLTDPGANNFYTWCGTTTFADNLI TQCEQCYNFTLTQTQDPTNGQSQVFMANFLEALRYNCHFRTPTGFAFPISPTRIFSES LLPSSTVDLINPTSTGGPGVNLALVIALPVLGFVILLCALSICCFFFIRWRRRKARKH RQSSHLHARWNDTGISTPWANYHEMYRPTMYQQGHGQHQQQQGFSFVDNDGQYRDVGY SKNLTEVTASAVASPPLNLSPDGEKQKNPEHPEYFGQDSKQKR AFLA_111300 MATPTQEQLKTLEQSRQRLVQLTRSLASLITSLNQSDPLPSWSS LQSQASIISNNLLSVSDHLSDNRDLLTSLVAYPGPDYPGRTQANTLEQLLRTKLDPRV EDWVARGRKAGASALEDKSGLAEAELAELWDWAPVEANQEARRRNWGGNFTLEEREMG VQNVVTGLARVLEDEGSESEDEEEGEEDEMEIVGVRRQSAGAGFEFDIAPASAAQHQQ QKFVEPAVPLEDILRFMTTGAEPGKR AFLA_111310 MAASIMEPLQQNIITPLQPYLRQIVSSLPEPVHDTVTSLIGSSC HNALLVDLDVTKDPACTSLAISKALGIAIVGASAIVKVPQILKLIGSRSSAGVSFVSY ALETASLLITLSYSVRNQFPFSTYGETALIAVQDVVVGVLVLTFADRSTAAAAFIAVV AASVYALLFDQTLVDAQTMSLLQAGAGALGVASKLPQIITIWREGGTGQLSAFAVFNY LAGSLSRIFTTLQEVDDKLILYGFIAGFTLNVILVTQMVYYWKAPTKPQKAKKAAPKP VERAPVAQTSSASPSPKPSGKTPTTRRRG AFLA_111320 MILLRSSFRRLGSTRDPSLVCSRCLIRNNRSHPPSALRSFLSSA RSQSSVADDQSSSAVLQKTYFSANRGIEGTVSKDGISPSLSTTSSAQANAANTSRSEG EAGSASMQSIESELPHRRRKRLKEEAAGNNGTDHVIPPDASAQLSHLSATLPQTSIRR KIAAYLALTKPRLSVLIVLSTTSAYGMYPISSLLALDPSMTPLPTLSTSTLTLLYLTA GTFLSSCSANTLNMMFEPKYDALMSRTRNRPIVRGLVSRRAALLFAVATAASGLALLY FGTNPTVTGLSAANIFLYAFVYTPLKRIHVINTWVGAIVGGIPPLMGWVAAAGQTATT GHDTWRDMLFSKDSIGGWLLGGILFAWQFPHFNALSHTIREEYKRAGYKMLCWVNPAR NARVALRYSILMFPISIGLWYVGVVGHGFLVSSSIANGWLVKEAYHFWQHQGANGTAR GLFWASIWQLPILLVGGLVTKKGLWDGVWRNAFGQPEEDEDDYLYYDDEGEDEETGNQ IVSQSASVAGSRPANAA AFLA_111330 MRHSIQLVSLAKNGALEKLKAVRANSPVAVRGKVKAKQAKGSEM EKTDPWEIQVDDIRTLNDFPSDIIMKSDTVFPPKQRFLQLRSDSELRDALRFRAQVHN VCKEELEQCRPPFVEIETPLLFKSTPEGAREFLVPTRKRGLAYALPQSPQQYKQILMA SGIPRYFQFARCFRDEDLRADRQPEFTQLDMEMSFATGEDVMRTVEGIIRRLWSTLMK DPAPSGPFQRMSYQDAMSRYGSDKPDTRFGMEISRIEHLLPVDLVSKITPLTLPIVEV FKLENNENDPAAMSEFISQFLDSPAGVPFNNNPEGGPGIFVYDAKKPLCGLQPFGFEA AEYLEELLELDHGDLIVIQAREKAPFSGGSTPIGDLRRALHSAAVSSGFKPAPTGFDF RWIVDFPLFSPSSDSEPGQGGAAGISSTHHPFTAPKTAADVDLLLTDPTKVVADHYDL VVNGVELGGGSRRIHDATVQEFILRDILQMPAERLADFSHLLEALRAGCPPHAGLALG FDRLVAVMLGKESVRDVIAFPKIGKVGEDPMVKAPSVMTEEALETYHLQLKE AFLA_111340 MRPHLRTLPRAARLRVPVASPIRQSVCSVRNYVRTAETLQKSAL QSNVGRPELRITASGGVVGQTRWITQQHIRRTKEAEKEWAEFAEEIKAGKRKNFAQHL EDRGLLHDVVGERELLHKVFTEKRAGMYAGVDPTAPSLHVGHMLPFMVLAWGYVWGLP VTWVLGGATSRVGDPTGRLKGREQVHSSVRKANMASMHMQLKKLGASIEQYGKRHGYE KKWAWKRSLVNNNTWWNKVPFIEVLRDLGAHMRLGPMLGRETVKNRLSKGDGMSFSEF SYPLLQAWDWWVLFKKGVQVQVGGTDQYGNILFGMDSVKAISKNTAMEQERDPLENDI DNPIGLTTPLLTAPNGEKFGKSAGNAIWLDKDMTSTFELYQFFVRTPDDVVERYLKMF TFLPLPEIAKLMEEQNKDPSKRVAQHALAAEFVELIHGKQEADAVALQHRQLFRSRSS TAEPTPLQKNSSPPAGHAQSPTSGFVNPQSGNQYAPQTNFSNMPSIQVTLPESLVYGQ NFHKILWSAGLVSSKGEGHRIVTNKGAYVGSRPGDSGTMSDDLAFTPILTWPAEKTKD FIVDGNILFLKLGKWKFKTVKIVSDEEFKRLGLSAPGWEPEESAPQPATDN AFLA_111350 MPSHTESKYEFGGPLGAAAITVGLPILLYVFAFTCNDVTGCPVP SLLSPRTLSWGRLKSEIGWPLGGIWGLYSWEVTGVVLAYYLLSMFLWKILPAQEVRGT KLVQHDRPLTYRFNSFSSSVVQLAACAIGTYLKGADFVVWTYIADNYVQLLTANILIS YALSAFVYVRSFSVDTNYPNKELRELAAGGNTGNSVYDFYIGRELNPRVSLPIFGEFD IKTWCEMRPGLTGWILLNLAFIAKQYRTYGFISDSILFTASVQAFYVLDGQYNESGIL TMIDITTDGFGFMLSFGDLVWVPFLYSTQCRYLAVYPLHLGWTGIAAVSAVFALGLYI FRAANTQKNVFRTQPSDPSVANMPYIQTKRGTRLLTAGWWGMSRHINYFGDWLQSMPF SLPTGLAGYTIMSAGSAAALSTDFKMLDGREVVQGEAKGWGAIFTYFYVLYFAILLMH RERRDDAMCAKKYGEDWEEYRRIVKWRILPWVY AFLA_111360 MAKGPPASSSPAATPPSGVLKRTTSSTQNMKNQKSILGFFQKSS PSTPSTARNAEPASSPAQRVSEQRGAARGSVKSDKKKSLPQLSDLSPVPSSDLVEPEE DEGHIQATSNDAKTDSPSRRPKKQVNYFESDSEGEDDDEKIFRPGRKSSKISKRRKLS PESDDEFEQGGDDAGYSDEDMDDFIVADDSDEDVKTSKKRKRPTQPKPKSSSVPPVPS FEEDMDLNIPDASSGSAMKWTYDPDSAEPRQNRTAPAKSKSPSGKKLKAHVTEPEQRY AWLANIRDIDGHSPGHPDYDPRTLYIPPLAWAKFSPFEKQYWEIKQKFWDTVVFFKKG KFYELYENDATIGHQLFDLKLTDRVNMRMVGVPEMSLDHWANQFVAKGFKIARVDQIE SALGKEMRERDGKKGGKEDKVIRRELSSVLTAGTLVEGSMLQDDMSTYCVAIKEAIIE DFPAFGLAFVDTATGQFFLSEFVDDADMTKFETFVAQTRPQELLLEKSTVSQKALRIL KNNTGPTTIWNHLKPGKEFWEADITVKEMDVSEYFVSEDDDNLKAWPEALRAARDKEL VMSAFGALVQYLRLLKLDRDLITIGNFSSYDPIKKASSLVLDGQTLINMEIFANSFDG GSDGTLFQLLNRCITPFGKRMFKQWVCHPLIDAKKINARLDAVDALNADPNIRDQFSS QLTKMPDLERLISRIHAANCKAQDFLRVLEGFEQIEYTVSLLKDSGSGEGVIGQLISA MPDLNELLEYWKTAFDRTKARENGILVPKSGVEEDFDNSQEYIEELHNELDSLLKRVR RELGSTAICYRDNGKEIYQLEVPIKVKNIPKNWDQMSATKQVKRYYFPELRTIIRKLQ EAQETHSQIVKEVAGRFYARFDEHYITWLAAVKIISQLDCLISLAKASSSLGQPSCRP VFVEDERSVLEFEELRHPCLLSSVEDFIPNDIKLGGDRANIDLLTGANAAGKSTVLRM TCVAVIMAQIGCYLPCQSARLTPVDRIMSRLGANDNIFAAQSTFFVELSETKKILSEA TPRSLVILDELGRGTSSYDGVAVAQAVLHHVATHIGALGFFATHYHSLAAEFEGHPEI TPKRMKIHVDDEERRVTFLYKLEDGVAEGSFGMHCAAMCGISSKVIERAEVAAKQWEH TSRLKESLERRKGGGFIGLGWWSDVAWALRESSDVNEHEVTDRGLDVLLKAIEAL AFLA_111370 MAETKGSIKSEDSSVKGEDVSIKEEMRSIKQEPEDIYDDCKTED ESPMTNEELDAQLNAMYEAAHPGIKAEAEGDA AFLA_111380 MSNKPKPDTLLGLTNSEQKILLLSILCTDESNKLDLDKLAQYGG YKNPASASTTYRNAKRKLSDYKPEPPTSAEGSSANTPKRGRPPKKAAAAEPPMAADTE PVEEEAAPAPKAKRQRIIKKGIAKVIKKDSYEYAPVLLRTRNQNEHR AFLA_111390 MRPQILLHPQLRTLLPRGVRRDLNSTIFYRLKTTQTPFRLSKNE SPALKPKENNATAARSSPQSATTHAKTAIRRGKTIFLGMLRITTIFLFGVSVLVVAPA FASDEFPWYLAPAVVVGGALPMLFVSYTSAPFVNFVHLALPAFARRSKEHTVQYAKNL PPTATLYINTMKFTTIPRQTEVRLGDLVPDKAIFRPVSFRNKNPAPLPWWAGKTLRQF YAAEKSQPGRESTTFYPELWEHVYKQIQKNPSPKK AFLA_111400 MSSANSGLFRPLLTIGVALLYFLLAGQAYEEVSDNTLNALPRPN NDFDIHNGALLAPILRPRVSGTAGSAAVLEHFADFFRTTLPSWNIQFQNSTSTTPVSK GKEVPFVNLIASRDPPWAAPGDVGRLTLVAHYDSKYSPEGFIGAIDSAAPCAMLMHSM RSIDSALTKKWEKMQAEGNADSLEEQKGIQVIFLDGEEAFKVWTATDSLYGARSLAEH WDSEVNPAMSTYKTPLSSISLFVLLDLLGSKSPTIQSYFSTTHWAYKKLAQLEKRFRD LKQFKSSVDSSHPAWFIDMSKSEHDITTFLGIQDDHLPFLERGVEILHLIDAHPAKGF PLVWHNEKGVPDDGEHLDLATVEDWSMLITAFAAEWMELEGFMPQPIGEAPPAKNADR LNKKTEL AFLA_111410 MLCGISRLSPRSFIATAIFFTTALLTANLVSGGQNIPPCPHGVP CYTPMYPSTAELIFMIGTTTLTFITNWFVVPRIMGKSEKSRTLFSYLAGLQFGMGLFF TGMANPSKVLRFFAFPTDLFRFDPSLALVILFGIGPSLITFLTAKPGQKTDKLDGKPE LPTLADSWRLPTATMADIDWRFVAGAAAFGVAWGLRGVCPGPAVLRAALQPAWGLVEM TGYMLGNLV AFLA_111420 MKKKTGGTLKEQQSSQGRMYGCKHDKSKRTNNGIITTLSQELNP RNPFSHT AFLA_111430 MALPSAYRENLEAALDPSRQKGQVFKLTAPADELGCVDFGSNNT LSLGGSAATREEFLRELARNPNFAIGTGGSRLLGGTTRYIDELERDLAHFYNADEGLI LPSGYEGNVAIHATLPQTGDAIIHDAKIHASTRDGMRSSKAHIIRPFAHNDPQSLYDV LEEVKLLEPAIADGLNTVFVTIESIYSMDGDVAPVAEIVNEAKRALPKGNLVMILDEA HSHGIVGPSGAGLACQLGLEHEIAVRLHTFGKAIGGGGGIILCDGLIKRYLVSHARNF MFTTAPAFTFFATIKAAVTVMSSEEGDWPGYCRSLEKWLLDRGMYTHGVRYPVVPMAK ERVRVMMHVENTPEQIEALVKSIMEWADQYAPTLSPTTIKLRL AFLA_111440 MRLSGGGSFGGSEGLQELLGGNTPELYRPYQNVEAIPITHKKAT ERKLPSRQ AFLA_111450 MIVGWKHHVENAWKCIMIGGILHVDLGREPVKEPGFVGLMPAGL WLPSVYRVPSLAQ AFLA_111460 MVGGRVILALGDIATQVAQYKMFSSWFPPSNGFASTLGLELAMR KIGGFVGKSTANPIAKNTGNFAWVYWTSVFMNLFTNAATVVFWLYSRYCNRHYQGRQD KATGEVLTEKNKKFELKKIFQLPWMFWCILAFSLFQTSAALVFSQNATELAEKRFNVD SIKAGWYSALSQYSGFFLVPCLGAFIDVAGNRATVCKYK AFLA_111470 MLLCMTLVNFASSKAGTGAAFGIYAIASALGPTTIIDGIRTSLW HQSVFGSAYAIKVTMNNAMNIIVRIITGALQDADDDSYRRAVRVYLFLAACSVVVGLA IFIGSMLTDELGLLQWTRKKRLTYGPEIIDRMRERSLVTYGRRSWWISLCCFGALVLL ILGSWAAYIWGAVTGHNS AFLA_111480 MTIATGATESQTHFNNWPNDLGFDANYEERSPVELIVTGHIPTY AAGVLYRTGPGKYKIDRENGDTFQVSHWFDGFSETHRFQLVAPDATHSSLRVFYNSRF STDYLIEQARKTGRLDKISFGQKRDPCKTVFQKAQSDFNPDPSSANVGVTLSVNMPGL DKALDENRPNIGRWNGSSGIQTLYAKTDSTSYKKLDPETLEPVGLASQKDLHPDLDGP LSASHARSDPITGDVYNFNLAFGKCSTYRVFHVSASTGKTTILATFEGTPAYLHSLFL TKDYVILCVWNSHINPAMLEKGSFLQAIQSFDASQPTKWYVVDRTQGQGLVATFESLP FFCFHTINAWQESSASGTGVDIVAELVRYDNADTLHALYYEKLVSSSAEAKAHAQIKK DTYRSEFARFRLPNIPVSPSTEIKTAAAEWMSCKAFSPELPTMNPKLVTQKHRYTYAI TARDESTFFDGIVKFDSQTKETLLWNDHAQSPGEPIFVPRPDGLEEDDGVLLSVVLDG YSGKSYLLCLDAQTLKELGRAHVNGPIGFGFHGQHIPSNGVPTGDY AFLA_111490 MFQWYKSARQSLAGRETPSHRHRNHIPQNCRTNRNQKRGRLRLS NTDKDLADQLEIYKRGDEYEELLNPADIITSKDSDNKELVQDFVQWVLSGDGQDVIAN FHEEDGYCLYRGFPTEDGEDGEDVEASDCKWELS AFLA_111500 MIIWDTGEYEILPYQPEQTQPETDDSRSDLSSDTSISTVDSKPD SAKLHQAFQNRKIRLRLHGTKLPQNYTIILRLDKNINFKRNPTTPRKRRRRMIPNLKR GPSTSSSDSSPPPSKSDSTGTPAPGVSDQTPSASETPGGEHSDEEDDIDEQIRANNAY PGAVNSIGSVHQRRWFVTLDRVNSGFVAEAGSGPGRKRWVRKWDPGTGQLLGFEPFYV RGPEVESSVVTGRLGRDVLEDEGVEGFVPRRGWRAVLE AFLA_111510 MNQIRVWTPWLGPRHGRGKFRLTEDAILCSFLRDDGEHVVLLAV SGINNVLTVLGSGDNGEVVIKSHNDNTTASNLQVLASAAANLEVAISAVIYEARKLVR PYSAETTNRIPTPVSPPGDDVVLVEKDAKAQWLSEWYDGLTYCTWNGLGQDLTEEKIF DALDTLKSHGINISNLIIDDNWQTLDNEGDSQFKRRWKQFEANPDAFPRGLKKAVETI RRKHPNIQHIGVWHALLGYWGGISPDGDIAKNFKTKEVRIKDPAAGGPIAKAFEKQLL LAIDPDDIQRFYDEFYSYLASAGVDAVKTDAQFFLDLLKDPEDRRKFTRAYQDAWSIS SLRYFGTKAISCMSMFPQAIFNSQLPTNKPTIPLRNSDDFFPEVPASHTWHVFCNAHN ALLTRYLNVLPDWDMFQTSHPYASFHAAARCVSGGPIHITDEPGNHNISLINEITAPT TQGTTVILRPSLVGRTIDMYHDYNAGQVLRVGTYTGWARTGSGILGLFNVSENRRTSL VSLQEFPGIHDDYDTKYIVRSHTSGIITDLIKPIDRNALVGIVLEDKDWEILTAYPTQ AFMLKRKNSSDIREPNPTHATVLGLLGKMTGSAAIVSSDIYVEANGRLRFDISLKALG TLGIYISDLPDWSIEDDFMVTILGYPVPRKTVWKEGDDEKSKVLAVDILTAWREMKLK PGWSNEVIVQVFLG AFLA_111520 MATELDVDAPWSHSRSVNWDSETVQSWLNREAPHPDAQFLLTQG LQSVFSTEPREQSLLYTLAYIAAAGNATTRGTFERLIDVAGGAQEQRIVGGTQLLAIR LAERIGLSNIIFNAPVRNIELKGETYLVSSNNQSVIAKHVVVAMSPPLASRITYQPLL PAARDHLTQRMPMGSIGKAFAAYATPFWREAGLNGQVVSDTGAVRITFDSSSDDGSFG IMMGFIEADEMRRLDRLPEREIIEEITKDLVRYFGPRAANVQNWVIQRWDLEQFSRGG PAAYAPPGVLTAYGTSLKSPHGRLHFAGTEASSFWVGFMDGAIRSGERVATEILMDL AFLA_111530 MAPENMKQWTVQGKANGFDELAYNDAPVPKVGDNDVLVKFHAAS LNYRDLIIPRGMYPFAINFPVVPGSDGAGEVVEVGPKVSQFSKGDKVITLFNQLHQYG PVDPKAAGSGLGGVIDGTLRQYGVFNENGLVKSPKNLTHLEASTLSCAALTSWNALYG LKPLQPGQTVLVQGTGGVSLFALQFAKAAGATVIATTSSTEKSEKLKELGADHVINYK SDPNWGETARKLTPDNVGVDHIVEVGGSGTLNQSFKCIKLEGVISIIGFLGGVDPKSQ PSILDTLSNICTVRGVYVGSKELLNNMVKAIEANDIHPVVDPKVFTLDKAKDAYEYMV RLSWAQKHFGKLAIKID AFLA_111540 MRTYRAWLFAAGLVLGFSLLAEGQTTSEICDAFHGACRLLGSLG HLSPQAAQYHRILTSFSEAIDVYRERLRRERHESRTPFVERILTLDPSSDANGDVQNN QESAPITTLNGESRVGENEDESFLESLSGFLSLRETSDWPPPLGNDDLMLRLFWEGYA LNFTDYLPPDETVPPPT AFLA_111550 MVFGGFLEDPTVYMARDFLLMAFYMFGACRRNAAFMLRIGKSIR VLDLVSSSILGRPGSTSSLRTDDIRVDDFDQEASHRTSALNAAYEASSVLEAIVQRLT EGEKLDASSADHFLQIWREWSQALPDKLRLRPRKEPNLGLNPDYRENMIGNIHVACTY YFGVILVTRQSLIQHIMPQIRGKRPRKTTLRQETNEEGNEKVAELSSVCTDAATYMAQ MCCDAAEAGILWGNMCILK AFLA_111560 MPRPRVKPQDRKRSVRACLACKASKKRCDGARPCRTCQTKGAAD SCAFTPQQRGTQSSQPRGPNPPQPLTPSEVDHQAIIYNTVTPIEKLRPRESDDVRSTR DTTPSAGQRPTMLYSSSGERVFMGGAAAVSFLQFLQAIVKRYVGPMGFTESQNSRKMF EVDVPDTGTDFFADELMETEKWALIQCFLDVVSLHHQPLTPNAI AFLA_111570 MGSHQESWRRLNVGVVGGGIGGMSVAIALRRAGHEVTIYERNDF AGEVGASVSCAANGTRWLHEWGVDVEKGDPVVLRKLINRDWKTGEPVSVYDLEDYEER WGYVYNMFHRQYMHAMLKDCALQEDGEGTPAKLQVNHACKDIDLSTGVITFTNGVQAQ HDLIIGADGIGSVVRGIIGLRPEKKASDQSCLHANVRTEDAVRLGLVDYSQNSALEYW GGQEGKWDKIVLSPCNGGRLLSYYCFFSREKGDFSNHTWGSADRPVEELLEKYPELDR QVFSHLSIGTEIRPWRLWVHQPYPYIARDMVCLLGDAGHPMMPHQSQGACMAIEDAAA LGILFNKDNFTGDIAETLAIYDEIRLPRATKVQSAAAKAAYNINERIGFSSNTTNSVY KVEDEKKKLTIEEMNAYDMYKDIEEAIARRKGLPFTAPYTKGLPFGLKLSNGVTVGE AFLA_111580 MTTENSAAFHEFLTSDFVSLQALNSTRVFKVHKTLLDAKCKTVA SAFNGNFTERQYGVYTFSDASEETLARFLEWAYGGDYTKPAVKRKDTTSEKVNKGPAG DQLESLDHPLVAHMALYIFSEVYIVPNLKELAFTKIKEAIELIERPETADDCLGVISL LKLAYTKIPANTSSAELQEWLAHYAAFGLEELRDQPSFNDVLRLSPDLASKILSYLNP TDSPPWSGGRCKPWGYRSWQ AFLA_111590 MEHTSPSRTCRGGSQQDPEGPSDRLPGSILGLSTGPSHLNIQTK LSRLSIRSRSDSGWRTFLSPIHGLLWRSSWRLKRSEALVLAILPRTRLTTFLRRLRFP RLSTKSKPILISNSPNILSVAYSPLGNNIYNAPRVVDDPDVKAIADKLGKDPAALLIS WAVQRGTAVLPKSVTPSRIESNFQDFIIPDAEFEALNKLDRNQRYNFPFRWGIDVFGE VGAEETERRAEEHAAKQREGA AFLA_111600 MTRPGTKFRHFRMTKPSEPERWRYASPESPNTTSLSSQSHTSEV TQGKTNEGQPEKIGVPGFVSISPKNSLGTDTGSVDPSTSRVTLATYYLMGLYASYSHY KDLIFNYLDRSRSFVIPRPLLFELFSTLYDDMKVEVDLHSRLTQNTRRPLPPISASTT VEEFCGWFRGANIRWELLGVVFALAGLASVHTAEPEKPLSYESFATDMYTASRVCIEI CEEDNQMNDMTTWMRHTNVALASNLFGDTIQEGLFLYTRNVSPYDGGVFHQAPLFLPI FALLPNARELPLLTALFYSLIDLINANALITISDSGQAVSGRLFSALRKHIRWDGVSV AAWFLFNPFTIATCLGRSTSVFTTTGILYALSSAVSGNTLNAMLSLGFASYLSIYPAL LFIPLVLLCYDRRAQGPKPPSGVAIFAIQHMAVFLLSIAGLLGISCLVVGDFSQFISA TYGFQLLVPDLTPNVGLWWYFFIEMFDSFREFFLGVFWLHLAAYVGGLTVRLRRQPLF VITSLLGIFAVFKPYPSISDASLYFALLPLYRHLFPRKYEDLSDDDVH AFLA_111610 MAKIKKKGTSGQAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRNKKKAAKNSTASTTFYYTKDIQYLLHEPLLRKFRDQKALSKKIARSLGRGEVSD AARLEKNHAPQLTLDHIIKERYPTFIDALRDLDDALSLLFLFANLPSTAHVPPKTIAL CQRLCHEFQHYLIVTNSLRKSFLSIKGIYYQATIQGQDIMWLVPYRFVQRVNGDVDYR IMATFVDFYTTLLGFVNFRLYSTLGLRYPPKFDTRSDENGAELAAFTLEGRAVGETTK AIEGTKQTSSTANKEVSQDVQAKVDKVIKSAGLDQTKDDQAVQATEESTEEIDKFEPA APEADTLLQPDISGDTAGALFAPFTFYISREAPKAPLEFILRSFGCKRIGWDAVLGDG AFTHDETDTRITHQIVDRPALPESSLPAVPAASENGAGAVQKVKPGTRIPGRTYIQPQ WIWDCINEGKLLRPDLYAPGATLPPHLSPWVKPTRGAYDPRASLAEQEEEGEAEIAAE EEEEDSDEEMEEATDGKKVDAKAEDSAEEENEDEDDSVDGGMDVAGTDDDEDESEEEM EDEFGGFEEEAASESEDEEESARTQHQKELEAEAAGLPFSSSSAGGDSTKKKSSQAKK VASKKRKEEEELERQKMMMSRKKRKLLEKMMYSNKKQSEEAAKLRSKRRKLEKGAEK AFLA_111620 MDRLLRSVSRQLLRSRPRYPFTIPPPVYAKRLYSMGHTVPPLKD QSLFIEKAYVNGEWVGAQSGETFEVHDPASGKLIGTCPEFNAADTEKAIQAATEAFPK FRTTLARERARMLRRWYQLMIDNAEDLATLITWENGKPLADAKGEVNYAAAFFEWFSE EAPRIYGDTIPSSVAGNRVMTLKQPVGVCSLITPWNFPAAMITRKAGPALAAGCTVVV KTPGETPFTANALAELAHRAGIPKGVFNIVTSLKNTPEVGEALTYPPGSSQGFLHWFD QRGQASDEAIVFYR AFLA_111630 MVSFRSLIAPSTGLFRQFLRKPLTGGFLARSFSQLTTLSLTNGL RALRAGKEQAGNVSVASTVRQLDQIRGMKTRSSVKRLCDGCKPVRRKNRVYIICSKNP KHKQRQGK AFLA_111640 MRLHRTRPFTQRRIRQGTNSKCAHTGPPQPRPVGNYCPDHLVGR AATTIYDHEDGLWWPLGVGSACIGACLIVAYRRSSHTSEGWSPVPDAR AFLA_111650 MEILRQANLQKLEAVFCAVGGGGLIAGIGVYLKRIAPHVKIIGV EAHDANAMAQSLDSGSRVLLKDVGLFADGAAVKAVGEEPYRLAREVIDEVIQVSTDET CAAIKDAFEDTRSIIEPAGALALAGLKKYVSLYPDPNPKRELVAITSGANMDFDRLRF VAERAALGERKEALLSVNIPEQPGSFAKLVEVILPHAVTAFSYRYARDESADVFMGIS LSASTGQKDLAKIMEELDKGGMKAKDLSDDELAKRHVRFLVGGRCDVKDERLFMFEFP ERPGALAKFLTTLRPSQNLSLFHYRNYGGDVGKVLAGIQCPPSEKDQLEAFLRDLGYP FSEHTDSSTYHTFLRS AFLA_111660 MSSDPVPSSFEGNPQFEEETSLQKFRRRLKEEPLIPLGCAATSY ALYRAYRSMKAGDSVEMNRMFRARIYAQFFTLIAVVVGGMYFKTERQQRKEFERMVEE RKSQEKRDAWLRELEIRDKEDKDWRQRHAAMEAAAAEAGKKTAPHDAARSAIERSEEK SIGVLDAVKELLSRRN AFLA_111670 MRPSMKLLAGVSRGQSSKLRKPAMSLDHFIQRQRVLGFWREVTR ALHKIPKSSTRDELRSYARHEFERHRNVTDLQHIRYLLSVWLYATIDLTYF AFLA_111680 MRCPSLTRLPYRAVSGLPRSVVRLQSQNFLTRRCASTAVLRSPT AAPAYQSILNKHLQQRRNASGTAAAVLEAAASDNLSQEAIIENLDPVEAGRLSRVRNI GIAAHIDSGKTTCTERVLFYTGRIKAIHEVRGGDKVGAKMDSMDLEREKGITIQSAAT FCDWVKKDEDGKENKYHFNLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQTI TVDRQMRRYNVPRISFVNKMDRMGANPFKAVDQINNKLKLPAAAVQVPIGAEDEFEGV VDLIRMKAIYNRGPSGEELFETEEIPEKVKSTVEERRKKLIETLADVDDEIAELFILE EEPTEQQLKAAIRRATIGLKFTPVFMGSALANKSVQPMLDGVVDYLPNPAEVQNLALD KKRDEASVQLVPYQSLPLVGLAFKLEESNFGQLTYIRVYQGTLRKGANVFNARNDKKI KIPRIVRMHSNEMEEVSEVGAGEICAVFGVDCASGDTFTDGQLGYTMSSMFVPEPVIS LSIKPKNNKDAAKFSKAMARFQREDPTFRVTYDVESEQTLISGMGELHLDIYVERMRR EYNVDCETGPPQVAYRETIGNRVEFDHLLKKQSGGPGDYARVVGWMEPTGKLDDNVFE EQIVGGSISEKFLFACEKGFHLACEKGPLIGHKVLGTKMVINDGATHMTDSSEMSFKN ATQQAFRKAFKESNPSVLEPMMKTVVTAPAEFQGDVISLLNKRNATINDSEVGVDEFT VYADCSLNGMFGFSSNLRAATQGKGEYTMEFSHYEKCPPQVQKELIAKYLKAQADRHK K AFLA_111690 MVELQSIFKKAYWSLAAGGLVYVSIIFALTFPTVQRFALYAHKA NPALWEDVNQVESFGFLNEPSGPASDYTKTPAYELLANDPNARVVVAFHGNAAHIGSA QRPETYRMLLGLSTPSNPIHVFAMDYRGFGISTGSPTEEGLITDGVALLNFLTSSPLN IPPSRIVITGQSLGTAVSAAVTERFAFGSPDPTAIQPAIKNPEPFAGVILIASFSNIP SLLDTYSLKGLTPPILSPLVGYPRVKNWTKSHVIDRWDTAARVARLTGVGPTAQNDSA IGYADKGLDLTIVHAYNDAEIPWYEGRRVWVAATGENDKDAPGRLVHEKKDSKSQNEV LIWENRSSKNAGVVKSVRWERVPYGGMSIYATLSKGE AFLA_111700 MAEKRRLSARERREPASKRRASEAPSQSQSTPSSSKKRASTAVA PPTPTPPPPPPVEVVKNPLPTKIKDGEGLPTVPSPQPQALSAKEYQSYAESAVLLASL ERSKKKWLSDGILVRYWTKPKKTKREQIEGKNPPKESMSKVGPCNIAVGPHLFDAMLY TVKDPNAPPPIQYTPPQRPMVHYGHPNNFQQYQPYPTPQHARQHPPQTSPAHSASPQP TYQQGNHPPPPHHARTPNQPPPQRPSQSAQRPSPSQHSQAQPPKPSPDPVIQMLATRA ASDPDLKALMRVVASSKASQEQLRAFQAHIDELNAIIRAREQQQQQRQQQQQQSHPQT PNQPQTSARTPQQTSQPPPPSQKPSQPPQPPQQPSQQQNQQQKKPTTPSQQPAQPRPQ EQPYPRVEVHVPKPPTSLVSTPQTPSASAPNQSETPTQKPNVMPQVKQEPGVAGAQPS PTAEPSANTKQQSPATPTTESKPPPTEGDKPAGGQVDPKDPPATTPSQPDSNKPLPKN KPEDEAKASNLKEYYQPVTFRIHSPNAKVLEPLSRVVKPPDEVRKYMNEIMDRAERAP DGFLAFQLPREEAGDEHDVDEKKKSGTPVPANRSRLSRGRAVDDESDIENREEPAEEE EEEELKDYYGPPTGLVPMGL AFLA_111710 MGLSQSTELGQASSPEELSHMLAERFATKCFTPLELTHFKDNFF TRAAGQGDVKYWNEKILSDFLAIPDSSDAECPLDAGPVIFRMVSYLGAFPFQNTLAPS VLTFEAMVKVVVLLTERYGKVLRRARKDRIRLLFGSLADVGRKDIDQPANDGNSKEDK VDSSATKSHAPGFSVDEPTNDDYEDDDDDLALAALESLDAIEVFRHDSRIDKAVYEAR ISIATFRRLLMLLLVISPLRPLEPVKAYTSDLNEGRMRTVRQQADNILAAFPQEESGG ISYRAFAKTIETSLPYLFDPLTPLFEHLLFSRNLNLSQKRDRSDSTDPTDQTSETPLP LSASIMLPGSFESAILNPSIVSHLSFFLPSTNGSKNLLRDNLRLHPIFSTAAHGSSLT SFSHNVLTWQSGTLLLLEGAVAEPSGEQMVTLGAYLPQPWKTGSSAQSSRLSETSALP CLFQLSPKHLLLPGNPSSSIQNPDTPAAYFSNHSGISLGCRIPPASRSQRLVPSPLGA GSLTIDTSLETAEFHVAPFGHNGVFLPAGTSSTSDNATKTHIDIYNLELWGFVPDPGV SSSEKSAIELQKAKWDFEAREAERRRSLNIKAGAGDSAMEGARWLLETAGIIGDSHGR GGGSV AFLA_111720 MSDPKDTKGKAPQAEDTQAGSSEQKLKDATITPKMAEKLLENNP ALKNELAGMDKKDAAEALRKMTISELLTGLSVGGKNQKDMASYRFWQTQPVPRFDETS NASGGPIKIIDPEKVSKEPDQLIEGFEWTTLDLTNETELQELWDLLTYHYVEDDNAMF RFRYSQSFLHWALMSPGWRKEWHVGVRATKSKKLVASICGVPTEIRVRDQKLKVTEIN FLCIHKKLRSKRLTPVLIKEITRRCYLNGIYQAIYTAGVVLPTPVSSCRYYHRPLDWL KLYEVGFSPLPHGSTKARQITKNHLPSTTSTPGLRPMEIKDIDAVHDLLERYLKRFDM NQAFTKEEIEHWLVHKDGAGKEQVVWSYVVEDPETHKITDFFSFYNLPSTVIQHPKHK EVRAAYLYYYATETAFTEDLKALKERLLLLMNDALIQAKKAHFDVFNALTLHDNPLFL EQLKFGAGDGQLHFYLYNYRTAPIPGGVNEKNLPDEKKMGGVGVVML AFLA_111730 MRRGTPRDLADSIVTIFMRGFRIHTLYTYNSIRRFSSHPARMSR NYEAAITALNSLQTNFAVVQQLRESGDRRQMNLRSLPETIEWLRRIGYQPSDLNRLNP VHVAGTKGKGSTSAFISSILSQYTSPVPSESNDSAPRLKKVGLYTSPHLRFARERIQI NGAPLSEEKFARYFFEVWDRLDEAARVAGENPEELRTKPQYFRYLTLMAFHTYLSEGV DAAVIECGIGGEYDCTNVLERPAATAITSLGIDHTAMLGTTIEEIAWHKGGIIKPGVK GFSAPQAASAEKVLYDRAADKKTQLEIIAGHPDLASGSSVKLGLAGDFQRTNAALAIA TAAEFLKKVGLEDIPDDFMKKPLPAKFRKGLELARLGGRCETRHEKNVTWFIDGGHTL ESIKLAGQWFTSQIHANSSSGAVANRKLRVLIFNQQTRDSNALAQALYDTLAAALGSE QPFTHAIFCTNVTYKAAGYRPDLVSMNTSASEVEKLQVQNSLAEKWRSIDPRAEVKVY GTIEEAVDFTRDLAAKERECSDNDETPIMSFVTGSLHLVGGFLDVIETKPGPQ AFLA_111740 MATTLLPFRDINLHASSSHYAFTSPSSPNAPTLIVDRPTGDLRL HDGTLPGAKRISSIAGILGMIKLKLDKYIIVITKALPMGRLRGHMVYKVAGTEFLPLR ERPLHDHDEDTYLALLKELLRTGPMYFSYALDLTNSFQRQSQSDASLPMWKRADDRFF WNRFIQSDLIDFSLGGHDTTSVRYGPQPGVDPYILPVMYGMLRITPAKVKSTSFTFAL ITRRSRHRAGTRYFSRGIDEQGHVSNYNETEQIVILNDATGGLSGFSGGQSMKEKTAD SGRDLQVMAYVQTRGSVPVFWAEVNNLKYTPKLQVRGVETAVDAARKHFAEQIRLYGE NYLVNLVNQKGREERVKDAYEQLVRILVSPSTENTEVDAVSSEKIHALEPGQRQKELD RLHYIYFDFHNETKGLQWHRAELLMDRLIDGLTRGGYFRGVEDPGVPSGQLDIRSSQT SVVRTNCMDCLDRTNVVQSMLGRWAVTRQLTDAGILRQGEAANDDRDFEDLFRNIWAD NADVVSKSYSGTGALKTDFTRTGQRTRAGMLQDLNNSITRYVKNNLLDGPRQDGFDVF LGTYLPPNSTLGNLQLFLDRRPLIIQSIPYILAAGVFMVLISIFTRRLPDAAVWPLRL FVIFWLVVSAWCARFILGHGMLYVNWPKLNTPAAGSEGYQDAMIKARSDPIVGQFLPS RKHQRGYSNARLGFLEEGKTRIE AFLA_111750 MASRAAAGARPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDESTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIVIALAGNKLDLVTENPDKRAIPTADAEAYAREAGLL FFETSAKTSSNVRELFTAIAKKLPLDQAGPRNLRTNPRPGVDLRPEAPGTQGANSCQC AFLA_111760 MSAVKGDEAQIKTESAKPEHKTAAVEKAKSDKAAKDQAPKTSDK NAEEPRQPALPAVTTLEFAQVNEGDEAIVQELVKTFNDIITVISADENSGKYSTPVAK AKEELQKIGEKIIAVREEARRAAQEEITKAHATFDESARELIRRFEESRAADAAQFRE EFESEREKLAFAYQEKIQTELQRAQEVAEQRLQNELVEQAIELNRKYLHEVKDLVERE REGRLSKLNELTANVSELEKLTSSWKDVIDTNLKTQQLQVAVDAVRSVLERSSTPRPF VRELVAVKELAADDPVVEAAIASINPTAYQRGIPSKSQIIERFRRVADEVRKASLLPE DAGIASHAASLVLSKVMFKKDPVAHSDDVESVLVRTESLLEKGDLDAAAREMNSLKGW AKILSKDWLGDVRRVLEVKQALEVWFLNTKSLLVNNSKLTH AFLA_111770 MSQRTDASPTPPNPLPRVDEKPLRSGSWRSSRRVESNDKPDRQR SLRMSEGRESGELEGSTLGSSSRDGEKGVRGSRRTHSSGGFLLDSSFLPRSSSLRHSY HRAHHSESEQREKRGTPPNSEIVVPKRRSRFPWSRHKESTKESQQAAPEIEASQANSG PLHSQQDVTPEPSQTTEAGSEAPLGLDRDSLQIVNLALNLSESRRVSSHGRVPSGRVA GGRWAASAGQPSVSPSESRAPIALGSQNDGPYPRHSLIQMPVDDRSKLLGASNADQAV HAPSSVLNLLPDSANSNSLPHVFSDGTLTRAEKARRHFELFSEYLRLLPSLSPLKPYE AHADPDSTPAGAGVILQGRSYNPLQSIRNRKVRFRERCPIDTEAEGWTNVGKVHEWVD SIKGQYNQQDHSAFECLKLPPFHQGSKDLSHKDPGDDEIIAASPSSSLRRASRASSVK ARRPKSDWMISPPELLADVAWVEDIQNKSKIIDKDGNNLYPDPAMLVPSDALKTKTRS LQEELPSRRESVDTDQSTSRTSLSDAHPGLTPEFKRIGRGRRRHRFQGPSHIVRSSAG RHKLRRRSSSSSSASSRDGKQYWKYQGESAVSPKRSTSLRPEPSRTKGYTVPPDEESS LDMKTRSPQSSGFQRSWKTPDRIKSQDQRESISSAPSADDRYDRLTSSDGKLPLTPIQ PTFFPSIASNLSPPSSRSPSPSKGRFPRAIIPRRERSKSNSRPKDAVDNSSLESEIVR NNSLSEYTEGLPRTGRLEPSPLPDQVPSTHQDGRVRSSQQARKGLAQHESKLRGIFKG PGKIAEKVGNEVSKMGGLILKKDSLAHSRQSSFESHVTSDDENVLIDADETKGDRTPD IKVPLRRLPTFSEDYSLAVRRESEKAAGKSYISASSSFTSPPRQGDQPEGHRRSDLGS PQKDFSESEMKSARETDNKNEVLSISQQKRPDGRKKLEKVPTFGPELHTIREQIKKGR IKDPSVPYSLTRPPITGLAQAKASHEPSSRERRSTPSSQSRSWSISERSVSTSMESGV PAKREVERTRALLLSSGIKAREITRRAHTVRSPPPEFVRRAFGSDTPVPEVPRSHEFD LAVQALVKRFEKSEELFKRSMDGYPGAKTSALRSHLGALEDLVNNSLNPRVRAAAQDA EDLSIQLNTTSTLAVKQLSDTLDKGIRRRHRRLRWIRRTGFVMLEWALVGILWWVWLI VMAFKVFRGVFRGVISGVRWVLWL AFLA_111780 MCFILRCRNAKKAKQYQRNTTPLSEGSVDSVDTTETFIFSQEPV NGESGEVTGQKATDVRWPFFESGAKFEEAISECSKADQEHRQQPGTKEIESWRETMEE SEHDATIFF AFLA_111790 MDGPSRITGCDAAVATGGKLTGRYGYPSDQGKKTRGVIKFLSGD APLFFA AFLA_111800 MVMAPTACIPAEICMTKHSQDKSDFNYNHNLSQISNNGGDDLSQ SRLAAKDLVMDVLKKRAGEVNTDRCAPGDEDAFYVADMGEVYRQHLRWKMNLSRVRPF YAVKCNPDPEILRLMAKLGNGFDCASKAEIDMALDTGIDPSRIIYAQPCKTKSYLRYA AQMGVKQMTFDNADELYKIKACFPDAELYLRILTDDSTSLCRLSMKFGASLDIARQLL ELAHELELKVVGVSFHVGSGAEDPTAFVKAVQDARMVFDQAAEIGHELHTLDVGGGFS GDTFEKFAGVLSEALDTYFPPHIRVIAEPGRYYVATAFTLAANVIARRDVRDPEDPAN DAYMIYLNDGVYGNFSNIIFDHQHPVAQILTCANASNAATSAGIAYSIWGPTCDGIDV ISQRITLPGLLDVGDWLFFEEMGAYTKCSATRFNGFSDNHEVIYISSEAGASALLEY AFLA_111810 MSPIPITIVTGFLGSGKTTLLLNLIPQLPQDYRLALLKNEFGDV AIDSQLASTQSISGVRELLNGCICCNLVGQLSDALNQLREEVKPDRIVIETSGSAFPA TLAMEVNRLEREQPGSFVLDGVISVIDVENWEGYEDTSYTAKLQAKYTDLIIFNKWEK VSERRFDLCLDRVGDLEVQTPYVKSDKGRVDKDVLLGIDGALFTKDDGAGLTDGHHDH DHGHGHKHDHQSEVEVLSVTLKSSQPEQTVDVSALEQLLLSAPKDEVYRIKGIMRCST QSPPAESSDALAEPRPAASQDGTTRHYILNWAFGRWTFTPSEVVAETADPGVAARITF ILARYESGKWKKKLEAGGLVQIGEGNEGAELVVERLV AFLA_111820 MSFPYKHFLLIGATSGIGKAMADRLIESGAKVTAVGRRQDRLDE FVRQHGEDKASAMNFDISKTEQAPQFARDVFAKYPDIDCVFLNAGVQRQHNLTSQETF KLDEFLNEVHVDFTSLVALAHAFLPYLKAKTEPVGFIFTGANLAIVPACPMPAYSAAK AALNAFILCFREQLKSTNVKVVELSPPAVQSELHDYMTPEVGRKIGMPLDQFIDEAFA GLQAGKDQVVVGSIADEKTFYEVLNNRRAMFETLSKLLGSV AFLA_111830 MEPTTNSAAANPTASTTANTAAAQGQAYDAPRNGSTASEGSLSG VTNSIATVAGNSISEAKNKLTTVTSNESVQSVWEQVRSMATGRNNETQEVDTSPNQDE IDLIDNMDKEKIAEFLREKNRSDVRLPKRR AFLA_111840 MSVPRITLYLDVVSPFGYIAFHVLRNSPVFAKCNINYVPIFLGG LMNACGNTPPVNIKNKDIWIGKERLRWARYFSVPMVENTPEGFPPMTLATERALCAVS VKSPEKLIPTIEALYHSFWVQGNAKIGQVEGFTPVLESVFGKDGTQEILQAMGHAEVK ERLKANTDQAFKSGAFGIPWFECTNIKGETEGFWGIDHLGQVADFLGLDRGSDRGFKA VL AFLA_111850 MAASADMFSSDTASWADKNRFPVGARDADTSSSDFSDDLFADFS DWSDSEYLQDSVARKRRHGVYFHRPPVWVSSSSQTEYQATAFAGCKTCHKSAEECNCD SDSLRETLEALYKERGSNPWSMNHDHGVFGKVTAATADTLASIDASVSGSAGVPLVDW EGHKPKWRNSTSITASSWLDRPLSSDEPLLNTRPSECSYRQVKPGLCTRLKCQKDIRL DAGERWALQEGSLVICIDPSYTLLDHERQSDEFEIVDGDVYVICRIYADLWALCVKVS FIPPCEPSCETMNDSVRLGFLPLCAVTLAANFSAFSRRCSWNARCNSNEMRYPGNGLP VMPPSRSHSLTASKQIFKGKRHCFMLPGIAYDTFHNTSLSSDSDFIPLDSTLEQVLSR VGSQRRRPIRSRGRFSLHKIWNDVKASGIWKQYQIRGLLPYLPQSRSSGVVGVVQNYL GKK AFLA_111860 MQWPSSCHRTGIRMRYYLQEVEEIVTQNIAVAKPTSSSNLEYRT LDWDEALPDDLCNNSIDLVLVSDCTYNADSLPALVSVLDRLVQSSPNAIILVALKRRH DSETVFFDLMQSSGLSNLHHDSMKLPSQHDQLDQIELYCYGNKTKLLKPIAT AFLA_111870 MVYYIRFLKTPRIQKQKAGSLSISALICITTDLGDAYLAQDVDL VVSLSLKDSEKVLHQEPLSWKAGKRELAILLGPFHPQLSQHAIVLSVAAADRRKHHPP SPDNLLGNPGVPLVISGWSAPFGGTDSLVAEKLIERRFGPKGHLDLRIWEETGNSIAR HIW AFLA_111880 MMRPRDPRVRQTINQISHNLETANETAQEGLYTFSHHYILPCFA TIGNCVYACASPCLPSREDQLRRRRRGRAEAIFDFYDDWDNDDADDGLLGWGTDELDR LLAGSGLTRGGSEQPRRQRKMSYGTRRARRKSTVLVPDDRNDPTVIPSSSFLGFLERF PWRFGARGLKYQPSVADLQEHPTGLRMHAHEDEPLMEAEEENEGPSSNGKTGRYRSST QSSRETANSLSSRGDLIPSDEEEDAVPLDDEFAMALGSRRGTGLESEDQFSDKPASMR SVSGSFSLASKESKKKRKKRSSRLRSPQNSFIDVAQDITAPSIVDLHKEEEQAERQEE SEIIRKRLAARQLASSRGLSQARDQSTPQPLVLPSPTVFTETTRPELSVNTPLESTGE SELSTGRMSGDFSQIEPFPPLPLTSPAASEGLEPEGPPDSAGINREDPPNH AFLA_111890 MKKSDAIVLGPKPTKACGRWSLMLHAAAAHEIVSLSEGGQCTTV LSHLEQSDPTAGSILNRLDRIEAILGIHKGRYAVGTVGVDSDQDEEASIPNSELSKAV RHLRLITRPPQDNNSLWSYSTVKGLWETYVASSSSSFFRMAEAY AFLA_111900 MLRSSLGTGKSRVPVLRQPTYGRWLRSNNPSLRWNQLRRAASTV TNVESYPKVGEQLHGFTVQEKKHVPELHLTAVRLKHDKTDADYLHVAREDKNNVFGVG FKTNPPDATGVPHILEHTTLCGSEKYPVRDPFFKMLPRSLSNFMNAFTSADHTTYPFA TTNQQDFQNLLSVYLDATLHPLLKEEDFRQEGWRLGPEDPRASDALDGKPEDVLFKGV VYNEMKGQISDANYLYYIKYRESIFPALNNSGGDPQYITDLTHKQLVEFSKRNYHPSN AKFLTYGDMPLSTHLKQIGDVLDGFGKGEADTSVKLPIDLSRGPSNVTVPGPIDTFAD ADKQYKTSTSWYLGDTSEVVETFSAGILSSLLLDGYGSPMYRALIESGLGSSFTPNTG LDTSGRVPVFSVGLTGVSEEDAPKVKEAIQKVYQDSLSAGFSDEKVQGFLHQLELALR HKTANFGIGVMEKTISSWFNGVDPMKELAWNDVINEFKRRYQQGGYLESLMQKYLMND RCLTFTMVGTPTFHQELDQQEMVRKEKKLSQLVEQHGSMEKAISSLREQELQLLKTQE EAQHADLGCLPSLRVEDISREKERKPVRESKVDDVDVVWREAPTNGLTYFQALNAFED LPDDLRLLMPLFNDSVMRLGTANKTMEQWEDLIKLKTGGVSSSAFHTSSPTELGKFNE GLQFSGFALDKNIPDMLEILTTLITETDFTSPYAPAMIQELLRLTTNGALDSVAASGH RFALNAAAAGLSHSFWVQEQQSGLAQLQATANLLRDAETSPERLAELIEKLRLIQSFA ISKSSSLRVRMVCEPSSAHQNEVVLQKWLAGLPQIRSPTSVDARSMQQVSSKAFYDMP YKVYYSGLAMQTVPFVHKSSAPLSVLSQLLTHNYLHPEIREKGGAYGAAASNGPVKGI FALTSYRDPNPLNTLKVFQNSGIFARDRSWSERELNEAKLGIFQGLDAPVSVDEEGSR YFMSGVTHEMDQRWREQLLDVTARDVNEVAQTFLVDGPRQSVCLLGEKKDWAEDWDVR KLSMNAGEAEAYPEDASTTA AFLA_111910 MSSAAMSKKNKGKKVADPNETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLSNIESPMTRLETVHKKYTELLADMKKLDRDYSKSKKRADQL QKDQDKGKSELNKTVTMKDKLEKLCRELTKENKKVKDENKKLEDTEKKARLIVNERLD SLLYDIQDVMAAKGNPRSEKVDIDLDEALRVKIKTIGEKFETREVHYKSLLRSKDAEI QSLTAKYEEQRRAAENEAARCRALSSQVSTFSHTEAELRSQLNIYVEKFKQVEDTLNN SNELFLTFRKEMEEMSKKTKRLEKENLTLTRKHDQTNRNILEMAEERTRNHEELEKWR KKSHHLEALCRRMQAQGRGQGLAADLDGDDEGTESEYDEDYEDEEDDEGISDDEYEDS TDRDMNGDRNIPPQQPEKPVFGPPPPPNLLEARANGNKAVLNGCH AFLA_111920 MSLQTPLCSLLKIQHPVLLAGMARASGAPLAAAVSNAGGLGTVG GLGYTPEQLSEMLTELKASLRDPSLPFGVDLALPQVGGGARATNHDYTHGQLDQLIDV VISHGAKLFVSAVGVPPERVIKRLHDAGILIMNMVGAPKHAEKALKLGVDIVCAQGGE GGGHTGDIPFSVLVPAVVDVAKKYKSPLTGQPALVVAAGGINDGRSLAASLMLGAVGV WVGTRFVASEESSASQLHKEAVVGAQYGETKRTLVVSGRPLRMLPNDYIKNWEKRPEE IAQLTAKGIVPIEHDFNNDKEDIEIPYLMGDVSGIIKQIKPAGVIVQEMVQQAVEVLR TGGSYISAGPASKL AFLA_111930 MVLNLLPYVLVASFQLNALVSNLPYHLFQRSLPGSLATAPEGAV GYYQPPPGPVVNNAQPTETRPAVDTTASVTSTANTQGLSREPKQEPAELNGAPAVTAT LASVSDLLANTLFFSGFSILEPSLEMAPAIVEVGCPYEKCIELVERYHSSDCSDVAKE KAEASVRAAIISFKGSASPHSCSRPQAVQISYLS AFLA_111940 MDLPLKPNILDDLTKEASRQCLLPYTSPPPRPTYLDPAHPELTQ IMLEDESGRLRLTGNMLRSIQLATGAIVAVLGTENSNGDFEVIDVKVPDLPRQPRRWE RDEKQSSQKGTGKSKIAFVSGLGITGTSGDTLSLELLTDYLLGYTGSQSPANDESAPP DASAITRLVIAGNSLGASMTTDPNENAASSKKKSGPKKYGYDASAYNASPITQFDNFL AEILPSIPVTLMAGASDPANFSLPQQGIHRAMFPRSRAYCSGPTSAGETPEPGWFDSV TNPWEGDIEGWRLWGSSGQNVDDVLRYLDFANSSGTVGADGDAEARVKIMEAMLRWRC GVPTAPDTIWSYPFQTHDPFVMEACPHIFFAGNQPQFKTAVIEGDAPLKLNGTDTEMT GTNDNISGPRVRLLSIPTFKETGELVLVDAETLEVEVVKFGTYAGQQEKQ AFLA_111950 MLLNAKTAISTPTVLLVPYSKWHVPRYHEWMKDEEIQEATASEP LSLEEEYAMQQSWRQDPDKLTFIVCQPSPTGTGGSPLRDEDDSSERMIGDVNLFLRVD DGEEGNSEPQIIGEIELMIAEKSNQRKGFGKATLLSFLRYIADHEVEILEEFVRGDQV AAKALREAGVSGGRFSCLSVKIGQGNGRSLGLFEGAGFRKVSEEANYFGEFELRRMDL SRDTVDQGLKRAGVEGYVELEYHRCQ AFLA_111960 MGYLTRWRSRRKAPIVTENYQAPDPDETNQAAQPETTISSPPAV HATWFNVHDRSDFRNISISLPASIDKITIAIGNHVRDAAYSIVSMSASAYSITVVCST EKSRAEVAELVQRMKEELGQNAIIPPEELVLLREWEEKWGVVGELSTVEDGLDTDVEH DRRVLSTSQSSTPL AFLA_111970 MAMSKIVSLTGLLASASLVAGHGYVSGVVIDGQYYGGYLVDKYA YSDNAPDTIGWTTSATDLGFVDGTGYQSPDIICHKDGAPGALTAEVAAGGKIELQWTE WPESHHGPVLNYLAPCGGECSAVDKTTLEFFKIEAKGLIDGTTPPGQWATDDLISNNN SYTVTIPTSIQEGNYVLRHEIIGLHSAGQKDGAQNYPQCINIKVTGGGDATPAGTAGE ALYKDTDAGILFDIYSDLSGGYPIPGPEVFSA AFLA_111980 MPREISDIKQFIEICRRKDASSARIKRNRKTQQVKFKVRCQRFV YTLALKDSDKADKLKQSLPPALKVVDVSKGEKKKAL AFLA_111990 MAPTTEDALTKVSTDAATEFVQSFYPALQSNRGTISSFYNQTIS MILFNGNVVADGKAVQEIFVNQMPPAHYEVQSFDCQIINPAYPTPTATGLKAPNETTL RDKSILVLVSGYVRFGESRDLPQRGFSETFVLVPNPSADGPKGKRKREWLIESQTFRL VV AFLA_112000 MALPRARFRALSWANQFLAYKRPTWQCTACRTLRPTPIIAPRRF ATAPSGEHKKPYYVTTPIFYVNAAPHVGHLYTMIIADILKRWRTLCGETDAQLLTGTD EHGMKIQQAALAAEMDTQAFCDMNYKTFEDLAKAANMDYNYFIRTTEAAHKKSVQYFW EMLDHRGYIYTSKHEGWYSVSDETFYPPSQVHNSLDPTTGRKRMVSAETGKEVEWSSE TNYHFRLSAFQERLLDLYKTGFITPSNYTTEIVKSVSSGLQDLSISRPVERLTWGIPV PGDDTQTIYVWLDALVNYLTKAGYPFPPGQEGGLGWPADVHVVGKDIVRFHCVYWPAF LMALDLPLPRNVLVHGHWTINHEKMSKSTGNVVNPFFSLDRFGVDTMRFFLAYQGGLA GDADYDNSYIIRDYKKLLQSGIGNLVLRTIGSSKGKLHSYIVSGTSGELPAANEQDLQ FEQLLRETPVKVGEQMESLNPRAALQNIMVLIEQGNKYIHLSEPWKDAIKAQRVLFNV AESLRIAGILLQPFMPTKSKELLDVLRVDSTRPSKRAFSAAVFGSDAEYGEGIKKTVL FPPLIVEQ AFLA_112010 MGSIALEEAQPSFKTPWVQTPLVESASLSRAAGCRIFLKLENVQ PSGSFKSRAMGNQILSHLIKPENANRPVHFFASSGGNAGLAAVCAARSLGYPCTVVVP LSTKPLMVQKLRMAGAADVIQHGDTFQAAGEYMREVIMKNKDENECENVAKIALHPFD NEPIWEGNSTLIDELATQLPPPAGEDEEATHRGRPLPVDAIICSVGGGGLLNGLVMGI ERHRQLQKMSLSYASNPISHSQSNPIHLLAIETLGTDSLAAAVAKKSLVSLPKITSQA TSLGAIRVSERTFQYAISPPQGIAVHSAVLSDADAARGVLRLADDHRTLVELACGVCV EAAVGDAARARPSTSSDALTAGTKKRKREDADYPSHRDEGYGDDGSSATETESEAGSE IQSKLKQLVPDLNSDSRVVIIVCGGSNITIDMAAEYKKLLNEGWGN AFLA_112020 MSGKYASDPTWASVEPIPLNDGSETGTLPLATIAYSDEYLEATS YLRAVMAANEMSDRALKLTDDVIAMNPAHYTVWHYRVKNLFALKKDLTEEFEWLNKMS LKYLKNYQIWHHRQVLMSSRENFPTLPPNELDFLMKMFKQDAKNYHVWTYRHWLVRHF RLWDEPREIQDVDLLLSQDVRNNSAWNHRFMLRFGPRSDEPDGGMPNSTAPPPEKGRL AVVDEDLVDAELEYAKAKVIKAPENRSPWGFARGVLRASGRPLSEWKDFAKKFVQDKV EDGKVVDVEVKSSHAVEWLADTYGEEGEQATAEAVRMFTLLKEKYDPIRKNYWDYRIR MIAPATWSQEIPTSA AFLA_112030 MRRVKKSRNGCARCKSKRVKCGEEKPHCSRCTRLGVKCPGYAQS LRWVTKYPSATEEYDSNAQLNRSPLGSGHSPAPGPSTASPRPSQFPDTLAESPLVKGD ANQTTTHENPEPKGLGLDESNALNDNLWGLPEPGSLPELADLGPSPSSASVSAVGHER AGSIFDVDAPNDPGNPLNLLFSRAPESQHELPNFFGFSPSTIVRKYPPSNSQPPQRDL TSIPQPLNNPSWTLIEYYFKEVAALFSSYDSQMNPFRSTVSRLWGSSLAMCKTMQSMA AATLVNDFPQFGPLGRKLRNEAVDIIAKETILDDKSLLALLMLGQTASWHDSKDLGIS FFNLLRNHLETKPLEITNSERGNNHQFFQEALVYWEMLLSFVADDTAVLSGNAAADSG GPLVLQRIPHPWTGIARDTQFTVQEVGRLVRCERKRIRARVFTSRADIAEAQRAIEKA RELEERLLELAHPAEAEIVSPGDDETPVWHLLTMAEVYRCTGLMQLYRVFPDLLYRRL GSQQPSSQSYNQQPSATPRDPFLSVDTAPDLINSAWFESMYLQPESNPPNDSETLPDT YYDSWLTEFALTTLSRLKTIPIESRTRCLQPFLLVASSSELRLPRSEVSLDISGPNIS SHAIEVSRSRQFVLGRLTSFLHVLPPKPIDVCLQIVKEVWKRMDARESNVYWMDVMIE NGWETTMG AFLA_112040 MHNGRGRRKNGSVKAPKNRQRPTMSHLISEQSLPTRSKSSVASD DSVDTSDDTSAAPSFSSSPPSTKSITNGVHRPAMARKASSPMAPAFMVSAPGKVIVFG EHAVVHGKAAMAAAISLRSYLLVTTLTKSQRTITLNFRDIGLNHTWSIDELPWDLFHQ PTKKKYYYDLVTSIDPELLDAILPLVERISPDLPEDKRKHQRGAATAFLYLFCALGSP QHPGAIYTLRSTIPTGAGLGSSASICVCISAALLLQIRTLAGPHPDQPPDEAEVQIER INRWAFVGEMCIHGNPSGVDNTVAAGGKAVIFRRGDYSKPPAVSSLPNFPELPLLLVD TRQSRSTAVEVAKVGQLKEEQPLVTEAILDTIEKVNASAQEIIRETDSSGISKDTLER IGALIRINHGLLVSLGVSHPRLERIRELVDFANIGWTKLTGAGGGGCAITLLRPDADP SAIRQLEEKLDEEGFAKYETTLGGDGVGVLWPAVVRNGTDEEGGEEIDQQKFENADGP EGIERLVGVGTQEKREGWKFWKRAMH AFLA_112050 MTHSRGGGTSDSMSRRRPNFSTRTAQEDVSRLAPSESPTASPEE NSRFLNWRNALSLRPYEPLNSSSHATERQRHSLPSNFFGSISRWWDKPDNDGPQGTSN RSHLPVDLPTGPLRDSSVDQKERGGQKNRASDSAAKLGTFSGVFVPTTLNVLSILMFL RFGFILGQAGLLGMLGLLAVSYTINLVTTMSLSAIATNGTVKGGGAYYLISRSLGPEF GGSIGIVFYLGYVLNTGMNAVGLVDCFTQNFGTESGTLSNFLEEGFWWQYLWGTIILL ICTGICLAGSSIFSRASNGLLIILLVATFSIPASAIFMKPFSIPKLHVTFTGVRLETL LENLKPRLTKGAAGSQIHGRENFQDLFGILFPATGGIFAGASMSGDLKNPSHSIPRGT LSGLALTFVTYTLVIVAMAASITRESLYKNSDIIQVTNASGVIILLGEFATTFFSALM GVIGSAKLLQAIARDNLVPGLKIFSKGTEKNDEPVHAIIVTFVVAQLTMLFDINQIAS FVTMTYLMTFLVMNLACFLLKIGSAPNFRPSFHYFNWQTAAAGALVCGATMFFVDGVY ATGCVGILILLFLLIHYTSPPKPWGDVSQSLIYHQVRKYLLRLRQEHVKFWRPQILLF VANLDDQYKMVSFCNSLKKGALFVLGHVIVTDDFSSAVPEARRQQTAWTKFVENSKVK AFVNIAVSPSAEWGIRNIVLNSGLGGMRPNIVVIDQFRNGQSLVETLQPRKDSNDRHD GAPESAVDDIPRPQMSCANYVTILEDLLFKLRINVAVAKGFEHLELPTSGQHQKKYID LWPIQMSAELGADSESKKNVLTTNFDTYTLILQLGCILNTVPSWKKTYKLRVAVFVEY ETDVDDERGRVQALLEKLRIEAEVLVFWLACGDVKSYRIIVNGDRSPEVRDVQEKVQT VLKDEGWWLDIEKFRRRSHNQPQSDDFGADLARVSSWHGASSHDTVQKAPAQLAGGLK KFIQSTKRRRSISSFKGLGGVNLGMQTHRLLDSFVDYDSSDSSSSDSELEPYADDRED DTNHRRPASPDTTKHDVPSIVAPGTSPKSQASGARPPISRSASSNRFSSSPIPEAKVN TDEGAGPSIMFALSSSPPRASNRRESIYTRRSSSVGSGTASGYPRRASVPLSFNDLPS RAQHLILNELMAEHSSETAVIFTTLPSPMEGTAQGEAASESYLSDLEVLWQGLPPCLL VHSNSMTVTMNL AFLA_112060 MSSPAHPDNGEGSSSQPRQSPPLSTSALRPGSPSADASSRQISI ARLASPVPSPSSSYPHATRQLPVPIQPVTGTDEDIRKVDNLSSLPGPGQSMIASALQE SLGRSPPRLGTPPRRTASPALHQAQPIRSNYGSFDNKPGWEGSEYSAGPYEDPEVVKR HLVLPQNTVESRDDAASGDAANDEEFSSLQLQGGDITRQVYRWAEGAEAGSPSRFNRS KSFSINRPTPQDETMNINSIRIPGGFRRDYIRRTVASNVPESPNSQGPQPPLPQPQLP TSSFLEFLTLFGHFAGEELEEDDEVLGPDEYFSDAWEEDGREPGERSALLRPETPGRR KRKPRGGTGNNTRTGAALLLLKSFVGTGVLFLPRAFLNGGMLFSSLVLLGVSLLSFYA FILLVNTRLKIDGSFGDIGGILYGKHMRRIILGSIVLSQLGFVSAYIVFTAENLQAFV LAVSNCKSFIDIKFMVLIQLVIFLPLSLIRDISKLGFTALIADVFILLGLIYLYYYDI LTISAQGGVSDIISFNPSTWTLFIGTAIFTYEGIGLIIPIQESMKRPQQFPGVLAGVM VIITIVFLSAGALSYAAYGSATKTVVILNLPQDDKFVNGVQFLYSLAILLSTPLQLFP AIRIMENELFTRSGKYNPRIKWQKNCFRFFLVMICAFVGWGGADDLDKFVSLVGSFAC VPLIYVYPPLLHLKACAHSRKQQIADIALTIFGVISCLYTTSLTLANWVGGGAPPSPG YCDSKSG AFLA_112070 MAAAIKAINAKIRSNKVLDYVCSTHFWGPVSNFGIPVAAVMDTQ KDPEIISGQMTGALVIYSGTFMRYALAVSPKNYLLFACHAINFSAQCTQGYRYLNYWN WGGREAKLAAEAAAKGSQAPEAGA AFLA_112080 MRVTLNDGRQMTGQMLAFDKHMNLVLADTEEFRRVKRKSKPAAG PANAPLVESEEKRTLGLTIVRGTHVVSCSVDGPPPADPSARLGTSVPGAAAAAATLAA GPGISKPAGRGLPVGLGGPAAGVGGPPPPPGGFPGFPPGGFPGAPPPGFAGRGAPPGG PPGFAPPPGFAPQGGPPAGFQPPPGFQPPGQGRGFPPPGFGGR AFLA_112090 MSDGKDKSANPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSET GNFGFGINEHIDLGIKYDPGIGIYGMDFYCCMTRPGERVAKRRRCKARIGAPHRINQA ETIKWFKNRFDGIVR AFLA_112100 MSNQQNNPQSPRHWHGNLGDRYEHHNVWVRGGPPQPYMARRPSA AEAASATDDRRESVSSSMSSGSNSPPTAPNRRRSSQGSSLFESLTNQKRNSTDPASAA RRASYNDQAQQGGFFAKWWDGYTRGSK AFLA_112110 MEPLRNPYLKSLEHRGFVQGVTLTDRTTNAPWCHYFGGLRYALP PTKRWRIAQRLPPSYSYGSKDHPGQCAGETGVCPQPSFPGLSLGDNWSEDCFQCNVWV PTGEPPKDGWPVLVFIHGGFLQFGTPNTFSAGALLGEAAFNAIIVMPAYRLGVFGFLY SSELEHDAATVSEPVGNQGFWDQRLALEWTRDNISLFGGNPSQITISGYSAGAYSVFY QLSYDIHLPEDQSIIKQACIWSNSPVAQPKAPSSAQTQFNELLSALNIPHTLSWVDKL AQLRSIPGSKLLSAATSLEINQFRPTTDSLFIHPTIFQSLDNGNIGRRIAARNIRIML GECRDEGALYATWYPPQNDHSSLYKRLLADYPTPLVDTLMKLYCPDGKLPSGCRDWNC DAFGRIYADMQVYNMQRGLVHSLVLGGAAHLLYRYRIEHRLKCADETIPPEWGVTHAT DQYIWWWGNGSVLQADEKSMIKSAFIEPLTKFVHGESDIGWGTKSHREMRKLRSDGSV EIWQDGLWDEAIRVWKALRAVGEQGNGPIAKL AFLA_112120 MAAPFRQPEEAVDDTEFIDDHHEHLRDTVHHRLRANSSIMHFQK ILVANRGEIPIRIFRTAHELSLQTVAIYSHEDRLSMHRQKADEAYMIGHRGQYTPVGA YLAGDEIIKIALEHGVQLIHPGYGFLSENADFARKVENAGIVFVGPTPDTIDSLGDKV SARRLAIKCEVPVVPGTEGPVERYEEVKAFTDTYGFPIIIKAAFGGGGRGMRVVRDQA ELRDSFERATSEARSAFGNGTVFVERFLDKPKHIEVQLLGDSHGNVVHLFERDCSVQR RHQKVVEVAPAKDLPADVRDRILADAVKLAKSVNYRNAGTAEFLVDQQNRHYFIEINP RIQVEHTITEEITGIDIVAAQIQIAAGASLEQLGLTQDRISARGFAIQCRITTEDPAK GFSPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCRGSTYEIARRKV VRALVEFRIRGVKTNIPFLTSLLSHPTFVDGNCWTTFIDDTPELFSLVGSQNRAQKLL AYLGDVAVNGSSIKGQIGEPKLKGDVIKPKLFDAEGKPLDVSAPCTKGWKQILDREGP AAFAKAVRANKGCLIMDTTWRDAHQSLLATRVRTIDLLNIAHETSYAYSNAYSLECWG GATFDVAMRFLYEDPWDRLRKMRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFCKQA KKCGVDIFRVFDALNDVDQLEVGIKAVHAAEGVVEATMCYSGDMLNPHKKYNLEYYMA LVDKIVAMKPHILGIKDMAGVLKPQAARLLVGSIRQRYPDLPIHVHTHDSAGTGVASM IACAQAGADAVDAATDSMSGMTSQPSIGAILASLEGTEQDPGLNLAHVRAIDSYWAQL RLLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQASQLGLGQQWAETKKAYEAANDLL GDIVKVTPTSKVVGDLAQFMVSNKLTPEDVVERAGELDFPGSVLEFLEGLMGQPFGGF PEPLRSRALRDRRKLEKRPGLYLEPLDLAKIKSQIREKFGAATEYDVASYAMYPKVFE DYKKFVQKFGDLSVLPTRYFLAKPEIGEEFHVELEKGKVLILKLLAIGPLSEQTGQRE VFYEVNGEVRQVAVDDNKASVDNTSRPKADVGDSSQVGAPMSGVVVEIRVHDGLEVKK GDPLAVLSAMKMEMVISAPHSGKVSSLLVKEGDSVDGQDLVCKIVKA AFLA_112130 MLAKHVLAVLLSVGASAIPFDKRDASAVLADFNTLSTDLSALGS AISSFDGTLNGALGVQQKEGQVETALKQTVSDVKASTAFSAADSTSVTNAVTGLEPSI VNVLNDLVSKTLESDHYVCVRQKLNEEDKPQVIIINLKNNNEILKRPINADSAIMHWT KNIIALKAQGRTIQIFDLGAKQKLKSAVMNEDVVYWKWFSDKCLGLVTDSSVYHWDVF DPTQSQPLKIFDRLPNLSGCQIINYRVNDDEKWMVVVGISQQQGRVVGSMQLYSKERG ISQFIEGHAAAFASIRVEGSPLEHKLFTFAVRTQTGAKLQIAEIDHQDPNPRFQKKAV EVYFPQEAVNDFPVAMQVSRKYDVVYLVTKYGFIHLYDLETGTCIFMNRISSETIFTT APDSDSAGLVGVNRKGQVLSVSVDENTIVQYLMENPAMSGLAVRLASKAGLAGADHLY QQQFDNLLAQGNYSEAAKIAANSPRGFLRTPETINRFKNAPQTGQQMSVILQYFGMLL DKGSLNKYESVELVRPVLQQNRKHLLEKWMREEKLEGSEELGDIVRPYDMNLALQIYL QANVPHKVIAGFAETGQFDKILAYSKQVGYQPDYTQLLQHIVRVNPEKGAEFAAQLAN EESGALIDLDRVVDVFLSQNMIQQATSFLLDALKDNKPEHGHLQTRLLEMNLVNAPQV ADAILGNEIFTHYDRPRISQLCENAGLIQRALENTDDPTAIKRNIVRTDKLSPEWLMN YFGRLSVEQTLDCMDTMLQVNIRQNLQAVVQLATKFSDLLGPGSLISLFEKYRTAEGL YYYLGSIVNLSEDPEVHFKYIEAATAMGQVTEVERICRESNYYNPEKVKNFLKEAKLT EQLPLIIVCDRFNFIHDLVLYLYQNQQYKSIEVYVQRVNPSRAPAVVGGLLDVDCEES IIKNLLSTVDPAVIPIDELVNEVESRNRLKLLLPFLEATLATGNQQQAVYNALAKIYI DSNNDPEKFLKENDMYDTLTVGKYCEKRDPNLAYIAYRKGQNDLELINITNENAMYRA QARYLVERADPEIWSFVLSENNVHRRSMVDQVIATAVPESTEPDKVSVAVKAFLEADL PGELIELLEKIILEPSPFSDNGSLQNLLMLTAAKADKGRLMDYIHQLNEFSPDEIAEM CISVGLYEEAFEIYKKVNNYIAAVNVLVENIVSIDRAQEFAERVELPDVWSKVAKAQL DGLRVSDSIESYIRASDPSNYLEVIETATHAGKDEDLVKYLKMARKTLREPPIDTALA FAYARLDQLSELEDFLRSTNVADVETSGDKAYEEGYHEAAKIFYTSISNWAKLATTLV HLEDYQAAVECARKANSVKVWKQVNEACVNKKEFRLAQICGLNLIVHAEELQDLVRQY ERNGYFDELISVLEAGLGLERAHMGMFTELGIALSKYHPDRVMEHLKLFWSRINIPKM IRACEDANLWPELVFLYCHYDEWDNAALAMMERAADAWEHHSFKDIIVKVANLEIYYR ALNFYLQEQPLLLTDLLQVLTARIDVNRVVRIFQSSDNIPLIKPFLLNVQTQNKRAVN DAINDLLIEEEDYKTLRDSVDNYDNFDAVELAQRLEKHELIFFRQIAANIYRNNKRWE KSITLSKQDKLYKDAIETAALSGKAEVVEELLRYFVDIGSRECYVGMLYACYDLIRPD VIMEVSWRHGLHDFTMPYMINFLCEQTRTIEMLKKDNEERKKREVTQKTEEDNTPILG GSRLMLTQGPAAPVPSPMPYQQTNGITPQATGFRPF AFLA_112140 MAADQETFSIALERQKGVYPFTSPQAVLSLPPDRQLQGRSRIQS FYFTLGQGAAVGSVSRRCYLQCRPRSIKERHTDLKVAYTPLSSNIVQFCSEIDPEKVA CEVDTSEVLND AFLA_112150 MSQQKSAILSVYDKTGLLDLAKGLAKNNVRLLASGGTARMIREA GFPVEDVSAITHAPEMLGGRVKTLHPAVHGGILARDIESDEKDLADQKIAKVDFVVCN LYPFKETVNKVNVTIEEAVEEIDIGGVTLLRAAAKNHARVTILSDPQDYPEFLKELDA GEITESSRKLYALKAFEHTADYDTAISGFFRKQYAGNGEQHIALRYGTNPHQKPASAY MLQGKLPFKALNGSPGYVNLLDALNAWALVKELKQALGYPAAASFKHVSPAGAAVGVP LNEKERKVYMVDDIAGIETSGLAQAYARARGADRMSSFGDILALSDVVDVPTAKIISR EVSDGVIAAGYSPEALEILSKKKGGKYLVLQMDESYVPPAEETRTLYGVQLSQHRNDV VISPQKTFSTIVTPKDLQSLPDSALRDLTVATIALKYTQSNSVCYALNGQVVGLGAGQ QSRIHCTRLAGDKADNWWMRFHDRVLNIKWKKGTKRADKANAIDLLCSGNTPRNDAEK AEYERVFEEVPTPFTQEERESWLEKLSEVAISSDAFFPFIDNVFRAARSGVKYIAAPS GSQNDGPVFETAEKLGIVFVEQGTRLFHH AFLA_112160 MSDNPTILRPRPRRVFDLTPASTESSEPSSPAEPANPDFLNPKD AGSTSVSRTGSIMNLTTPTLYGIYSPTAFEGSRDESSPWGTEAQTPAVEKPNPLTVPE KPDRFTLKRTRSRLSHGLFMGVILPQALKAALLFSFGIVYGIITIHLHENHWITPVKL ENTHYYGSWEYLGFWGVAGVVLGNVLPGLDLFSEDVTVDYAKQPSRSSNDEENEERTL SWVAAVRSVGAFVGVAFAMRRTPWQSTTQASATLALANPVLWYLIDRTRTGFFMSTIV GVGGMGIVLALRPDLVPPSTGASASAIPALNSTLRDLGFGTGITQESLAVRTWVASVL FSACVCFGNIGRQLAIFARRESLQA AFLA_112170 MRAQLRTYHSIPSLQAHQDPNAYKQLQDAPRLKSILKGASEDVD QPNTLDKIKRQRIPRTNPVHLIFVMAQYAPKVSELHFFPPRDFFDLVMRSTLSSKSRA RAFLWLMWWYLESDFSPEAALNNPFGPGLDGEGTGGLPIKVPNFESLTEEQANEENVD TQSEIEYGEAKRLERKRILEEEEPIPRITKRSKKSLLEPGYDDDQVSGDLSSRGDGLG GRGSAMSTPLHPSAKRYPDDEDDYLTPGQSARSRYKRPKRDSSLNRSVGQQRLILKTK MEHTPDASSPAPPGSGHPILNRFVPEPTLPQQSSSRRPRPLTQHQLAVEQNRRQRIEY ILAKRKNEAYRLLRAKRETEIPFHRYNRLLQNVPDNYDTEDEEHSWGKGGLIPNPEEE EDYGECASFYLSVIRKAARRLDRWDYEDANGPRRDRKREREERQKARQSGIGLDTDLT GRVPTSARSRARAARNAKRKLAGATTDASTAATPKTKSTSASRSKNNRSRTSRPTGDA LAAADGATKDGLEAPSQDQELSSMPGDMDGEAEGLDDIDRELLGEGSGEEDEGVSRGP LPSRPAEPGYEDSFIGEGVDDDADALSSDENDDEADEDDLEEGEGDVDVEGDGDENSS TFEGGNGYAASEASSVAGDAADKSLERRTGEKDEESRGDH AFLA_112180 MADSLKMGSLSLNESQHAPAPNSSSGRAAYIPPHLRQRQTGANM DGAPAAAPPAPGPSGPGNSWGSRGGPRGGNWANANAPDFSPRGPNPNGNTSWTPTEGS RRSFNPDAYGNPGHGGSYGGGSARGSGDGQWRDGKHIPGPANARVERELFGLPNDPTK QNTGINFANYDDIPVEASGQNVPEPVNAFTNPPLDDHLIANITLAHYQTPTPVQKYSI PIVMNGRDLMACAQTGSGKTGGFLFPILSQAFQNGPSPTPAPASGQFSYGRQRKAYPT SLILAPTRELVSQIFDEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVATPGR LVDLIERGRISLVNIKYLILDEADRMLDMGFEPQIRRIVEGEDMPHVNDRQTLMFSAT FPRDIQMLARDFLKDYVFLSVGRVGSTSENITQKVEYVEDHDKRSVLLDILHTHGTSG LTLIFVETKRMADSLSDFLLNQRFPATAIHGDRTQRERERALEMFRSGRCPILVATAV AARGLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGIATAFFNRGNRGVVRDLID LLKEAHQEVPSFLESIAREGSGYGGRGGRGGRGRGANATRDMRRVGGGMGGPPSFGGS SYGGPSAGYGGSYGGGAPSYGGSGGGSYGYGGSGGGGGYGNPSGPTGPSSWW AFLA_112190 MTDLRSAEEITSQQYSSGLATLAFPKSIAQADVFHQGTGGKSIY GEKFKDENFKIRHTKKGLLSMANAGKDTNGSQFFITTVATPWLDGRHVVFGEVLEGYE VVEAIENVPKVPGDKPQQVVKIVKSGELESEDKDIVIIEEPSETPAPSSPAPTPASAS AYASSYSLSGFVVFLVAVALIVISVRKVCKARCQCKANDEKSIV AFLA_112200 MKSLQSFFGIGNNGSSRLLARFHIHPTCKVGELANKQVLDITAA LSEMKIENDLRRQYLDDIKRLKETGTYRGRRHALGLPVRGQRTRTQVSFALIFLLLFL REYMG AFLA_112210 MSEGSNSTSAAASTQRQVRVQLTSKQEDIALPENTGPILVPTGL RRYALSTLVNNLLGSDKPIPFEFLINGSFLRTSIDEYLTANGISAETTLEIEYVRALI PPLHIASFEHDDWVSSVDVLSTTSPAASLASATISAGQERILSGSYDGLLRVWNMSSQ IVATSPSAADGGHTASIKAAKFISPNSIVSAGLDRTVRVWKYSEDGDGFSGKIAPQLE LYGHKGPINSLSVHAPSNRILSASSDHSIGFWSTKKSDAPAAPEDLLPSAALRSSKRR KLNSSVTIPQRGPLALLSSHSAPVSAAIFDSNDSTVGYSASWDHSLRTWDLVTATLVD TRTTSHSLLSLEHLPEHHLLAAGTSARHITLIDPRVSATTIAAMTLRGHTNAVVSLAR DPKSSHGLISGSHDGTCRIWDIRATKTDKDGVVGESVYSISRKSLEEQGKSEAKRIGG EGVKVFGVAWDGTVGIVSAGEDKRIQINRGEGVLSSA AFLA_112220 MAHKASEQEICQSVLGFVTEGTYPTSENVIAAEFPVSALAKELE LISKAREEVEADITTLSRDNDFDADGWISQAKQLHADIERSRVTAREIVAQHENTNPL QLKVEDAAAKFQLVETEIAFNQAVMHTLEEVQRLCQRLDAGRAILGEGQVMDAIETLE ATEQAIKRDNLFSNTTVMHVLLENVGELRREIAEYLRARWSKQLNVDRTASTLTLLNN NGKQFSQTWIWQLLLNKTGHPLEETIAALVHLDMLALCKDKFEKDLIATLFEPILLPA IEGQSCDVQVGDSSIRVESQPSKASVPDVLDRLSTVLGFLRQHVPATISDSFSSSLIP TLSSKVISCWLSSSIPTDLEGLHIFEDILNYVIKFTNTIETLGWQGYEELVSWVNQAP RLWLTKRRVDSLDQVRKVLAASQGTTKQVERVEKEEVSGKDEVLLENTTEDWDAGWDD DNEHETTAKHSENKEDEEDVSAWGLDDDTEENTAETKPDPSTEDDAADAWGWGDEDEE EQPDDAQTKSTNGGKPVNGKDSSHHASPREVTLKEQYTVTDIPDFILGIIQQQIKDSE AISQPKHSHSRVVSSGAGLLALPTLILAMFKATASSFYGLKLNSGQMYLYNDSLYLAD KVRNLAEEHQLSRLHADIDALEKCGKFAYSKEMQTQRTIVTDLLDGAQGFSQCSEQPF LGECENAVSATVDRIHDVYKEWQPILSHSALLQSVGSLVSTVINKIIIEIEELGDISE AQSQQLVLFCNQVSKLEELFMPETADDIARVPMTAVYVRNWLKFQYLINILESSLADI KFLWLEGELRLEFSADEVVDLIEALFAESDYRRKAIAEIRRVSR AFLA_112230 MSPSQKSHFKLLQKFKPDYSPSEFVQYESERTGMRVVVIDQKGP KVTGYFVLATEIHDDSGAPHTLEHLCFMGSRNYRYKGFLDKLATRVYSSTNAWTATDH TAYTLDTAGWEGFAQILPVYLEHVIAPTLTDEGCYTEVHHIDGTGNDAGVVYSEMQGV QNNAAELIDLSARRLTYPPGVGFRYETGGMMEQLRVLTAERIREFHREMYQPKNLCLI ITGEVDHANMLETLDKFEDTILDVIPSPDAPFKRPWVDSKQAPPLEKSIVEKVEFPEE DESFGEIEIRFQGPDSTDPVQTGAVNVTLLYLAGSSASLLDNILVEKEQLASAVYYTT EDHPSIEIRFTLTSVETDKLAQVERRFFEVLKGAMEKELDMNYLKECIDRQRRTWKFS TESSASSLAEYVISDFLFGKKDGSTLLDVATLKEYDVLEKWSENEWRSFIKRWISDAP HVTILGVPSMKLSETLKKEEEARVAAQKKRLGEAGLKELAEKLEKAKAENDKEIPKEM LERFRIPGIESIHFVETTTARSGAALKAGRPDHTAQKLIDADGSEMPLFIHFEHIPSN FVQLSLLISAQSVPVQLRPLLSIYTEAFFNLPVQRDGRTVNFEQVVVELERDTVGYAM ENARSLGNSEMLRISFQVELEKYNTAIAWLQELSWNSIFDVERLRAITSRLLSDVPDS KRSGDDMLAAVHVMVHYAAESIVRARSTLVKARYLKRIKKQLAEEPELVVSRMEEIRK ALFQFENMRVLVIADLEKLKSPVSVWKPFVERLGAISTLQPITARRALLSEAGQNLGG ESYVVPMPTIDSSFAYATARGLDSYNDPRLPALLVAIAYMNAVEGPLWVAVRGTGLAY GTNFAYNIDTGFVNFDVYRSPNAHKAFESSKQIVKDHLSGAIPFDPLMLEGSISSIVV SYANEQATIAGAAQGSFTRQVVRNLPSDYKEKMLRQVRNISVDDIKGALRDIILPLFD PKTANIVITCATVLEETIKEGLQSSGFTPEVQPLKDFEDDYGLKVGDDEDEESDDEDD DDEYETGSEEDEDDDE AFLA_112240 MLCFRCRAMPSALRTYSSPMSMSRYLTPKTTTTTPFSTLSSPLR PMTNFTTTIRPQLQTLSNTQLPSAATPSAQQTRSFSASASLAGKRATYNPSRRVQKRR HGFLARVRSRGGRMIILRRRAKGRKSLSW AFLA_112250 MATGPSPLPPTFILNSKSISQSAAHDFLAAYIDLAATDPAYQPN AGISEHGPVSRTTAAAPNLTIHNLKRVKAGLAGEVLGRDLALAKLEEGDAQQQQQVGA NGDWEDAKKFQEGENGDAVQDENDAQGQMEVDDAEQDAGALDKEERKRKKKERRLAEK RAKAKAETQAEE AFLA_112260 MYILEQLARLLDRPFFPWKNVLVGFSLGQFVLEGLLSLRQYKVL QRTKPPQVLENEVSQKVFDQSQSYGRAKAKFGFVAGLYGQIQNLAFIYGDILPKLWGA SGLLLAQYFPSRFQGEITQTLVFLFGFNLISTILSLPISYYNTFVLEEKFGFNKQTLK LWVTDMLKGQMLGIVLGTPIISAVLKIVQKTGNSFFYYLWLFGIFVQIFAITIYPIVI LXELGHWSLSHTTKLFGIAQFHMFYIFALFSVFVNNRSLYQSFGFINEQPIMIGFLLF SDALAPMDAVVKLLMNILSRKFEFQADAFAVKLGYSEKLASSLLKLQIQNLSTMDADW MYASYHYSHPILSERLKALGWKGGKVTDYKAEDDEKPVKAADREL AFLA_112270 MAANGDFSDDESQPGSPMLNANGHDDIEEQEPLDQEEKPLKSAM KSVPPVSQPKRPELPEQPDPATLDLSTLTPLSPEIIARQATINIGTIGHVAHGKSTVV KAISEVQTVRFKNELERNITIKLGYANAKIYKCDNPECPRPTCFKSFKSEKEIDPPCE RDGCTGRYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSEHL AAIEIMKLSHIIILQNKVDLMREEGALQHYQSILKFIRGTVADGSPIIPISAQLKYNI DAVNEYLVSHIPVPVRDFTASPHMIVIRSFDVNKPGAEIDELKGGVAGGSILTGVLKL NDEVEIRPGLVTKDENGKIQCRPIFSRVVSLFAEHNDLKFAVPGGLIGVGTRVDPTLC RADRLVGFVLGHRGRLPAIYTELEVNYFLLRRLLGVKTADGKQAKVAKLSKNEVLMVN IGSTATGAKVMGVKADAAKLSLTSPACTEIGEKIAISRRIDKHWRLIGWANIVAGNTL EPILN AFLA_112280 MAKRKREETTKDARVSSQQPSKVVKSTTSHEPSSSSVDLPAVTL QIITGSYERVLHGFTAAIPPSCFSSSEEKDSSAHSSAVQFIDTFLFEAHASAIRCLAL SPRPNADSTEDSPKVILASGATDETIKLYSLSAAPLEVNEQYPSIPTLAGNKILENPK NRELGTLLHHSSSISALHFPSRSKLLAASEDNTISVTRTRDFAVVSTIKAPRPKVQGR PSGDTAPPGGSPSGVNDFAVHPSMKLMLSVGKGEKCMRLWNLVTGKKAGVLNFSREIL QAVKEGKWSTGEGRKIVWDSKGEEFAVAFEWGAVVFGIDSTPICRIFPSPRSKIHQMK YINKDPSAEDGDELLAVSTEDGRVIFYSTKKVQKPQDEDDSPIPYAEAVAELGGKASG FPGRVKDFEILSLKNETAGPQDGFLVVTGNSEGVIRVWYVPGEDLAGKGKGGKTSKTK DEKASKTPQVGKFLNAYETGNRITCLKGFVMLPSEDPSSLLDSEEEFEGLDSDEENES ESEESDA AFLA_112290 MLREELLRSKNDEIARCLLSRKRKLSELYFATVGFAGATENPSA DSLYHQKEQAFLDANDLSKGRYFDEATLPPLPNYAEILSRKYQEAVQSRPEPSKASNA IPISPPAGGEAVVAQTAPDAGKKTSLPRPLPEQKPPGTVLQQQQQEIQVPTQPAPGVT PEGLPGLDASTPVAAPPTESPAPEQKVISAVPGTPKSSQGHDSTEVSISPSQAKPTTT TGVSSAPTDVSSVQKKPDERPSLVLSRVAHRNEQPLSPVSSAGPYSNNTPVPVAVSPE TSPAEEGPDPTDKIVPSPKPEEPTQAPPILVPSTPDEQLRLEEAQSLRQSSLVAKNTI GDSGTNEPSTNEVLQESVAAPTDLEASLKEEQVSVATPLAPESKKPDGVVQLAEDDGP PPSHQAEQVQPSNAIEPKVLPPATSAQKKVTQTVSGPAQPERMTTRVSSGAIRHKSVS EILGEAPKPVVAQPDKASATDKPADTARAVSKAPSDSAARLSFKDRKARERERSKLST VVFPKQQQQQLQDQADSMDLVRQHTGDLAKLNEERDYLFTLFQSKAYSPPRGTSLSTL LASAHKTLTTANHHLEYQEQMDCRTLRRIYQLQNANRWPLRQMKRSAEPPRQGTHWDV LLDHMKWMRTDFREERKWKIAAAKSCADWCAEYVNSQPEHRSLLRVHSRIPPLKLVKK VEPDTNMMSPPEETGDEMLGLSHPTPDLVPSTEEDSVSEGYNDEPRHDLHDTVAPAAI FSLSSDEFTFSLDMTPAAQKLLDELPVYSPVGIAPETNLPTFKEPPDAVWKTEILPVS KYASGKIAFLDDEPPRKRSRYDYSQYQSDPEQGLLDLPPEQTNVALFRPENKHIRDRI HPGHTFRPPTEYPMPSVGFFESRQSSQWTYAEDDELRRLVKEYSYNWSLISSCLTPSS QFTSGAERRTPWECFERWIGLEGLPADMSKTQYFRAYHQRLETAQRTVLAQQQAAQQQ QQQQQQQQQQQQGNNNPQSLPPVRRRTTQPVRVDRRRSSKHLALLDAMRKLAKKRETM LQKQQHASQLASLRKVNEANQPKPPISTPAEFSRLKYERELKLQERQEQYRQQMIATQ RANLAAQRAGQMPNQQPMMNAPGRTPNAMPQNPGTPSMPTSTPNGMPNGLPNGMPNGI PPGVGANQGRPHMQAMPNSGPVNGHMPPNPMAMKMMPQSGMQQTTATRPGMPMQTTPD NTRVIREANRLQEQQRLLQSRQQQQNPQPQPQQPQQQFHNQQQFVGQGSHSPNMNVPN VNGTPNNPAMMAALQAGGGMQSPSFHNATPQGVSTPSPRMGQPNLLSSGVVPTISSLQ NQIQRTHPGMSAEQVNKLATERLHQYQQQRMSQVAMNAAAGNIGAVQANYQMSQDGNF QSPQNGMNGGPGIQMPQTQGYSPMMRVPQTAQQNRVGVGNSPAMNGAVPQPSRSATPQ TQRSGSVQGGPMPPSNKSPNPPQAQTASS AFLA_112300 MSPHPSRDSHERSQGSSKPFSLSLGGGSSASNGQTKKASFNLQR STRGTGAPGRTLARRPHHLHDDDESDEEERAPMHEAVTEFDTETGTAVSADKKDEKRE LVIPVASNNNWRNRPGVSQKPKGKNLLPKEVQAIQEAAKRGEIAGENTETDSPSMAYG LSFAQQRRTEQHAEDEADDKPMEDAEPVNEEEQKPLTQDEIALRALIRESKGETEGRS DLIIESRPVDGEEDGTGGRYDEGTSFRADIASRPESATLDQYNAIPVEEFGAALLRGM GWKEGQAVGKGKYGSSAVLDKPRIPERRPGFLGIGAKDASGGKGAEAELGAWGKAAMR KGARKSGKEGETSTEGVYMPIMMRNKKTGESITEEELAVLQKEGKSKKDDEWKERRDR NLERSGRDKDRDRDYRRRDYERDDDDRYDRRKTGSPRRDRSHSRRRRYDDDDGDSKDD RSYRDRDRDRERRRDRERDRSREREKDRERSRRYRDDDRYSSSRHSSNTSSRHGRDRD RDRDSDRDSYRRRRHDDR AFLA_112310 MSKAVVKTTFEASRTLRPIYTGGSTALDASGRLLVACVGEDALI IDLETGDQLASLEGDGEIITSLAITPSASHVVVCSRSMSMRIYSLTPFEDSSRTLDAK LVRSLKPHTAPVVTTAIDQTSTLLATGASDGSIKVWDIRGGYVTHTFHGHAGVISALC FFQVSFQDSESKSSSKKGKSKRKSDDSDEDEDMEDVAPVASIGGFRLASGSEEGKVRV WDLNKRKSIASLDSHVSVVRSLSYSPAENALLSAGRDKTVIVWDVRTFKTRRIIPVLE SVEAATFVADSGLALVGGENGVLRVWDCNRGGEVTQEQEAAAEFEAIVAIQYTPGMPF AMTVHADQTLRLHSLDSLSDFKPGSSLDPLPIIRRISGNDDDIIDLAYVGPDRSMLAL ATNTESVRLISVGRSVDRPSNKEEDYFGADIAHLEGHDDIVICIDVDWSGHWLATGAK DNTARLWRLDPKTSSYTCFAAMTGHAESLGAISFPRVPPPANTPARNDPLNHPPQFLL TGSQDRTIKRWDTGKLAPLSSSKPHNPKAAFTRKAHDKDINALDVNPTSTLFASASQD RTVKIWSVEEGSVVGILRGHKRGVWSARFSPNGTPTISSSAQGSTNRGLIVTGSGDKT VKLWSLSDYSCLLTFEGHTNSVLKVLWLPPSDLSTKKDDDEDDDDEATPAQNNATQAR PLVASAAADGLVKIWSPYTGELETTLDNHTDRVWALASPTPSGSRADVLSSNTHNISS PYAIASGSADSTVTFWTDTTSATYTATVSANAARIEQDQKLENYIRAGAYREAITLAL QLNHPGRLLSLFTAAVDAADDPSSTDAERSERANSLTGNPSIDEVLQTLDSNNLRTLL LRLRDWNTNARNSRVAQRILFALFRSYPASTFIELATASMANRRSDSRTAAGMKDILQ ALSAYTERHYRRIEELTDESYLVEWVLGEMDGGVGLGGLGISGTRDVIDSATDDVPEH EKDTIMLGA AFLA_112320 MGIIAHERASYGILGFYFAGIPAWALSTCLSICRHHPLERLISS LQGKFPDNDVLSKLARSSLTILHSATRGTLLVLAIQSYVYSLLQSLHLVHPHGFPGIR FLVPLGELTTMLLPPLPAAFTLQSLGDFCLNLLKAPPLLVYIYVYLRPVIEIRLYRLI RRRLPKPTLADELSIKVAIENDLIDWMAPTLGRRSEEENRRNNLSLLEDLMCEFKFLQ GWVLSWFGFKIRRTSTAPEQAADSRRRQEWLETLPMSVEQLQDERQTRTRRLQQAVPP APEEVVQAHEAARSGQPSTPVTAPVPDSGFDFSGDRVLSNEEDPIHQSPAQMSTGGLS EMAPLGRTSDITAAANGSPAMNTEAHGEDDAHEDQRNSRSNTLFSRQTSPETSSPTSP HVRASLIHQNSDIITMQLELLGNRNAQNQGQLRPRPGNDIDFPTSNGETGHRRSITEL LDTILTHQNQSLSTIVHSDAMDSDGLSNMTPGANGEDVLGLVSQDQQAGQGTDDFTAE SPVEGPSSSLANILPESVEEPPSQEDTRNHPSEAEPTGENDFDSGIYPRISDPNVREG PSENTNSPTAHRITILSSHPVDSLASHLASMITTGLGAVAGLGLTRLPIWVN AFLA_112330 MSKRQAELALEEDTVAGSPAIKKARVEDDIEEGDPRHGALPLRR ASGQEREEDERKGNDILAAADQEGEELEEAAVDNGAESDFDDADDDRPVIAAPKRQSA PMEGYSDLYLDTINREILDFDFEKLCSVTLSNINVYACLVCGKYFQGRGPKSHAYFHG LEVGHHVFINMGTKKVYVLPEGYEVKNKSLDDIKYVVDPHYSKEEVSKLDKEVHDAFD LAGNRYRPGSKKPSKTPTSVVQAAFQGHLRIESQAITAHSDTQNARLVFTESGDIKSQ TTPFLILTLDLPPTPLFQSANRESIIPHVPLTTLLNKYNGITASEKLAHRVRHRLLHP LPPYLLFHIKRFSKNRFVSERNPTIVTFPSPRSLDMSPYVEPNPDIWPPGEPILYDLV ANIILDPTVAVPGATDEAAADKGVNAASGASSSGAGAGSEKVSWMVQLHDKAMSAENN RQHSERAGEQQGPEWLEIQDLFVKKAETETLFTREGYLMVWERRKVPGMNSRKGKNPA K AFLA_112340 MEVLLGITGKDFVLVAASKAAMRGPTILKAEDDKTRQLNKHSLM AFSGEAGDTVQFAEYIQANIQLYTMRNDTELGPNAVANFVRGELARSLRSRSPYTVNL LLAGVDGITQKPHLYWIDYLASLAPVPYAAHGYAQYYCLSTLDKHHHPDISLEEGLKL LEMCTDELKRRLPIDYKGVLVKVVTKDGVREVDFDNDKIVKSA AFLA_112350 MSSFFTLPASQRKRKREDRAGAPASKKRGVDADGVSGAKGSRRT KEREQSISGSDLDEDDAESIVSGVSGEESDSESDEGETAADRRLKLAERYLDNVREEV DEYGFDAAEIDRDLIAERLKEDVDEFKGRTYRQIASDLSLSAASHSFFRADTQSTTSI AVHAPFVYTVSKDKTLIKWELATPSHATTDSSANGQNSSSKRPPRPQRKKPKQVRFTR GLQKIAESDEEHGHTKNILSVAVSPSGKFVATGGEDRKLIIWDAETLTPLKTFTQHRD SVSGLAFARHISTMSSGEQLFSGSFDRTIKTWSLSTAGHAYVETLFGHQDHISSLTAM TIDQCVSVGARDRTARLWKVVDESQLIFRGGSSKHSYQENNLDCVAPLPPNHFVTGSD SGAISLWSVHKKKPLHTITLAHGLDPLPPLDELSSEVDPNIAASNARHMRRNPRWITA LATLPGTDIVLSGSWDGWIRAWKISEDKKTIIPLGAIGGVSSEPDTPSQQLKQSLALD NPVDSAQMAVDGRREQKMEEIKEEAEPLVKGVINGIAVFERRAETSKPGQPKSKSESA EPEPRGLCIVAAVGKEHRFGRWKGFANNYYEGPTPDGRNGAVVFEVPFINGNPQSK AFLA_112360 MWHLHKATCLTSWFAGGRSNKTCPDCRAPVKTPPAPAYLVSTLK VRAVVQLFTGRAELLEKGETTAEHKRHQREEAEKLENDKKNTHPKEGGLFRGTFNKKL PTAQPIVDLEDNVVRCPRCSWELEEDSNCAQCGYRQDEESVTDTSDISDSDSTGSIST DSEENSEMTDYMDDELEDGFGELDDVDWNGFYNGVPIEGRVDDLPRHIYSLVRHYHHH TPPSHRPVFARNSLFDEANSTAHETEESTDDYLDDTDMDSFIDDDEHIEQGHDSDSDR STVVGGPEVRFPPDQDDVQLSSEVTMSQADDCIDDSLDSLDEISDSEEEEDEDDDDED DEPIRPPVAGNRRRQFPASNGASSSVLASRMGGAPNVNPYAMRRQASRSQSTNPSLFE SRIRRGPNVNSYAMRRQASRSQSTNTEGTSASNAITLDDDSDEPVRAIRRHCRNTGR AFLA_112370 MKKGEDTRFLKAWKAHILYRHVDETHRQRGIAETLDLCKAEPPA TDLDTLDILYQTLKRMGDQAETMRTLWERASKAKPQDLDLQMRWFTDAFEGDDWKSAQ KVCNLLSPAVAINRNLIP AFLA_112380 MTFETGKHGSGLHRWEVSPSDLREFLKVTNACQIIYGPIIFITK LSILLLFLRVFAPSFRGTTYFLIQLLIWLNFLFYFADTILKIFECTPRSKIWDEHVPG HCININGPILAASIFNVVSDFLILLLPIVCVWRLQMTFKKKICTSAVFVAGIFGCISS VMRLVVSIPNSTATDNTFVWFPEFLWT AFLA_112390 MAQERSGIVVGLNKGHKTTPLNTPKTRVSRTKGQSSRRTAFVRD IAREVVGLAPYERRIIELLRNTQDKRARKLAKKRLGTFGRGKRKVEDMQRVIAESRRV TGH AFLA_112400 MSAHVVVLDSTARRATIKTTPGKYLTDVLQEACAKLGVDASQYG LKYKGKQVDLSLSFRLSGLISGAKLELVQLSRSPSVVTVALQLPESEARGAPNGRLLD KFPSTTSLWFILRKFEAGVAGNASIRNLTARGAPVTGNGDNGSGRLFYETPVIQILER ELSTFTDLQKSLAQLGFNSGNVLLRLSFRRTEDPLEVAMSKIQEYFKSSDDTNPPSQE SSTPAEQKQTVDGPSQQEQEPPKFTSDAPLSAPVLPEQPRNQIPPSAPAEPFEPATTV SSRQVAVFAPPSSDTPQSAKTAYNESDYVPSVDHAQAHQRRLNAASQNKRLLSDAEIA AKAAAEEEKLAAVKEVDVKVRLPDQSQIVAKFGQQDTGKSLYSFVRNCLAGPFVGEKF TITTFPGGGQSGKKLHNVIPDSDQTLLIKDLGMVGRTLVNFSWDATASQAARQSQRDL LRPELRTQAKELKVEQPADVMDTSEETVQPKSGSDRQGEKSSGRKPGSLPKWLKLPGK K AFLA_112410 MSSPFDINGGACVAMVGKDCVAIACDLRLGMQALTVSNNFPKIF NYSPSTFLGLTGLATDVSTVSDLFRYKVNMYRLREERNIAPQTLANLVSSSLYERRFG PFFVSPVVAGINSTTGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCESLWEPDLA PEDLFETISQALLSAVDRDALSGWGAQVYIIEKDKVTKRLLKGRQD AFLA_112420 MLCWLWATKITVCCIVPPPGRFKTNLPIVVQGGDWGSIIARVMA NRYSDHTKAVHLNFLPVPLPYPWRSPLVFLRSLLTIPFSSDDKAHLSATSDYLMQGNA YMRQQETRPQTLGYALHDSPVALLAWIYDKLHSWTDSYPWTDDEVLTWVSIYYFSVAG PAASVRIYYEAARNDSSSAVPGMSTVDAISKPTPGNVKLAAAQFKKELIRVPMLWTGL AGSVVRAKQFDCGGHFAAWEVPELLATDLRNFLGRNGQAYGAVSGKDGY AFLA_112430 MSDSVESEWSKTFVSAGRSKPFLPCKSECLSGDTSASTLLNDDP QADPLNRTHIGGHQSMAIEQLMKLKQELRGLDLESFWSRLMEHITSFCNAQYGFVARR VRDDENVGDLGEHKPRLFGTAFYYNDGHQNVGMHRHRYFAGGNPLSHMDHGKPCLIPE KLGSVMSFDQDQLPFAAEGYLAIPLFSETQCLAHFGLMWSESGLQKRKLSWSLLEMVL YSLEDLIVQRIREDAAKTDRPSKDIKNPSKGHKIIDDGYLNALYGHPDFSSQPLKPFA RSLSHELRTPMQGIVGMLDVMHATVREAIQGKPSPRAGNVFQSLKESIEMVQDSARRA VEAADNVVHAYDMNMQVPKTPQVERDNDLFGGPVQSPIPTCENRPNIFADGTNVGINP YKRRRSNPPEFTVGSTPKQKMPRVTATKELSPRSEEVKNAVLESDKIIQATPAHQIEA VMANMVDPRPSLAVRRSAPHLLLEGININLKSPALRVTKLRDLLRLVINESLHVGGRP DFAVTNGTELGEKIELRSRSSNGEVFSKTIDWSVDTALPDTLFVDDRDLAKLISCVFL NAVKFTNSGVITVSATLGRKVGDVLINVRDTGSGIPEAFLPNLFKPFAREDTSTTRSK DGLGLGLLVAKGLARKMGGDLICVRSATSGPDRGTEFEIRIPITQPEPCTRPIAPATK LLTPPQLSDPSRLSQPSSTTLDALLPPAMRTPIQQPSPSLTDETSSQTPTHSRSVPDI KSFGGSINGDAYDSKLGEKHPLTFLVAEDNKINRRVLVNMLKRLGYRDVYEACNGREA VRIMQDVLASQRPEEATNGSHAVPNQNGGSDDRSILKPPPKYRKKLKPVDVILMDLWM PEMDGYEATSRILQLMDQYHGQIPPNPGPKHVRTTPPTVLAVSADVTDDALGRASKVG MKGYMTKPYKLTDLERFIMSFCCGDDTAKENNSMKT AFLA_112440 MMASASSTSWLSSVLAFCAILCLSVPVNALYFYIDGRQPKCFFE ELPKDTLVVGTFSTQVINQQSNTYSVDPSLKMLITVDETFDNDHRVVSKRDGHSGRFT FSAADAGQHRICVTADTSAATGGWLSGAPAGAVKVTLDMAIGETSKIETEDKGKIQDI VQKVKDLNGRLQDIRREQVFQREREAEFRDQSEATNSRVVRWTLIQVAVLSAACAWQL SHLRSFFIKQKLT AFLA_112450 MAAADVRDMLDLPAEGQPRPHKKQKVVEKRPEGITRELYALLGE RAPPIAINENRYKGRPKWMSKLRVRPWRMAPFTNEARSDGLVLHHWQRQGDTVKPALE GPETEGEEQKQDEGAPQTPDQEYLFAKYNVKARVPRRYTDEEYNRHLKSDDWSRQETD YLMDLVEEYDLRWVVIADRYDFQPHPVDAETNNSALVPANQVRTMEHMKARYYFVAAS MLALEHPPSEMSEAEFDLHEKMMKFEPERERARKELAALQLERTADAVREEGVLLEEL KRITANEQNFIAERRELYSRLEVPISVGNTTMYQSSQGLSQLLQTLLQADKSKKRRSI LGPEGAAPSPAAQTPAPNANTRDSRGETPSNAQAAPTNKKAAAAAAANKEAQQSIRTL TPSEEARYGVQHHDRLAPGVQFRSDRAQKLTQAKSNVQTQKLAAALAELEVPLRLVMP TERVCKEFEKLIHSVNLLLDARKVAEKVESEIRVLEAAKQERERKAKEAKEKEKPEVK TEQDDNPAPTADVATESTSAAAAALPSAEGQETAGGTEDKEAEAGSGDTGEATAREGT SQKRSASVLSNTSDKSTKRQKK AFLA_112460 MDIPTHASNTSERPYNSPSIWGSVSPLAQPYYETEPDDPGEELA GESSMVVDGPFSGQSEMERPQLPWHPRDYPHWGPVPPITHNADHERARKRIGSPYTTG FFDNPGRYSTVNRGRLQGYPGRTPISPIPCATSVDSVYGPSSQLSIRKRRQPPPRELK SESHSVHLGSMDISKRLASPSISPRILSDKSSFDGNGRDNTTGVRSASNQGYDRTNVD TTQAQTENVQASNMVASRAKESSSYSRRTSFSSGFSKYQFETKVFSLPESVREPMKNP YADASSLSPSCQLQETAGAIQNIPTDASPCDTSGRAQQSKSPGPCEADPLVAPSRADP QSNEGAAASSSRQVSVGWMSGGRRLGYGYTLIPADDKGNLSPEDTCSLKTCNLNSAST IGEGHGKRSASAVDKPCMEQDNRTGSGKGLPIFEITNMMHRLNLHHWSGATTSSGANN VGKEPSSTSVTSLLWGKFEFLRKSQNEPDPHVDQKPPWSHFCGVGLDHALDGALTPTR DTVSPVNDIEGQVSKGRMGLHRARSLWTKGRTITDKAHGPETKSPTKIPIFTKQNPGL RRGKTRVIKFKDRGRKKTINHSLEEEKPIFPSPKQHDHSAISQMEERHGPSTGYRERR DGALQVIERSSSDTDLADAYEDCLEVHSLPD AFLA_112470 MVQSSVLGFPRMGKLRDLKKATEAYWGEKISRDDLLAEGKRLRL EHWKIQKNAGVDIIPSNDFAFYDQVLDHIQMFGVIPERYSKYNLHPVDEYFAMGRGLQ KPAKDGQAAIDVPSLEMVKWFDSNYHYVKPTLQDNQTFKLAEQPKPVVQFLEAKEAGI VTRPVILGPVSFLTLAKADRGQTVDPITKINDLLPVYVELLQKLKEAGAEDVQIDEPV LVFDLPAKSKDAFKPAYEKLGALGDKAPRIVLATYFGDIVHNIDVLPALHNLYGIHID LVRNPEQLDTVVGALGPNQVLSAGVVDGRNIWKTNFKAAIEKVELAIQKLGKDRVIVA TSSSLLHVPHTLASEKNLDAEVRDWFSFAVEKTAEVVVIAKAVTEGPAAVREQLEANA KSVQSRASSSRTNDPKVKERQAAVTEEMHNRKSAFPVRLAEQGKSINLPLFPTTTIGS FPQTKEIRIQRNKFTKGEITPEQYEKFIEKEIEDVVKIQEELDLDVFVHGEPERNDMV QYFGERLTGYVFTTHAWVQSYGSRCVRPPIVVGDISRPAPMTVKESKYAVSISKKPMK GMLTGPITCLRWSFPRDDVHQSVQAQQLALALRDEVIDLEAAGIKVIQVDEPALREGL PLRSGKEREDYLKWAVRSFRLATTGVSDGTQIHSHFCYSEFQDFFHAIAALDADVLSI ENSKSDAKLLKVFIDEAYPRHIGPGVYDIHSPRVPSEQEIKDRVEEMLQYLRPEQLWI NPDCGLKTRQWPETKAALTNMVNAAKYFRQKHTK AFLA_112480 MGPTISTLNSLPPLQDLLHPTPETYTQILNIWQYFASFTVVIWL TTWFPMGKTSLKSSIFNIPGRLAWTAMELIAPLNLIYVMKALVAKLNTDLTSLPLPNQ TVAALYLIHYANRAVISPLFAAPSMSPIHAFIAVCGLSFNWINSTCLAAWLVGYHVPV TGYRADGSGPGIGNSTRPAISDYLPYIGIVLFFVGMAGNIISERTFFRLRREEADKLH NTQKDHSKNPGTKNKYSKVYVIPPAKGLFRYILYPHYVFEWLEWTGFVLVGTAVYPAA GAVGPEMGLVPWLRPAAALAEKLRVPLPLPAVVFVVNVVTSMVPQARLGKRWYLGRFG KDKVAGRGAVVPFLGWLSV AFLA_112490 MSDNLSEADKIRNKRLAKLGNPSPSSRPEGAEPSSRPQSPSTPA LSRTQSNAGSAASSRAASPRPDLQSEGKRIKITPAVSNITGPDRSQSVTPVSGTPPPP RAEESIEAFEDRTLSAVFKLTLKEDRQRDIHGQRLTYLSGLKSELEEQGRDLRIETAV LDQALLEAASNAPQQKPLDYLLPCWRRISRLHKGFRRAREDDPKFKVICEARRLCLSY CMFAITMPEMFGIEPSGQSPLKPYLLLDPEDDKGVDFEFLSEAVKRFEEDENIKPAFI AAVEEMSKDLAAMTINDDYKPYVTALRNLVRHAVVGAAITESSLFNESREPATFEKDT LLGPWFRLSPLQGAVTMTYFSSPKTRDQGYILNAQRSQRMMQQMLSSDLFDIINHLIR ASKDARERVLDWFAAALNINHKRRAMQVDPNTVSSDGFMFNLTTCLDHLCEPFMDANF TKIDRIDAGYLHRNPRVDLKDETKINADQHASDAFYAKKVDGTSNFITEIFFLTVAAH HYGSESLTSKLDQLEKDLRHLENTINKFEQERHKWSNNPMQLRVFEQALKKYKDKLDL GLALKYSLQGVLFDDQWQARSMLFMRYVIVFLLRLVSGKNFPQEPIQLPLPAEQQEVW KCLPEYFVDDIVSNFKFIMWCMPQIITATQGDELVMLCIAFLESTSYIKNPYLKAGLV SILFRGTWPRPGGARGVLVDLLNSMPFANEYLLHALMKFYIEAEHTGTHTQFFDKFNI RFEIFQIIKCIWPNTLYRAKLSNQAKRNLDFFVRFVNLLLNDVTFVLDESFGAFITIH KTQTELRNGAGMDPTVRQQKEEQLASAQRNAKSYMQLTNETVAMLKLFTEALADSFTM PEIVQRLADMLDYNLDAMVGPKSSSLRVDNLQEYGFNPRALLSEIVDVYLNLMNKENF IVAVARDGRSYKPANFEKAAEILRKWSLKSPEELKRWEQLQRRVREAKEADEQAEEDL GEVPDEFLDPLMYTLMEDPVILPGSRVSIDRSTIRSHLLSDPHDPFNRAPLKMEDVTP DTELKGKIEAFKAERMAARRNPATQSAPETMDTSAD AFLA_112500 MPSATASGVDNGGSAKSREHSQGNQDRKYTPDQKAAVLRIRKCS STAYYEILSLEKTATDAEIKKAYRKLSLLTHPDKNGYEGADEAFKMVSRAFQVLSDSD KKARYDKFGGDPDSRFGPSSGPSGASPFSGFGGGFPRSANPGGGMYEEEISPEELFNR FFGGGFGGMGGGFNTFGGPQFVFNMGGGPGFRVHQFGGPRPRRRPREANSQPEPAPSF WAAIQQFLPLILLFVFPLLSSLFSGSSTPSGPSYRFDAAVPPHTMQRTTPKLHVNYFV NPGDVEDFSARKFRQLDQRVEVDYVSKLRYACESEIHARDRMIQDAQGWFFPDVEKMK AARSMELKSCRQLDSLKGRY AFLA_112510 MANSESAVEKTKQSLMQKAQAWGENPFTPTLLATFITAQHMRPF QALPMLFPPVLLFTSYANLQGFKTDSAGISAAWSGLYLLLAGRRRQPFMKKWGARGIV RGVTMGLCLANMVGGGLAYTLGKREEEDDD AFLA_112520 MTSPALQTLRAQYSSTLKRFIASSKNFEILTSIPASQSHPPAHS PDVLYVLDSSFNPPTLAHRRIASTALLENASKAPRLLLLLATQNADKPSKPALFEDRL VMMELFARDLLAYLQPHFSTSENGSLPAIDIGLTKKPYFVDKAAEIDTAGVYPESLEQ VHLTGYDTLIRIFNPKYYPPEHTLQPLGPFLTRHRLRVTMRPGSEWVDAEEQKQFLLN MAQGGMEKEGCKPEWAQRIQLVEGRRPEERPVSSTLAREAIRSNLKDLDGLVPDNVRE FILSQQPYSE AFLA_112530 MELYISSGMILIKDPEDGSLDRVAQEDSSKAMRVSPIKMKPIYP RFWKVVQQQVGNSGVREVIRDDGV AFLA_112540 MHFNNKISVALLAALAAGSEASHARNHKLFHARNVNSTVSTSSV FVYPTPILTPSSSVPAGSSPVASSSAAGSSSVVTSTSDASSSVITQAPPLGTGVPGSS DAGDDNSGSATDITITYTLGTGASKSVVTTTIHKTATNTETVYATPAAPSAGSEEQTT TLHSTATSTVTVVEVPSSSAASGNAGNGGSEACTGQATVTVTATVTETVTGAPSATAK PGDDYTKPHDQDHQKNPGNSGNPGKNNGNNDDDEDENDQGENDNNDGAESTKAPLPVP THTGRPPFGNGTLPIPTSGVAKPTGFLTSSKHAFPTNFRRSH AFLA_112550 MRYSPALLNPTTWLPTLKTAQLQKIAQATGIRSAGPKAALISRL ETELAQCEYPSPSGPSKTKTESKTRNLSILSIDMGIRNLAFAHLLVPSPQSAKGTSRI TPTLNAWRRLAVSDLLPGSGLSLPESGLIKGTESSEIDELNGLACQTDKDGKEMFHPS PYAKQAYILITTLLEKYQPTHVLIERQRFRSGGGSAVQEWTLRVGVFEGMLYAVLYAL QRERGVTAGSSCGSSAPVVLGVEPQRVVRYWGDGLGGDGVETKKGRSTAREGKKVKID LVGGWLDDALADGDRDLKVAVSGGEELQGWVEAYLAKWKGVKRRRGETGGVDIGKLDD LADCLLQGVTWLDWHLMRDRISREGVGALDID AFLA_112560 MDLQRTKEARRDAPGKQDDSTEGPLEPYGGNGHSKDSPKNDSLD HRDTNKNKDPTQVNQQHQGPPFACDHEIHQASSAFQQPACSNTPSPSSQAMRIPQELG LSAEEYHLLLTAKRYPPVTKGTLSELDLPCIMSNINLRMDANFDRDLHFKPDLDGEKG RRKRKEAADYWEAMATEINVYAFCAAHQPLVPCDIMWADQQQSFEPRLPSMFDTLQDV LKTLVPERDHPSVMQNLEVPLLMQQIRKGVLNMVDVANWLAALLKTHCAPMRDEWADR MVEQISSGSRSQNALEIVGGLQTLFAILEAMKLDVANHQIRAFRVLLIEDTIPFLQEY FRSKIERDNFRVESSRLWYQELREQDLSGMEKPNSFRPLAILFGGLSDLLLQFHTPES FPETFIFDSDRLWQLRACLQSLITLDICWEIFQRCVNPLKRYHPAEAQTYATFRSRIE SLIDESADCRQRSPQWMNNIRCIALEIAHFACKAYNCNITMVPDSVMARIENQLETHL LGESELFQHFQNLWRENLMAATMSFAQQYLNMSPLAICESQRSHPHSPVSQQHYGIER IAMRLAHMGVLHWRVWAPILYVRESVSPTDVAMSNYDMA AFLA_112570 MESAHLPSSANYIVVGGGTAGLVVASRLSEIPTVQVLVLDAGLD KTSDPQLQNPVLWSSLCGTDLDWQFKTVSQPGLNDREQNLPAGKVLGGSSAINGAAFL PPSPAGIDTWSRLGNPRWSWKDLLPYLRRSFTLTTPRGILLSEVGLNAQVHTGSGKPS VIQARNKVFEENGYEFQPDLILERSTVGTRPYTATIDPESGLRSSADNQYRSLKKNRP NLQIVTGATVDRILLSNDAVSHEVLATGVQVRLADGKLTEIKATKEVILAAGAFQTPK LLELSGIGNKTILARHGITPIIDNPGVGENLQNHSMYVQPVGLKPQEGTLTAGLQALA FVRTGDEADLAAKHLSPTDPEKVTCDDILRNPEEASANFFVSTRPTNNVAILGVIQCH PLSRGSIHISSGTDDPDSKPEVDPRFYSNPVDIELMTRHL AFLA_112580 MNIAAIYSFIYSLWAVPTFLFPHTIRVSINRSQYEVHSFPNTSL PLSWAGRLPVPETPAGNSLFFWLFEAEDRTYDENLIIWFNGGPGCSSLIGLTTGNGPV SFDGNSTRLIQNPYSWTKLGHVLYVDQPVGTGYSTASNPYPVPDNDRVTSDFYKWLRN FFTLFPHLRSKQVHMIGESWAGIYIPYFASAIVQGQDSFPINLRSISIGDGTIGNAAA MSTITIGSFMRSQKDILQCHDIYDTHNDCDTIDPLDLLASYFSRADVQVSLNLLSAAA GKDNQENSPATPSPMNFAPCNSTILTTLLSSSSPVAPAYSILPDLVTTHKIPLHIYSG ENDFLLNHFGTELSLQNMTWNGAQGFSQKPNRPFFSDNAAPTHSCDKENTTETCGVEV GVWGSERGVTYHLFWGAGHSVFGKKPREMFAYVRDVVVAG AFLA_112590 MLSTMTRPEDSIRMPHSYRGDVDEEDASRRIPELNILSSSSSSS SLSSSNTEVLAKSEDETTLVNGSAGDRVSSVGKPHTGPRLLSPDETIELARCAVDNGI QETKRSLAGSEAVSDVVKPKLTIDLGHSNIVRIPEPVVDIIKDEVERLSLSGNQLFHI PYRFAECSHLRYLNIRANNFREFPKGVYKLPLLEILDLSRNKISQLPEEIKKLSSLRV LSVMQNRLDDLPLGVSDMNKLQILKVAGNPLRNPLRELLETSETDIAPSTMTDNEKEV AVTAELKRFLKNKQLSTTPENEKGNDASEAIWDTPKPVKRGISSRFPVIPSTGDISCD PKSPSLSRPPPIPLKSHYRIASGQGIAFNSILPRPGTFIPGVNERNRSNSEGIIQASI AARSKRMGVIRKNADLGRLDETQAYRNSHLRGLSHGSILRPRAPGAAGSNSSSPSSPR ERRRLKDSFVNRMSSLPEHKCERKARESIIECGKGVLFALFQVQSHVYALINVIKRDD TRRNSLEIVFYNASTHVDRLNDALEYAENAQLDDADLVRLSNEAVKRECETCIMAYTH VGTQLRNSLGKIVANADSRYVRSLMLMIYSSIIELRNACVSLGVPLHTCKRLSSTKPP IPEINREMVASDRLTASTVTPTRGRAPSLSVRRYRSDTTIQHPQILTSGPLQTASNFH SAISSPGFVSTPFSYAARSRSSSRSNHINTSIPSSLATPRSGESFPPMPSTVVPRINP LTGLDEIEEERTFERIFHQLTTAYSAALQALPQTRRQFVRCLELAEQTRESEGIQMLW HNLIRRCRVCLEVSEALGLRLTNMKIKEPGGGMRNQREFWQLCKAFMQSFVDLVTDMR EVKSMHLLPSEIVMFLRPVQRASREAGRLIEGSPWSYLADMTSGNAPANIYGPPLQSQ HSQHQISTSLSPQSVTLPATPLSAALGPAAQATVPSTPASAYSDKFFEGDVFQRADSL LSMPNQAPFFSRR AFLA_112600 MGKYNLTALRVRQTALRQKEAGKTHQIPKWIDVVRDIPPAQVLV RNQQQQHQLVRQRLKTLPGASKPQVVFEVQEKRVKPKKASRMFLPTEIKYEEDLLRKE FFRDHPWELARPRVVLESTGKDYENYDWSRLQQPGKRLDGESVVQRQLWLLNNVPDMT KSTAYDIARREFYRLRLQEDIERRVAAEEAEATGATFGPTRLELGMELENQEYERWKV WAKSEAQVQEQRAAAFTGAPEIPSTEDSLGLEEGVEEKQPQQA AFLA_112610 MPHPNRFQSSPNLRLSPAQGLDTCLSVNCSSMSKPESFSYNASI RRLSSRRPRPSAASIADLFVSSLVMAGYCREHSPRGRGLSTMSAKTSRCEMARWRDRG MTSTGHLSKRRLDYLGSPAKCLGVVHRPRTQRMFNSGIAQKLEDDEGEDIRIPSRSIE RPSPRIHHNKAEADQRPHLDGGLPPSQHTLKELKCEPMTSTFTLEDSEHNTSYPVSGE NALDRQVDPPAVSTISHYHGKTSPAKELHEATSKLYRSVFGDSLDWKQAVDTVVDDGQ VESSSPRPVVLRKDNKEDAVELQSVAVFVEALWDEKKSNQYIFRLYRDLPYPGVSYLS KRSRGALLRRFANPPNRRWVDARRYLGLIEDMVAAKLPVSRSLWSSAIHLAGRASGKV CKRDLVRSIGLWQQMEHVAGVKSDGVVFNILFDIAIKAGQFTVADRLMEEMAKREIAF TRPGKVSKMYYYGMQQDADGIRQTFDAFVSSGEIVDTVVLNCLIVSFLRAGETKTAEQ LYHRMMQAQNTVELDLEQRLQHGPSLTSEFIVYRKKTRKLNRLLQVSASLKDQLPEHH RALQEAFPMIPDTRTFHILLAHHAYRSGNLHAFMSVISDMEKTFSIPPRGMIYLLLFD GFAYNGRKRKGWTAERLWNAWRAYLRALYESKNRFNDRFHFRTAKLVWENPLGNSAAL LAAQTPTLPRKPMGLYTPLPSGRSEAKTTSEEHQQEQVDESNEASKEDFEELSANDGT TEFDDDIDTDELFSFRGRDQHAEDGELEGLERQIENGVFLGRRMIIIILRAFGACCGP KEVMEVWLHIERLWQPKRRKALDVMAVKEELEKQINKGSRRH AFLA_112620 MINDNLKRRKVDLDFYLHRVFRKKSFRPLQREVVTAAVEGHDVF LQASTSFGKSLCFQLPAVISHGVTVVVCPLLALMTDQVNALQALGVAVATINSTTSLS ERREILADLLSGHPRTRLLYVTPELCQTETFRRNLQTIHSQGELNRVAIDEAHCISEW GHDFRPAYKELSWFKRALNNPPVPISACTATATPRVRQDIINLLGLDPGQLKIFNTPS ARPNIHYEIRYLPNPIDDSGDSGKAQVNDFVSWLKSIQSRRRARLGGNDANLPPISGI IYVSFRSSSENLAGILSTSWNGNIRAVAYHAGLSSQDRTQIQSQWTSPQSLSEPNDQT PAFYIIVATNAFGMGIDNPHVRFVVHWNPPRSFEGFVQESGRAGRDGRAAASIVYFNT QERDKVLDRLRRDVENAYNRANKKPANGVSKDDPNSNLQNQYARLRSFQKVVRYCEST SRCRHEMIKDFFGDLELERMGSQAPSSEMKTGSSASPCDFACDFCKEGPRGLTLRKAR MAIENELMDCTQWDYMSNMEAMYPDAFSGFD AFLA_112630 MADDERRVKRSRFDQTEPEPRRASRFDRRSRSPSSRQSEATRTR SPLSREPRSPSADPAKKSGGADPAAAAAAAAAKINAQLQAKKGIQHVDVPPIRSATSP APAAASPSAGDASTKLNTDIYVADGDYIKDIEINDLRNRYTLTKGSTQKMIKEETGAD VTTRGNYYPDKSMATAANPPLYLHVTSTNKEGLEKAVDLINELMKKELPNLVDERRFR RREPEQVERDEYGRRKWPEERIPVDLEPIPGFNLRAQVVGQGGAYVKHIQQRTRCKVQ IKGRGSGFMEPSTGRESEEPMFLHVAGPDPNDVKSAKELCEDLLANVREQYQRFKENP PQHNYGGYGQRGDRYQGGGYGGGYGGGNGGGSGSGSGGYGNHSHQNSPSTSGSPAAQG ATGSSGGQNLADYSAQYAQYYGSDPYAAYGGYQNYVAYYQYYQQYAQQQQQQSQPQSQ SQSPAPPPPTSEAPPPPPPGSGSPPPPPPGGSYSAVRTLSQYYERKTLLTRHDIGSTA TGPVNLSSARYLRWRQPQKQEESKYPNLLPSPINSLIGQVKIYSLFPCLTSDEVGFGQ YCVRARKDWNSSLSSIFFSQR AFLA_112640 MAFRRPLMLSKTASAPFSSLAGRTARVAATLPRFTTARASSSST SALAYKALHRRSPLPLPVSDSSPQWDAPTAVSSILYETPVAPTNPPKRHILNCLVQNE PGVLSRVSGILAARGFNIDSLVVCNTEVEDLSRMTIVLQGQDGVVEQARRQLDDLVPV WAVLDYTDSALVQRELLLAKVSILGPEFFEELLQHHREITTPGETLDGQKDKAEAQIT EFHPRNLPPSQALRHKHEHLDAITRLTHQFGGKVLDISNNNCIVEVSAKPSRIDSFMK LIAPFGVLESTRTGLMALPRSPLHEQVEEIEKEAADVVDASTLPPG AFLA_112650 MAEEASRPLLNKGSRPSSRPSSPIDERQSSDSSPAPPFELSSES TPLLLSREEDLATYGGVATRRSSAASEDFLVTNDSKKPRGRVRWPIFCVLLSLIAIIT ILIFAFVAPAVVKQYAVDATVFNPTNVSVESATADGIQARIQGDLVLDAGRIKKAPVR NLGRFVTWIGREVETGQSEVEVYLPEYGNVLVGTASLPSIKVNIQNGHVNHVNFLADL VAGDIPGIRAVAVDWLEGRLDRLRVQGKATVPLKSGILSLGEQTITDSITFHEGDFPT LPKVNVTKFNVHDTDTPGHNGAMEVDASVAALVDSPFGLSIPPLGFEVLVPNCSPGDP YISVADVTTKGFPIHPGQPTSIDVAGIVQSLSDDLTKACPGKKKSPLDLLVKSYMQGL QTTVYVRGADFPSLGTPEWVVDILKTVTVPLAFTGHALDNLVKNFTMTDVHFDMPNPL AEPDTPESQPRVSALVNVLIGLPEQLNLLHLDVPHVRANSDIYYHGKKLGVLNLQEWQ PANSTLLENVDGAPALLVNFSMKHVPLQVTDGDVLSDILQALLFEGEPVRLTVAASVD AEVSTGLGTYAVRGIPAEGAVNVKPPYGDSLEGLSPRVESIALGPTTESSLVMKAKIN LTNPSPYSASVPFVDFILVYNETKLAHITARDLVIVPGVNSGIHANLQWNPLELGGSA GIAAGQEMLSRYVSGYNTSVTIRSHEGTFPALPELGQALSRLGLEVPIPGVPVPGNPG DEDGKPKFIQDTTLHLWSSTAEFTLSSPFPNTTIEVTSVEANAFYQKHEEVGSINYYI PFSVPPGLSTTPRLPVELNLNGIGYDALRKALGGTLKLDAVAKVGVLIKRYRTTITYY GQGITARVKI AFLA_112660 MTCGLKLAAARYGNHTLRQRIPLNAVRRYTSHTATSTTPPTSPF APRHFLSIADLTSTEFATLVRNASSHKRTIKSGSIPQNLLGSMTGQTVAMLFSKRSTR TRISTEGAVVRLGGHPMFLGKDDIQLGVNESLYDSAVVISSMVSCIVARVGKHAEVAD LAKHSTVPVINALCDSFHPLQAIADFQTIYETFTPKAHRSDSLGLEGLKIAWVGDANN VLFDMAIAATKMGIDIAVATPKGYEIPAPMLELIKQASNGVSKPGKIIETNVPEEAVK GADILVTDTWVSMGQEAESIKRVKDFEGFQITSELAKRGGANEGWKFMHCLPRHPEEV SDEVFYSPRSLVFPEAENRLWAAISAMEGFVVNKGRIE AFLA_112670 MTPQQLSQSGGGFRSRRSYPSLNHASLAPLTSRFPIDDDVEHQD YFTPRAEDSESYYSAHDIPAKTSYLSSYSVPGTPGLLSHSRSGSRARHHQRSKSSTRA HLSDTNLQGQDDAQPPHHQAPKMKRHSSRHHPSDSASRRDAEWMLRAGIALASSTREE KGQSWLAKRESSTSLVDEGNYDVESPGHFNKVTRKSRSGRSTPAASRSRVVSRRGSRP DLIMTGLEMTSARQGDSGSLESPALDVRHFVPDFVDERIRAEMAIIQQEEYTSDSDEY SDSEDDIDEQEMQRLTRERGFGLGSWFDRMVEWTVFGVDDWPLSYSSGPVDQVPKNVE WAEPGVADEDDDQVSISGRTDRTDGASTISDSEVPAVTEKPGDQGGWEDAWWLFGVMK RALL AFLA_112680 MNSLVATPPVPPHFYEYSRLSSSRPMSTPTYTPNSRKRKADDDG NDHDGRMSASPTSSPAFTPRSLPSRNMKRARPNVSGRPLSLPRLLETLDTDALRGVLR SMCERHPGLVDEVVHTAPRPSVSSALQVLRNYQSTLQSSFPLGGNPASDYAYNRVRQP LSNLLDALSDFTPHFLPPNEIQPSLSLNYLDGATEIIHALPRWHTPQNNIERDSAYDE ICKAWILVIREAAKRGGGIQLQYGGWDQKLAKHNQNSGGKLQAAVNELGASLGWMHGP ETQSHASPGGNDFGSIREQLLSGTYGLGTPVKVGPW AFLA_112690 MDELFDVFEDQPQAVKPSDGAPKRPKKDKSKKRQVNGDVKESGA TVEAKEPVAVVDTPVEETSEPEEGDAPTTDNNEQPDAKRPRLEKEPEPVVADLFETAQ EREVAGSAGLQAANDSASVVLSHQIRHQVAIPPNYPYVPISEHKPPENPARVWPFTLD PFQQVSIASIQREESVLVSAHTSAGKTVVAEYAIAQSLKNNQRVIYTSPIKALSNQKY REFAAEFGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYM RDATRGVVWEETIILLPDKVRYVFLSATIPNAMQFAEWIVKMHNQPCHVVYTDYRPTP LQHYFFPAGADGIHLVVDEKGVFREENFQKAMSTIADKKGDDPADAMAKRKGKGKDKK LNKGGNKGPSDIYKIVKMIMIKNYNPVIVFSFSKRECESGALQMSNLAFNDDSEKEMV SKVFNSAIEMLSEEDRNLPQIQNILPLLRRGIGVHHSGLLPILKETIEILFQEGLIKV LFATETFSIGLNMPAKTVVFTSVRKFDGFSQRWVTPSEFIQMSGRAGRRGLDDRGIVI MMVGEEMDPAVAKEIVRGEQDRLNSAFHLGYNMILNLMRVEGISPEFMLERCFYQFQN TAGVATLEKELAELEEKRANMTISDEGTIREYYDLRKQIRQFTDDMQAVISHPNYCLP FIQPGRLISIKHKDVDFGWGVVVNYKQRKAPKNSTEEPTPYQKYVVDVLLRIADGPSV GTKTFEDLPSGVRPPKEGENSRMEVVPVVLSCLQSISHIRIFLPKDLHSADSRNGVKK ALDEVQKRFPDGIAVLDPIENMNIKDDNFKKLLRKIEVLESRLLSNPLHNSPRLPELY EQYSDKVETGSKIKATKKKISEAMSIMQLDELKCRKRVLRRFGFINEAEVVQLKARVA CEISTGDELMLSELLFNGFFNNLTPEQVASVLSVFVFEEKSKETPALTRDELAKPLKE IQAQARIVAKVSQESKLAVNEEEYVQSFHWELMEVIYEWANGKSFVDICKMTDVYEGS LIRVFRRLEECLRQMAQASKVMGSEELESKFETALTKVRRDIVAAQSLYL AFLA_112700 MNAATALRARMATSFVARRGFSTTRAQLGSPYHYAEGPRSNIPF NPLTKFFFFRYWAFMITGFGAPFAIAGMLFIDLAMVMVKYLTRSFLQSGRPTRLAKRV FAMYTFSAPWDRWALGIGRKCTLHWKYSEFVYKARESIIKL AFLA_112710 MRNLKNVRLAEVQLQSELPLTATAWDTASDAVICTFGPTESNPV IELRRKRQDAYFSEPVGADVFECIASWDAPCPLPDLPCDRVLSLHYFADNLSACLVLE GGDIVIVREEPLPGEDKIEILGSVDVGITAAAWSPDEELLALTTRANTFLYMTREFEN VAEITFTPEDLKASQHVSVGWGKKETQFQGKRAKALRDPTVPERVDEGKLSSNDDRRT TITWRGDGAYVAVNSIEEGTRRAIRVYSREGTLDSVSEPVDGLEGALSWRPYGNLIAG IQRRDDRVDVVFFERNGLRHGEFTLRLTEEEMSSWASDIHLTWNVDSTVLAVQFKDRV QLWTMGNYHYYLKQEFPVAVNSSCPNPFAFKWHQEKTLRFVAGASESILDAEFVFDVS HGSTIVPNDVGAVAVIDGKTLKLTPLRLAGVPPPMAHNELILDSNVIDVAFSKSGTRI AVLTKDCFSIFMWSLKTRPVAAPILESSYPLSDALDSRPRQLAFINENEVYILKSRGP NNANIEQTTLETRTTKIAYQAGESEQLVSIFPSLNHEALWISHISQYGQPIAYSTISM PSTEEFVAAPYTQSPSVDTYWANAVQLSEDEHLLISMTKTGALYANKTLLAKNCTSFL VTQSHVLFTTSQHLLKFVHLTRAEDMEVPPDTPETDERCRSIERGSRLVSVIPSVFAV VLQAPRGNIETTYPRALVLAGIRSFIDRKNYRSAFLTCRSQMVDMNIIHDYAPEQFME SVPLFIDQVKRVDFIDEFLSRLSEEDVSETLYKDTLKTPKADDNLVPATKAPAKGKVN RICDAFLAALDKKIDTNLHNLVTAHVVKSPPDLEAGLQLVARLRGKSKSHHHPWPAAH LTIDQSSEQAEDAVEHMCFLSDAHRLYDTALGLYDLELTLLVAQQAQRDPREYLPFLR KLQQLPELRRQFEIDNYLGRWAKALGHLHVLNAHDELRAYAIKHVLYKDAIDLYKYQQ EQLRDMTNLYADYLYDQSKYKEAAIAYESLSLYTDAYQCYQRVHLWRESLYCAIMVPL SEEKLKAHALELATTLIEENKDYVSAAHIHAEHLHDAPLAARLLCRGSRFADATRLLA LHGKQNLVPEIVDTGLADAMGSMTDLLADFRSQLNAQVPRIGELRVRRATDPLAYFGG DPTMGGDMGVDIPDNVSLAPTDASTLAGRSMFTRYTGKTGKTGKTNMTRQTSKTRRKE ERKRASGKKGTVYEEEYLVNSVRRLIERVNSTVSEVETLVSALLRRGMRERAAAIEKA MQEVLKLCTDSRVEVFGAVASPGGEQTDGAEPETNVNTAEEVSPRGGQRAFADSIAAT LGVREAPAVKELKQSALLN AFLA_112720 MAAPSDSTVFRATTTAPVNIAVIKYWGKRDATLNLPTNSSLSVT LSQRSLRTLTTASCSAKYPTADELILNGKPQDIQSSKRTLACLSNLRSLRQELEAADS SLPRLSTLPLRIVSENNFPTAAGLASSAAGFAALVRAVADLYQLPQSPRDLSRIARQG SGSACRSLMGGYVAWRAGTLADGSDSLAEEVAPESHWPEMRALILVVSAEKKDVPSTE GMQTTVATSNLFATRAESVVPERMAAIETAIQNRDFPAFAEITMRDSNGFHATCLDSW PPIFYMNDVSRAAVRLVHDINRAVGRTVCAYTFDAGPNAVIYYLEKDSELVAGTVKAI LGASSEGWDGPFYEPLKSFTAPGVALDKVDSRAVDVLKDGVSRVILTGVGEGPVSVND HLVSETGDILSN AFLA_112730 MGAGASTQSTPAAESAPAATCPVDHKTREIWLQQHKASGGPPHP LPTEDGESKAKLQRPLSSDREVSSIPRAFDQDLKQPSPNAAAEPPSPYTTSAVSHGTP SNAEAETGHDEKSGNWIYPSERQFFEALMRKGNTPASSTSPTELATSVASIIPIHNAV NERAWQQILEWEKQAPRSDPGSKKCGGPKLYSFRGLGVDPQFLSPRARINNLMGYQLP FDRHDWVVERCDGERVEYVIDFYQGKSSGANGGPAGLAANAGPGKLSFYLDVRPKLNT FEGCRMRFSRFTGL AFLA_112740 MSFDRLSSLESQPTTLRRSDDPQYRDDPEFYQLTESLSNQLFSL TSNITRLSDQIALLGTRRDTERVRERVHNLLEQTRSGFKGVGEGIKKVQAWEDVNPSQ KWTQQKLSSEFKATLDEFQTVQRRALEKQRASAVAARTAVEEGEQPAVEGATQEQQQL LQEQPRLANQDEVDFQESLIIEREAEIRNIEQSVGELNELFRDVAHIVHEQGGQLDII SENVENVTNDTRGANVELRSASRHQKNARNKACCLLVILAVILTIIVLAATIG AFLA_112750 MAAIRPRPYRRILTSALHRRFVHASALALLVCYLVAFLIGDKSS FLWAWFPIGACGIRTVLLFICSLVVFVLRVGQIHIGSRTTASPLGTLKYLIPLDVVQT FGWYIFSAWWFSEIYKWSSSSGAHLEWVNRGRPHERASLNERPIYLYTCHLLLAIVQS VVHLYYDFDRVPIPVAKRAAGNADQRTHPVEPVSKRLQVALPGLIKDGFTRSAVVAAV CPVVYTFFLRRPAWSFTMYWAKLFWDFPRSAAAPPGLIGPIGPGLLLRTMCSGGLLVL CWQTANLFFSAFLSKEPLKRGQPLTAETKDPNGSLLTGLTAKKETVKSFAFWELCFIS QRFADRRKAIFNDIDRDGGPAWSQILQSATEVIKGIATRIDEQKNPSSGSKPAQAEQT EPVLRTLPRLTDPLKADNVFAPSPKANSRQEKIGEVFSSTAKSYGQSADWTPAARAKA RDVFDRASTAILSPERKQKLLASSQEFKMLTGTSTCKPENLNPFIAQLLRSPVGRLFR QTYDRRLSGIVLGAPHANLCPIVDAIESLTRLLIASLQEDQYGKVQADVPDVVRLFTN TITTLEPFIHGGLDAHWTDVNFPPSSNPEAQAEARRVPDVDLVLDTLKSSLKDLLSAF NLYLKDIGLVGKDLRLAKEAAGLIEEGL AFLA_112760 MSLQTSLNVPNQAGSKMGGLFICDCCPKKPKKFDSPEELRAHEM EKQYSCLFCNNRFKNKNEAERHQNSLHLRRHSWSCAALPGYQAAFHPSSSPSSQTNAG PSHDTCGYCGEEFSNFPQPDWDRRFEHLTTVHKFGECNNAKKFYRADHFRQHLKHSHA GTSGKWTNILENACMKEEAPPEPRNATSNGGPGPAMGTTATLTSNNINEVLSGC AFLA_112770 MAMNRIPGQNIYIGGIFSLKNRAALERANITHVLSVLRLQPQEE TFAGFQHHRIDVDDVEDENLLEHFPSAIKFIQSGLDAGGGVLVHCMGLTSRPDVVILK CFHCAMGKSRSATICIAYLLHQQPSALTPQSALAIIKESRPLCEPNDGFMKQLSIYHQ MGCPDDVISHPLYNRWLYRREVEESVACGRAPEMSSVLFEDEQPHKSQDNTDRTTEIK CRKCRRNLATTPFIIPHGPQNGAKGPTDCAHIFLHPLTWMRPCLFPNGEDDGAPSGDA PLSGRLTCPNTSCGSNIGKFAWQGMQCSCGDWVVPAIGLAKARIDMSQRVNVGRLPPA ALGIRMPPSMRPNPADDSTNGRGNL AFLA_112780 MSGPFQNIYHLRRVADTAAKACYVCHKPSSSVMITPDNKDFFYV CPIHLKDRHFCSPIVDTEAEEKKKKEEALAKEIEKVKKEYEERQKKKKDKSKEKKPDE ESKKEEKSSNTDKQEGNDEKERDDKIESLKKSAQSTSTSDDGPRIFALHKNFYQMRID RLRNLEAAKRNRQRLQDPSFFPSVPSGGL AFLA_112790 MLGLTTRRSAATRSKCLTSATNSILRTRAAERPSQLCLASKDFH TSQSNAASRPTWMPMRVKTPWIEALTKSREDAKSGKGASAPVAKPDLTPKKMSDSHYS AILPLAQDKWLLDTYLNASGHIRLGSLLMDLDALAGIIAYRHTGGSVTTVTAACDRIT IEHPLMEICDLELSGQVTYATGRSSMEISLQVAKAPPEGQKAKPEDVLITCAFTMVSL DPATKKPVNVAPLLLETDEERLLFKKGEENYQAKKGLRKRSLLQKAPDDEESNLIHSM WTKEMSYLSPESPDQRPSNMVFMSDTNLKSAMIMQPQDRNRHNFMIFGGFLLKQTFEL AFCCVASFSHARPNFISLDPSTFENPVPVGSVLYLRATVAYTEPVETESGSKYTKVQV RVDTKVRDVEHGTKKSTGQFNYTFLVEKDIQVMPKSYGEFMLWTDARRRSQNAAALAP AGSREFSALRGLKDSVTE AFLA_112800 MARVRIDPIKLEQLAHEKLSQGGWYYASSNAGMSNTHLANRQAF FRHRIIPRQLVDTNLRDTTTEIFGHHVSAPIGFAPIGINKIYHPSAEAAVAKVAGELN LPYCLSTAGSTPIEKVAEANGQGPRFYQLYMPHDDELTLSLLNRAWKSGFDALILTTD TWQLGWRHDDVANSNYAFYRGTGADLGLTDPVFQKRCREEGIDPEKDIVAASAKWIDS VWHGRAWSWEKIPWLIEQWKKISGGRPFAIKGIQSVADAKKCVEYGVDGIVVSNHAGR QVDGAIASLDALENIANAVGDQIYIMYDSGVRGASDVAKALALGARFVFVGRLWIWGL SIMGEEGVRHVMKSLLADFDIFMCVAGFNSVKELDRSILGMLAYVQLSFQ AFLA_112810 MEQIKQVFSYAKQQNRAVLGAYVTAGYPIVEETVDILLGLANGG ADMIELGVPFTDPIADGPIIQEANAKALTNGVTISSVLNIVREARHRGLQIPVLLMGY YNPILRYGEERMLEDCKEAGVNGFVIVDLPLEEAIRFRRLCASNGLSYVPLIAPSTSD SRMKLLCSIADSFIYVVSRMGVTGATKKLNLNLPELLSRVHTWSGDVPSVIGFGISTR EHFLSVHNIAEGCVIGSQIITTLREAPTGQAAKHVEQYLSSITGRNHGRDSQEMLTQP LSPVPQPKAILAENVTPNSLSLIDPLDTIHSAAQSSRFGGFGGQYVPEALMGCLAELE RGFEEVRQDPSFWEEYRSYYPYIGRPSPLCFAKRLTEHVGGANIWIKREDLNHTGSHK INNALGQILLARRLNKTRIIAETGAGQHGVATATVCAKFGMKCTVYMGAEDVRRQALN VFRMKLLGAEVVAVTSKTGSCTLRDAVNEALRAWVTNLEDTHYILGSVVGPHPFPTIV RTFQSVIGEETKQQMKESVGKLPNAVVACVGGGSNASGMFYPFLHESGVQLLGVEAGG DGLDTSHHSATLSAGSKGVLHGVYTYLLQDEHGQVSGTHSISAGMDYPAVGPELSSWK DSGRARFIAATDAQALVGFRALAEHEGIIPALESSHAVFGAMELAKTMKKGETDIVLN LSGRGDKDVQSVAAALPRLGPVIGWDLRF AFLA_112820 MGQVIFITGANRGIGKGLAAHYLAREDTTVIAAIRDVSAENTEE LRALQKGPGSQLILVSLSLDIPSSATEAISEIQTQHHIEHIDIVLSNAGICNHWGPVV DMTDADVLSHFDVNALGPLRLFRATAPLLQNASQPKFVYTSTLMASFGEMERLPSLAT AYGMSKVAGNYLVRKIDAEHKHLIALSVDPGLVQTDMGSRSAQSIGLEKAPLTVQESV QGIIKQINEAQKSTTSGKFVNYLGDRVPW AFLA_112830 MFHTFNLAMPARHGPDQRASSSALTSKQRQKVSRACDRCRTFRT KCGEKPCPRCVLDKVRCTWTSSPAARQKSKTLVSTGREHHPEPRPRDSVRLDASPEIS LAPVTVGRPQMPSAPAGSATEIIPNHGRVKLDEHMEPLNRPAYVFVKIDAFFADSRTT SRTSTHGAMADLKTAYPGPFPDLPNIAALADRQPPCNEHLTEDQQMYFLRMFWEAYHP LLQVSDEAEFQSLLDLDRRQDSEVGRLTKALVNCMTALGIQYSHGAGLTSRILTLRRC AVNISSVGYGYFRRCRDYIALLTEPTLLSMQCYALMSLYLMNASNFREAYSLLGSAIR DSHSVNLHEEPSERLQPKERIAQKRIWWLLFMLDIQCSQQLGKPVAVQTTTITCALPS ADEQTTRACWKNLHISTYFVHAVKLAVSLAEIQRLIFTSKLYEDASNVTALERRANLL ATSLVCLEKWSNELPDDLLSPRKNTGHTESMSTAESPIVLELGAPSWLHHQRVLLEVY YHNAYIMLQRPFICFPQPSNSSPVHQPQTDHHARCSLQHAITMTIIVHGLCSSSDVFF GSPAILHPLWNATVTILGYVVANPFSPRSRRAIQWIFKALAVFEAFAATEPFAARAEN LTRALVAKLNDILTNLDENSEQTNNCGRITLGLTLQQSPDTISSTTPSSANPVDAPAG SLAGGGLEHTFDNTFFTDDSLCSSIGAVEINTWAAYQDHLDLWSSSHSDGALDAIDAL GIGNTP AFLA_112840 MHQIPVFAGLGSDALFSERTLGTAAEDARTSEGQIILRACHDIF VKEITSVIHSQRLPSDIKLEDFVEPESLIRPQACYQRNSIIQHVSLYTIQLLRYLRYS TEKPGVILGVAGFCAGLLPGAALATSRNTIELLSRGQDFFYVALHVGIRIESYKQVMM GKETCPPHLPCSLVVDGITAQHARELLEEHNRRSPASYIYLSAINSDTCVTLSGRGDH LQQFSQSSVPSQCKIRPTNIFSLYHDRHQLEGVRRDILQDLRNNILLFSTPLHLIAPL FSNIDGKPIDSGQLATLEELCEKLLEMMILEPVNWVAVEDNVLAAIKQPATAVDASFE ILNFGPGYGISGARYTLPDNVNIVAASIVEPRPSLQDTTGMLSSNDIAIVGMGVDLPG ASNTDALWQNLAEGVNSCVEIPSSRFHVEDFYQKKDGRTLRTKYGNFLENPFMFDNEM FGISRREALSMDPQQRVMLQTAYRALEDAGYVPDSTPSFSRRTFGCFIGNATLDYTDN LRDHIDVYYSPGTLRAFQSGRISYVFKWSGPSITLDTACSSSMVAIHQAARALQAGDC RSALVGGVNVISSPDMYLGLDRAHFLSPTGQCKPFDDSADGYCRSEGCAAFVIKKLND AILEGDRILGVIRGIEINQSGNAHSITHPHSPTQEYLFQTLLKKSQVHPHQITVVETH GTGTQAGDPNELLSIRGAFCNGRDPGNLLHFTSIKANIGHCEAASGGAALAKLLLMMR HGKIPPQISLKTLNPKIKDLGTDGSAIDRDGATWPRSSRHPRLALLNNFGAAGSNGAL ILQEYSSLKATPQNEEQCEAHSYMLGFSARSHTSLLAYKDALISYLEAPSLPSSLRDA AYTSTARRQIYDYRISVTGSTIQEIVDNLRNADIYNIRESANPQPRAVFAFSGQGSQY LGMGRELLTSQPEFKKVVLDCDRWLLSNGYPGCLNVIACKDDQERQSHSSSLLQQSLQ TAVFVLEVALARLLISLGIMPTIVLGHSLGEYAALVIAGVIDLQSSLKLVAHRAKLMM ELCELEKTSMLAVNLSAETVRRHIGNSPEFHDLAISCDNSESDCVVGGPISQLQSLKA KLGTMKTRSKILDVPMAYHTHALDPILVQLTEVAKTLEISCPRIPVLSNVFGRVIQPG ERAFTFEYFAMHCRQTVAFNAGIRELSSSGMGAEISRWIEIGPHPSVLPMVSTRLDRD TTHLLPSLRKGTPASATIARLLCHFYQTTTVLNWRKAFDERATLTTLPVMPFSEQEFG IYYPRESTQRASGKCDQNTDSQTGYTFLSKIVQRPSETNREAIFETPIAVFKEYILGH RVCEQALCPASVYHEIVLAASKWIQRDPGEEATRALSNVLYPAPLLYSEESSAIVRVY IKPGGDHKTNYSFTVASYNAGSDPQQQVIHCQGQLKTRPAAHAQKYAKLVPLMERQKE RFLRVDQSSTQVFLRKALYEKVFTRVVTYSELYQMVQSVRIDQDEALAVCRFPNSQGE PSRANTVMMDVLLHVAGFVANLNIENDEVCICKEVKSATMTRNIPFSDTTFEVYCSNL EIAATNVIIADAYAVDSRGVIAVFKGMAFQRVKLTRMAQALRLAAARSGHSHQSVPIE RAKLVAPKPSAPNSKPPDMPVDKQPAIREIIARTCNLEASNLVADTSLHAIGFDSLMM IELSSNLSSKLHTSIDISALEECKTVEDIEQLCSDEGQSGPTPISEAETVDSVTMPTT PATPADKLLIASIIAETCGAHITSVKSDVELEALGIDSLMMIELEARLQSPSNSKKLS SLELSECRTVRDIERLVGPYIANIDDAKPAQVEVEISSPLSPRILGEITQSPVLEAEK KEVPASMKLRIAAILKLQEQPEIVHLAEDKAPQNAPLFLIHDGSGICVQYHRLRPFNR TVYAIHDPKFLDPDSWSGIPAMAQSYARLIARTTSGPYILGGWSFGGVVAFEAARVLM AGGYPVTGVVLIDSPPPLNHKPLSANIINAVTKGDRNRGGLVGETIRNLVRESFKACA GMLGAFQPEAVTRTSRSIPRTFLLRSRDGFHLNTRNDSRGLENDWLQDRSDPRTSIEG WEMLTKAKMPYLDIPGDHFQVFDVANVSRWLLLNIWRQGNMLIFTPWNRYKPYQKLSL THAVN AFLA_112850 MESLIAKIQQEAASANYVERKKLLDTLRDLQYSIETPEDAMQRV IHMNLHFAAIRTALDLNLFNDILDNAEPSTVNHLAAKHSADPLLLGKYRNSSSVHSAQ CAPEILTRKTGRVLRYLASLGVLKEAGRDTFTSTRYTSNLARPEIQAGLYVYFDMCNP TYQEMPRYLAETGYQNPTSFTDGIFQRAHKTDLHTFAFVHGDPVRSAHFNHFMKAQRG SQPKCFDLYPFDEESKGWPSDKPLFVDVGGGAGYQTVSFLERFPNLPGRVVLQDLPEP IEDAKSVVPENVERMAHNFFEPQPVIGAKYYYLRMILHDHTDENSIKILSNLVPALGE DSLILLDEMVLPSQRVDEASTQHDLTMMTFHSSMERSEEQWAKLVGAVGLKIKKVVPY APGYNLGVVVCGL AFLA_112860 MGFLTPFVPYHTSAGSSTIRKFGGLLTVEFLEPPPGRSFMMRQT YRLDVEGPVSPALRKLIDSPQRPDGPAMHFHRYQSEFFHVEHGICVAEVDGVSRNLTP EDGEVSLPANRIHRFHIHPDSGEYMTVLLSGSDAGIDNQLDRVFFENWYGYWHDALLY DGGLDFIQKLQMLDAGGHYTPAPAWMPFRLFFGYWASVVIGRWLGGLLGYKPFFKEYT TDWEFAVNKMKSSFWTRRSVDGFWAAKERWDREADLSAGPNPTNAELQYLLEDVTAAT RAAKSKAAEKGLNTNGSVQISELSRADEETVIGISSGLKSEIAQVRKR AFLA_112870 MGHQLDTLRCLLWKPLSILSRSPWILATSFHSEVLTVIKARNGT SEICCWLHLLSAAIFVKSLEGGKNGLAGISAAISCALAGHSVTVLEAAKELAEVGAGL QITPNGSRLLKAWDLPQTMWDQAAEPTQLTVHRYSGAVLAREVDFDKKIRRKYGVPFV DLHRGDLQQALYERAQQLGVKFHLNERVQNVDPAVPLLTTISGHEYHADLIVGADGLW SRTRECFLGTADPPKPTGDLAYRIVLSLDQIKEPALRDWVSHPEVNFWIGPGSHAVGY SLKSGKMYNLVLLVPDDLPPGITKQPGNVEEMKLLFEGWDPMYADLTQLLGYVKRVDK WKLMHREELPSWINEANNFVLIGDSCHPMLPYLAQGANSSMEDGAALGTILKSVTKKE QLPNALHKFEKLRKLRSEAIARETFKQDGPDQEARDEIFISQLGREEITGAFPSRWTC PVVQPWIYGYDAIVEAEKALKGRRFSNIEGESPKM AFLA_112880 MGSIQVDDTIQFSPGPLIVGAGPVGMLTALRLAQLGVPCTLCEM NTETTRWPKMDHNSCHTMEILRIMGLVEEYRQQPGAVPQHSDWDTIFFNTCGVNKKLH IPSNNEYRAKIHANNDGSQPAEPGQRCSQIVLEAFLKKKCLAEPLIATHFGYKFVSLG EDNEGVTATFRDMDNHEKMIRTPYLVGADGAQSKVRKSVGIQLKGRPLPAAFFMVHFR SKELTKLSPFGKWWHAFGLHGGFMIDQDDVDTYTCHEPCSADAAAIRELQEHPEEIPY RVLGSLGKPYKFKIDEIMLANAWRPNFGLADSYVSRDGHGRVFLCGDAAHRNPPHGGY GMNSGVEDAISLAWRLSALHKGIGGGNLVTSYTDERRPNMMLRLERCDAHIGKFTPMI VRTMTAPNTDIFLEKSEEGEKARAEVARHLDRVGPENIDRGVELDLRYLNSAIIVSDG TREPRFDNMRYTPSTRPGHRAPHVFLRDNQTSIVDLYGIEWSLMDFSQVESDNQTANG FVSLDNKATSPVATFKAVAKAMRIPLTHVELDAGEKHVKNVWENYDYVLVRPDGYVAW RGGKEGARDEHCELNEGRIRNILSIALGWKTDPGFMEWEKKELNLEINLTSIHGVEEE LGVGEGQGDAFVGFFKEDKRKVVGNDLN AFLA_112890 MPDSTSTQQDEEKAIEPPVVSESPALDSDLPPEGGVRGWLCCAG GSLGLFATLGFLNAIGIFQTTYQETLLKDYSSSDISWIFTIQLALIWAPGSLFGRIVD AYGPRPVMLPCTFLCLFSLCMTSLSTEYYQIILAQGIGYGLGAGGIFTTSLVCVSQWF VKQRGLALGITVAGSSIGGVIFPFFLRLVMEDVGFNGMVRYTALFIGIALVGAFFLLS ARLPPKKWDPETTWIDFKLFKNRGFAFYALGSYFVMWGLWAPFDYLPSMAQLSGMSDS LALYLLAIVNAASLFGRIIPGHIGDKVGYFNIIVASAFFSCIAILCLWLPFDYHSSNA GLIVFAVVYGFFSGAFVSIMMPCCAKSGSLETLGRQIGTYQGVIAISTLTGLPIMGAI LGRQHNSTFMGLQVFAIATMFIGFVLLLISRNVLAAAHGTWKY AFLA_112900 MTAKWRATRLLHGVRAIVGGQGPPLILIPGWPQTAEAFSGIFEP LSKHYQFLALDPPGLGDSAPPLNGYDTANVSKVMAEAIHDHLKDRPYHLIGHDVGGWI AYPWAAQFQSRIKSLSILDASVPGFLPQLQFPLPHPTNMRLWQFSFNALPELPEILTR GRERELLTWFFKLKTVHADAFSKDHFERYIQAYSRPGAMSRGFEYYRAFGTSAKQNLE FAKTPLDIPVLALGGASSVGSDMIHLVRNFATNVSGGAIHDCGHFLPEEQPSAVARRL LEFLDANQSE AFLA_112910 MAAPRSTSLRALRVLSQQHAATPCLRRGLHITGVNSAQPVNVSD RTSLYATRSLADLQRECSQRKLGASGSQNELVERLANHDFLQSRAFSIAMRRINGSSA ADKSVSTRQFNTSRASKAVNDSSTVDFAYLPSMDEIDAPTRPADTRIPILSDVYTNYN SSQPSNPPMKPQVHTVSGGGADIAVSPMAEVVDNTSVDIDPFSLTEAVGKSRFGEEVW KSQNGSKEPGVVKELWTGFLEDILGPKQQSYQKQH AFLA_112920 MVDKKLLSVRQISEHNAVRDCWIVVDNQVWDVTEFLEEHPGGSS IILKYAGRDATKAYSEVHAPSVLSANLSQEKHMGVLDESTIDDEWVKQPPTENPKVVL DHEKPPLHTLINSHDFELVASKTASKKTWAFYSSAATDLITRDANKSCFDRIWFRPRV LKNVRSVDTKTKILGIDSSLPLFVSPAAMAKLIHPDGECAIARACGNHGIMQGISNNS SYTMEELRDTAPSASFFFQLYVNRDREKSAALLRQCSANPNVKAIFVTVDAAWPGKRE ADERVKADEGLSVPMAPSKAKNDNKGGGLGRVMAGFIDPGLTWEDLVWVRQHTHLPVC LKGVMSADDAMLAMEAGLDGILLSNHGGRNLDTSPPSIITLLELQKRCPEIFDKMEIY VDSGIRRGTDILKAICLGATAVGMGRSMLFATNYGQEGVEHLIDIMKDELETAMRNIG ITTLDEAGPHLVHTADIDHLVPESRQHPYARKVAKGRRSLHLSKL AFLA_112930 MGDTSKPLRYVDVSFLGLSYIIVWVADKGKPSYNQIGINFSDPV FRGEYHGKQVHESDLDDIIQRAREVGCEKFMVTGSDVEESRRAIEIAQNYPGFCYATV GVHPCQAKLFDEFSGGPSKMLDELRSLALESKKSGYAVAFGEIGLDYDRLFLSAKEPQ LKYFEAQLDLAVEIQLPLFLHSRAASEDFERLLAPRLEKLPKKGLVHSFTGTMEEMKR MVALGLDVGVNGCSLKTEENLEVVKALPLERLQIETDGPWCEIRPSHASSKYLEGAPT LPKAVKKEKWQKGCMVKGRNEPIAIAHVAHVIAMVKGITVEEVCEAAWNNSVRMFGLG EGTS AFLA_112940 MYSELPPNFGEVVEGIYRSAFPSPWNLPALENLGLKTIITLVEE PYSVSHMSFLRENGIAHFRIIVQANKDPEEKTPDHVINGILEILLNKANHPILIHCNK GKHRTGCVVACFRKVQGWNLRDVLDEYLSYSWPKSRALDERFIEAFDATKLDQVAKES GAKLWKPTGSHRNPEPYMLRSTF AFLA_112950 MQFSAPENSGVTPRMERPYRADEVVGHSKGSTPGSILLANDTCQ VSEFISLFNLGFSTLVRDNPPSIYKYPILEKSKLQSLSRIAPSVFSLRYRELLPLKHH NAAMQRNAASDKHRNQGKHRRECPISRRLGHQ AFLA_112960 MPRDEAQDLLLADQNGIDRLESQSLLPESPSEERDALTPFDTTP HSPISPPTLSDETQHQRQSSFAQPVAEGQRRAPRTLNRVRFDLDDDTDDEHRSNGYPR HSGDSWLEGEDYARGDNTRSGRSATGQTVPLLTDIEAPSVTLATSDDFFPEEHLENAR PRSGMRMAFMNMANSIIGAGIIGQPYALRQAGMLMGLTLLVALTVAVDWTIRLIVINS KLSGADSYQATMQHCFGKSGLIAISIAQWAFAFGGMIAFCIIVGDTIPHVLGSLFPSL RDMSFLWLLTDRRAVIVLLVLGISYPLSLYRDIAKLAKASTLALLSMVVILVAVLTQG FRVPSESRGEVKSLMIVNSGFFQAVGVISFAFVCHHNSLLIYGSLKKPTLDRFARVTH YSTGVSLAMCLTMGISGFLFFGSQTQGNVLNNFPSDNIIVNVARFCLGLNMLTTLPLE AFVCREVMTTYYFSDEPFNMNRHIIFTSALVVSAMTMALITCDLGAVFELIGATSAAA LAYIFPPLCYIKLSNASRKAKIPAYLCIVFGITVMGVSLLQAIAKMIRSKLKTLN AFLA_112970 MDQDKKERLSRLQMEDLGTARREHISTTDSRKVKKARLEDIEAT RLSNQPGTEAQRLAEQNRQQLTEWKKNLDELLNGQSHRLQLRAALHGSGSYDSGRSLK ATDDYPKPSEALLSASTRGSRSRGRGGGIAGTRGRGDIRAVTVRLPSGTSNRASASKP GHHVQQASGARKASLDPALEINNSDPYGKGRGKQAQNQPPYQFGKNRGSK AFLA_112980 MPAVGDQHRGNPTCIMMPNTTDTTSANPFEERPRRMNEYTAREI ATLQARLDKKLGPEYISSRPGAAGQRVHYLAADKCINLANEVFGFNGWSSSIQQIQID FVDESPNTGKISLGLSVVVRVTLKDGTYHEDIGYGHIENCKGKAAAFEKAKKEGTTDA LKRTLRNFGNVLGNCIYDKDYVSKVTKVKTAPARWDVDDLHRHPDFAPIKKEPVQQKP MQEDDDLPPRPTDAGKNNSNSADTAFDADGEFGSDLFDEADFGVAATGNPDEIVIDPD TQRFQQPPTPLNRQNGPAPYRGPQQHNPLAAARPHSAIATPSKPERPPNQAAAARQIP PPALNGRPNPAAPAHNPQHNLPSGRIPPAQPRPNQDTAMPGASGQMPIKREQVPNPND PGTQDMLPPGSSPMPSASFFSARAVDLLRDNPQANAAPAFDPHAESPSIRKTAGVDHS KSVPISKPMLASVSPAANNTRDFVNPSQDMHRKIGAPSGIGSPMNRGQTTSSYRPLTR PNIDPKNAVNTTAANRGVGPQNLNGKRPPLSDVTNASTLGGSGPAPIGGAIDPKRPKI NDGPLPHQQQQHPQ AFLA_112990 MAPSDLDQLIEMGFDKERAELAVTKSGGLQGALEWLEANQDKSL EEIKAESKNDDEEEGPQLQPGEEARSLVCNECGKKFRSHAQAEFHASKSQHVDFSEST EELKPLTEEEKKAKLEDLRQKLAAKRSVQSVQDKIDQKRNEEIRRKSTKESQDAKEEL QRKQMMKEAEKKKQEKLADIEAKRRVKAKIEADKEERRRKAERERAERAGVAPSAEPA SAPSATSSGPSTSKPASAYTETRLRFQTPKGNILKTLPVTTTLFEVAAALKQEDGIDV QSFLQTFPRKVFDSEYYGESLKDLGLTPSASLVVQ AFLA_113000 MSIMLEPPELAFKRPFNREVCQILHLNNENQEPVVFKVKTTAPK HYCVRPNSGRIEPGKSVDVQVLLQAMKDEPAPDAKCKDKFLVQTVAVTRDMEFANVTS IFEKASKASIQERKIRVNWLSAEDSPAAEQGDTNGVNVPDDEPPAYTSPAANFQTPAV GAASKVANDTSPIPPPDFSDKRDIATPQTNESKISSAKAAIASAIPTSGEDLSAQLAE AKAQIQNLKDRLADQGLRQRKIGGETEKSAAPALQQQHAQSVEAGVPVQMVAGLCLLS FLIAYFFF AFLA_113010 MPSAVVTTDSPHLRVSAAKNKDLDAQNDQRSVRIPPSESSIVED QFFWTYTEEPHRSRRQAIIKAHPEVTKLCGYEPLTKYVVFGVVSLQICCAYLLRDTSM LSWRFLATAYLIGATANQNLFLAIHEISHNLAFRSPMGNRLLAIFANLPIGVPYSAAF RPYHLTHHKSLGVAGLDTDLPTAVEAFLLDSLLGKAFFCTFQILFYALRPMFIYSPPF TYIHTLNLAVQLSFDYALVKFCGGSLQPFFYLILSSFLAGSLHPCAGHFIAEHYFFSK VDHGTESITEQKTKSTEKKQPHPLDNLPPPETYSYYGPLNILTYNVGLHNEHHDFPAI PWTRLPALHRIASEFYEPLPSHRSWVWVIWTFILDKNVGMWCRVKRAQGGRLVGGGGK SGRSGETISAESAGPEENEDGWKESELQN AFLA_113020 MAAQASEDLQKLDLNGQGGAAKADAPTAGQAEAGEAEDDSDDDA DEGNAAPEGGANGAAKKKKKRKSKKKKKGGAKVQSEPPRVPLSQLFAGKQYPEGEIVE YKDDNLYRTTNEEKRYLDRMNNDFLQEYRQGAEVHRQVRQYAQKTIKPGQTLTEIAEG IEESVRALTGHQGLEEGDNLKGGMGFPCGLSINHCAAHYTPNAGNKMVLQQGDVMKVD FGAHINGRIVDSAFTVAFDPVYDPLLEAVKDATNTGIREAGIDVRMSDIGAAIQEAME SYEVELNGTMHPVKCIRNLNGHNIDQHVIHGGKSVPIVKGGDQTKMEEGEVFAIETFG STGKGYVREDMETSHYALVPNASPVPLRLSSAKNLLNVINKNFGTLPFCRRYLDRLGQ DKYLLGLNNLVSSGIVQDYPPLCDIKGSYTAQYEHTIVLRPNVKEVISRGDDY AFLA_113030 MLFPRVRTPGIRLRTTHLHTQHWNHTYPTLYTNTASFSTSPIRR ATSREPSHYEILEVPITASPAEIKKKFYALSLRHHPDRNRNDPKASSRFARISSAYET LSNHTKRAAYDREHGIIAHHSTHSTANPGQHPMGSYSSYSANLHTKGASYAGSRPASG LSKRRGQFRGPPPSFYAHGGYGNRKAPGGASSSSAAGGWSEHDPTAFIYRNPVNHFNA PGHYKTQSAEDARRKERRSKEMGAELNNQYIGSRGDFAVRFIIVCGILVGAGSMTGLI GWPGERSPKAKGNKPARRKEE AFLA_113040 MSAPASFSDIAKAANDLLNKDFYHTSAASLEVKSKAPNGVTFNV KGKNAHEGPIAGSLEAKYVDKPTGKGPKRRKRPIRKPPDSNFPVLRDTRSMFAFSCGQ VLSHNIKIFLSSRISHHAVLLILCPRPGLTLTQAWTTANALDTKLELDNNIANGLKAE ILTQYQPSKQSKGAKVNLHFKQPNLHARAFFDLLNGPSANFDAVLGHEGFLVGAEGGY DVQKAAITKYSAAIGYSVPQYSAAITASNNLSVFAASYYHRVNAQVEAGAKATWDSKT GNSVGLEVASKYRLDPSSFAKAKINDRGVAALAYNVLLRPGVTLGLGASFDTQNLNQA AHKVGASFTFEA AFLA_113050 MCGIFACYNHPDVQKFKPTALRMAKAVRHRGPDWSGNYIADKTI LAHERLCIVGVDSGAQPLVNDDGSLALAVNGEIYNHRIIRKNLKNQYDFKTHSDCEVV IPLYMEHGLDAPKHLDGMFSWVLYDRKQDRVVAARDPIGVTSFYIGWSSETPGAIYFA SELKSLHPVCDKIEAFPPGHIFDSKTGSMTRYFEPKWWDPTNVPTTPVDLKVLRHTLE KSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRMQEAAKVAIQNQTGSSDLVGID DSNELSTVTTFQQLHSFSIGLPGAPDTEAALEVAKYLGTKHHAFTFTVEDGINALSDV IYHLETYDVTTIRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPNKEEF HNETVRRVKALHLADCLRANKSTSAWGLEARVPFLDKNFLETAMGVDPQDKMITKERI EKYILRKAFDTTDEPDVEPYLPEKILWRQKEQFSDGVGYSWIDGLKDHAELHVTDEMM KNPKPEWGNDIPDTKEAYWYRMMFDEHFPPSCASTVERWVPTWSKQTDPSGRAIATHN AKYDHVE AFLA_113060 MSLCLNRLTEERKQWRRDHPYGFYAKPHRTPQGVLDLKRWECGV PGKAQTLWDGGLFKLDVTFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW KPAITIKQILLGIQDLLDDPNPESPAQAEAYNLFKKDRPAYEKRVRQVVKENPTL AFLA_113070 MASENTPSKPGGMLSLYANLLDPSADNSPGTISRAPVVFKQASE GESQPDESAAKKQQLNTASLRFQPTKRPQLSAQKPKPKPALPKAAPVPASAAAAVPKT TLADWANTEEDDVNDFYAGPKRQRGGRKKRKKNKDTREFAQDWDDIYDPSRPNSYEEY KHSDEQISEVREWKDRLYAHRIVRSLSRDSYSDEDYGRPMNRQFAPPSSFAPPPNLND MPPAPPAHSPAPPADIPDAASGEEAFARRAQLHTNPADTAMADYTPPPPAEASSAPVP DDPTGQDAYLRRLQMSAGPQPVAEPAPPPQPRPLEALQPASATISRAPVRYTLPPPPA DIPASEAELEEVFAKEQPVEGEGEGEAEGQRSLRPGQKGFAQRLLEKYGWTKGSGLGA TGTGIVNPLQVKVEKQKKRPDSEGGGFATPAGRGKIIGGARKKEDEGKFGQMSEVVIL KGMLDGMDVEAELEGDQDGGLMQEIGDECSEKYGNVERVFIARGSAPPVPVFVKFTNQ LSALRAVNALEGRIFNGNPITARFFDTQKFEQGIYE AFLA_113080 MTTLAQSFSSRSADIAVVVPKRQTPLSPNLSITYQQLHAHVAEF QAKLAKLGVGHGGAVSLALANSYEFIVGFLGASWQRAIAAPLNPAYKQEEFEFYIDDL SSTLVLIPKGSYAQNGPAVRAGRKYNAAIAECYWNGTEVVLDVKEQGKLAGSAGVTVG QAQPDDVALVLHTSGTTGRPKAVPLTHKNLTTTMRNIRDTYKLTPKDRTYLVMPLFHV HGLLAAFLAPLYSGGSVIVPPKFSAHEFWSDFVAYNANWYTAVPTIHQILLKTPLPNP IPNIRFIRSCSSPLSPKTFQDLEKTFNAPVLEAYAMTEAAHQMTSNPLPPGKRQPGSV GLGQGVEIKILDQDGNEVPQGKEAEICVRGENVTKGYLNNPSANKSSFTKDGFFRTGD QGKKDPDGYVIITGRIKELINKGGEKISPIELDNTLLHHPKVAEAVCFAIPDEGHYGE DIGAAVVLKGNNTATEDELKSFMAEKLAKFKTPKRVWIVPQIPKTATGKIQRRKVAEA MLTPKAKL AFLA_113090 MSTKKEFICLVPDKPGSLQKRLEVRKYVVFRSTPPWISQNNPLT LEYYSQHLEGVKPLVQNGSIVCGGGTLDSHPAPGETPPFNGSALIVVAENEAEVKALI SNDIYTRSGVWDVEKAQIIPVCRFFLFFLHCFPWIGGIGGGCLYLGFGLMLVVVVYVC R AFLA_113100 MLLPKGGVTWKSAKARLPPWRAILVLVTRTRFLVSLALTGLLIL LWRGISKSASEMQNFYCYGPPKSPMDMSLNEMAEWNAHMQTPVVYNHHDPYEVNSSTI HNIDLNPIGSSAQAVANAERVLILTPLRDAGPYLQKYFELLYKLSYPHHLIDLAFLVG DSKDDTESLLVSELNRIQEQGDKVAFRSASIIKKDFGADVNMNVEDRHSFAAQGPRRK AIGRARNYLLYSALKPDHSWVYWRDVDIVDSPETILQDFMAHDRDILVPNIWFHRYKD GVDVEGRFDYNSWIESDKGRRLRQTLDPDTVLAEGYKEYDTGRQYLVSMGDWRNNKDE EVELDGIGGVNILVKADVHRTGINFPAYAFENQAETEGFARMAKRAGYQVYGLPNYVV WHIDTDEKPGNLGDRKAY AFLA_113110 MSSMTDDISRRYSLPSVRSIANAPNATEMTDDRRLPPLPRVDPL PRGPFNPLASKIFAPSPPSSQESFPVKSPFPASEHIVTPPSPANSADSSWPESLPSQK MFEWPQDLSSADLVNLIAPKHINRKPPLQQLCGRKRKGSMVTGECDDQREKHRIAEGN RRKNLSQLHRELDSRIHDFFLERAGWNPSKSLPESKEHIVQGAIFLIDFMLLIIVHLI RQENEMPRQLSEKLQPQIRCMQLQQLIANLQQQNQSAQQQIKSLKQENQLLEERNQAL ELQLKSYEHMFRSHKTEQPSPQPLTQFSDSRPRNMLPGLRVFCDEIAVNGTEASRFDP PHTGSSQSFSQSFLSHSPPMTGPSSPVFTQSTFSVPASRRQSIIPSP AFLA_113120 MFIKYALPALAAAQAVFAASDKCGSGDTIKIENQSDADGYSSCS TLKGDVEISGTYSGDLQLNGVKQISGGLSCDGASNMTGLSASSLNSIGDTFKLTGLTT LTTLSFAALTKVGSIEFTALPQLQSLDFTKGVTEAGSVVITNTGLSSLNGISLETVGG FDITENTNLKTVNVNNLKNATALINFAGNMDGLEIEFPNLGTGQNMTFRNVSSVSVPS LEKLKGQLGFWGNKFQSFSAPNLTETSDLIFNDNSKLSNISMPVLKTVNGGFQIARSD KLNVIDFPKLETVTGAIDFSGEFNEAHLDSLKLVRGDFNMQSTGNISCTTFDNMAKNR EVIKGTETCKTTSNPETRDGKSGSTTSTGKASATSTGAASALDVSSMPAMGLAAVFGA LVQYAL AFLA_113130 MALRRSARLNAVTNSVQPTIQAKKTNRAQQTSGVTKARKTPAKG KKTVGLTLERTLENPTPSKTAIADTAKQEKTNNIFDPLPTDRSISRLRSTPPPLDRPV EPHRTNATLLTPHGSSLVAYPPGTENASPSKTGRPRPTATTGTLLEKAVAHLIATDSR LEPVIKQHPCPLFSPEGLAEEVDPFRSLVVSIIGQQVSGAAAKSIKNKFVALFNSGDS GDNAPEASRFPKPEEIIKCDIATLRTAGLSQRKAEYIQGLSQKFASGELSARMLLNAS DEELLEKLTAVRGLGKWSVEMFACFALKRIDVFSTGDLGVQRGCAAFMGKDVSKLKAK GGGKFKYMAEKDMLELAAKFAPYRSLFMWYMWRVEDVDIAVLTT AFLA_113140 MSAPSLSSYIVKRPWLKRWMMPIANWYTDAAGYRRLGLKADDLI PEESEVVQTAIKRLPPKEAYDRVFRIRRAFQCSVSHTLLPANEQTKPADDVEYLSPII REIEKEQKERADLDSLVVKRR AFLA_113150 MFSEEHGTSATANGSQEQQITHSDGPLTPSFNASSTTGPQSSAL ITRLELLSTRVPDFYIAPFCGASAGVASGIVTCPLDVIKTKLQAQGGFVRRGGQVVEA KALYRGMLGTGRMIWREDGIRGLYQGLGPMILGYLPTWAVYLAVYDRSREYYHEVTGY ASLTAGACSTIVTNPIWVIKTRLMSQSLRSDSEGFRAPWRYSGTWDAARKMYKTEGIR SFYAGLTPALLGLTHVAIQFPLYEYLKMAFTGYGIGEHPDNGTSHWIGISLATFLSKI CASTVTYPHEVLRTRLQTQQRTSPVSSPEEIAFRGGVDHPESRGRPPTAASSDGMPNR PRYTGIVRTCQTILKEEGWRAFYSGIGTNLFRAVPAAMTTMLTYEYLRKTISHLQHEG ALKQQMAEEAEDSAGI AFLA_113160 MAGKIFGRSSGSVNAPIAAFSMALILTSYCVSSIRTARREAQAP STTTRQKPAGEKTEQSSWVQQALDESREAERKVSK AFLA_113170 MSFFYHGSSDLSEANSPKVGSFNKNDADVATGLVGAPACGDVMK LQIRVDKDTNVISDVRFKTFGCGSAIASSSYLTELVRGMTLEEAGKIKNTEIARELLP PVKLHCSMLAEDAIKSAISNYYTKNPNARTTNLGGTGASIPNVKVEIEKSEGAAATA AFLA_113180 MRAALRAPLRASDFAPAPVLRYTSSAPWIRPFQRASGPSSVHRS VSNSAQAFSKARQLRPRVPLVALRPHASYSTKTSNLFGAAEKANGNGRKSSGSEWSRR KVITYTVVGGTIVIGVVAFSDNAQHLYRAAARTGRVVGTLAVCINDYRVTLNRETSSP EERNEELRACHKRCAERTLRVLERNGSIFIKLGQHLSSMGYLLPLEWTTTFIPLQDKC PISSIESIEEMFIADTGCRIDELFSSFDPEPIGAASLAQVHIGILKETGQKVAVKVQH PALAEWVPLDLALTRFTFSTLKRFFPEYDLEWLSKEMDLSLPQELDFRMEADNARRAS EYFKEHSDAPLVIPEVMWAQKRILVMEFLSGRRPDDLEFLDSNNIDRDEVSAALAHIF NEMIFGDNAPLHCDPHGGNIAIRKNTNRRGQNFDIILYDHGLYRDIPRDLRRNYAKLW LAVIEADEAHMREYSRKVAGITDEQFPLFASAITGRDYTKLTKKNIATTRTAAEKESM SGALGEGMLQQLVELLGQVPRIILLILKTNDLTRSLDENLHTRQGPVRTFLILARYAT RTVFEEQMDLIHETGGLLRPFNFLRFLAAWTAFLRVELKLSVYETLLSLKSRFGLL AFLA_113190 MLNSKLSNDSWLGDQDDEDDPFAQLEEGLDEVDLEANIARDKHA RLRGQVEGLVSSLKTSQDEDVLGEISEQLLNVFYDLPETKNIIISAHGMLPILEILDM CRRRDIILCLLRIVNAIIYNDYEIQENLCFVGGIPIINEFASKKYPREIRLEAATFVQ QMYQTSTLTLQMFVSAGGLNVLVEFLEDDYEDERDLVLIGVTGIWSVFELQGSTPKND FCRILSRNSVLDPLSLVLSRVLDEDGELAETVEGRIANIFFIFSQAENHVKEMVAERT VLHRVLKELKRMTPAHQITMLKFIKNLSMLSTTLDALQNSNAIDVLTDLLRSTIKRPH FREVSNQILNTIYNMCRLNKPRQEDAALNGIVPLLQKIVKTERPLKEFALPILCDMAH SGKVGRRELWRNKGLAFYISLLSDPYWQVTALDAIFTWLQEETAKVEEHLLDKRPDKP SFTDSIVRCLTISKANAFENLLEPLQKLLRLSPPIASTLARPDLFSRIGQKLHHNKAA VRLNLLRIISSICDASEEQGGLLAKYGLLDAIRELENDPAILVRDMAGKLIQSNERSE AYSLGKRKPGVRRRSTSTTPPILLTNQSAPSTPQINRGSQSKGFFEGRESQRHPRNAL SGSALVIRPGSRDGVSPGLAAGLNGNPGVSRNRVPRVSNRMSHVDLLAEEDGRRPSSA SRRPSILPRRRHTTQTDAEWTP AFLA_113200 MMVSRCSGGIEGAPPSSKPQNAPAKARVARLGSSPSKRDDRPKD DRVAKTTAKDVAELKDYQLGDCLGKGAFGSVYRALNWNTGETVAVKQIKLADLPKSEL RVIMLEIDLLKNLDGYADLNPGRKLTQPLQHSNIVKYHGFVKSAETLNIILEYCENGS LHSIAKNFGRFPENLVGLYMSQVLHGLLYLHEQGVIHRDIKGANILTTKQGLVKLADF GVASRTTGLNESSVVGTPYWMAPEVIELSGATTASDIWSLGCTVIELLEGKPPYYNLQ PMPALFRIVNDDHPPLPQGASPAVKDFLMQCFQKDPNLRVSAKKLLKHPWIVNARRSD SVVPKKSTEYEEAVKSVQEWNEALRSPEAGTLRRPFRHDYQSPAPLTSSRNTPTKASP TSRNVADRFRSPDSIEEDNWDDDFATAISPSALQLPHLRPQDNFGGMLSSEKLKAFAS LDGTVLRSEENFDDFDDSFRTSLQPGDSDPLETIRPFPTKQTGIEDTQLQNQPRYPIN NNAIAIHNVPILAQNPVPPMRQPRPASYYKENSVEDYSDLIQANEDVLDRKLGLFQVN IMTAITVRVTYEQFFSNYPTGDR AFLA_113210 MIELGLSRISRLLQQTPLTWKAIHIAGTNGKGSISAYLSHLLTS SGVRCGRFTSPHLIDRWDCITIGESVVQESLFRQFEEKIKLRDQTLGIGASEFELLTA TAFEIFNHERVDIGVVEVGMGGRLDATNVLNNVLVSVIAKIGLDHQSLLGDTLEDITR EKAGILKRGVPCVVDGTNLPAALSTIEARIKELDIDAIYTRPDAPDGHSSTLSRLFQQ LDVQPHQRANMCCAISALKLALRRVRPDIKVDSLVSQLSRVEWPGRLQEIVLNPMVSR TDSVLLDGAHNSQSAEVLGQYVDHKLRSQGSPVTWVIAASRGKDIASLFHPIIKAGDN VATTAFGPVDGMPWVKANDPQELASSVQSISAIGDVKSFDNDILAAINWACSKANGGP MVIAGSLYLVSDVLRHLREAQKQSQKTTES AFLA_113220 MGIPMYREPSSTEAAKNNIVKDPCAAARSAIRRQTTIRRPSRHS SSALRSATLRSPFPRPLANEIEREANGLPRHVRSPIPNSGSGEDPFDLTNGLSDSSAR EAGQRLLNDVLRHSRPGQRLRIPRNTVLDDIYLRAAAGNHGGAQQEQDHPPPSFTPRF APAIAYHRTSSPQAPPDVRLSPFPRSEAFGGDVSIGSTVPLLRRVGQRSINQPSRSNS QTVVDGLGDRQRSVSPDGDNANDAWETLLTTITPDANLPSADSSFTSASAGTNASING TARSSATSFGTLPNSMDSTAATVQMVLDPYPEFLNPCDYSTSTDSDSDSEAEATQNSL FHYHYRRIREINARRDARRRARNAHSTMSSQPPLPAISLAISNSIDPDLQHMQAILDR LARREDVPDDLWTAAGLSRTIGQGVSASDGTNNTDAVDGPSRQQQ AFLA_113230 MDAQVDRLVDKIWDKTESTPDDSRLMIAVSGIPGSGKTALASLM ANRINQLYTAQHPNSPPIATAIPMDGYHLTRAQLAQMPDPVYAAARRGAAFTFDGEKF LRLVQALREQLTPETQSLYAPSFDHAVKDPVDDDIAIPATCRVIFFEGNYLSLNKEPW NKAAQLMDELWFVDVEFETARKRLVRRHVKAGIAKDEAEADKRATENDLVNGREIVDY RLPVQEIITSRYDPNWDR AFLA_113240 MLFAKSALFLSFLALGNIAAAAGPKACLLEALGTEPSPGDLKAV CVDKVQTKIESLCSDDDKQDALKQFADTCTAAGHKVVVNTSTSSSASSTGTSTAGSKS SSSGFVTATATSTSSSGSSTSGSDSVTNPSSTSSSGVPLHTANAGSSDRHIPAAAFAA VVFVGFAATL AFLA_113250 MFVYKRDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQKVI SGVYQGVTTVELDNLAAETAAYMTTTHPDYAILAARIAVSNLHKQTKKQFSLVISDLY HYINPKNKKPAPMISKETYEIVMKHADELNSAIVYDRDFNYNFFGFKTLERSYLLRID GKVAERPQHLLMRVSVGIHGNDIEKAIETYHLMSQKYFTHASPTLFNAGTPQPQLASC FLVDMKEDSIEGIYDTLKTCALISKTAGGIGLNVHRIRATGSYIGGTNGSSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFYALWT PDLFMKRVEANGDWTLFCPNEAPGLADVYGDEFEALYERYEKEGRGRKTIKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIIEYTAPDEVAVCNLASLA LPTFVDAARGEYDFGKLHEVVQVLVRNLNKIIDINYYPVPEAKNSNMRHRPIALGVNG LADAFLALRLPFDSPEAKQLNTQIFETIYHGALTASSDLAKDFGTYESYEGSPVSQGI LQYDMWDRTPTDLWDWDALKAKIAQTGVRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIAEGGSVQNIPNIPADIKALYK TVWEISQRSILQMAADRGAYIDQSQSLNIHLKEPTMGKITSMHFAGWKMGLKTGMYYL RTMAASAPIQFTVDQEALKVADTNVARANASFRKRAVGAASNTYSAVPRSPTSETNGQ ANGSVEPKPRAEEADAGETQSEDDQKTSEERENDIYSQKVLQCSIENKEACLMCQG AFLA_113260 MAPLAKTLALAGALFAALASAAPVQKRQDVVVNTRTTVEWTTVT VTTTITTDRPVQTQAQPTVSVPASSTPVVTPEPSQPAEVPGEFHESEAPEPQQSATIQ PVWTPTPAESTTSATPTPEPTEQPEPTEQPEPTTTSNPPVVVPTSSSTTSAAPQPTAS SPSGSSSGYTGTCSKDSPCKGQTTFYDTATSSLAPSSCGYTNDGSTEDVLALPVGMMK DSDCGRMVTMRYNGKVASGKVVDKCMGCDSTSIDVSRHMFGLVASEDAGRLFDVEWFI E AFLA_113270 MRPAFFLAALASLASTHANPEADLAGSIWDDFKGAVTCAGCEGL LGALKLVAGLGQSALEHVVTDACKLAGIEDDDVCEGAIKEEGAAVYYALKNLKVGSHT SKTFCSSIAGLCDYPDVRPYNLTFPVAKSSVTRPPPSGQSPIRVAHISDTHVDLQYTP GANAQCTKPICCRSFTPEDAPGNASSPCGLWGDHHCDPPLRLEDSMMDAIAALNPTFS IYTGDVPPHDIWLVNQSSVLQSFNSTYSNLGKLGVVYAALGNHDAAPVNLFPSDKVPP SHNPQWAYDALASDWSNLVEGSPSSTTKHGSYSIIHPNSNLRIISYNSVFYYKYNFYA FQEPMEYDPDNQLHWLISELQAAETAGQRVWMIAHIPTGNTDTLHDYSHYLDQIINRY SASIAALFFGHTHTDLFQISYTNYTARTADSATAIGYVTPSMTPDSGAPAFRIYDIDP VTFAVLDYTVYTADINSTDSPNTPPKWVKYYSAKEAYGSLLTPPVTDPNVEMTPSFWH KVTAQMEKDDSVFQAWWSRTTRGYNVTECTGECAKNKICSLRGGDAQFNCEGPGTPFS ITKRSDGVNEVHVERPFCEDAVLARIVGGLARKGVDAEKFVREKAKLYEKA AFLA_113280 MADVAQVIDFGFSFSLFYATRKGLGLHDNDIALSDRYSLNRANY AFTVLYNPALMAVKTSILVFYLTLTQGERVFRCANYVTLFVVNAAGLALTLVNVFQCR PVGAAFSYPLAPNAHCTDILTLYLSSSPVNIITDLAILFLPNPILTQMRLPRKQKIIL VITFSFGFFVAVVDVIRIAYLQNAATSRQILLREIHLQDASGDDLNCMLVEVNVSVMC ACVPSLKPLVARIVPKMIRDTDDSTTVPDAPTVGPLDIPDIPDIAEPAPLPTVPGPNM RRRSQSANHSKSSPSGSGSSASQREANGPIDMREFLMASDPPQDAEANTTITTTSYLP SITFFDFVNMKKPANMLKLSNKESIAPISLTTILFFLWGFAYGLLDILNAQFQDIVRL DSWRSLGLNAVYFGGYFIGPPLVGRTVLKHWGFKSTFITGLCIYACGTLIFWPSAVLT SYSAFIVSNFIVGFGLAVLETAANPFIALCGPLENSEIRLNISQGVQAVGSVVSPLLA KKVLFKKVTDVSSLVDVQWTYLGIALFDVLLAVAFYYLPIPEASDEDLQELANRRRSD NMTQVAGIPVVWLTLGLGVFSQFFYVAGQEIISQSFTMFVEDVYPSSRLSSFDYLTIA HSIFAVGRFLAAFLQWFLKPRWILLISYIGMIVFSILCMKTTGVAAVAMGLMVYLFES GAFSIIFAISLRGAGQHTKTAATLLTTAISGGACFPFAQYAASLAGGMSFSYSVLVAV FCAGAIFPIYLNLVPAAKKQVDPVPNEHLRRPRRHRPKPNIVQREKENPSIGGVYSRR RSVLSDLLPAVTLSDQSSSQPDLANNGHPRSAAHGGLQHDLAPWPES AFLA_113290 MRDPCAVPEPAVGGSRDKETRKHLRGLFWLCYALDKDFSLRTGQ SHCLRDEDCDLQLPPGYTEKLHSGMRYSSMGNARGLLFPIDLRLSMIKSQIYTALYSH RGLQKNDAEVIRSIRELDEELELWRMSMPSNLRPKLSFAKENSEDQRVDTMYLVLTHL NYYFCVNIIHLAGSRCEAWRLSSTPAGMMDGLRLSLTLSVEASRSLLLFLNYSESLVS VGSFCVLLTSHWPSRTLLFYPMSAMLTIFCNLLENPRAESAASDTQLLAVAEHTTERV FLRQISRADKAAHLQAITGFIFSLRDLAQQAVHQATKESGPS AFLA_113300 MPIKVVVVGAGLAGLGAAISLSRAGHEVQVIEQSGFLNEVGAAI HVAPNATRILKAWGCDLESLHPVHCNKLQVWDASGNLVWTPVVKRQMALNTTDEWLLT HRVDLHNALRTAATKEVNGRKINLRLSSRVLSVDAEAGEVVLEDGTKYLADLVVGADG IHSRTVQAIIGENKGRQSTGQNCFRFLVPMEKIQANPLTAALMAKTGIDGVHAFAAHD RRIVVYPCRSGQLLNVAGIHPAGKETNARDSSWLDGGSLSQLMETYQDFSEELQEMCR LAEDVKLWSLASRSPAPTFVRGKLALIGDAAHPMLPHQGQGAAQAFEDAVALGGVMTE DMTIEQIPQRLELYNKIRYKHAVTVMLMSKTHDERRAEMLEELRSYVADAEVPKDMFA FTWPSDPIGEAHRLVQEARDRQNGPRFYEN AFLA_113310 MQLPKPFLGALGRAFITLQLLFLANMCYLYGTAYHDSLRYSTMK LLFVDYDQDVIGQSVMTAYDQMKGPSFPTVQRHPITEYPTEQDVRNAVCKGYYWGAIY SKANASARLATALSSPEAARVYNNSQALTYIWNGAKYSAYAQSVYSMLVQLVQGTGGV YDQMNGTTILSTANISDPYIAKTVLDPISSSSIDLQPMAQGVRFYYNTVSMVMPILQQ FFFLMALNGISAQFKIFSSLSLKQNMVLRLIISICYTFIASLCMSGYIWAFREDWNAT SDQFGLTWMAIWLVMHLNFLIIDAATAFIPMQFMSFFMLTWIILNVSSSIGPFELSPG FYRLGYVFPAHELYEILLQIWTDGCNPHLYRALPILWSEWIVALGLSLLGMRKRTKPV TPVQVTSQAVKEDMA AFLA_113320 MSNLPIEPEFEQAYKELASTLENSTLFQKNPEYRKALAVVSVPE RVIQFRVVWEDDNHQVQVNRGFRVQFNSALGPYKGGLRFHPSVNLSILKFLGFEQIFK NALTGLNMGGGKGGSDFDPKGKSDNEIRRFCVAFMTELCKHIGADTDVPAGDIGVTGR EVGFLFGQYRKIRNQWEGVLTGKGGSWGGSLIRPEATGYGVVYYVEHMIKHATDGKES FAGKRVAISGSGNVAQYAALKVIELGGSVVSLSDSKGALIVNGEGSFTPEEINTIAQI KVDRKQISEIASTEAFASKFKYIPGARPWTHVGKVDIALPSATQNEVSGEEAQALIDA GCKFIAEGSNMGSTQDAIDIFEAHREANKGASAIWYAPGKAANAGGVAVSGLEMAQNS ARINWTSEEVDARLKGIMEDCFKNGLETAIEYATPAEGVLPSLVTGSNIAGFTKVAAA MKDQGDWW AFLA_113330 MSSTQSRYAKAHESLGGPGDARPTALQIVQDENRVNDLTDKVIM ITGCSSGLGIETAKALFHTGATLYLTARDLGKAKTALGDLVDSPRVHLLHLDLNSLAS VRACAEEFKSKESTLNILIEDAGVMACPEGRTADGFETQFGTNHLAHFLLFYLLKPQF LSSSTFSFQSRLVVVASSAHRVSSVHFDNITLEGEYEPWKAYGQSKTANIWTANEIER RYGSQGLHAFSLHPGAIATELLRHVSDEQKSVWDADDWLKKYWKSPEQGAATSVWGAV ARDLEGTGGKYLDNCQIASPADPTKRHGPGYATWAYNPDGEAKLWAKTLELLELKDE AFLA_113340 MSSPTQVLFPSFHLKICGDLYAPADGSPDRKGAAVVVSHPMTGV KEQTSTDYAKALAKAGFYALTFDAGYQGESTGEPRGLEDPHQRVEDIKAAVSYLTTLK DQVDASRIGVLGICASGGYASYAAQSDARIRALATVSAACVGRMTRNGGVHEHNKENA QAITGALQFAGHWRTNVASGAPSEAPAMFNAADVPDDADPFFKDAAAYYGTDRGKHER STQKVPPVSYDLMIPYDSFNFQHLIAPRPLLMIAGSEAQTLHYSKTAVEAAKEPKELF VVKGKNHFDLYDDLNESGPKLVDFFGKNLQ AFLA_113350 MNSSPQFDPTAMFGLTGTSPGANGDGFDIFEWYPHYQNCQRYFL DHAQHSTTVQALSAFLNIRLPYQRQPHPVFNSSTDHTPTASTTTASISNSNSPPSVSL IPYIRRLVATGMDFPGVLHGFFGDDWGSGVGFLHEQERRNYLFAAKSGGWACVKKDYD ISPLETIPFLRPLQGPLDSEIEAAERSWSEWLAMEDWMVGPRAPDILRDSSSHMSRPR SSRG AFLA_113360 MYLLPTYCLSVSGTNKFDDEVLVLGYDSSRVFDHFYLDGPIIQI VTAPQTEP AFLA_113370 MTPTSQMPNLDMSNIIQDMQLGVEDHGQMDLEPAGAGHGVGDDD AANLNRMLDNAAAAAAAGLDSGMGQGMGGGLDTSIDDVLNAADMAVGNPEFLDLDMEG MF AFLA_113380 MKLTAAIVLSLSTLALTAPAGVPSKNAHKDPGHNFYQEASKSLL GNVGKALKLGPKTNA AFLA_113390 MALSRTIASLCLELVNSSRVARIRGDDAPTSSTMISGVARADPW DGTGYSTVALASDSRVSADGESSDEVRTLVQNLLKG AFLA_113400 MEPMKPHIAPLKIEKGNSNFYSNRFYCTQHHQMTPPLTPSNTKK DDSMEETETPRAVFHNYLRAFYPFHPSGDVSPATITLPLDQGDIILVHSVHTNGWADG TLLDTGARGWLPTNYCEAYDQLPMRPLLKALTDFWDIIQGGCGFPLREFCNQDTVKGL IAGVRFLLEKSECLTRDSVLVRSHDGLRRNRKALLADLSSLVRTTKRCQEMPSSTNDT EHEVCMMDEMLLKAFRIVTRGVRFLDIWTEEVGLSRTIAELGQATHFDILPTPMSETF PSEADTERGESRLLNRSRLDMSRASNRTDTIESQSHRPVSVSTKRISHRVSCSAPAAA SRNPNLASERLNTTYDAFLGVLGSFIGLHLQSRPSTELVVTTEQAVRSCRGLLTVVEA VCEHDPQGCGLLEQARDTMYDRLSELVYAARDAFRPAHSADDELIFMPDEGKRLVDAA TDCVRGAGNCLAKARWMLEQSGDFELEALTQDSSTVHDIQTTPIPQRTGSLAGDQKEV SLRLPPPPLEIPVKKNLRDSTSTPGLTEAATPSSFNSRALVTPTTDNTDQPTAMLSTS LDKMSTSLMQDAHVADSIQCRDSHPTSEVSESFGRGITSTGSSFTYNSHLRDSEMSGV SQTSTRATSPDICNSYQAPSLHGSISHSTLAEENEETEANILEKTFAHELIFKDGQVM GGSLRALVEKLTAHGSTPDAMFVSAFYLTFRLFATPMEFAETLVDRFIYIGDTPHAAG PVRLRVYNVFKGWLESHWRHDCDNVALDFIMNFANTTLMQNLPSAGKRLAELAEKVSA VHGPVVPRLVSSMGKTNTATAQYVHPDAPLPPPILGKKENNLLRQWKHGEGSLSILDF DPMELARQFTIKESRIFCSILPEELLATEWMKKSASLAVNVRAMSTLSTDLAHLVADS ILQLEEPKKRAATIKHWVKIANKCLELDNYDTLMAIICSLNSSMISRLKRTWEIVSQK TKTTLESLRGIVDVSRNYAVLRQRLQNRIPPCLPFVGTYLTDLTFVDHGNQPLRSLPT EDGEMAVINFDKHMKTAKIISELQRFQIPYRLTEVPELQAWMQNELIRVRSSGEKSMQ TFYRRSLVLEPRDPPQTPRSIPPQPESSASSILENAKDKFDFLSWTHPSKAKSVATNG AFLA_113410 MSGNSRRIEPLPPEVVAKLKSSTSITHLNGVIVELVKNALDANA HTVSVMVDFQRGGCKVEDNGDGIQPTEFKPDGGLGKAHLQQELGLGNHGTSVTVNDLF GNMPVRVKNRALALQRHDELDRQWDELRQLLVSLMIANDNLTKLVIIEASKDKRIIIR SRTQNRRTDGQVFNSEEALCDQLKLPNFQRSAPNVSQSFGEWSRIKSAQEFDNARSAR LKVKSTSPEEAQGIERGSAQGNLPYRPERDNGRAPEESEVLSTDTGSMAPGIENGSGS DIAISWTDPYTGTSHLVNSRTGQSVGARSPMDAVQRPRSTGSLQTMRAYDSITRPRSA ILSRTRNLWVEKMMDEWDNPVFSRSEKSLDVIGTRYGTKARMVGDVSTDVCGSESLGL PNVRGKLRRQDLKTAEIIAQVDHKFILAEIRSTAASDYSNGPGSILVLIDQHAADERC RVERLFEEYFTPPVEGSRQVQTVTLEPIIFEIPVTEAYVFGRYKQFFEFWGVEYTVEQ GPADKSAYIFVHTLPMLIAERCRLEPELVTNLIRGEIWRREENGRGPENRVSVLEQDR WAEQLDICPQGIIELLNSRACRTAIMFNDELTIGECQSLVENLARCVFPFQCAHGRPS MIPLLDMTDTLTMVHPGAEYTSGEEEQSDFAEVFKTWRDKIASPLT AFLA_113420 MATAEGPARAHDRNGRRRPFSSWMKRLANLKSSTDSGSTRWSNK RHAVPKHKRSLKNNPYPLSGTVNIHEYNSDNNPSDFSDSNEQRSCSQSEPSLAYSGCD NQVPATSAKSTAPTISTNGDTAISDAAYSKAGTMATVGGGISSHGGGEGSTFSSPAPS VRSLTTTLTTVQSAAPSGHLYNTQNTHHGIHNTSSTHNTTTQQVQFSHQFPPSPATAV PPHLAPHGQSVTYSTATANNLLTDNASILTLASSSKRRRRNSLDTNASIRALAPSSVF GGSRESLPLSVLSGNVGEPSNTSAFNAPGVLNRPSIVGLASAERISIYSASGATPING GGERGSLYANKPSPGVGDGASFISVGQSHSRHDSNAASMSGVAGAMANTISTGRISRR GSGWGEITGDESDEEKPRDRKEDEELDIKSEVPGEAKKG AFLA_113430 MAAPEPKKTVAIIGSGMAGLVSAYLIQQDRKRRYEVEVFEMQDQ LSLDSASYTITSQDHHTYRVDVPMRAFDDGFHNNLKLMYDHLGVKYTSPKFLYPLSTI STTDGKKLPPHFIHSSSNHQVPPIRPEGCGYVEWILRILYLAVCYFWFTACCFLVEPK PATPSDEGESLRQYLGRIRLPQYFVNTYFLPLLSSVTTCSHSELLDFPAIDAVDYARR TYRQPHYTVVGGVQNVQTKISNAQSVRLGAIVTQVENVGSKVQVTWTDLKSKEVHSKQ FDHVVMAVTPNVVGAIYEPLRKLMSSIPVNQGEAVVHRDRSSIPDCSQSLGRFAAAAR QSVDPTQILHICSNSSATEAIHEHPCSVLVTSFPIAPIDPAKVIHRARLTRVLRTPQS RKIVNRIFGGNPQHAYQEKEKSWSNGTGNVWLAGAWCWDGMVLLEGCVVSAMRVAASL DVEVPWLISQ AFLA_113440 MVLGVIERIIQYIIGVDDSKLYSLDHAILSMEVPPRSMWMNMGY WKNTSSFTKACEALLEQVLIAAKLLNEDGTPIKAYHQDIKLVDVGIGCGDQSLYLTRR LCRVCTDSVTTAPSSGIKAAIDNNSGAHNSKMRKRGGSSTRESRPLFDSYVGVTIEQP QADFAQERLSRDTTGDSEISAEWTPDVKIFAADAADPSSWGNELRQATLGSSGHAESK SEATSTDTGSEKTQRWLLALDTMYHYKPSRDPLLNHACRDMRASIMAFDLLISESASL WEKLVLRLMCLISGMPYSNFITEEEYVNMLVRAGYERDMIEMRDISEHVFAGIANYIR KQDAELKRYGMTVGKFKSAAKAFNWWARTGVLRGFVVVARAQE AFLA_113450 MTEYNGRRAPNFSQYLDDLNAIPSPYDQAVQQQQGSYNLDADLS LFTNAEFFDFDNFGDLNLPGFDSVESDRMKKENNQATGQNPDMEFLDLFGGFSNMPDY SATGFNSVNAQSQPTSLQNAQFSTVPQMPNGPANAVSSPNESISTSSSSPAAQPQAPA PAASTPSSAAAPKRKNTQKSAAMSVEEAARVAAEEDKRRRNTAASARFRVKKKMREQA LEKTVKETTEKNTALEARVTALELENQWLKNLITEKNGQSSEEGKKSENDIADMFKKF LASQKAEGQRSSAESRIGVGTA AFLA_113460 MVDLRYMDDHPSSLRWTALELTRIDADGVQSPETDFSSYIPRIH ASTLLNNVQQQISPLVKAHYYLARSNTLPLTFLRIFVTNSPYQHPRQPPETLTDSSRV IYVAFPDSCPFVYTSCISTAPKPPPPRSRTDARAYSASCATPSQSVSLPQRRYAVKAT SLTAKSLQALLALRGPSRTNGANGAFSIFADAVVEGSPLDPRPANTVSPEELLNQTKP EKNKNQPTEDQKENEIHQPGRKPRNSGEDTHIPKKRKLAIHSRFGTSGSLSSAPLDRL DVRLLDRPDGDEDDEDSDADHTQPSLSLTFAGSDVISGIRKLAELGVVDPERMPSWMT GEEAVSVAVVHRGRRVIKDSG AFLA_113470 MGTTRTTSSRQQNTTTSSPADHTRTPQTGRTSTSNRKRTVDEKT SVISTSAKRTRRNEGDYTAVPTDPRNVIDLTGDSPVASPQKKSRSAKQSSAEGIPERR ARVFRRKAPQTFLQRLNRATTQRMFVLGHTVTGADDVPEMSFDIAGTTGNIYKIVIGK EPTCTCPDARKGNQCKHICYVLVNVLKAPQHLQYQLAFLSMELREIYEGSSLSREQTK IDDDNGGKRKAVEGDCPICFMEFEPDREEIVWCRAACGNNIHKTCFQQWAVTQHSQGV RCVYCRSPWQADTSDVNLEQLRVQGQTTAEGYINVASQLGLSGQRDYSTYHPFWVSRQ LYPYGSRSRRRYNADRDEVEWY AFLA_113480 MARPRAHSGAEASKEPHSVSLKVLRLSRPSLSYQYPFPEANTKI SNKASLSYPSDSVDNQFILAPNLTLPPAFGSAYVGETFACTLSANNELAEDETSRVVT SVRIVAEMQTPSQVASLELEPADDAPARDGLQKGQSLQKIVRFDLKEEGNHILAVSVS YTETLIGSDSQAASGRVRTFRKLYQFVAQPCLSVRTKSSELSPLEVENKSLGPYGKTR LLRFALEAQLENVGDGAVVVKQTKLNPKPPFKKKDSRKG AFLA_113490 MPFSSSSSSSTPEVSTTPLADYFWIAGVDGAEILETFQRLGDEY RANSATAPGPALADTIEEDADAEEAHDPRLDSLSRPNSMAGGRNSFQRFSMRSGDSSE SSGNGTSSNRSSLTIKGNQSPRGSSFLEDFDFDKALFKFANERESFLSDLSLSAGAIT PTSRPRSRLRTQKIVSEESPSQPSSLLRSGIGSVRRHMAFRDMNSMKRQPSVARQASI RTSRRLSNYNSVIPAPQPLEISPTMHPLKRRFEPVLLDRYPTKGMSDELKQRGNFPDY VPMFAFPNDIHIVSSDQRPRSTWHGFVMTTDNGSRLHAICVIIWIPLNARAAEELEKR CEEWRKDNMTDEERELAASLGERLASERAKLSRLLAQLPTVPSGSEQREQLEDEISAV EEKIGLMTDLLRPVRHGAASKIEGLTDGDTGFWIPRAYGILGREESMTSFWKEWLKAV IVPMTEGSIQRIPPSSPRMGMWQPLERYVMNLCTEAFSPNSSKTQVELSVRELRLFAR KEATNELPGSRNTDLYALFRTLSLPNIIILFEYALTESRIIFLSSHTSMLYLATRALV DLLFPFQWTGVLIPVLPARLIQALEAPCPYIVGIERRYEKVELPSDDFVLVDLDADLI ESTVRPTPLPRHQRRKLHSLLQLAAPHHSRCGVPTGPPAYAVETFPFDAFMSENSSIY HSKAQSTQLAKYVSLNSSAFGQASMQGAYAPLVFNAYLHARYEQVPSRGYSSKGSDRP GTGSSKTGSPPSPRDSSPTSGHFPGSSRTDSGMALQASLREKRSGHFDAASRRSSSFG MELRGGVPRRPSAPFLGHTSNLSVTTLNTDYNSGSTYAPSVYAQSTVAASTIVPQPSS QPIHNSEGTCWVEGHCLQVLPCDDKSICAICDERADEAMYKCNACKTLVHNRCALQIC LVCPAAFHPEQIRAAFVRCFASLLYTYKKFLQPASGDKKKAGLTYSFNMEAFLKSLPG EHAEYAAVLQQTQSFNEFISERERVNPKSKDPRMALFDEIVLSKRNRGRTSFFSSRMQ TDFLSDTSNHLWVTASASSFGPGSRGQQGLSGDYTRVVTRGSSSLLCNLPLFPC AFLA_113500 MEPLDTIVQVVAEILGKPWTTESSLSTLGRGSNAVVTGILADDL GRALEGCEKPAGILINTHCVDDGSFPDESLTDRCDYEFLYSLRSPFFRRDLTRFLSLI LGQTRPHEDLKTKNRTNFISTTFPDVHAALPNLDILSVGSDAVEIRVDLLVEPSPVGI SNRVPSLRYVGQQLMLLRQHTELPIIFTTRCTKENGKFPMEDPGLFYRYLRRAIQWGV EYIDVELWLPEDIRRQLAEVKGNSIIMSAFHDFSGTWKWTSPEAPRVFAESAKYADIV KMIAMVNTVEANYELEYFRSTIKRAHGSYPLLSAVNMGQMGQLSRALNTVFSPITHPL LPMIAAPGQLTAAEINEALHIMGQLPKRDLYAIGSFRSTPQSMFMEKCFNELSLPHTL TSIDRGPMGSIERVITQPSFGGASVHPPISSSTTCIPAVSDAARAIGLVDTIVAANSA APNAQLVGENATWKGIRATLTRDYVPSAYRGRAAIILAGSESEASAAIFALRSLGVGA IYTVGFRASGPLADGLEPFTSIQSVKLVEQPFVIVSALPPEKSLLVQPLLRHYRTSGQ TSPPSTRGKVYLDLTRGERTGDPVGVAVRAGWTAYGIEDVNAWTTVETLRLLVGQNVP FDFVRMASGRVF AFLA_113510 MSRPQVSIDRLTPRRVNAEPRESMNCKSCRKRKIKCNRLRPSCE ACKVFQCPYAVPKKRGPKTDVLEALLKRVDGLEKRLQDDNHPLSPTSSASPTKSMEQL AAQVNFHPPPPSHTFPPPPTPQQSSQSHRLPDSMLDVYFARLHGKPYFILDESATRQL HQRGHLPVCLSMAIHALTIRYTVLNPSPQGLDYARQARRLVDIDDPSIEGLQTLLLLS QTFFAYGYGRKAYMALSNAVAMVLALDLYRELPAQSPSRSAEREMRRRLFWTAYTMDR FLTCGSKRPCLLADHSIVLRLPSAGPEAGEWFNPVGPNIQFTSDRRKGPGATALLVDI TRILGVTHRYLAAGGVKGDSHFPWHALSNLSKIRQELDIWAAGTQDLFASIEALFGHP ESTLLLLSKLIYHLVHCLLYRPFLPIDLAELRGTGQHQSWQIEATTLCFSHANAIAEL VELARHAPRIEWPDLIAYCVCTAGTVHIHGVHYNGREGEVFASSADFLTREMNQLIWL RHSCSGVQHQREMLQAISACHADLVRTLAARPVRFAPVFHLEDFFDRYPGLAVEGAHV RLVDIDDPARFDRVDAHDGNRAIYPAIPPATPTLPSQAGRSNSISFNPPQPSPSPWLG PDLSLPDGSLGFSPSGVNTSPAAFLSEPFNAPTPPSQPQYATFPFEASVPGTALTPDA QSQGSASGAGAGPGDQGSSEKDPFLSLLEQLAENEHSQGGPSELDFFLGESLEAPRDG CQDEVVNA AFLA_113520 MTSDTHGSQSGAMFSIGTHSLFLSIGGVARRTGEPLVIFLAGAG DVASSYVTVERLVGTFAPVVLYDRSGLGRSQDGPMKPTATTAATELHQLLHSADLTPP LLLVAHSYGGIVAREYLHLYPEEVAGMVLADASTERQAELLDDPDLDINAVLGDLKFS QVTGLRDSAQLSRDEWRARAADIARGLPTSQAESAAAIEVCKTLGSKEQYRNQALGVR PLSVIRCRGSQDYQRIYEKGVEAGNGTEAQRAAFRRLLARWDQYDQRAQAEQLRLSSN SRLTYLPDCGHHVHLIRPDVVAFEIRWVRDQILEKRSAQRL AFLA_113530 MPQFLTSYFTNATFSASLDVSSYPVPLIQDPRISEDCLFLDVVV PQKVFDRAQGETPVPKESLAPVIVYFYGGGYVLGDKGGVDPSGLIQRSQQQGKDGVIY VALNYRLGAFGWLAGHTVSRKGTPNAALHDQRLGLTWVAQNIHLFGGDADRVTVMGVS AGSGSILHQLTAYKGLQGPSLFQQAILQSPSWEPNYDTDKQERTFRQYLKLLNVSTIE EARQLPSEKLIAANTHQVSSSPYGTFTYGPVVDGVFVAGLPGKLLLRGEFDHSVRILN GHTLNEALMYTPPSSFQERGLLALMDEHFFDLSEDMKETIVNVLYPPILDGKYGYLGW VERVSSALSDICFQCNSYHLNHAYSNSTYTYVFSIPPAMHWMDHPYSFYIKGGKPLVE NPLFQVTNETVAFILQDYVTSFVQTGKPTSSLAPALEICGPESRVVSIGSNNITRMRD PACNARCVYWQTAFAYSRDDL AFLA_113540 MESNKIPNYNPQEHLTTDIRTEIPAETVTTITSQAPFVTIPGLF NIRDLSSGNLRPGYAYRSGVLANISDEGKTSLRNLGISTIFDLRRPDERTKSPSPVIE GVETVWEPYIREPGPINPLDFKEEDQGVSGFLSMFMCIMEISTPIFRKVFLHIRDCPQ RPFLFHCSAGKDRTGVLAALILLLADTPSDAIVHDFALSRVGIEPARKMLMAAFPTLS GAVTPENAGWLELMSARAPAMVAFLETVEQSFGGVMGYLTGVLGFSDEDVEIMRANLK GNLELTNVLLPVGV AFLA_113550 MNTLDTLATDHGWVHGAVTKPFTAIVTLYMGQQLALELAVQEIV SVINEVYYGGDSDLDEYLRDIWSTIIHTSRKIPREKPHTPPDVPTALQIRLAALLLTL KRQPDPAPMPTTHLQSPVNARRDLSWRQLPLFEETVYEALKDEPGRRAKFTKIETEGW VNFMAFLALITKERIVGLEDIGVVVLREALEERHDSLPSTDGADDSKIDEATRLNVFV AAAAIWAVIMGEELWERMGQEDECPVGLSLGPAPKQLIGTIPKRRWEMWIARLQFLSL REDLKICTRELAAEAAAVMMRVL AFLA_113560 MTSNILQIPFRRSHTVSLSDAITQYISTKYDQRPDMFADDLLII DRLRNEAIHVQEPHVSGISRLVTYAAQLKWLGGKFPVDIGVEFPWYPAFGFNTSRPIS QNNIRFELANILFNLVALYSQLAFSVNRTTPDGLKQACNYLCQAAGVLAHLRADILPD LRASPPEDMDDMTLQSLEQLLLAQGQECFWQKAVKDGLKDASIARLAAKVSDFYAEGG DYAVQSNAISPEWIHHMTAKHHHFAAAAQYRQSLDCLEKRKYGEEVARLRDSEVCVNE ALKESRWINRTVLGDLQGLKNRVTEDLKRAEKDNDVIYLNPVPPKSELKIIDRACMVA AKAPSQVTDAISMLGDNGPLGQPLFSKLVPYAVHIAASIYSDRRDRLVNETIIGELET MTDKLRDLLSSLNLPGSLQALEKPLGLPPTLVSHAEEMRQQDGLNRLRRSLEDTARVK ANDKAAYNEGVELLAAEKAEDDSSRRKYGTDRWAREPSEAAASKLYTTAREIDGYFSS AQSSDNLVEQKLRDSEAVFRVLTGTNRDLEMYVPSSRRAAIPPEVERESIRLRGCLSE VSRLENRRKRRAQALKDKARTDDISKALLKEAARLEREFPMQAIQASQFEDLFEEQLH LYDTDLEMVTQEQHEQDQISAQVREANRAFTRAHTGDASTKEREKALQELENGYLKYK EIISNIEVGRKFYNDLAKIVGRFRDDCKAFVHQRRMEASQIEGRDITSVAAMASLNLS QPHLRQYSQQPTQPAQPVQPAQPVQPPNQLPPQPQPVQHQPPRDEPLTAPQPTRANTR PSMAPGVWSPEMGIRFGAQGTWDPSKGVKFS AFLA_113570 MCFSVSATCGGLKDPVATLPVRALPPLASVTAVRVVNFSTYNAA KHRISDFFERMTGESPLVMYNQPGSTPTVSTFVTFTTAGLIAGLITSPLACPFELAKN VVQTSVLVSNRAQASPDAVNDPSLRNKPRLGTIEAIRQIVQRYGIRGLYTGFHLHALR DTLGSGLYFSVYETVKQVASKELGPDKSPFGGPMIAGAICSTVPWFCTYPLDTRKTRA QSVLLGKSSEVGEASAAVAKSSMYKGLSIILIRTGVNNMILLSIFEYIKMKINQLD AFLA_113580 MGKKAASPAYVLGVGMTKFIKPRGKVDYHELGFEAGVKAMLDAH INYDDVEQGIACYVYGDSTCGQRVFYQFGLTQIPIYNVNNNCSTGSTGLAMARTMVSH GAADCVLVVGFEKMSPGSLQSVFNDRENPTGLFGMMMAETRGITNAPGAAQMFGNAGR EYMEKYGAKNEDFAEIGRINHEHSKRNPYSQFQTEYTLEQVLKAPMIHEPLTKLQCCP TSDGAAAAVIVSQEFLDARPHLKDQAILIAGQQLATDNSTLYNRSSIDLMGFGMARNA CRAAAKEAGVNVKDIKVCELHDCFSANEMITIDALELCEPGKAHEMVRRGDITYGGKM VINPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRLIDGTDAALQHNLGLGGAVVV TVYKRADGKVATLVPSDAVGKINGLGYNPAVEAKGFTAEQAKTVLSKNHSSEWALSDT QDRVLARF AFLA_113590 MARTTLSFYAVCFILALTGSALPAKRADPSSSASAVAPSGMTNF AYDAVKSATHMAQDINKEFYDDDETAKPTPIDIPPVHPTPSSSGPATKSLKDVYKEQA AQKVHDLEEPSPSPTPKTDTKAEEPQTEPSTEERQQSEPAPTPTRTEQQPASSSSVAP AASSSPVEKPDPIGDLPIIGSLLKGVL AFLA_113600 MGKNKNATKALTQEEIWDDSALVQSWDEAVEEYKLYHSIHAKGE NVEDVLRDAQGAENEQIIQEDDQEVDHMEADVDEPAIDSVAASAEAQHIPQTDVSQKA GSPEVSVQGTNTTDGPNLLGAAMPQAMLSQVQDEGLKNLMMSWYFAGYYTGLYEGQQR ANQNKSS AFLA_113610 MAPDKKDKKRKAAAATAAADSPAKKTKKVEAKPTESTNASPKPI LKKNKENDAEKPAAKLKVNGEPTRQVKPRKRAADFLSDNEDSESEDAPKTKIETEKKL SNKKTKKADGTAAPAPKENTTKAKASTKAKKPEPVAEESDDGEMDDEESAASGASASE DEEEDDRTAALIRGFESSGDEDESGDEGFNPDQPVPKIPDSKKAKRKILKKQKENKQE AEEPGTVYVGRIPHGFYEHQMKAYFSQFGEISRLRLSRNRITGRSKHYAFIEFTSTSV AKIVAGTMDNYLMYGHILKCKYVPQEQLHPELWKGANRRFKRTPWNRIEKKRLDKAKT REQWSERIDREQKRRLAKAEKLKALGYELELPQLKSVDEVPIQQEENKTIEASETVFD EPVKAIEAPKEEKKVADDTPKKAKKDKKKGAQSTDQETPKEQPKKEIPAATASPATKA GAKAKKAKKTKAKA AFLA_113620 MNNTSSQDEFAKWAKLRRRHDKTMDEYEQLNKTLTAQKSSFDWS VKIARWLSTNGLKIFLQFWYSKTPVFALPEAWIPYYVQWILSFPRAPMGSVSVHVWNS VCATAVSVTAEMVTSMFLQTARPTPVATAQKTQ AFLA_113630 MATSIMGASSVTRNTRGGSETSKLRQPVRTVVHRAGELHPRNYY AFNYMRQVLGVLSDTTKARQGPSALAESIIDLALPWCLAHPSDVSGWMFMLYLLEVVP KGDLHPNVVKKVVGYALDVGWEGESLWTFIDLSVQSLGLEETVRNTLQMIPGTSYTTI STVQDESTRNTALPARSWKSWLTMARKYWDSCNQVA AFLA_113640 MLARKDRLATIYWNKGLVRESEILERELFKESIQKLVILNRHGR EAEAIQLLVETTNNEEVLGPFHENTLTSIGTLSEWCGADKAISMLLEAQEARDKYSHV TVAWESIVNLLQQMELG AFLA_113650 MTEAESASLIKSTATEQCPEDSEVDELAELLEHLPLAIVQAMSF IQENALTVGEYIELYNDSDETRMDLLCEPFETLGRDTEVSNALATTLMVSINHIKERD TKVIGVLSLPAFLDHSHMPKSLLQKQLKKALDLVKTLGTLKTLSLITPSRDKDSFSLH RLMQLVLRKWLIIKDDFEEKAIQAMDILLERSLGRCNEAEELDILILEEKKQYFGRDH PETLESMASLASTYQNQGRWSEAEALDMYIVETRKKLLGPRHNLTLTSMANLASAYEY LGRLQEAETLRVEVLEERKSNFGEEHDSTIGAMASLGALYLDLGKMESAEVLIRRSWS WRKNYGSGHQVTWLSAGTMAQLYRAQGEFQKAEDLSIETIRTIESALGPNHSLCLQSK SNLAGIYTEIREWDKA AFLA_113660 MSAAAQYYYVSVVAVHGLNPKNKVNHAERTWESNGKLWLEDFLP NKLPRARTMLFGYKSNVSIESSSAGVREQAQNLLIQLGLERKTFACASETCGNEISNS WSA AFLA_113670 MLEVQAGWEAPAIKANLLLRPGTLSTTTTITTTTTTTTTTTTTT TTTTTNTALPLSTITITITTTITTTITTTITTTITTINLMNIIDYI AFLA_113680 MVELGISFGTIKSLLIFFAPIILPRIVNFYRSTRVALASRPAPR ALPQDASRALNVLFFAIIFFLLLSLPFNPHAPSPNIFTLTRSRLNTPTDVVFNRLARY RPENTLTDADTLLRSKFTSLGARKVYLRFGPETLTGCQFCSLDNINTYLLYYLPFHTL LPHLFHMVIVGLVTSAPFAGREAAGWRNKFTMAGIALATLDAYIVFTYDPVQSASAAV RAGISPPSSLYHQITLLRPLAFAIFDGICSFLIYVTATHRFFFTPPSQAEQVDQLVGS SLSALSGATAKLHAVNVARNAVVRDKVLKDRDDAYWRAVVAMNADTTKADGGGLSTNI WEEEEVVRAMSRAMAGEGGVDLAKLGVSAAEYVNGVTADLDNDAEPHEKS AFLA_113690 MTKEAPSQSVTELNACVSTGHRPAVKETTFREWVVDNQIGISLT ILSMLLAVHHLYPSLRPYTAPFFQLSYYQASQGVYVQGWDDIYFVASSAIAFTAIRAI VIDWVLRPIAMHTGLKRKASVRFAEQGWMWLYYAFFWTFGMYIWSNSNHWMNFAAIWD EWPARGVSGSLKWYLLAQLSFWIQQIFVINIEERRKDHYQMFTHHIITSTLLTSAYIY GFYNVSNVVLCLMDIVDLLLPTAKILKYFGYELACNVAFGVFMLTWLITRHIMYPLLC WSIFKEVPARMSYGCYSGTTAEMISNDGYPDQLAHLFYPFLNIDGPICMNRTIKWIFL SLLLFLQVLSIIWFAMVIRVAVGVLRTGNAEDSRSDDEEEEEMEMRISSKDGPNGSAA GSDGTTADWRRLNGSSTVRPRARGRVRLGEQSDRKALLGRIGCDKPT AFLA_113700 MGEEGAKDFGPGIKPDVNFQDSNGSSSAGQYALRPASNHASNGA GPSKNNQSPRTGPQASQSRNFEFVLVTDNESRRQVRRHAMRQYMHQRRLDSIARLGAS RIPVSGWTTRPPSDSHVSDTSSRVEEVQEETYAKVKAESPTMTEDQNNTEHTRRPSRL LIPKLHKVKREEETSPPIAEYTTSDPRASPGEGGRRDPFSCYPISVNHVDHELIQHLE AADVQALVGALFSDMAGSLQFEVVVTYPSMMYKFAESIANNPLMEIFRQFALHDELPF QAMLAIASKHRAGVEGKAESVQSLTHKMRALRLMNERIQADSMGQHDGTIYAVATMAV IEVGFILAC AFLA_113710 MVNEYGESTGVDSPSNVEGSATPRSEASASRRTPAGLVPSKIQQ PKPQALPSRSTPSAILVSTRQKGNPILNHIKLLPWEYADIPADYVVGATTCALFLSLK YHRLHPEYIYSRIRLLAGKYLLRILLIMVDIPNHEDSLKELSKTSIINNLTLTLCWSA PEAAHYLELFKSSENSQPTAIRTQQAQSYKESLVEFVTAPRSINKSDAASLISTFGSL QNAINAQPEQISAVPGWGEKKVRQWCNAVREDFRVEASKKIAAPAKDLNSQKNNEPTS RNTEMSTSMNAHDEDEEAILAAESEVAHVVSQGSLVERVHPDKAAQQEGMSDGILAAL AKLRESSG AFLA_113720 MAVRDETSHKTLPEGRGNSEEVEAAMDTAKNDTVQEESVSDKPR RTLFVRSLPASVTTEKLVEYFSQSYVIKHALVVNDSETKQSKGYGFVTFADVDDAKAA LDEFNGSVFDGKKIKVDYAQPRHRTVDENAGKSVPSSAALEAKKQREQERAATQPPKL IVRNLPWSIKEPDDLAVHFRSFGKIKYVNLPKKGNKLAGFGFVVLRGKKNAEKALEAV NGKEVDGRTLAVDWAVDKAVWENIQQDSQEQGNGEEEESSDAEMADDAEAESGVDDDG DLPEDEDMDEIAQSDEDEDEEEEEEEEEEEEEKEDERTAATVFIRNLPFTCTDETLYE HFTQFGPLRYARIVVDPETERPRGTGFVCFWKVEDAAACVRDAPKQQDTIAPEKEKAK KGSIAFKHSVLQNENADPTGRYTLDGRVLQVSRAVSKSRATQLAEEGVSRRLVRDTDK RRLYLLSEGTIPSDSPLYKKLSPSEIKMREDSFKQRQSFIKKNPTLHLSLTRLSVRNV PRHVTSKDLKQLARQAVVGFAQDVSAGLRQPLSRDELQRASEEMKEAEQLRKKKGLGV VRQAKIVFEGRDGSKVEENSGAGRSRGYGFVEYFTHRHALMGLRWLNCHAVEAPATGS EDAKDKKKRLIVEFALENANVVKRRQEQQEKMRNFKKGGQKNEDSSKNGGSQNKTPPK GNKRKRSESNGDQGQGGADTEEQNKIAKRNRIIAKKRMQRRTRKGKA AFLA_113730 MSERFAPKVPVQLEDPKDDPITVEELSKCDGTDPNRPTWVAIKG IVFDVSKNPAYGPNGSYRVFAGKDSSRALACSSLKPEDCRPKWDDLEDKEKTVLDEWF TFFSKRYNIVGKVKDATNY AFLA_113740 MVNSNSDPERAQGPVATSLHYGELSSLSADKLTRRMGVSMDIPE KNPAMNRSEESLDAGFNTLTKDGTHRFLKSRHIQLIGIGGTIGTALYVQIGQSLLNGG PASLFLAFTIWCSVILAITVCLAEMVVYLPVSSPFIHFAGRYVDEAFGVAAGWNFFVF EAVQVPFEITACSMIIHYWSDVVPTVAIIVIVLVLYAFLNVFAVNYYGEAEFWLALGK VLLSIGLIIFTFVAMLGGNPQKDRFGFRYWQEPGSFAEYYKTGDLGRWLGFLACLIKA SFTIAGPDYVSMAAGETENPRKVLPKAYNGVFYRLTSFFVLGALCVGILVPYNDPTMV NAFEKDLPGAAASPYVIAMDRLGIPVLPHIVNAMVLGAAFSAGNSYVYCASRCLYGLA LDNKAPRIFRKCTKNGVPIYCVGLVLLIALLAFLQVSNSASVVLNWFINLVTASQLIN FSVVTFSYTRFRKALITQNVPRSSLPYQSLGQPYVAYAALVCTVVMAFVGGYEVFLPG KWDIPTFFFSYTMIGVFPIIFFGWKLWHKTQIRKPEEIDLKTGLDEIEEYERNHVPLP ARYVLSRLSEVFPSPLCFFARLSILIAIYSRGLPIGFSAKAVYMHLCFWVACCLGTPA VRHYELNGVTSIYLSSSRRKTH AFLA_113750 MSSSTEQTPQQQHQQPENVATDSTTEKKPEQYLALPDASSADQT QQLDVSGDGSTVKLDHLGPLVVNQDGTLSRIANWAQMTEIEKKNTLRVLGKRNKQRME ALKAAQGAQEDSN AFLA_113760 MKIQRCLFNGNPRAWYRPLSAVAQFNNPNRRHAQSSTQNISSVA TPCRYHPLKILDDASIENFRKAHFIPELPIVLPRRHFRDLPAFGRWFQSSPSEPNVSR LNTAYLEQHGADALVPLELTQPSTEPDKDDISFRQFHAPLSLFLEWMRTAEEQPQSIR LYLAQCQLSDLPRTLRGDFATPELVSQAGNGDVYDTNVWIGYPPTYTPLHRDPNPNLF VQLAGRKVVRLIAPDDGQVLFASVRSQLGRSGNREAAVFRGSEMMQGRERTLLEKEVW DDGLDTASSERKYVGYEAQLEAGDGMFIPKGWWHSIKGVGHGVTASVGSLLYSCVACH TSSDTP AFLA_113770 MASETTPVDTTTSKAASGDQDAPVEGDSAKRKAEQTNGTHTRTK RNRYISIACNECKRRKIKCNGQVPCQRCGHLNLECRYAPNCCNNNFKDSDEFRSMKDQ ITTLQDQVNSLFSSLNELRSQRSSIESPSFDNFSRDGSQPVFTPMHAGLAKPRLRHPR FHGPTSSTFNFNVARSSLQNMGIAPTEEVITDDLTTAHATPAGSPPHVTPFAPPIHPT KDPIWAIKREDAIRLCQIYEEEIGIMYPLFEIEKVTQQINLLYTFMEAATRTGFAQRA LPGSDGLQDDNTNLIKMILATTLVVEGGGQSELGQRLYLSVKPVIESKIWEPLDIRTI QLFGIVATYHFHTDDDAMAYRLIGLSARMCLELGLHRRDALAKSFPNEDQWPEIIKIF WAIYSLDRRWSLGTGLPFVIQDEDIDPNLPEPDASLPYLKCMISYNRISSKIWYSGLG SEGTTDIRRDEIGYLDYQILQWYKHIPEALKFYPVQSPKHGEPVNRGLRRLRVLLYLR MNQLRILIYRPVLHSAASISEDKGHAQTVVDVAKDTVRVLTRLNQTSDIYRTQQITFN YFLVAALAVLFLAVCHAPTEFNRQVRDEFYMALDLVNGFSTKSYVSKRLWKAIKGLRK IGERLGVLVRPFGSDSNDPHSTAAVAMAGLAGHPIEDLSVYGPMNGMNELGNSPLNGL QMSHELTTLFEAVGGFGNFIASSTAQDGMGGFVGPDGEIQNTGEGLSGVLGDDGELSR AIRDLF AFLA_113780 MSSSEGAPESWISSFCSLMGHEFFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEEDEDEDEEEEEEEDEDELLGDEKLPGYRRAGDRRHTRVASD LSVIESSAELLYGLIHQRYITSRPGIQQMLEKYEMQHFGVCPRVYCNGCKVLPVGRSD TPGQETVKLFCPSCQDLYTPPNSRFHSVDGAFFGTTFGCLFFMTFPDLDIGPRLDSSL VSPARSSTVNNQVSPDVPPAHQPVEINGVRTANFCPGLGLGKIYESRIYGFRVSERSR TGPRMKWLRMKPTDVEELNEMARYEAARREADNDGDTEMGAAPAGGAQNSAIAKRKKA PMRRRRYNPDQMSINGAEAG AFLA_113790 MHRSSSHTYQTTSSRGSSSHASSSAFSPNANPNEDWTKISDLAE RRRIQNRIAQRNYRKKLKRRLEDLEKRAASASESPERSNERTEAPKSSHPAKPRARNS RASKSNGDATRRATAERTPAYDGYAAQDDRGAMFSHQCTRQLSASPPPVYSYPSYSHL EPYGQSPYGHPHPYHSIPSTYSDMYNGEYGGSVPSILPVTMTTTGPVKRPHVYADEDI VSPFSMSYASMAGIDLCPSSQHLSESNIPVHTPPCSLSRSARNPIFSKTNSLPSDAAA VARLQR AFLA_113800 MNSLRQFTRRKALQSLAVPPSQGFSTIRLWQRHFNSTPAVASRL AGIDPSKLTVTKTSSPKELTPAKDLVFGKTFTDHMLAIEWSASNGWDAPRIVPYQNLS LDPSACVFHYAFECFEGMKAYKDNNGQIRLFRPDKNMERLNKSSSRIALPTVDGEALT QLVGELVKLDSRFIPSARGYSLYLRPTMIGTQSTLGVGPPGSALLFVIASPVGPYYPT GFKAISLEATDYAVRAWPGGVGDKKLGANYAPCIVPQLSAASRGFQQNLWLFGEEEYV TEVGTMNLFIALKNKETGQKELVTAPLDGTILEGVTRDSVLGLARERLAPNGWTVSER KIRMSEVAEAADEGRLIEVFGSGTAAIVSPVRNISYKGKMVDCGLKEDEEAGEIASQM KNWIEGIQYGDEDHKWSYVL AFLA_113810 MMLLKKAKAKGKRVFGSPQPYTLRLIIHLETFSLTNERLWNGAQ LNVDITWRTALEWYLEKAIAGYLRLLTPTSDISPGLSINHFGLFINNLVVNLLATNN AFLA_113820 MFSSALKSFSSNISANYQISPQPAVISGPWKVHDGKKKSTGTSA SIFIFDKKSLESRPSGLGGRSSSSSAKKLHEDVVERLKREASSLTRLRHPSVLQVLEP VEETRGGGLMFVTEQITTSLARLLQEKDAQESNSRLGSRSSRYMVEEPDGTRRRRDLE IDELEIQKGLLQVAKGLEFLHESAGLVHGNLNPEAIFINAKSDWKISGLGFAGPPNSS DSRSSLPPLALSEVLYQDPRLPPSVQLNLDYTSPDFALDSNVTTSADLFSLGIIIVAL YNSPHISPLQAHSNLSSYRKLLSSPSTTPSQGNNFLCSGTIPRDLVTHVLPRLITRRP AQRLNAREFQQSQYFDNILVSTIRFLESLPAKNQYEKSQFMRGLQRVISEFPVSVLER KVLGILLDELKDRELLPLILQNIFAILQRIPNARRTFPEKVIPRIKELFPSEKAAVHE RDAKKDAGLMVVLEHMKIVAQNCSGKELKDDVLPLIRLGLDSPTHSLVDGAIKCLPVI LPILDFSTVKNEVFPPIASTFSRTNSLAIKVRCLEAFTALCGGSPSEGEPVEDDLSGL VQKGKPQPIKSSILDKYTIQEKLVPSLKAIKTKEPAVMMAALHVFQQIGTIADTEFLA LEVLPILWSFGLGPLLNLNQFGEFMNLIKNIASKIEREQRKKLQELSSGIDSSGFQNG AGSSSKIPNSSTQSNADSTRDNFERLVLGRGPDVSNSKDTDPWSSMLLEEASSQKKPP TAFSWSTNMVESASRGNATSGTSGLSMRSITPDYNLHSFPSLEPTARQPSSPAPAFPT LQAKSPATWNTPSSPNSQNSLSGPSLGALANMKASGASMSGPSVQPTPGFAAFSIPPP PSSHIALGTFPGSGSLAAANKSPLGINVAHDASSNNSVSQGNAKQGLDKYESLL AFLA_113830 MDEKVKQHYLADSPPTVVRLEIKHHFDNLKDAKLRRYAHYISRA AFEGTRVTLRQVSPESEPIYDLIIALYHACDGNWTDLAKRTNVSDEHLRYFLEYSAQF LGNCGNYKGFGDSKFIPRLPVEAFQALASSTSETKATFEKANTTGGGIYETKEQALMH LGYTDNGHMTTYYPDSPSITKDEITAIGDLMEKKGLPLENTRLKKLSSGDFELLIASG VASPPVRDRDLGEVDALDLDGNLTGKKLRLVFGDHIEEMAKIAHSIKQAGLNAANDNQ KRMLDAYALSFGAGSIEAFKESQRIWVKDQKPSLETNIGFVETYRDPHGVRGEWEGFV ALVCYKHHDFCHFFIG AFLA_113840 MATAGGASSIITQVQQAGGPPINTLGGETSQTLNYWPFISNLVR RGTRFTLSRDELLTLPEFVLLSLFPNGLLPDGHMGTFHEGDIYPVDYDPVSLQYMLDF FRSVAQSIPSSPTASASQDLDISPDSMQGSTRDMLQDRAGIIVLREDLDFYAIPPRPD IDHAEMIEVKRAAARALLKQDGIFSGLRKSDEAGSTEQHLIEMLTAGGFDRDDRWGHR APEPNKAVICSLALAKLRTDIRGDIPNNNAVGMAQKLLLFWRKPARRCWWEGIELENI EGVEGKVKIWIRRVWTLEMSVIGLR AFLA_113850 MAISNQIRQQLEEYAGVALHPLFQSTLPKPPSASQAGVSRDFEW SLLHPPGMAEEFAKITCADLGLSGEWVGAIAHGIYEAVLKLKKEVCESGGLVSGIGGY GNEIDNQAANGTEAGWRYDPEGLGDEWEPKVETLSKEEIEKREGDRERQIRRLRRETA RFSSTAGITPDVSRQGSGGYFDVDSETPLGRGERSKRKRRFRSLSPLGRGGTPGGRGT PDTSSGAGYGGGGGTLSDWFVLSRCYLAVPNLLWLTVSSLLSTGNGKTGVAVTAWYGV PPFGLFGMALLVQG AFLA_113860 MVSNFCLLARYLYRKGLAKDIREDNVYPVEDEPTILTCIFLSHK QNPGKEIRRARLKKTLNEMK AFLA_113870 MLPPSDSLLHQLQKLAEARATAQYQTRASPPPPYSRRNAPDLAI TENDLIDSDEPKDADDGDECMSWGSGPSNGSRHHAAPVSIHIDASINVRGNGNTLIIP SVAGPQQGNGPTTPSSLPSSTMQQQQSAQRHRQTKLTDMATSIITALGRASLLPSTED GSSPVEININSGIKIEGSRNVICTGNSLPSGRFPAKRQYVQIGGVGSLFERDRKRRAD SEPPEMPHSKRF AFLA_113880 MSDALCGPSNALQNFQKHASIDRTLQQDRLVSRQSHSQGFRSQN PNEGTLDPEFAAFESNIAGASLPDSQHPAHFATPPHFAVHNQVEKHNWAADFQRLQIS GSSPLAHQQAGPASSPASNLAQHGWRNDFLAQQRQPRPAQQHQPFAQGFQPFLTPSYP IHEAAGNTLSPAQDLTTTQLPSTEAFDESAFEAAFEQAKADLASQTADHAQELTNETT NPDATVTSQVEAIRIGSDTIPQTEKDDARAHYNDPDELARTAGQLLESVSHDQSQKFR ESNFLALMRRIRDREVHIEGDEFRETVQPLHPGGKYYPEGKTRTQQGRNPYTMAKNHG NGSK AFLA_113890 MAAAQIISNSGHDDMIHDAGLDYYGRRLATCSSDKTIKIFEIEG ETHRLVETLKGHEGAVWCIAWAHPKFGTILASSSYDGKVLIWREQHQNTTSPVAVNTW TKVFDFSLHTASVNMVSWAPHESGCLLACASSDGHVSVLEFQDNSWTHQIFHAHGMGV NSISWAPAASPGSLISANPGPGQQRRFVTGGSDNLLKIWDYNSETKSYNLSQTLEGHS DWVRDVAWSPSILSKSYIASASQDKTVRIWTSDVSNPGQWASQQLEFDTVLWRVSWSP SGNILAVSGGDNKVSLWKENLKGQWEKVKDIEE AFLA_113900 MERLAFQISIRSQSNLFQRLPAEIIQYIACLLPSDADVAHLSSC CDILAQNLLPGESSVWRYRFMKLYDTPPGRSSSELKIEYQTRSIVLSQKISFRFGQKE EQLLWLTVMQGILLEALTVTEIDGVVYSKTFERIREALQLSAPDILNRPLSGYGNTKP DRPSDLFCGLQLCLTGLALDPKMSVRCLRTDYDIADVYSYQTEITRPFIDIKKLELPT ILHIRNFWVRHLLSPNEATYCTSYAKLPVDQRPRVWSTIKENVELGTNWVGYWFLSNQ VRPEHLELAT AFLA_113910 MPKLNLFRSSHASLGIQFLPLSQRLCVGWHCLLLGISFLNMGTR HENDTLDPNAPLLILDGHTTHDPQNNEEDRHIDSESNYSGTQFIWALTFSAGLSGLLF GYDTGVISSTLVSIGTDLSDRDLTTLDKSLITSCTSLFALVASPLAGVLADKFGRRKV IFVADALFALGAFVQAISNEVWGMIAGRSIVGLAVGGASLVTPLYISELAPSNMRGRL VTILSLFITGGQVVAYIVGWLFSLIDGGWRWIVGLGILPALFQLVILTALPETPRWLA QAGFETNAIAVLGKVYQGHPDSDCITKRILREIQQEVAEERLGHPGGSSGAGQQWIHY VLQRTTELFYVGGNRRALIIAMMLQGSQQLSGFNCLMYFSGIIFSSLSFSSPTLTSLS VATTNFLFTLLAFTYIDRIGRRRILLYSIPIMTISLIVCALTFSLVSSDLSSFPLTRA GSSDTVPDDSMLPITILLCLTVYTASYAFGLGNVPWQQSELFPLSVRSLGSAFATATN WASNFVVGLTFLPMMELLSPGWTFGTYAVVCAVSWFAVWAIYPEMSGLGLEEVKELLA DGWGVKESLARTRNDS AFLA_113920 MEAELQSQIPGLDHVISEYSVGYLTHASRAYVEDANAPSPLAEA ADMVTELLVSASGDFSDNNEKAIRNLVEKFISSLSSADGVDAERRQMPFTAKKLDQAI NVGSQRNMSSTLGLAGGNVDLESANSRKVESRVDRKKLEKAERKIRAKQEKKQMKMVQ YESSRLLDQPDSTMSYEEFFMAVNPLQLGSDSAAKSKDIKIDSIDTSVGGHRILTDAS LTLAYGRRYGLVGQNGIGKSTLLRALSRREVAIPSHISILHVEQEIMGDDTPALQAVL DADVWRKRLLADQDRITKQLAALEAERSSMADTSTDAARLDHEREGLDITLSDIHSKL SEMESDKAESRAASILAGLGFSPERQQFATKTFSGGWRMRLALARALFCEPDLLLLDE PSNMLDVPSITFLSNYLQGYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGANFD SFYATKEERKKNAKREYEKQMAERAHLQAFIDKFRYNAAKSSEAQSRIKKLERMPVLE APESDYVVHFKFPDVEKLSPPIVQMSEISFGYSKDKPLLKNVDLDVQLDSRIGIVGPN GAGKTTVLKLLTGQLEPTSGLLSQHARLRIGYFAQHHVDALDLTTSAVSFMAKTYPGK TDEEYRRHLGAFGITGMTGLQKMELLSGGQKSRVAFACLSLTNPHILVLDEPSNHLDI EGMDALSEALQRFEGGVVMVSHDVTMLQNVCTSLWVCDKGTVTKFDGTVNAYKKMISS QANEAGVAVAH AFLA_113930 MRAISAPLPTFANSEGAILLSPRSRARRNITDPNVFRRPPIASR ADGFTAPGFMGSSCKRATSSSRLDIGYLRETGQRPLTSDGVALSVLQGPIRQRRKRHS IAASDPASTVIGSDDTRIFTSGEEDETDFLSDTAFDSIRTHITTSSNSGLHSPRVETI FDRDLPLSNAGEESLRVTQFASHYAFASRRFDDSHNHSDLKLTPISISLPDPQEKNMH EEASRISFPSDLTDDEDAHSLVAALPGEIVKLDEQSKYPRISLNNYERNYAGRDILFA HGRSDIPLELTKSNSRSVTNEMFEFCPRMNIFDWSEQPRNDREASGPDGRPRTVHGKH GPELRGSRAPGRKAPNTLHLRSQSVPVSREYPATNETRQTSGKFGTWGLGSKGVSEDW DSDFDFEDADESIISENMRTNKNVARRSMIVPQAIMERQASLHGQFGQVQELTLLVEE LKRLRHQASFLGIVRGPSNELWKEAEGIVNLATLDDEEHSHSPPGSPSSLTFSFDDSE EESANTNDPFKRVSGESWRASFSEPLSPNQTTADSDHTEPPTKANSVLDLIYQQRISR DSSFMNRQSPKSKKLPFDTQSLRDLVIRAGVVTRALKEVIRRAEGVAPESNENMHHSV PPFSRIFNQSTNDDVSTFETHCIG AFLA_113940 MHYHHRHQTHQDIHMVVRSPPRRPDIVPRHRLPYLVPEPPTFVK RDSDPSQTCSAGDTSSKCEKPTSTTTTTTLPVVLGAVVPILCAVIVLIYLHRRNVRKL RSEDANDKHRSLDFGLDLEPTGGGNAMRQTEKSNGSYNHNKGISLDIGPSPYLLPPGL HGSRDSLHSLSRSIGGDDKYRHATSFLGDNASVRSQSRGAQDDAPSFTGSARKAALGD DMKQGLLGNAQRMSRSSPPLYISPGEDGAHVQVDPIAQPDHGFQFELPRSPSPVLIPG APSTKESITPTNNVDKDAGDFGNSDDRPGGRLNLALQDPITTPRISLPLSDAASDYDN GNPEPAIPAVNIHRIENSPRYGKGPDHPAIPDTPPDESSQAPGLTVDPRRDTRRLTLG LRPLPPEDPSDNPEQRANRIRSFYKEYFDENKNGRETYYGPESYHDVDYIYDSATGDY YDSVPAPFAEPIHRRAMTPPPRLPPRFQGGGRHMPSGSIGGFSDRINSPGPRAFSSAS GRLPGAPKIRKPAPPPAPLQLLPTPHMLKDDSIMGAIDYAPGKSYRDQREGRPETPLG GLQPFSPTMRAHTPLVSSFNELAVIPSPHALRKSGTYDNLDFVPPPRFKNLETASDSG SIRSNRTGISTTHLQNIRTGAYRVSRLPPETVGTKDDLVTNLRPTWDMRK AFLA_113950 MRASNPHILRPKPQLLLKKTKFTVSMSDQSITRSSGSGELPIAA SGTVNVAEFTYSVRTRDSSKTVYPKVCNH AFLA_113960 MSASSAAAPPAPAATTAEAATPTAETPTTTPSETSTTSEPTTSS STTSSSSSSSSTSTSSTSLSTTSTTTATQPPTTSTTSIPSTSSETSTISSDTNTTPVV TSIITKSATDGTTGPQTVVVTSTDTSLPTHSTGNSANSISATATGSSATTSATSGSAS GGSGLSAGGTIAVAVVVPVASVAIIILAALYFWRKWKAKKAAEEERRKEVEEYGFNPN NDPTLPPIMGGGAFEPKDDTSGYRGWGTTSAGRKASTNLSSGAGVGLAMSEAGSAPGY HHVTTPSDGTIQYSEGPAAGETEPIGVLGAAPIATTNNRTTDIHRGPSNASSAYSAAN RSEASDESHMSATHPTGPFYDDNPYYNEMQPQYGAYGDGPYGGSPPVIRDVQARRNTR IENPAVFPRQGNAGIAQNF AFLA_113970 MAISDWPGTSSPELPTRPPPTSNLTYNLRVILSTSWLQGEHPSR QGRPGVVFVLLLVLCFGQVLWLAIVYKTVYAHLLQQKIKALQRLLLACLLPFRPTSGY VPFSLAHPLPYYILLKQSREAIAATFLLFPPSLPLSPLCYLAL AFLA_113980 MKELYLHRAVEVQPCFNRDVLRDLSDRATTARLELEAWAEGENI HFDAARPVDRAVTVQPFGADEEDLDLQILQSATAGNLQTLREWTAKLQSSPDARERAT RTFLAAINEFSDDVLAVLLESGLVDIYAEDDINERNCLHEAAISGRGFVFKSGLVAGV DISRSDVYGRLPLHYACIHGRVEMVKDLLAAGPHTVDAMDHDNFTPLIHSIVKGQLAC AEQLLHNNARIDPASESDHIPLNLACQHGSLPIVEMLLERRAQLLPDAEGLYPQHMVA RASQSPQLLMMLKQHGADLNQKDKLYQWTPLFHAASEGCVDCLRALLELGVDADVVDE KGLAAMYYAAWEGHLECMLLLWSHRNNSHPPQKPFDILNGLRLQEAGHLSGSHMEDAT TTLESTEIVDGIPDLSLPPPIIPLRRYGHNFLDKKVFIQILFDTGNSGSIIFDQAGRH PAARLTISSKLSDLIPRTIMLPIQEDSRLISFQVDNLETFAVDFEIFPTFGSKVIAKT VALPTVFRAEISSTGSCCLPLFDPRLRSIGQLRFGFQVIKPYHGDPLEITHFATYWKA TSAIDSEHNGLVTGSSLSGDHVQLFVQLTRDRIPVVYPCFTILHHGIELPICHLTYSQ FQVIGVEKGVNRLDLFQHLQTRAVDDLAQAHRMLASSFLSLKEVLQHLPIGVNVNLSI LYPSAAEEQALNMVSLADVNSFADAILTVVFDHARVSRDQNPEFMRSVVFTSYNPNIC VALNWKQPNYPVLLCNDLGQIRDLTRGVGSLPHIDSSGRASMSIKESARIAQSNNFMG LICRSSLLNVVPALVETIKELGLVLVADTSDEVEPSGYKEALGAANAMGVAEWAYRMP DGVNGAMKANGILRFNDMIDM AFLA_113990 MSLRHLTISRWLSQASSLESHVLPSRITRTNVHFVQSPASYNTF RRAFTLSADKNSFLSSQIRPRSCNIRSFSRAQEASVFRRLFSSSRSKSSPQSEQSSSL SQRLKALSREYGWSALGVYLLLSAMDFPFCFAAVRFLGAEKVGHYEHVVIEAFKGAVG TVLPSVQEEQFKDETNSTTDSSKDNVDGSTVEKKLDEGASLWTQVALAYAIHKSLIFI RVPLTAAITPKVVKVLRQWGWDIVKGKPKGM AFLA_114000 MAPRLPGWLLQSPLFLRASHSINRSNVTTRPFGIRSLNPPNTSR FNVGSDLPVLKSTPTAALERKANTLPPRTGAIAIKKGMTALYDTETGKRIACTVLQLD RVEVISHKTRQQHGYFAVQVGSGWKHPNNLTKSLLGHFSVNGISPKRHIFEFRVRDEN GLLPVGQAINADWFQEGQYVDARSNTKGKGFAGVMKRHGFGGQDRSHGVSLTHRSLGS AGPSQGGGSRVYPGKKMAGNMGNEQNTVQNLKILKVDSQNGIVVVNGAVSGPKGCIVR IQDAIKKPWPEIVFPSEPVP AFLA_114010 MALNIAQRRISVVRIPFSPGRTFLRRNLIPAPHANSGPLLERRA DRELPSVNKDRRWMRTLPIFAIAVGAAMLGIFNYQKSSSSVVSSTLYALRTSPRAREI LGDEIYFAQKIPWISGEMNQLHGRIDISFRVKGTKSQGTMRFRSIRPDRMSYFRTEEW SLETEDGTVVQLLDNATDPFRQHD AFLA_114020 MAAARLRKAFRYPDNLGDGEHEREELDEEGYLRCHPTIISLNIH SVSVSAGLGFTGAFPFILGHSFIDIHGIHHEALPLGSEGQRIYAKFRYSNPHSKVPSP YKYRNMWPVTSSVALLFGGTT AFLA_114030 MRAENLMCYIGHEGTYTPAHQEMCASLGQNIMVEASDGSVEYGQ ATKPGSSIWFMTESKDRHVVAEYWMSTLGHDIDIEDHFAQINAWKAAPFKTYVVEQRP GDFLLVPPLAAHQVWNRGTRTMKVAWNRTIAKTLELALFEALPHARMVCRDEQYKNKA IVFYSLDHYSDLLCEVGDSGAWGLRVQLLLEDFELLYELYTEILLSESFSHDPPKEKD FEYVPFDSNITCSYCRGNIFNRFLTCPWCIGEGDDTYDICMECYTMGRSCACISKLKW VEQFRWNELTGKHEKWRQQLLRFAEAKSDKYLCLPAKRAQLGKKTLAEICHEQMKRRP WVDVTNPVCQRIEEKNSGSENATPTRKRRKTHKSDACTKGGRCHICKCAEPMWKLASC SYCNLSYCYGSLFRAFNIQPQDTMEMYHWMCPRCQKICSCAACRRDPTMNPYEPRYTL LGHDTRKVADPRSVESLVDFRQSNLRWLKKAGDDEVGRLKKHQKEADEKRNKALVDSR IELEFPQVVDEYSESVQLTSPAAGYFKDYRDIPVDPALEKLDGSFLTPPESISQ AFLA_114040 MGFTTRVAMYWSMQSRSCLESRAIRPNRREMAHLRYSLSPRFIS GCYTRQRSTLFVTPRPTTRAGLALRRFNLHLPYSSPSATKSPHTAEPTSVTRYSPPKT GLVASLPQSWIPYAELIRLDKPTGTYYLFFPCAFSTLLAAPMTSSTPFQVLGTMGLFL AGALVMRGAGCTINDLWDRNLDPHVERTKFRPIARRAVSPRKAVVFTGMQLLAGLGIL LQFPTLCLWYGIPSLLLVTTYPLAKRITYYPQAVLGLTFSWGAMMGFPALGIDLLSNH SALESAAALYSSCVAWTILYDMIYAHMDIKDDVAAGIKSIALRHEHNTKTVLSGLAVV QVALLAAAGVSAGAGPVFFIGSCGSAVLSLGIMIWKVQLKNVQNCWWWFKNGCLLTGG GITLGMFFDYIARATGLDGKNTRSPQVITDCLQQCSQEWLSRRHSDRFRGHSRCRYDP MVFSYHLPFTLDYSHLRRNRPLIKPLHLPRLSFS AFLA_114050 MSSSNEDPVPLPGQWPVDPQYDVPIDEDRIWVDGCFDFSHHGHA GAMLQARRLGKELYVGVHSDKAILKNKGPTVMTLTERVSAVEACRWVTCCVPHAPYVT QLPWVSHYGCKYVVHGDDITSDSNGDDCYRFVKAAGRFRVVKRTPGISTTDLVGRMLL CTKGHFVKNVKGTLAGEEGSGNQEERQLAAANLMQRIRDYATDETGLQPGSPVWIWTG SSSAKLDNTMEEPGLFETISGGKPSRPGQRIVYVDGGFDLFSSGHIEFLRQVLAHEEM EGRQRGWYDPEVRERRLREYGEDYGPAYVVAGIHDDGVINHWKGFNYPIMNIFERGLC VLQCRYIHAVIFSAPFSPSEPYLRAMPLGVPDAVYHGPTTFIPLTYDPYTAPKRMSIF RETGSHDFQHVNAGEIVGRILKSREAYEERQRAKLQKGVIEELTKAKEDSIN AFLA_114060 MQSSDPSELKVMTGNLTSSASAAAAAISFPHTEASELLPGEEYS YLLTPSLPFEPDYFETFVTLCDVLIDCYTRLISLVPTPSVCTVALGEMFSKADAKLRK IMVAGVVQQFEDASRMNAKNEVSGVSRVVLGGLLG AFLA_114070 MKVFSSTCTFDYSWEEVSTANWRKYCPWNDKSTHVVAVDTLSRT IDSETGILRTERLITCDQSVPQWVLSLFGGSATSHVYEVSYVDPKSKKVTMCSTNLTW SNVLNVQETVTYQPSSAKPACTTNFNQEAKITALCGGWQKIKNKVEEASVERFSQNAK RGREGFEAVLEMSRRVFGEQRERENDRLQS AFLA_114080 MVELRKRKAPTQLPVAEKRTRKGQRSTTVPEGVPQENESSTVSG GFLEVGNKIILDGFGGEIETNDGIKTTLYKLVNESKSGVVLFTYPRASTPGCTKQACM FRDNYDYLTSTGFSIYGLSADSPRANTTFKAKQSLPYPLLCDTASSLIAALGFKKAPK GTTRGIFAVDKEGTVLLLQPGGPDATVEAMRQLIARKSSSSSSESGI AFLA_114090 MAREILKAAKSASNVIAVHKVKSSNIHSRSGYYLKFHSRQKYTL QSTGIWERVRRFLSIDPNRSTGVPLNAQYRLPTPGALPPLSYDDPVTVPAGDIADNPY WKRDIRRSYPKLSTVSQADSVGLLTVGSQAAPKDDILQIGEAGEKQLISIKQQGEERG LAGLFEKDKKGIQVS AFLA_114100 MQTYGVAALINATGTLSYKGAAYLGSLIFMASSAPSFISQIFTE KRPLDTVAVGAVSRVFETVGLSLFLTWWGTRTNPFD AFLA_054650 MPRISNLTILRAYKQNPLLPVLLRECRSHDSAKNELRWLQERAS RSISSKQVTRSTLAPLGWRRLLRSMCHARSKGMPLQYILGDQPFGELDILCEKGVLIP RAETEAFTIQTAKLILNNVQKHGRHEAGQQQRSLRIVDLCTGSGCIGLLLHALLAPHI DQLSILGVDLSPAAIALANKNLAHNIQRGLLLNRAATEVSFQRGNVLPHDSSGLPSAR EVLCNHQGLPSEIEPKCDVLISNPPYISPESFRDGTTSRSVRLFEPKLALVPPLGDSI MGSGHDRQEDLFYYHIILLSF AFLA_054660 MQKSWLVLLVACLGLQGTTALTLHRRDLPAVVSLDIKRNNAVDP VARDRMRRKRDKTVEQNLDNEETLYFCNITLGTPKQSLRLVLDTGSSDLWCNAANSTL CSSRDQPCNASGSYDPSSSSSYAYTSSDFNISYADGTGAAGDYVTDTIHIGGATVKDF QFGVGYSSSSAEGVLGIGYTANEVQVGRLGKSAYANLPQAMVKNGLIQSNAYSLWLND LGADTGSILFGGVNTEKYHGELQTLPIQTVNGVYSEFIIALTGVSLSSASSHHNYSSS DALPAAVLLDSGSSLTYLPNSIVQDIYDDLGVTYESSSGVGYVPCSLAQQNINVTYTF SSPIITVGIDELVLDAGDLRFRNGARACIFGIVPAGDSTAVLGDTFLRSAYVVYDLSN NEISLANTKFNSTKDNILEIGTGDDSVPGATQVSNPVTSVVADGSGARIGGPTGEIFT DIPSATSSGGAAAPAGPTDVPKHLVLGAAAIGYVLAF AFLA_054670 MEGLATRHSYSLLLLLAQCTEMSLLINPRLLTRTGKQSLFAVPA RSIALPSSPDVIDKSNFKVSQSIAKISPLSTERDQQPASCTKNWAERLDGINAKSRLP RSVQAVYLRPLRRKAEYGLPVCDLQLRSYSVRNVEFFADFAVRAAYYLKLPVSGPVPL PRIVERWTFPRSNFVHKKSQENFERITLRRLIQIKDGSPQAVQTWLAFLRKHALYGVG MKANVWEHESLDVFKNIDNAVPEVEGSLEPHLSHFGQRGGEGTQSPIPDLLGDMRLPK HRSPLTARSKLRLGLFSYPVLQAADILVHRATHVPVGEDQRQHLEFSRYTANSFNHLY GHIFPSPEALISPAKRVMSLKEPTLKMSKSHADSRSRILLTDSPYDIHRKVRAALTDS DASITYDPVRRPGVSNLIEILSHLDGRSCDDLSLEYKSASLRALKEDLAGRISDHLQG IRERYYSLMEDNSGYLDTVTEQGAQAARANADVTMKQIRKAMGL AFLA_054680 MARPYGDLCSSERVHEALSFLRKNLPVSLQNPKVAIVCGSGLGG LANTIRDQPRAEFDYSSIPHFPHLTVPGHAGKLIFGLLDEQVPVVLMVGRAHYYEGHS INQVTFPVRVFKLLGVDTLVLTNAAGGLNSEYAVGDIVLLNDHIFLAGLAGTHPLRGP NAEEFGPRFPPLSDAYDLELRRHVHAAWGEVMHAESQRRLHEGVYAFVGGPSYETRAE CRMLHKLGADVVGMSTVPEIVVARHCGIRVLALSLVTNCAVLSPVPRGDDRLLQGKGV EELDAILQEGKANHEEVLEAGRSAAMDMQRVVVQTILGAFKSD AFLA_054690 MTQITCGEAGAINSHDEVHITDHDIEQLLFEAEGRLRARDVNST NVPDTREVPDDQPQHSLLSIPRLSSNCPLQPYLLQEGDFATIDTTRVLKNVQDASNGL GYKEPKQPKVKKDKPTAGSNWFDLPQTELTPELKRDLQLLRMRSVLDPKRHYKKENGK AQPPKYSQVGTIIEGRTEFFSGRIAKKDRKKTFVEEVLDQERHNKRFESKYREIQTGK QSGKKSFYKHLQAKRRAKGK AFLA_054700 MEQSTQSASQQQGRQQPVYDTRNGGHYGASAALSAQGYAPVAEL YTGTWANVNQGLQGTARDILTTYWQHIINHLESDNHDYKIHQLPLARIKKVMKADPEV KMISAEAPILFAKGCDIFITELTMRAWIHAEDNKRRTLQRSDIAAALSKSDMFDFLID IVPREEATSHAKRSSQAAGAPSGAPGPTGAAGQLPPSQHGVQHPSHHMAPPDYSLGQH GLQDQEYRQPAMYAGPVQSDPTAAYGQPQSQMFEGMYAAYPHLPPQQ AFLA_054710 MTDEPPQTEGGVLVIGSFVYSSPIEVGIPPFEVDTICLIFSVTL TVYQTRTCQLGYGICQHNLLEIAPACGRSHREIAMKVARSKWTILIANILVPISILVF SSGFFPYKTLLTGFATHEHTIGGQIPPGVFDKVIFMVVDALRSDFVYSQHSGFLFTQS LIRSGAALPFTAYASAPTVTMPRLKAITTGSVPSFLDVILNIAEADTSSTLMHQDTWL AQLKAKGGKLVMYGDDTWLKLFPGMFHRADGTTSFFVSDFTEVDNNVTRHIPNELLQD DWSAFIMHYLGLDHIGHKAGPNSPYMITKQHEMDSVVSMVYTALEQEKHLKTTLFVLC GDHGMNEAGNHGGSSVGETSPALLFISPKFQRLETRNDSPTEEFSDLQYYHTVEQTDI TPTLAGLLGLPIPLNSLGVFIPELLAMWDHASSEIESALLLFLRNAQKLMSSAASDYD LIRLYVGLSISGFAISLTFFPAKRLLVNFAPAGMFLGFSILSYSTMMFASSYVEEEQQ FWYWISMGWVVYLHLIPSIKEYSVGNRTPQLLQSLSRFASDKEAEIETICNTNHQEFV TSVNQLLRIREGTVSLTAEILDLNQSIQASTEKLAEQKKALVESRSHRQNIDETSRAI QDCLEVLRLANQIHDLLARKNHYAALRALDELQNVHLKGVTKYKIADMIQRSVPATQK AIAEAVMSDLNTWLYRIREMSQYLGEIALYHTDLRKTRQKERADMTPYLGHFKLNSAI ELVSDEHEEYDLLQNEELQVDFTPLFECLHIHQSLGHMDKFRSEYANTRRRQKELLIP PSITLVDEDGASLHNLLEEMAGFAIVERSTMKRVDELWDSMCQTAVNLILKALPEVDN AESLLKIKNLIALFMQTMNSWGFPVGVFDNFLLTLFKKYAELLKKRFSDDFQEIVSTD DYMPMPIQTLEEFDKVLNVSWYTPEKPREEQTFPCVLPFSQMYPLCCIDIRNFLNQFY FFANDDFSHSSVIDESLKDALDELLSSKVCDTLVERLASQYLGQIVQILINLEHFELA CHELENLLAAARSHNSTGGPITLKATEKFRNNKKAAEKRIFEVVNSKIDDLIETAEYD WMAPVPPTEPSNYMQTLTRFLSNIMNSTLLGLPTEIKELIYFDALSHAANMILALPLA ADVKKINPNGVMALAKDVEYLYHFVDSLGVPILRENLDELQQTVQLMQADNTDEFYDI STRNKKYGRVDAINGPVLLEKVVRSIQSPVKTDKFTTLSSRFGKKS AFLA_054720 MAKSVRASVQKRNRAKLRATVFGPVVDARTERLSAKLQELASQP KPSNEEKPDMELNMKDRQEGTKISQTSEDMDIDNGTIKTTQGRSHKGGRIHKRHSNRK NRSSIVFRPHQSKNKKGLKRR AFLA_054730 MASVGQSSELQSVVALVKTMTNAQLKEILRSEGLAVSGVKASLQ FRIIEFIERLNQGGQIERYDNLKRAVYATTHRSMPQPSTPQSLPSHQYHSSANQPLST QQRPSPLSAPMTSHGLTSGILTMPISMSLHLGANSHFLLGRLNFKESPFYTVFQQLTP VVECKVREQTRDSVELRVVLNQDMASRLQADPNLRVMVYCAADTGLNQYTKSDIAFPH QVELKANLDEVKANLRGLKNKPGTTRPADVTDYIRKKPGYPNHIVMTYALTTKRFFVL VSLVQRHPVEELVAELKMRKTISKDQVLREMKSRADDTDIVATSSVMSLKCPLSTLRI AVPCRSVICTHNQCFDAYSFLQLQEQAPTWSCPVCSKATSFESLQIDQYVDDILRSTS TDVEQVVVEPDGRWSNPRVVDASEAGGVTPESDDDDLIEIKELGNTPVKQESLPAASL SLQRTPAQSREPSSTSSVARLSTNKRPATQVIDLTGSDDDNDDGSPVRPPKRPALNLL NRSLPRQEFQSSYNTALANGKAVPRAGQTSSESASQTTGYNA AFLA_054740 MSFDTPAPDEPQARALSASCLDFLLIELVPMAERLAKELSADDK TPDDDEIRETTFFRLESLGYRVGQGLAERFSRDRPRFSDNLDVIKFLCKDLWTILFKK QVDNLKTNHRGVYVLTDNSFRPFARMSMSVRSEAVSMAQAYLWFPCGVIRGALSNLGI NTTVQAETGELPGATFQIKTVQPKS AFLA_054750 MVKAAVLGASGGIGQPLSLLLKTCPLVEELALYDVVNTPGVAAD LSHISSIAKISGFLPKDDGLKQALTGANIVVIPAGIPRKPGMTRDDLFKINAGIVRDL VKGIAEFCPKAFVLVISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFTQ EFSGQKDPSAVQIPVVGGHSGETIVPLFSKTTPAIQIPEEKYDALIHRVQFGGDEVVQ AKDGAGSATLSMAYAGYRFAESVIKASKGQTGIVEPTFVYLPGIPGGDEIVKATGVEF FSTLVTLGTNGAEKASNVLEGVTEKEKKLLEVCTKGLKGNIEKGIDFVKNPPPKL AFLA_054760 MAAQRQNVSSDLVWQLTRNQNAFLVKRNSGGGSQFSRDPLNLQN KHSFKYAGYANTKAIGVQPTENGGVVVLTKKSGNAQQPGKNAVRVTFGPKASTRKIYK GVADKTAKNGYRADLREDAVARVSAIRRSQKPKKDTPPQKPRGAQARKAAEQESA AFLA_054770 MAYYLLYFLAISVVVCGTVLYLTRARWLSLVPVPDYIYDRLPSS FADDLEAGLTSTQFDLSANIADGDTRAGLDNQAKRQIMKIMKRQRINFDEARRIYTEQ RFAKNNIGPDGRPRDPKFVSFS AFLA_054780 MSGARHWEQDKEATVYIGNLDERVTDSLVWELMLQAGRIVNVHL PKDRVTQSHQGYGFVEFISEEDAEYASRIMNGIRLYGKPIRVNKASADKQKSVEIGAE LFVGNLDPMVTEQVLYNTFSRFGNLINLPKIARDDNNLSKGYGFVSFGDFESSDAAIA NMNGQYLMNKQVSVQYAYKKDGKGERHGDQAERMLAAQARKHNVRPPTQPLPSPFSGS GTPMVPPAMANGDSSRQISTGPPDLGMGRGVATPNVGFSNVPPPQHHRSVPPATPLAN PPPGLPARPPPSQAGYGGPPQAFLPPAFNNAGQQSSFPPQPAPPPGFAPPGFGPPTGN AAPPPPLPPGFQQPAYSRGR AFLA_054790 MSSATVFNDGYAGSAQVRWRRLGNLDLFIISSLSKIFAGFVTYP YQVLRSRLQTYDAHLIYRGVQDAALQIWAREGAAGFYKGLGPNILRVLPSTWVTFLVY ENTRAYLPELISRA AFLA_054800 METAEPVSYEFPGHTIGAVAPRRMMTSNLGHNFPFYATPAASFP LPFHQSSSTAYGFGHALNHHHHNHHQPSYPQFFVASHESINSQPMRLSSEPPPVQSIP DIRPAKNAVNRVSRDPLVKNDPSSNTQQTPMARSSTHGAAAQSKSPSVSEIEFTTEVD ILMKAIQSRNSVQPPNTQSLPPLQQLTHRGCHGYPQTFSLHPSGNTRCNMMAEEVQSR SGKKRKYVCTLPHCGKSFAQKTHLDIHTRAHTGDKPFICKEPSCGQRFSQLGNLKTHQ RRHTGEKPFSCDICQKRFAQRGNVRAHKITHQHAKPFTCLLDDCGKQFTQLGNLKSHQ NKFHATTLRDLTLKFSQVTIGDPMSPQDRKLWEYFATLYKNSNKGIKGRGKDRRISPT SRSGPGKRHQTLGNNDDKLQRPIYEESSVYTGGSSSDEEDAEAYYIDRQNH AFLA_054810 MYNTHRGMVPAPNSRLTELLDQLRQEFENQSRSTGEFEHQLTGQ LQEMEMIRQKVYQLEQAQIKMKQDYEAEIRVLRHELESRGVQPVSSHIAGPAQHAGPS QAPPPALGHGPSNLFGGIMANQGGSGPGLAPPPPQDQQPPQHTLQQPAPAAQQGAPQP PQSSFGGYQPGAAVNGYAPPPPPTASPGPGKRPRAPPGPATPQQTHQLAYPDPRVSPQ LARPTPPSQALVRDRPGNMLANWNPDDLPASQKREGADWYAVFNPEVQRVLDVELVHH LVHDSVVCCVRFSRDGKYLATGCNRSAQIFDVTTGQNVATLQDENVDKNGDLYIRSVC FSPDGKFLATGAEDKQIRVWDIAARTIKHIFTGHEQDIYSLDFAGNGRYIASGSGDKT VRLWDILDGKLVYTLSIEDGVTTVAMSPDGHYVAAGSLDKSVRVWDTTTGYLVERLES PDGHKDSVYSVAFAPNGRDLVSGSLDKTIKLWELNVPRGAFPGTGVKGGKCIRTFEGH KDFVLSVCLTPDGHWVMSGSKDRGVQFWDPITGNAQMMLQGHKNSVISVAPSPTNNLF ATGSGDMRARIWRYSTYTGR AFLA_054820 MSAWPRNNIYRQFLSFFLIVWIFGLITYFISATLSYIFIWDKTT VKHPKFLKNQIPMEIAQTMGSMPIMSLLTAPFLVAEVRGYAKLYDGFSDEPFPYYSII QFPLFIAFTDFCIYWIHRGLHHPLIYKSLHKPHHKWIMPSPFASHAFHPLDGWSQSVP YHVFPFIFPLQKVAYVFLFGFINLWTVLIHDGEYVANSPVINGAACHTMHHLYFNYNY GQFTTLWDRLGGSYRKPNEELFRRETKMDKEEWKKQTEEMESILKDVEGDDDRKYLVE DESKKDL AFLA_054830 MVDYERRHHGPKGGRKRRYREDDDYDRRQRRKYEEPLVAKVRRQ LLTIAESAARRAEDDVVNIAKSVADNYEDEELRQTFVAISVDLALEQPLKIPFIAATV LSANLYRSELVSDVLTKASEYLQHYINNGAWREVKLLLRLLGCLQILYEGDGIFPILE ELFERAVVLQTASSEDLLGLELVKIILFTIPYIMASPITGFEAQANALLEKTDIIAST PHALVELVNPFSPEHDTSSAAQSVISLLQSQLQQEASRNWELACLPRPWKGGRDNEEE QKPLDSGAKHAFPQITVPDPVQNGSRAIFPEVYMSVYSNQEVETVPPTSDIASSLLRD ALVDTINILDFNRIATAKYLIDIDCYFTPHTFVKRATPFDRLRDISGDRPTWKPEDVA VDAVFSQLFQLPSPQHKLVYYHSVLTECCKIAPAAIAPSLGRAIRFLYRSLETIDLDL SHRFLDWFAHHLSNFGFTWKWSEWIDDLELSSVHPKMAFIIGALDKEIRLSFAQRIRG TLPDPYQDLITEGKEKDTPDFKYSLDTTPYANEGTEIMQLIRKKATDDDILPIINAIE EQARAMGVEDPMLPSTDAFVTAICFVGSKSLSHVLSCIERNKERLLAIGPKSTRARRQ IITSVMEYWTDQPGIGINIIDKLLNYTILTPLSVIEWALVDKLEAGTILARTHVFEMI SATVGKVTNRLRQIVAARTQPGLYEPQLSVLDETLNREKADMQALFKVIEDSIMSVAE GHNDELMERGDGSGELPEDEIIRQWGCRWLRAFRRKAGVEESFIAEAMATATPIGTTA PPPQEGTTAIDGPADGDLDVADADGAVDVS AFLA_054840 MSQNRPGVFSSLRMGEVVREKVQDGLTGETKEISYSQCKIVGNG SFGVVFQTKMMPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGERK DEVYLNLVLEYVPETVYRASRYFNKLKTTMPMLEVKLYIYQLFRSLAYIHSQGICHRD IKPQNLLLDPSTGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRRAPHEAIDLISALLEYTPTQRLSAIEAMVHPFFDELRDPSTRLPDSRHQ NGPSRELPNLFDFSRHELSIAPAMNSRLIPPHARPALEARGIDIDNFTPLTKDEMMAR LD AFLA_054850 MGVVVGCIVLGAAPSLAKAPEHMTHTTMKIPPGHLTAAGQTHGV AICPVC AFLA_054860 MAEYLASPSFLTDNSVAAVIKDAYTSFSERRAALGLPNPGTVDN IAREVQKEVLLSNFMFSGLRADLTKVFGMSPLFRVSHAFSMGSSGNLPPYAFSAMYGS PKVFMQGNFGSDGALAAVGNYRWSPKLVTKTNTQIMAGASQGLMQIDNDYTGDDFSAS IKAFNPSFLDGGLTGIFVGSYLQSVTPSLALGFEAIWQRQAMNTRPETAISYSARYKA NDWIASAQLQAQGVFTASYWKKLSERVEAGVDMNLQFAPNAAAALMGGPSRDGTTAIG AKYDFRASTFRAQVDSAGKVSCLLEKRIAMPISLTFAGEIDQAKQSAKLGLAVSLEIA GEELMEQQEKIEAQGMVPPPF AFLA_054870 MDTLLTADIVANSPRFRRKSSTFVDAIHDLPEKADLAPAQLYST ESGRLFHSGRIVIITVGLPARGKTHISVALARYLRWLGVKTRIFHLGDYRRATIPVGQ DIPDDYFFVNASASSVLLRQKIVKRCREDIYQFLNDENGQIAIYDAVNPLASGRRSLA KEFAKHDIETLFIESWCDDERIIEENVLRVKISSPDYVGWTSEEAVKHYLTRINARIP QFQTMEEKDLNYIKMINAGERLIVNNRSFGYLSHRIVFYLLNLHIKSRHTYFARAGVS LEADSYKADASLSEQGEDYAKKMTECLLRHRESEKQATIDQGETDYELKPLTVWTSTR RRTIETAKYLYEKGYKVRQRSQLSQLNPGVCEKMSEKKIREEYPDEVAKHELDPYHHR YPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYGYIMACNAADIPFLEFP RDEIIEIIPESYQNEARRIHIPDLPKEIIPGSPQDIKIPVPPSGVTTPLVGGLSSPQE GFSTPQSGLRTPREPERISQQHVEDVV AFLA_054880 MLFSNERKHSVTLPARLSDGGRPSISFLLEYLVKNVMKDERKEL FMLEDNVRPGILVLINDADWELEGEEKYELQPADNIVFVSTLHGG AFLA_054890 MVSITSEYISVGGNRHPAAADWDIQSGVLAFGADNNVALWYPKD KSQRGVYSLLVGHTDKVNAVRFYTCPTTGTKLLITASADHTIRIWRAVTGTYLQFTLA QILEGHTSSVNTIAVSDGADLVASGAADGSVKIWRIMLQGEGTKSELLTTIVMKPRFF PLALALKPLQADGPMILAVAGTTNIIHAYILEDPLGDTSFRLAAVLSGHEAWVRSLSF TRDKQSKTGDILLASASQDKYIRLWRIQRGEVTLAAPAGEEDPVLGELEPTLSNKAHQ FNAAGSKYSVTFEALLFGNEDWIYTTAWNPSSERQQLLSASADNTLTIWEQDTVSGVW VSAERMGEISVQKGSTTATGSTGGFWIGLWSPDGDQVVSLGRTGSWRAWSYDADADVW VQTLGISGHVRSVNGVRWEPTGGYLLSTNWHEIRPSLAAHSLTITSLSFSSDDRYLLS VGRDRQWAIYCRSEQDRSAFSLMESHPKGHSRMILDAAWAPVPDFHTFATAGRDKLVK IWQISKGSFVCKTTITLKSSVTAISFLPRVQVNSVFLATGEDSGELSLYKIAIDSLEA ACLGNIDKLISPSKAITQLAWRPSAKYDTSQDEFSLKLAVASEDTSTRIYAISNMVS AFLA_054900 MANTPSIQVNNLSYQFQDGSSGLADVSLYLPAGSRTLLIGANGA GKTTLLRLLAGKRLAPDDTITVGGKDPFKEGLEGVTYLGVEWVLNNIVRTDIDVPTLL ASVGGNAYPERRDELVDILDIDLRWRMHAVSDGERRRVQLAMGLLRPWQVLLLDEITV DLDLLSRHNFLSFLKRETETRPCTIVYATHILDNLSQWPTHLVHMNLGNVKQWGPITK FQKEVPETSENSQLGELVLRWLKEDLKARGPRNGRHGQAKTYESFDGRGGYGFEKRN AFLA_054910 MRPFLRYPLFARRSPIMEPLIAIVGATGTGKSKLAVDLATRFNG EIINGDAMQMYRGLPIITNQIPMDERNGIPHHLISCIDLEEEPWRIGLFKSECLRIIK DIHSRGKLPILVGGTHYYTQAVLFKGQLVGEGSDEFQGSGPRSDRETEESSSKWPILD APTDVVLQKLREVDPVMADRWHPNDSRKIRRSLEIYFQTGRPASEVYAEQKRLKQTTL ANGDFTAGEGQLRFSTMVFWIHSEKEALIARLEKRVDAMIEQGLMSEAQRMSDYIRER RTQGSSIDPTRGVWVAIGFKELAPYFEALHKSSLSVDELESLKKSCIESIKIATRQYS ASQIKWIRNKLWNSLAETGMTHRLYLLDSTNVGDWRTCITEPSELLTQALLKDESTPD PKSFSELARTILGAKEARSQKGPGSTAKCFTCHICRKTMVNEEQWHIHLNGHSHKRVL KAMAKRAEREESLQARKESTRRISCDNESLEEQNSLSDLFQ AFLA_054920 MDPILRFAVLGYVCRSFLHGSDNVNIAQEITCFSYDDQHSFHLG DSSLRYYYPPRLPADLNRGYDTFQKLDDSADEHLDALLETIMALEKETGKKCEADIIT WRGMMTKILTAPFDNLNGYVEIPIIRDECDSIPSEFIEENNLYKNQQKQLQKNQRMPP GMPSQDMMAYWGYKFETLSLLNQPWDPTTREEIESRDELVVNNNAQYCSIVRTGIGKT RLIIGGEVDAVWDCKPARKEDVIHWVELKTSAEIRNDRDMVKYERKLLKFWAQSFLLG VPKIIVGFRDERGIVHRLEELETASIPNKVKNGGRVTWDGNICINFTSAFLEWLKSTI NEDGMWRIRKLEKSSIIEVFRLEESGTGDIISPSFSAWRSRS AFLA_054930 MEPAYLLLHQFHLPGSFSKQGANFFFLFCDYRIASGAYYEAHQQ LRVIAARYIKQANYDAAAELLAGGATALLRAGSQQGASASGGDLAIMLVIEVYTKAGW EITGNDDDTEGRARKKRLIELLHEFPSEEPTRKRFIQEMIGWSGRFGPLERGDPELHH AAGSVYAEDHEPYDAEKHLILGTSESAETLAKLEHEWYTNDEPHTAAIYASRAVFPYL LNGNLRSANKAFLIFTSRLSSSNPSIPLQDVSSSSIDARVFPALPLLNFISMLLLTIQ RGSADLFKQLTSHYASQIQEVGIWDDFLAQIGEQYFSIKIPRQGNPLLDMMGSMLFGG GQGGTGGRIPQSRGSSKKVEAPPANPELD AFLA_054940 MQSGTHSGLPSLSSHAMSSASSESTQSLGSGMGDGGEIVVKDKN GDYKLDIPLLPPAVGGEDGDEMEGIEAGATRGSGATGTESTAQTEISGREKESKFTSA IHPLLAGNILIAIDLEIEASLVEMMYRSRNRQMSTEPAEILNLIHQSLRNKVASLDED NWIYEPEPDSLF AFLA_054950 MVQAESSSSAARVGLKPVTAGAPSDYELPWVEKYRPVFLDDVVG NTETIERLKIIAKDGNMPHVIISGMPGIGKTTSILCLARQLLGDAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGRHKIVILDEADSMTPGAQQALRRTMEIYSSTTRFA FACNQSNKIIEPIQSRCAILRYARLTDGQVVKRLKQVCDAEKVEHTEDGIAALVFSAE GDMRQAINNLQSTWSGFGFVSGDNVFRVVDSPHPIKVQAMIKACWEGKVDAALETLNE LWYVYMMRDVMVLYLSLLP AFLA_054960 MDKPQTWKQTASRKHSLRNERLKPYMVSDLDQRLPQVHDVQERS RIHSDPEIQEITDIDNISVLVDQFRTGKFTVEAVTLAYVRRAVIAQQLTNCITEVVFE DALTQARALDRAFQETGHLKGPLHGVPVTLKDQFNIKGVDTTLGYVGRSFAPATEDAV LVQMLRNMGAIILAKTNLPQSIMWAETDNPLWGLAVNPRDPRLTPGGSTGGEAALLAL HGTLLGFGTDIGGSTRIPQSIMGLYGFKPTSSRLPYLGVPVSTEGQEHVPSSIGPMAR DLASIVYVSRSVADAKPWELDPKCTPLPWNEDTFQEIQIRPIVVGLILDDGVVRIHPP IERALRELSAKLQAKGHEVVIWDASDHFEYIQLMDQYYTVDGGEDIRRDIAVAGEPFI PHVEALVNRSKAISVYEYWQLNKQKVALQKRYLDKWNAIRSPSGRPVDILLAPTTPHP AVPHRRLRWVGYTKIWNLLDYPAVTFPVDEVRVAVDGVLKTYQPRNELDAWNWDLYDV KAMEGHPINVQVIGKKLNEEKVLGAATVIERIWRGL AFLA_054970 MGFECTFFQPQKKRGPTGHRVSQIRQQQTHVLSKNPLTPQSQVN SSAFPTTFPYQAEPPPREEGRHDATPWPISGGDVSVPVDLAQSHATAAVAPGWGVDAS PMASHSHSAISWNERSDVEYWLPDNLDAQMPIFDFPGTNIYLRTSLPSIIQNDADSSS LQAQSLETHNIPAPVPDVDVTKQEPSHTRALWPSSIVEANMIPWIDVYFDRLHPTLPV LNRSSLFIRMLSHEHRKNPQFGSMLLSLCAFSLTQPIEIDERPTSQSRAGQARLMMTE ATRMRSCSDFGENPTIEAVLTSFFLFGCLFGSNQHNAAWLRLREALDLAATLGLNDPN SYRDLPGDEKGQRLRTYLVLSITERYVSLTHFTNHILLTEAKALAIHQNLSRVEKLYD IAVSAISVSSNVQLSLGNGFTLIPPVTESIPKSSVSPPSFPGSMHSGATTTLPQSLAE DFLLLLNSLRGGNHPYLERYKAFLSASNIRSNTYSNWSSQVQTTHTPSGA AFLA_054980 MHMISLKMTCPTSKSGVDTDIHSTVGSDTSDVQHLDLTTTNSHH LSKERTVNVFLLVACVVFGAASFLFGFDDKIISPVAALHPFVERYQGPNPRTGRYTLT AHNQNIVFSVPLVGSILGGLAASPMNFRFGRKWPVLMAYVISISGGLLQVFAPSLAAF VAGRFINGIAMGIANGTAPLYLSEVVPASMRGRSVTSINILNVAAGVIGTVVVSETKK RGGRESYLIPLAVQCALPVLLFICTLPLPESPQWLVAKGRLAQARSNLRKLRGLSEEQ VDVELEIMKLCEQKEHEMKANVKFWEIFSRKYLHRTLTAGSFFSLNQVSGVILSTTYV TVFLTEIGMEDSFTLDAFSLTVIASCCTLAGTIAAPFVIDRAGRRPTALVGMSMLLVI DALAGGLAFSKDKRSGVAIVALSLTFNFFWASSFSSLSTLMPSEMATPKLRHHTMAYT IACAQTTAVITTLVVPRLTAPDAANLGAKAYLIFAGLYVLYRSLHILFPAETKGRTFA EIDELYDAGVPAWKWRTYESSFQLRTDPNLSKPSPNVA AFLA_054990 MPVLAGCEGAAIPANLVSQTQSYNSNLIENSLSCEQQVTSSRHV LYSRPLFHLTAPRGWLNDPCGLGYDPATGLYHLSFQWNPKGNDWGNISWGHSVSQDLI SWKTSPEPCLTPSAEYDNPRNSTVLAWAPCSETFSIHRPPPYDPGINHNPEIAPHTLF TFADESGMEKEETLRIHAFLDRNVLEVFVNERTVISTRIYTPCVESVSRLRFFAELDP SAPNLKHAPAVLLKADLWDGLEAS AFLA_055000 MVFLNSESRSANSHAPRDSLELASLASSSPELDARSSSSSPSGI SSSRKLSLEDEDPLSNSHVHSNLNSGGPRSARSYSVSSAFDFGRTLFPLSQTAGGYAP LGAPSALDRESGAADGSLERNKTLTYMNGLSLVVGLIIGSGIFSSPSQVNANAGSPGA SLIAWVVAGLLAWTGAASYAELGGAIPLNGGSQVYLAKIFGELAGFLFTWCAVLVLKP GSAAIIAIIFGEYAVRAVVGAEVEQVNPWINKAVAFGGVLMVTLLNCISTRLAARIGD VFMFFKFIALLGVTIIGVVVAITGLSSNGSANEEWKSGWFKDTSVDISAWAVALYAGL WAFDGWDNTNYVTGEFKNPNRDLPRVLHTAMPLVILCYILANISYFLVLPHSTIEASN TIAVQFGDKVFGKAGALVFALIVSASCFGALNATIFTSGRLVYAAGKEGYLPSIFGHL WTRGSSASNRLQRRSWARQSISRLFGEHFRIGYTPINAMALNSALTLVYVIVGEFKTL VTFYGVAGYTFYFLTVLGLIVLRIREPYLERPYQTWISTPIIFCCVSIFLLSRAVIAE PLQTLIVVAFIVAGVPVYYWRIYQRDGQITLPGWKFWQAR AFLA_055010 MKPRTSARTLSLFSSPFPLYRSVGRIRRRHAFSSSSPASSPSEQ CPWLRVAIVTIAAAGVGAYIKSREESKSTTLNPITFTPYYLVSKEPVSSTGSIFTLKA PKPASDNCQVYDEAWKTGVWSVMFKQPQLQIGRDYTPLPPTSVEEDESLRFFIRRDPF GEMSRYLHGLDMGTRIEMRGPQIECEIPVDTQQILFIAGGTGIAPALQAGHTLLRRTD GTHKPRIHILWANRRRDDCVGGTNDNIMTSRSRASWFSGFLKSPPADPSPADPVKSTA PFPSLIVRELEALKSQYPGQITVDYFVDEENTFIGKKAILDVADSATPVEGSQKRKMI LVSGPEGFISYMAGPKLWAQADPMQSRLMPSGGPGRRWAFLRVPSTPQRRAFASTRFY FQDIFQSQLEDPSSAAVYSSLQASRAVPQTLTEKIVQKYSVGLAKDKFVKSGDYVTIS PHRCMTHDNSWPVALKFMSIGATKLHDPKQIVMTLDHDVQNKSEKNLQKYRQIEDFAK HQGVEFYPAGRGIGHQVMVEEGYAWPGTLVVASDSHSNMYGGVGCLGTPIVRTDGASI WATGKTWWQIPPVAKVTLTGVLPPGVTGKDVIVALCGLFDKDDVLNHAIEFTGPEETM RSLSVDARLTIANMTTEWGALSGLFPIDNVLKGWLKGKATTAAMGLAEGPFKTLAPQH FTHPLLEQLFANPLTADKGAKYAKELFLDLSTLSPYVSGPNSVKVATPLKDLEAQNIK VNKAYLVSCTNSRASDIAAAARVFKEAAEKNGGKVPKIADGVEFYVAAASIPEQLAAE EAGDWQALLDAGATPLLPGCAQCIGLGTGLLEAGEVGISASNRNFKGRMGSTDAKAYL GSPEVVAASALTGKLSGPGWYQAPEGLTEVVRGEGDGIREEDRMLTAEQALEKLIGQI DNLVADGEKQFAPEESEESSGDSLTEVYPGFPERVSGEIVFCDADNINTDGIYPGKYT YQDDVSQETMAQVCMSNYDVQFSSIAKEGDILVTGFNFGCGSSREQAATAILAKKIPL VVSGSFGNIFSRNSINNALMGLEVPRLINRLRESFSGEGSDKSLTRRTGWTLTWDVRR SRIEVQEGENGPKWTHQVGELPPNVQEIIAKGGLEKWVKNEIGA AFLA_055020 MDPGSIFGVISGAVQLVQVITQTAAGLATLREKFSHADLTIRSL IGELVTIKSAITQLDEWARYNTRDTAEENEYDEGLFVALDGCRAVMDVLSDQVAALTR GNDTQFGIGTRVKILWNEDIMRAHQDRLHAQVLALQLLVQACQCRSTSEQVELLRKAE NRQIIQKVASDTATLRSSSSYAASRADSSSLSYRQSSSGDTIFDFDRRLLSSPPYRRV LHRSWSRTESQSTASNGNESRSTDEGYGSGSVNRPNMPRASSLVLPVLPYDTIRPGHG HSKSVSFRPSNVQPNSTQFQRWQSDSTSSSPISRSSSSKREKIRAAFRQLGRSKSVAQ APIRALTGGSTAARRINGRDMHTSIDLTTPEGAAAPLIVKTAQSGSRSDVERLIESCH DIEACHVNTRRNALLVASHCGNEEIVELLLQRNARLNVTDKSGSTALHLAASRGHCEV LKLLLLEGSDTEALNTRGRTALWLAAERGQLEAANILIAGLAKVNTRAENQMTPLHTA AKGGHEAVVEFLVLNGADLEARDGTMMTALHHACEEGHLGVVELLLNHKANIDAVGSD NRTPFICAAAMGRLQVTQALLKRKASTRQVDDASMTALHWASYNGHTEIVDLLSQKKD ILAMTNIAGRSALHLAVLNSKFAVVELLLRKRAPMETQCHSGFAPLHYACITKTDYTG IVKLLLISGANIEVQTKDQQRPIHLAAARGSIALLNLLCDKGASLIARDAIGDRALCA ACRYGHTAAVQCLLDRDSPLSLPYDDKLQEDSPLCLAAIGGHLPIVTLLLQRGASVLK RDEQGWQPYHHAAHYGHVDVLRLLLSCSPTGWVMEGAGEGFTTERIGFSPSANISEEK KNEIQDLLSESRRRPVATTQSSPPRIPPFMGDVTLSTLPIRGQNRPSGLAGDQYGPSI GQAPVIQELPGTLEQGLPASRSATPEQMRRDMRPGRDSDTLLQALPEQHIATPHTRYL QDIAERPQNVVSTYHLQNGVNSAAVGGSALSDLPPGTVYHLLEEWSQPRSTASPVSMI NDPVLEASPAVKLVRGVSNERPGRESDAESISSVYTAPEGVV AFLA_055030 MRVPYFRNLRRVIPFRLSWARHSCAISRDYAYPSRYVLFPSPAV PQSRTFSASRPTHSLIDGDSTIYALSTASGRAAIAVVRVSGPGCVRIYQALCPKAALP RPRFAAVRTLFDPTREPSSSSALDAGALVLYFPAPNTVTGEDVLELHLHGGPAIVKSV LTAISRVSQPDSLVRYAEPGEFTRRAFMNNRLDLPQIEALGDTLSADTEQQRRLAVRG ASDALSRRYEQWRQQLLYARGELEALIDFAEDQHFDESSDELVLSVAAQVQALRVQVG FHIQNASKGELLRHGIKIALLGAPNAGKSSLLNQIVGKEAAIVSTEEGTTRDIVDVGV DLSGWYCKLGDMAGIRSEPVNGKESVVIGAVEKEGIRRAKARALESDVVIVVLSLERG AFDDIPYQLSIEQEVVEAVNDCLAADKCIVIAINKCDRLPLDVHNSQFLPEQLLASVS DLFPTVPQKRIFGISCREAQLESALERKDPGHLQEFLRGLISTFEEIASPSGIEGDAN GDYNLSYWEDSLGVTHRQSSNLQRCLQHLDDFLAQTSRKHAPSMLGHEHEQQPIEMEI DIVTAAEHLRFAADALAKITGKGESGDVEDVLGVVFEKYVSLLIRRSIGSLLTVY AFLA_055040 MPTITSSPDKSRQTSAGKSFFGRKLHKEKPVEDRYDGSGSFENL APPGSSAGSRSSRHSKRSSVQSVDYPHDIDPSGLSMTAGVITSIPFESLPADTKTPIP IEYLSKPEPSRKEQTSSHPSPNHLAKGASDFHQYPAWNPSSVRDNNTYSHPTGPRPPP HASNLTMTGSNTGDKGARYQQWGRPGSSAANTGFSHNSSSTVDSSSNSRISFDQASVH SSLSSNTRGSSYFSSDGSSRTLTTHSADRSTYFPGGNPNRLSTQSNWQPPPAAQPRPP PINTEQYLARPRDDRVVDQLFLELMQKRGWQNLPEQAKRQMLAYPASKKWTLVHQDRL TELQGEQKRRQNARQTHGHDGPAGILERADEEGSPEWYVKKVMDDSITSKQLASLSVS LRTQPISWVKAFVEAQGQIALTNVLLKINRRKASGPVPAPPTGDKDLDHEYNIVKCLK ALMNNKYGADDALAHQQVIIALVSSLLSPRLNTRKLVSEVLTFLCHWAEGHGHQKVLQ AMDHVKNHQGETGRFDAWMRIVEVTIDGRGKMGSLVGASEEYRSGGIGMENLLMEYAV STMLLINMLVDAGENDLQLRCHIRAQFISCGIKRLLTKMEGFQYEVIDKQIERFRENE AIDYEDLLQRESSSMKDSIEGEVKDMSDPLQITDAIATKIQGTRAHDYFLSAMQHLLL IRENSGEDGLRMYQLVDAMLSYVAMDRRLPDLDLRQGLTFTVQSLLDRLHTDAEARRA YDESLEARQIAEAAIAERDEMKAQIELGADGLVKKLQKQIDEQTGIIELQSRQNEMIK AEVADLQRLRAQELQRNELETRELYLMLRDAQDIAASNAKKNNMAETDPSHMRGILDR EKLLERLEMQLERTKTQFKLEGKVWGQHGPSDRLRELREQMDGEPEPSDDFQEHARRN LDSNALGSVYRKRSLVSGGDDTAGEGLEQTTNEDGEIVYEKARLVDIQRPRMNPAQAT GLLGEITAKVPKIGAEEGETKAAVDESPFPEAETPAIRVDEPKGESTDEKVNSTAVAG PPPPPPPPPPPPPGMGVGVPPPPPPPPPPPPPPPGSATGVPPPPPPPPPPPGMGAGIP PPPPPPPPPGAAGKMPPPPPPPPSGASFGAPPPPPPPGASVGGWRANYMASQAVPSKS TVFLPSIRPKKKLKALHWEKVDAPQVTVWASHALTPQAKEEKYTELAKKGVLDEVERL FMAKETKIFGGSAAAKQRKDKKQLISNELSKNLQIAMAKFSQYPADDVVRMVIHCDAD ILDNQVVMDFLQRDELCTIPENISKQMAPYSRDWTGPNAASSEREQDPAELTREDQIY LYTAFELNHYWKARMRALALTRSYEPDYEHISAKLQQVVKVSESLRDSVALMNVLGLI LDIGNFMNDANKQAQGFKLSSLARLGMVKDDKNETTFADLVERIVRNQYPEWEGFVDD INGVVALQKLNVDQLRTDAKKYIDNIKNVQASLDAGNLSDPKKFHPQDRVSQVVQRSM KDARRKAEQMQLYLDEMIKSYDDIMVFYGEDNTDEGARRDFFAKLAAFLLEWKKSKEK NISLEEARRRTEASLARKRNNAALANNAGSGETSQLPASSGAMDSLLEKLRAAAPQAR DQRDRRRRARLKERHQIRVASGQRMPDLPGAEGAEGGGINDGNEVSDNVASIDEPSTT ETGLLSPLSLGSEPGTTSKDSTQISESEDVADRAASMLQGLRDNTDGERSRRRKESAE EERRKRRLRRRNGPTAGSKESAEPMTPSTTDSKGLEESITTSPEDASSQRPVTPVIVV SPTTEQHHRSSSDDEPNADSPGRFSEPSN AFLA_055050 MGSASSFAKTIVIPAAISLALYLLLSFLIIPFFRRYHQRYSQYL PLHTISAHTSTLRDRVADAMMRLFLPAAWRQQARFDDQHDNISIFDEEGEIMVGMDMD PARREALERRRSTVAEPESRLSRELEEGFMDDSDEEEDGRQGPRGH AFLA_055060 MGKILMVLYDGGEHAKQQPGLLGTTENELGLRKWLEEQGHTLVT TSDKEGENSTFDKELVDAEVIITTPFHPGYLTAERLAKAKNLKIAVTAGVGSDHVDLN AANKTNGGITVAEVTGCNVTSVAEHVVMTILTLVRNFVPAHEQITRGEWDVAAVAKNE FDLEGKVVGTVAVGRIGERVLRRLKPFDCKELLYYDYQPLSPEVEKEIGCRRVDTLEE MLAQCDVVTINCPLHEKTRGLFNKDLISKMKKGSWLVNTARGAIVVKEDVAEAVKSGH LRGYGGDVWYPQPAPKDHPLRYVQGPWGGGNAMVPHMSGTSIDAQIRYAQGTKAILES YFSGRHDYKNEDLIVRGGDYVTKAYGQRNKA AFLA_055070 MLSLSRLRLGPTRTFLAQARWKHGCCLPSVPALTRFATTVTPKG NTRKLDLPAIDRKWQEKWHSKKSNLPSIASETKGNTEKPKSYILSMFPYPSGTLHMGH LRVYTISDVLARFYRMRGHEVLHPMGWDAFGLPAENAAIERGIDPAEWTKDNIARMKE QLRSISTSFDWDRELATCAPEFYEHTQRIFLMLYKKGLAYQAEALVNYDPVDKTVLAN EQVDANGFSWRSGAKVEKLNLKQWFFRITDFKEALLNDLDSLAGGWPERVLSMQRNWL GKSYGAKVKFPIAAEGIGCGSTEIDVFTTRPDTLYGVEYLALSLNHPIVLAAAETDSK LRKFLDEAASLPPDSKTGYKLSTVTASHPLHIIDRESPHVARRLPIFAAPYVLSDYGE GAVMGVPGHDSRDLAFFKENVQPESIPVVIEPHRASDAESGASAGHLPAGDMKAYTHE GFLNSRCWKYQGLHSREAGQQIINDLRAVGHGDTVEQWRLRDWLISRQRYWGTPIPII HCGDCGPVPVPDDQLPVKLPKIEGDWLKGKRGNPLESSDEWVNTECPRCQGPAKRDTD TMDTFVDSSWYFLRFLDSANRRQPFSPSSARPVDVYIGGVEHAILHLLYARFIYKFLA KSGLFPEIAHVGDVSRPLEPFKTLLSQGMVHGKTYSEPSTGRFLHPSEMDLSSPDKPV IKGTQITPNVSFEKMSKSKHNGVDPTACALKYGADATRAHVLFSAPVSEVLEWDETKI VGVERWFGRVWKLVQDAQQTLASSSYTFNPDELMLSNHATALPYSPQNLSGNDADAVL FTHRTILSVTSCIENNPYGLNTVISDLTKLTNSLTSSTPSSPQVLYLCISSLLRLLAP IAPALASESWETLNEPIIGRYPKNESIDNAYDTVAVQINGKLRFTVTVPRQLSPTTDE SSEQDFIINRILETDEGRTWLREKNDWEKRRRVIVVKGGKLVNIVF AFLA_055080 MPYGLPIDYNQPLNGTMVAYAQQILICTGQRDWTSRIEDDGKRH TWGHLVRGLKRLLGRGGRYADAYLLPKKLSAMSESLPEVKKAELTRKPELECEFADVV DLDHSPVILICGHGGRDMRCGIMAPVLENEFRRVLGDKGFTLAGSGDHTIDSPGHAHV GLISHVGGHKYAGNVIVYIPPGMRKKSSSSPHSLAGKGIWYGRIEPRHVQGIVEETIL GGKVVADHFRGGIDRSGDILRL AFLA_055090 MISFISNRSSSDEPVISAQTAAFFSICLTLVYVVPFYVSSTTRP SPTLSRDAPSVIRARIKAVTLSCVGSTLAVSWLIIAKGNVSLLEALRFLGWWPVGFAE VLRSLLLTAILFAGPLFERGIAEGEWRDWIKGNRIPETLRGWIGWRNYVAGPVTEEVM FRSAIIPLHILAKVSPGRIVFTAPLYFGIAHVHHFYEFRLTHPDTSVLAALLRSVFQF GYTTIFGWYATFIYLRTGSLLAVILAHAFCNWCGLPRLWGRVEAGVPLGPPLVKGKND TDRGPVYAYNQLGIGWTIAYYAILVGGATSFYYTLWPLTESSSALVTFTGP AFLA_055100 MRSTGSSTTSSPGRRALHERTSSQTNEVSPPQSLRAVSDKHNGQ EDECDVYTATPYPTKPEHILLPRPGKGQEFIPDSRFHVEEMPNESSATLSTEISHILD SSLIEQSTGDPWDLSSTFDAANTPPQVWEDDPASSKSSLPESGPTEHREVEFKSDDVS YSDEEPNTLPGAAPTIKTVVSDTSSGQPPHPANAASSNSSPNVVPIGPSSSPNFVALD SSSLNFVPIGASSNPDSGSRSNSLSSLNSLGTVIRYIGAAPWTHGSSSEQSSSRSYSF RSNPPYQGPSARSNSTRARERSHSRSITSSSRSDPSSDIQAIVDSGVFLQYPTIHAPS SASSRVDVSHSADSLDNTQHETTADGASEHFKSHLSTVTSRWSAEYDSRSASPADRAE NTPEPSRPTAAHVRQRPTSSSVWLINSTDGDEYLDDVSSLPARPANPAVPSSHSSGSR QSSVRSTKRPGTSSSLAFNVLPTWAKMYYGQPVGSALSLVEGSRPSSARPTTPNSNPL HRLTTAATRPRTRTNETGRSIRWMSKPDPRDPRSHWVKGPEVAERPGHSRHQLRHSWS PHLYPDRRNVRPRGSAWGAPSMDSRTEPFLGRRNIQVWSFCLGFVFPLGTCTRTWKSQ LWCLLVFSSAWLIAAFLPLPPKPEMILQEDSEPGREKTLQMRVLDLERKRYENARWWR NLNRWMIPLGLVIITIIITLAVVGTKVGF AFLA_055110 MPWIGFIFLMGHMSISHIYRQIIDDAHVTDITGAQMVLVMKLSS FCWNVHDGRLSQEQLSDPQKYAAIKDFPGILDYLGYVLFFPSLFAGPSFEYVDYRRWI DTTLFDVPPGTDPSKVPPTRKKRKIPRSGTPAAKKALAGLGWILAFLQLGSLYNQELV LDETFMQYSFVQRVWILHMLGFTARLKYYGVWYLTEGACVLSGMGYNGFDPKSGKVFW NRLENVDPWSLETAQNSHGYLGSWNKNTNHWLRNYVYLRVTPKGKKPGFRASLATFVT SAFWHGFYPGYYLTFVLGSFIQTVAKNFRRHVRPFFLTPDGSRPTAYKKYYDIASYVV TQLTLSFAVMPFIFLSFGDSIKVWHSVYFYGIVGNIVSLAFFVSPARGLLLKKLKARN KPHVPRAVSSENIRQPTLGLPNDAIQEFDDAVQEIRAEIESRQRRGSLAHMPIGDELK AAVEDKIGRGH AFLA_055120 MDVVAAVSGYISKMVTAGDPSTSGSSTSAKMKILLLDSETVTIV STAITQSALLNHEVYLIDRLDNAAREKMRHLRCLCFVRPSASSIQLLIDELREPKYGE YYIYLSNIIRKSSLERLAEADSHEVVRAVQEHFADFIVINPDLCSLNLGFPQQRLWSH SPDLWNADALQRATEGVISILLALKKNPLIRYEKNSLLAKKLATEVRYQLTQEEQLFN FRKTDTPPILLVLDRRDDPITPLLTQWTYQAMVHELMGIHNGRVDLRDVPEIRPELRE IVLSQDQDPFFKKNMYQNFGDLGQNIKEYVEQYQVKTKNTMNIESIADMKRFVEDYPE FRKLSGNVSKHVTLVGELSRRVGEDDLLDVSELEQSLACNENHASDLKNLQRIIQLPS VAAENKIRLVALYAIRYEKQPNNALPILLDLLVTAGNVPSYKVNTIPKLLAYHHSLQA PPVAGGFSDLFESTSFFSGARDRFKGLKGVENVYTQHSPRLEATLQNLIKGRLKELQY PFLESGGHIRDKPQDIIIFMVGGATYEEAKMVAQVNASSPGVRVVLAGTSIHNSTSFL EEVDDAVSGWPEPAPSSAAGRLRREITR AFLA_055130 MELHNSSPKPLNASSPSATGAREPKLHLPTTTDPPGPQNPPKPL VWLIFGATGHMGRSLVKTALSRDDLVAAVGRTFENSPEYMKKLEEEHDNCLGLLCDVR ARETVKRVIDRTIERFGRIDIIANCAGYGVIGACEDQDEYDIRDQFETNFMGTLNMIQ LSLPHFRERRSGRYLIFSSTSGALGVPGLGPYCASKYAVEGLMESMLYEVDNFNIKTT LVEPGHMRRDDIGDLVSDTAMLASQNENDLASPLPLYGHFFVKPPSEPYNTPTAPAAH AKRMLMWLGDKQPASAVKAAYLVWELGHCSYPPLRLILGTYAVESIRDRLKCIIEEIE DWKYLSFPHGDQHPSPAKDKMPTNARENEAGETAT AFLA_055140 MGADPLSPIAPARLRALLLPVGKIKRSRFLSFTARLQAENVVRL GDISPDARPNRNMFSPLAFPTGMILYDLTFSVPPTSHLELFPFEIHREPLVVIAVADG VELNGGNEQKIEESTFKGKNEASPTPAGLDQLRQELELLRERNPKALVHQLLIFDYEE VGKLLNGPDDILWIPRPEASKATTMKTVLCDITSLLLSELDGFARTMQSIPSIESPKA FSWGPHRGPDIRPRPTDRLFHRMTMPAQLPSNPNGTPETPLSSSQGSPTPSDHETPTT FDEITRSIQLSSRSNSIGKPNSLPSSKEHSRDRMSVSSMSATDRTKNRIKGRAGVVIG TLFLQAGRWPDALKELVEAASNARACSDYVWHAKALESILLCLLMFAWAGMDFQIPPI CYPVADKSSKTSYNFESTSGQSTPGNRIISLQNLSNLLPDLSNNILNLYNRAANIVNA PLPQLIFSETVIRLGRLLVAARIRDGALDDIALKHIVMGDPLQPLHRPERPRGLVILR KSEIANFLLRALPLSPGSDLPATDAIPIMIGVVSVFDTLGLPRKKAFILRELLSILVP TLVQARKIGAAEVGIHPAAGLASLSDTAFVINALDVGPGNMEESMRSLLATIGEIYGV QPSSFYEWQKRCSANDNGAESFPEYDSVAAIVERSFRHAVLDGYGDLNLKIDVLKACI NSCEALPDFGGVLRFTVELLQTIKGDLMLAETLHTPPCLPQDEQVRLLNNIKRTVGAA NKLGASGLEAEYWDDFLVRGVQLLALPDFRSPVRRSKSELYVVTADREKSSKDPFLYN PFHKPSNKTAELLMVAGEHAAFQLTLQNPYEFEIEIEKLRLDCEGVPLDAVAEWIVLR PLSLQGITIFGLAHEEGVVNITGCFVKVRHCRERRFPIFKDLWRPEAERKFKRTGLAA KQPSMERPLSWSSTTSRDGKQLPKKGPDTSSCEIKVIGCQPSLVIESLSLSQSAFMVL EGEVGSFRITLRNTSSCPLDFILFTFQDSTTRQIQNALSNRDLLPVEVYELELKLSKP ALRWRREGKNPGDHAIPPGECATFTVDVTGKPGLQDSTVQIDYSCVGQSHGELPDVFY TRQLFVPLTVTVNASIEIARCEILPFSGDFAWWNCREADVEPEYTAKADTDGSACPLS SDLFSPVLSHLGRGTYGSDHCLLLLDLRNAWPSPLTVSLQANEQPVELSEQSIEEAEL VDSRYIVSGELQPGQTSRFVLVLPRVYLDNPHASIPVLNTGVKRQFVVSAHKLSFDAE AASREAFWYREELLKRVSGFWTESPAGRKGMIDLRNLRFSSRMVDAFRLEDVDITFAL SPPSSDIISPNGDSVVQIGRSKYKVQIDEMLSLNVTIRNRSSRPIHPILRLQPSLRHQ PNNIALDLSKRLAWTGMLQQVLPVIHSGESTTATVGVTILCRGEYEFGASVEELRFLR PSPGTESDTHPQAQAQASFHDDDDGPIKDTFGVDVAKKRHIWHAKEACVMNAHG AFLA_055150 MTQNIDFNALKARTMGSGNDEEAVTVDTRGLISKVLARYSGKWT VLREMIQNAADANATKVTIKFETLPSTVVPLPSHADNTTLIKHTISHHTLRRLLISNN GSPFSEKDWARLKRIADGNPDETKIGAFGVGFYSVFDDCEEPFVSSGKEAMAFYWKGN ALYTRRLQLNDAPNPETTFVLDYRNNTSPVPSLMELCQFLSSSLTFVSLESIELWLDD WNLLRLTKKAAPSIDLSIPRDIETKTSQGLMKIVNVTREVAQVDATWMRAVEWNPHAN LLREGLRDTAGSLRTFFSRLTGQGGSEKPVKSESNPNINDAEDMTKASTASVFLHINT ASIQCSISQSLSSELERATRKPPPKKSTLAVLTPSYDTTLASGTSGLQSDILSSILPS KAGRVFIGFPTHQTTGLNAHISAPSVIPTVERESIDLNTRYISKWNLEMLRAAGIVCR VAWSAEMASIKSKIQPTKSSKIRKDDIVGVLPEAIHTANQFVFRESTPSSVLGQTIED AFWTCNKNASIEVLSTCGIIQSHQARIAPKDLSFMDSIPVLPDDFVSNAKDFVRKLTD FGLVTDITVSDIQRELEASTLQSSQILEFLSWLSRRAVSGQLDSLSVQSLLNVAVAND EDSSGAVCRLLVFADMTSYLNPQRIPVELPLPSSVMPFKYTKSLGKQELESLGWTELQ MVPWIHWIVSNAGNRGVLSIEQDITQSSSFSAQVLPVISKQWDVLSQPSKQSVINLLQ PHTIMPTKLGMKRPVETYFSSVRLFDDLPVVRGLNSVKEKFLTALGVRKTVDLGVIFE RLLSTTESSNGGSVSQRKWSHVDLIRYLASVRDDIPASDIKRLKDTSICTAEATETTN DPTKDRRKRYKIYELFEPHDPLRALGLPVIEWPGKYQSNSNEGKFLSMLGLRSFPSPF ELIKIMAKAAAARDWTLHGKAMSYYIAEYHNNGYAAFNYEEITVPFLPIEGSDSLSIP SQCFTDEAATLFGFKILRHTLHPHAPKFGVKQHPALTDCLNYLLRQPPSTKRDARVTF KYLAGRVSELKPRDIDRVSSAQIVPVSVKDIPDPESSIRHVAPKLCYLGEGEDYRDIF DFVDFGQEANFFLMAVGSKREPSKIELAYMLVKEPARISSAFQSADKYLKLLRTLAEN ISVLKKDRGLLNEMRCSAFLLASRDISSLAQGNSGKRGLPGSEDDDDEQSIKEWTLTA AKDTVVVDDFQSFNLFKEHILAAPQEETLENFYLALGAVPLKTLVEERAHWGAVASDQ LPAAKLQKLIHERSRLFLHDQPAETIQHDVRWLEKNLRVQVVHSISLTRSLKGRRVSH TQKRSAIITRQSTSWVLWISPGKYDLYEISQALVHLILVRPKLHSTLTLEMLLKTDLL ELKARGYNVERILKQKAHEARMAEDRRQKQLEEERQRLQEREAAWAQERAQAQVQTQA QQAEDKRQHLMPGDFPDSPSPSSKDIGPSSQGEALEQSQDRRPRGLFANLTKRFGLDS GRPASNPLGGDSSQPSAESSNNTPPPPYSAEDPQKSRPEQPVTVSSPHKLQSELLSAI QACRPHGSSNVYSRPETNQVTESKSYCDEKPSHDLEFVATLPCGIHVLFVKTLADRSN FLAKNSAGMNLFASMLIDCASVFSLRADILSVFYDPGGKTIAFNRAGSIFCNYFYFQQ LHEKELLQKPAADRSEALIYWWVILCHELAHNLVGDHSSAHSFYSESFVAQYFPKMAT KLATVNPQTPAPNS AFLA_055160 MAPLLGSWTASSAGGPSKAQLHTSTRKNILYVDAYDSFSYNVVA MLEEVLGVKVTVLMIDSEWPEGNKAEFLQYFEAVVLGPGPGDPNVPQDIGIMRDIWDL SDADLLPVFGICLGFQSLCLHHSIAIERLSYPLHGRVYRISTAEKDIFKDLQDVEVTL YHSLYAKLDDSLEAALAEYSGKQIKANADLDLLAWLPIEQDNVSERTIIPMAVRHREK PFWGVQFHPESCKSDRGVCSELLRKWWEMSLDYNKKHGRGGYGFLPEDITRGPSDMTS LPEIAFTMLNWCASTSRSSAFRSLPTSRLNAEFICERLNAPGSPTVLFQSNGRYSIIS VPGPTSWRLEYYAQAEALLVERLQGHCNGHTNSGIKKSLSVYDLWETLRYLMDMKKVE FGDDAVPFWGGFLGYFSYEMGLACLARPKTHSDDNHGYIPLQNAAATTDPADVSLLWT ERSVVVDNETGRITIQSTRNADDKSTGWLDETLQYLTELSRVDVLENENANSDAGYLD TILRQCVIRFPNEQTYKQQLEACKAELEAGESYELCLTCETSITLPSPSTDSERVAFP WKLYKRLREYNPAAFSAYARLGTVKVVSSSPECFLNWDRSFTLEMKPMKGTVRKSASM NMKKAKEILGSTKEMAENLMIADLIRHDLYGICGSGRVRVEKLLEVEDHGRVYQMITH VKGDVDPGRLGFAVRNLPHLHSSNMSAYGLTALQRCLPPGSMTGAPKERSCMHLSLIE ARKRGVYSGVMGFLDLGGGGSFSVLIRTAFSCSDDKDNEQTWRIGAGGAVTILSTADG EWNEMLTKLTTVCGVFAPSDLQGSGKV AFLA_055170 MASAQDGSMEEILWRSPAHVQMMGGFLHSNNILFYFAESPFFDA TSNNASLAIQANYNETLRHFVETREAFEGRLKTMQGLEFVVAYDPLQAAAQTETSFAH EPSNIWVIRKQTRRKRAGLEDEVVVLSTYFVVGDCIYMAPSVASVVGNRLLSAVTSLT SLLKTASSLPSFTPSHGHTYLPPAPKPTDSSQPGAQSQQSKENTPMPDADSTKALLVG PQTANAGAILQDTRTFAESFSLLARYGEEFMDENPLVGEPGSFILSKSGDTDRGAASK QPSNVNRPGSIPGKVGTPQVKVDTPGKTPEKGATPSASDDSKIRKKKAKIGN AFLA_055180 MASVQQDKPAVVCVLNNIQLVYGGGTAGLMGELARTLVSLSGPQ AVHGIIPRALVKVEPGYDNAQEERNPSTVVSGKEAERVVKEPMGKIGTLKESEYGYTT IVPDMHTRKRMMAEKVREGGPGSGFVALAGGFGTIEEVMEMTTWNQLGIHKLGMVLLN ANGYWDGVLAWVKNSVQEGFVSPENGEILVEAKDVREVWPKLVGYKISNGRMQLNWGE E AFLA_055190 MFRNNYDNDAVTFSPQGRIFQVEYAQEAVKQGSVVVGLVNKTHA VLVGLKRNAEELSSYQKKIIEIDSHMGIAIAGLASDARVLSNYMKQQSLGSRMTYGRP IPVDRIVTQIADRAQTNTQHYGKRPYGVGLLVAGVDEAGPHLFEFQPSGMIHEMLACA IGARSQMARTYLERNLDKITNSTRDELITHGLRALKETLSQDKELTVDNTSVGVVGLA GEEGKGKIESFKLYEGQQLVPLLEAVESGETKEEETMEVDS AFLA_055200 MTAGLKTIIALSFVLAIGFLLVILSSALWHNFLPLIVVATYVVA PLPNWICSRCANPDDFMDSSGNAAADFGRFLTGFLVLMGIALPAVLAHSGAIQVPAMI MSILGGLLIYGTIISFSMFFKEQEEF AFLA_055210 MNIWSGTIVLEGQSQLRGSTTTDIIVYGILSNATSFKRPPTPPV SSSASSDETALRAIKQELRIYAAPISSSLITRTHALPTPQNTSELLGHETLAEFLPDI RSPSPKRKRVATLFESVTQHHKRVRQKGGEGVSQLMAHAQFQPSHQSQTLRIKRESEE PSLPLLDRIASQRSRSLSVGANLHPIKPSEARTEHPRPASNRGHPRELIKRNTPNPFI ESSLRKEREPSPALPSSDGRVELSSAPKDAEDVILENKNTISRTILTCMRLYGFNRST TRSGSSSKNPNNHDTLSSHAEEKGPRIAAAAAPLATPTLSTDEDDFKAMYHATYRAST FALRKYLKETPVSQDNSKYLPPLLEKGKAMTYGRSELHLHINSRNGSNVHVKFVDWIP GICSALGMLVINSIEKSRLHADSWSYSGSGVAWKARFVLFLGFALLAGGLAGSVTVMV LKYLIKQYPLQTLYFGIANVVANGLVMLSTIVLWISQNIEDDYTYNLAL AFLA_055220 MDANMNNLLKWSIQNSTTQQSDAPNASNNTADSSARGLTPEMLS ALFGGPSDADLMKAAMEALHSDEVDLENKLIAFDNFEQLIESIDNANNLEPLGLWTPL VELLQHEEAEMRRMAAWCIGTAVQNNEKAQDKLVVFNAVPKLVTMSTTDSNPATRKKA VFALSSAVRNYQPAMDELVKHLPEGYSQGEKVDAGDMDAVDAIMDRLRAHPVPSSA AFLA_055230 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF AFLA_055240 MSQEQLRVLIVGNGGREHALAWKLSQSPRVEIVYVAPGNGGTGS GATSKITNANVKGNDYPGLVAFAQKNGVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPS KAAARMEGSKAFSKDFMKRHNIPTAAYENFREYEPARQYIDSISHNVVIKADGLAGGK GVVIPQTKEEAHQALREMMLDRQFGDAGNEVVIEEYLEGDELSVLTFSDGYTIRSLPP AQDHKRIFDGDQGPNTGGMGCYAPTPISSKEVLEEIDRTIVQPSVDGMRRDGFPFVGI LFTGLMMTKNGPKVLEYNVRGGDPETQTLLPLLSDDTDLAEIMVACTEHWLDGVSINV KPDFSTTVIAVAGGYPGSYAKGKAITLDPVPEDTLIFHAGTTLVGNELQTFGGRVIAS TATASSLEEAVRKSYSGISTIHFEDMFYRKDIAHRAFRQRDAVASQKESLTYASAGVS IDAGNDLVNQIKSSVAQTKRPGTDAVIGGFGGLFSLAAANSAYHPHSPTLIGAIDGVG TKLKIAHTVGVHDTVGIDLVAMNVNDLVVQGAEPLFFLDCYSCGKLDVNTASAFVTGV ANGCVQAGCALIGGETAEMPGLFIDDTYDAVGAAVGAINTTGDNARTILPETSAMQDG DVLLALASSGPHSNGYSLVRKIVERSGLSYNDPAPFSMPFSDEPLSLGRALLTPTRIY VKPLLKALSIPSSNKTTGHFSSAIKGLAHITGGGLVDNVPRMLPSTLTAHINVSTWQL PPVFAWLKKNGNVTATEMARALNCGVGMIIAVEKEAAAAVKDLLQKEGETVYEVGELK AKKEGEEGCILQGLETWDA AFLA_055250 MLRASLRSVSDPLREHVCISCLAQRLGGRTRLRLYSNTPYRANS GIEDASARVISESLSALEKSPPPQKDQKSRSPGEHSKHKKRLNSLKSTSSARDDEAAT TKSDDDTTSPAEDGEPSKDQSVHTAGKGSKKATGKARKMSAMKTRRALAKEKQKTKAS KDHSEQKEQLAAATQEDPTSRIKSSLQSIVSHLDSTKATSVREQESPKNLSFVMSSIY AKESGKVESKDLQLNPLDVETAPVPRLSFGLERVLFNPGVYHLRDPRSRVYNFDPYLG QIMPVTEFDFDALKDYITSSKDHTLRDIAVKEKKKYIGSSSSMTSVLSHFHYLLSAWR GVDIRTLSQGFPDKLRSFTRLLRAPSAMFLRYQDGVYAIDADKEFESANILMNLGKSM EKLLTLPKDDFERYRRSSENKISAEEEQAIPESYHYSTLGDFLMRSQLDAYDPRLPGT GMFDLKTRAVVSIRMDARNFERGLGYEIQNRYGAFESYEREFYDMIRAAFLKYSLQVR IGRMDGIFVAFHNIERIFGFQYVSLPEMDQTLHGQYDTALGDTEFRLSLALWNKILDK VTAKFPERSIRLHFETRDAQTPFMYIFAEPVTDDEIHSIQTRNQAEIDAYQRRVLNLA PSEEEDVPSTDGKEELQPSSSSDKQERSVDEETRSSEVGPDDYEAEYDSSAPLDEAFI SEVENEVAEKAPNNGRELLAMTLVTKNFVNGRIVDRPNDLKTYDEWNVEYELSELKGE KAHALYAACQKRREKALTGRGEDEQDVANNVYIRRLREISKKGRDYRRNENRLDKANG IVVLDDSA AFLA_055260 MADSSLGKKERRKSLSVFSPSTTSSLSGLGTHDRSPSDDAQVVK KKRRNSGFFGGRNPSPPGPSHGLWRPGTADTNAAAYTAAMPNGAFEASRPRRKSMQKK RASVFGSLRSFHSFEDDDRSLGRSKGSPSMDDDEASDSRQGIGSVILHHGEIQTTGGM WRKKSQYLVLTDTHLVRLKSQSKAADMFPSIPPSFARSGPSNRQSITSISSLGDPQLS TTSDSAAGIPLNSIVAVYMLDDGKPSSTVEVAYLDERAHKAAFIQMQTPDLQELNLWM VGIRSAAELIRQGNPLPFDQRSIEYVVRMLEYERDYDPETFRIFRVIQMASSKSPART SSEDLTKLSPTGCYLALGSHKLHLIPLHKASNRGSAVSLSDLDNTTTFGLMNLTCLSM EWGDDSLHLTFRIPLCKPFSVFLASVHSLEVAFWIRQQTEFLRPLWVRQPYEFIVPRD LGNESNFPPVDLNEDYGCFDRTLVAYSASYDVDTSNIRYTIDVQCDDAPCFKLLPPAS PSRRKYTALELVAVLRALRYNESFRSISFSGVSLDALQGLRDYHGLDKDMLLSRAGAP VHITGQESLSVLSQEIRALTLKSNWLRRLDFSYTLSRTPKSDNESHDPGCGIPEAIFP ICRRELTSVDWVVLNGIKLGDSDLDYLVDAASQGASQMRALEVGNCGLSVHDLDLLLS TTVAQAHTLEAINISGVQGRLSPDILQQYLGYFKRVKKLDLSRISRTSGPDPLITAET LINWQLEELSLSRTKVNRETVDAIATYLASDRSRGLRVLRLDQCGLTGQDVAILLHSS VVPDCARDLHLHVNENRLDLGCSFLFDALAKSKSPPHLSMRMIDFKKEEQFQDLVEAL RKNRSLKYLDISKASLPYDAGPETCRALQLMFEENETLEDLDISGESAHLDVARFGIG LNLALTGLKKNKSLKVLRIEHQKLGLQGASTLASVLEENDCLREVYCENNDINLQSFT VLVNGLQRNKSLLSLSYMDRDRIQSLDKVRREIESVKRDMGVAQGSTTSTIRRSLNAA KHATVGHKSSKHLHAPGHLRAGVSMPNNLAAAEASPFLYHDIEVVLQSLNRKWDAEVS RLRRYLFRNFNVANGLEVETAALDGDDAASDGRPNTAASLATMLDNLKLDVTVSEKEV QPRPQTNTQPSPKASAPSEASHLDIFRSLDTETNIQIEPKVRPQTAPFSFEYAPQILP SDYASSSGPSSWLAVPVPSMPSVLNKSSSVRSARSSSTVSTSAGTAASARSSYGTTSS TLRGFLKGGASKERRRAEKMKPAAVCVSRDRPPTLKWSPPKLDLGDFR AFLA_055270 MQITNGLSIAQFGSVSILAHMIMILPHPFKFSPSATPPAPSQPQ AQSSPRPGPSLLSKDYLRSRLFYRPLEGFSEWLSPASRIYRGLTPQYKVFLQIAAMTL GGCIWAERRVNDYIDLIRKTKRAERLEAQRAARYAE AFLA_055280 MSLPQRTASLTRITNETKIQISLSLDGGVLPPYEPTDHFPAPED PKEAEAATHGIVPPKDAHHATQFTATQQITVSTGIGFLDHMLHALAKHSGMSLAIRAK GDLYIDDHHTTEDTFLALGAAFTKALGARQSIARFGRGDAPLDEALSWAVIDISSRPW AVINLGFRREKIGDLSTEMITHGLQSFAQEAGVTLHVCCTYGDNDHHRAESAFKALAV AIRTACTRRVAGEVGAGDIVSTKGVL AFLA_055290 MKPVFFTNTLYLLLPVLASAAPAPIIGRDIHSRGTSITAQQIIA IAPSSAQSCTNRADKNAPTECADAEKVATNIAKSFDKYQVTSPAEQAAVISLMALESV EFLYNRNKSPGVPGQGSMYLQSVPVEKCEK AFLA_055300 MKMMEEEEEEEDGENEGSVGTFYTTWTYLRVEGQHTEGFSHWSS SMTGMSIPNLEVESPVRCMGLECRGTSKVGGYWQIKFQAETRVSKLLSLSSGGIDQGA REKQWGSFGVLPEHYQSCKYPSTPTVIPVVSSQVY AFLA_055310 MNTPGSSLPLMVTSILSSEQTQSDTLSWPTMAAHTLTLMTYGCN RRLDPLLSYPAWVRRTAPTGISNDAMGSVPQHPFFLRVIELLQSYDRSWLLPYITVMY STGPLFLSVIWKEYMQEAMGESSRVRILMQDEYNRYSWSFFTHHVGNSWHGKDARLIF WMGQHWMFLTVCGFILVGSVGFCLWWVYGRLILLGSKYRYRYTKVPTFISVSGLSPTR RPRRPTPTLLRRVSFKEDEETGPITETSYELYSRRD AFLA_055320 MTALFYGLQITYEWRQSLSIPPNRFNYLPTSDINVLDSWWDGLT WEYLVYQCMRRDCNWY AFLA_055330 MAAIWGNGGQAGQFPLEQWFYEMPPVTRWWTVATVATSVLVQCH ILTPFQLFYSFRAVYVKSQYWRLLTTFLYFGPLSLDLLFHVFFLQRYSRLLEESSGRS PARFSWLLFYAMASLLLLSPFLSLPFLGTALSSSLVYIWGRRNPDTRLSFLGILVFTA PYLPWVLMAFSLVVHGIVPKDEICGVVVGHIWYFFNDVYPSLHGGHRPLDPPGWWIRL FESRASAGTDTANLNRDFAAAAAPEVR AFLA_055340 MPPKTGGGRKVIAASRAKADGAGKESAAAKGSPSTRGRKSAGGA RAGKRPAGASRKSDVQPGDPTPQGRHRRYRPGTVALKEIRKYQRSYDLLIRKLPFARL VREVALDLLPADVGSELRWQSQAIMALQEAAEAFLVHLFEDTNLCALHAKRVTIMQKD IQLARRIRGAWGGLG AFLA_055350 MPRTQWIKLGFAADQKLGLASRLSFNYHDCRRIPEPYHSSCESV ATDYLRCLYKHIIESLKSKIGSSFDSMSLEFILTVPAMWPDKAKMTTLHCAEKAGFGG NGTIRLISEPEAAAMHALNVSNPHGLEVGDTVVLCDAGGGTVDLITFSIVEREPNLRL KEEASGDGSLCGSTFLNRLFERFLESRLSSVPGWGRDTLDEAMQRFEMVIKRTFCGDV TQDSMIPVPGIADDSAAHIHRGRLRVSGQEMADLFKPILEEIHHFVDNQVKTSKKRVK ALFLVGGFGQSPYLRRYLRDALPQDMEVLAPVDGWTAVVRGALMKSLGEISPLATKAL VESRVARKHYGMIYQTKYEKDIHDRKKSDFHGHYRIQVMEWFIQKQPLMDLQGDEIKE AEPIKTTWHQHRLLSDGNFDSIHVTLYELDTPVEKKPPLYFDRRKFRIRD AFLA_055360 MDENSISTTKGFDGQNHSSADNVPATTSSRDSNQNKCPAQSALK RLERHAAGIYEEVDSVSRSISQQAYRIEQMEQQLENAHQRIQKLEVELQTRIFRSMPD YQVSDASISEDFLVIRDSLCEWMEGFPDIRSFTETLDNAIHRRGIDKNMFTFPRELQL EFDHAQTEILTMISFGIIREHVLESLVFAAPPADQELLERLYNMMSMLEPKKGSRNRS YHKLQLLR AFLA_055370 MSYPPPPPHNGQYPSQYLQQPPIQPQQTIQPQQLLYNNAHPNVS PYQYGKPVVYPQVMIPAYPAYAHTYNQQPQPQPQPQPQPQSQPQPPPPQPQPPPQQQP PQPQYVNPSDLFNTPPLPSISPPQFSQRSSQYGGQPAVSTAAASNLSPAITTSAATQP TYYTAAGPNQGNQVYGKLPQATPSATPSTTPKPTPKPTPKSTPKVTPKTMPKTTAAIA PNPPTVRPLPVTATPPALSPRPVPQVLIPAPPPEIQQKPERPPSKKQAQRQASQMTPQ KLAKPPIDYQVLLLAMADEYLNAAHSNGTMVALLKQELEVEEYYKLVATGLGCLEAVL KVYARILFEETDNDLEAETALSKGIDLCERNRMLDLKYSMQHLLARMLHKTNPKASMK AVDGMIQDVEAYRHSAWEFAFRFLRVSLSLSSSAHQDSVAALQHLHKIANMANRNGDK AVSAMSAVIEALAHLQQGSGFDSIEQAQRALAVARSHQLNDELRHIPQLTTLVQMVDI CCSLLEYDINQSSQKLKNLQDLMDERLNDPNWRADGSFSIPLSGKSAGPSSIDTGDIL QVQNGTLLLSFNWLPQHDLYALCYFLSSITLSCKNSYDGRKAEKFLQEGIRMIQGSFK SPQDITESMVNANRRVEWRRTLYCNLLLQQVFLACGRTDWDLASKTLKDLRQEAQELG ECLPDTVQCLMEYAEGTIAQATGDLKAALDAFQSPLLSLSPSTSKTARNDPQRDIALL AALNTVLILRDPTHASHFQLPNILATVESFCKGSPNKYIQAAYYLVCATVQTESTIQT KQFLQQALQSATAISNSQITCMTLTFMSWKYFRGVVGEQAEKSARAGRAMAKKANDRL WVSVTDEMLAETLERQGKNDEAKGVREEGHRVMMGLPSALKRPA AFLA_055380 MASAETSATTLLTSFPVPILLSGLLVMGMLSWLRPSGRVSFFHS KDNNLILKKVGKGTKQQITLTDLCRTATPKTCTLNPFLFNGHLQTAWTTVKFDDVPVY YKRWMFEADNPMFSGHFAVDFVVDPFEAPKDSQLTDQERKYTQPSGLPERTAFFAADE FDALPSDDTKPMLVVLHGLSGGSHEIYLRHVLAPLIADGAWEACVINSRGCAQTKITS GVLYNARATWDVRQAIKWLRKTFPNRPLFGIGFSLGANILTNYLGEEGEACQLKAAVL CASPWNLEVSSVSLQSSWIGLEVYSKVMGSNMKRLFEHHVDEVSKNPRVDIDAVRSTK YLHEFDRALQCASWGYPTEGAYYRDASSVDSLLAIKIPFFAVQAEDDPIATVKALPFQ EIGQTPYGVMMTTSWGGHLGWFELGGSRWFVKPVTNFLNLMAKDVDLEAPFLVENPDK APGHVANNTSNLDVTPKPDFNPMRRKLDFQSALLN AFLA_055390 MAAKPGSTKPSGKDSNKSKPLSSASKVSKKAAKRPPPKEVKSKA RTEASQLKKKKKREYTEEELDLPKLNAITPVGVVKPKGKKKGKVFVDDQEGMATILAM VNAEKEGQIESKLQKARQLEEIREAKRKEAEARQAQKKNKLEETKAAIRQKRKRKGDS NEDTKTDTTATQPNGSSSKSKGKRKSVSFA AFLA_055400 MTINPTYLAQRTRSSVNWTDARARVLKSYREWLRASPEIQTMYS LNMPVSAIRTKVRQEFEKHRYVKQLGAVDVLLFQSHAEFQETLNYWKQLSHVMKYFRP EEDPGARLPPNFISGFLEGRN AFLA_055410 MPASVHSQDQDQSMMDATAAAPQEQEQQVEQEDVLEEKRIIVLP GATETAASFQFEGEGHTLGNALRYAIMKNPQVEFCGYTIPHPSETKMNLRIQTYDTTN AVEALEKGLDSLMDLCDVVTDKFTASRDAFNASEADKMNS AFLA_055420 MSTAVAPATAAPLTSSHMNRDPSPKNCPTLAPTAGSQSTSTPPR PSGPSRDGSSSKSSPAGRKQTSPASQNGSAPPKVIVKKEPPSSPAMQSHSRPRPRKLD LSTSLPTSGGLSARPPGGPMTAREGVNMHQVGIACLSPGFQTHDPIMREQLQRSLSVR DQQRSIIESRLQRSAKDDGPDGIKPSESSFGLPKASASKRRPPPGLSIVPPSAAQFAN ERVIQSAPLNQTFTSRHQPQPLTRQVANQSPTLGSTSHLHHIPVTQTNNRLPPLSDVF GSDALGSREQNANRAPFYQNASNSNSSQSNNLPPLPSPRIPGTATQVPKRPREYRSAE EAVQELSGGREDLLPRIVHYGGHQPPTPPSPRAVNGQPKSTAVHPEAAPVPNTQVPPS QPMYPSERTARRRTRSEYEQDNGSPPLGHGPEPHYRQNPALAPGAGASYGPFGAGRDS PETQRRKKEEFLALCARAWDLFHS AFLA_055430 MAISDLLNRRVRALPEEDEEIYSEESAFEEKSDDRRSGESDSDS DDLDDEALEETDDNSEHDGPVGLEDDEDSEDGEDNDNGEDDVQASLSSISFGALAKAQ ASLGPKGKRNAKTAKPTEESPQTTSPLDDIRARIREAREQKRQESGKSKDSAKPARTS KHAPMVQSSKRAVSRKRTVVEPPSVPKSRDPRFDPTVLSHGGRHNAESARKAYSFLDD YRSSELKELKAKFAKTKNAEEKEALKREIRSTSDRLRAMENRRREEEVLAEHKKREKQ LIREGKKSNPYFLKKSDLKKQVMLKKYENMNSKERTKALERRRKKIASKERKEMPMER RLGSEGNDDGGRKRRRMA AFLA_055440 MAAFNEQTLQFPFFSPNTPNQQSQSQPSDQPAFAPFNTALPNDI SNPLNYFSLMPPPPFMMNFGLQNPAMAGPLLSGFPPLPFDPMAFAAAGSAGGNISDVL GQLPVGASATKDVASPPPKPPSPVKSYIEQSSLPPKLVTPPQPLLVILDLNGTLIYRK HRRFPPVFARRAGLDEFLDNLVRKYKVMIWSSSQPNTVKAVCDRLFPGNKRKALVAEW GRDKFGLTSSQYRAKIQVYKTLETVWSNKAVQASYPSPSQNKRRKATQTGTQLKTRWD QSNTILIDDSKLKALSEPYNILEIPEFTNQHGLDESAIFPKVMQLLDELAKHDDVSKV LYRWNFELPENHGILELDLGVNTKEVDQNHNNSTTGDAHSPPSQQDTPTEPTAVAQAR KERRKRRKEQRKARKQEQKLETKTETKTRKIPQKVSSTGQADKATATPTIPAATTTGI SAGSTKAMVQPILERSPSPATSSAESENFLLDRLEDSLNVRTD AFLA_055450 MAFGKIYGQPNNGRTIAALVAAKANDVELELVQTEANANAEFNK SAEYTRISPLGKVPAFEGANGYTLSEAIAIAVYVTSQNEKTTLLGKTKQDYASILRWL SFVNAEVLPHFGAWYRPLLGLDGYNKKNVEEASKVALKNISVLEKHLTANTFLVGERI TLADIFAASLLTRAFATVLDKKFRSENPAVSRWFQTIVNQPYFKAVVENPVLVDEIIK YTPPKKEEKPKKEAAPAAAAEPKPEGEQKKPKHPLEALGKPDFILDDLKRTYSNEDTR PVALPWFWQNYKAEEYSLWKVDYKYNDELKLTFMANNLIGGFHARLEASRKYLFGAQS VYGTNYNCVIRGVFLVRGQEFKPAFDVAPDWESYDFVKLDPSKEEDRKYVDDMWAWDV PVTVDGKEYPWADGHVFK AFLA_055460 MALQSPNCIVHAMQSVRRTVQLRSFLHTPKISLRSNVPPILSQQ RKNARGYATEVQAAPEIEFEKFYTQPARIVPASPAYFSGSPKFIDHLLNLETILAKWA SLPTVAPSEAPRMAWFKLAQFRDFVGEPVPTKKYKNLIKILQRLNRIDPKIMPIEVRD TLKTFLRPGNPYGNKPAPATVDEMGRARGRGKRKTSSAVVHLVEGEGDVMVNGKTLVE AFPRLHDRESATWPLRCTSRLDKYNVWATARGGGVTGQAEAITLALARALLVHEPGLK PVLRKAGVITVDARRVERKKPGHVKARKMPTWVKR AFLA_055470 MKQYDQPRFATRPGMSRRAVAGTDRYRQAGLQSTRGDALSQAAG RPRMPTYLDYGYTDPTFHSGSLQGDELQPYPSLRDQQRQPQPQQQQLQQSFAPYEPEM VYSISPQGPAQAPYEVVTPYSARPSAAIDDLSGQFPVPQYFPPNEPTGAGVPAVGVPY LTSIPTYNQPGPIGRPTGSQPFPTTMTDMPPGGTAGRFDSSSSQQQPQQASSQVISDP TTLTDAYGQFQRALRGTLDNARTGRLEEASRSLLEISEWLVTNARELGILRDDQVVYA DRLQLLNDFNLCWLAVCQKQKDLLQDVLRTRRQPPHTTLLNDDVMDNLGKELIQLCDR VEQFGLVDYQMGIWEEEILGVLGQCLDLYESLPEVLRAHMSTAIAGARS AFLA_055480 MEHSSAPNNAALYDARRRRGSVGTSQLLDNIVSASNFDRDEVER LRKRFMKLDKDSSGTIDRDEFLSLPQVSSNPLATRMIAIFDEDGGGDVDFQEFVSGLS AFSSKGNKEEKLRFAFKVYDIDRDGYISNGELFIVLKMMVGNNLKDVQLQQIVDKTIM EADKDQDGKISFEEFTDMVENTDVSLSMTLSMYPQFSFSLVSRLW AFLA_055490 MTRYGGMGRTRPKKLTSKASIPVVREHDIDAIEEEVQNALQQIE TGVEKAEESEFHLQAAINASAQGKVNEAHIPTPETVLSSLRYDELYPPIFSQPATYIR FSSTVEDCCGCPYNMTEEDDVFLKIMNEKRDAADRCTEDQFEEVMHFFEETAQTKQPF AAVDNPPVLSFAEIQDAMDAAVEESVKRFAKDVYEHWKSRRTETGNRSLMPSLKFETG QETDDTDPYVCFRRREVRQIRKTRGRDAQSADKLRRLRKELEDARQLVALVRQRELAR KEMLAIERQVFLQRSEVKEMKRKLNLKDDDEDLINQKPKKKPTEAPAVQRPVAPQLRM PPKAGTQAAEDLQLLEDVQAEKENEILRDINQNIAKHIKWNEGYVDFTRAPLSPSPER TFQAAFRPAITAQLPTPPSSDSSENMMDTTLDNPSTLSLRDKLAPQTMVMSDDTSRMP SFRRRIGRGGRLFIDRRNLVSRCRVELDPWKADRFKYDQEDSDEELDFERDQFDIHIM QHRAIMMAKARDQAAAAAQAHAQAQAQAQAQAQRRLQADQTANNPGQTMGSNPGPGAI APTPET AFLA_055500 MSSTIHFHDPANFQSQSDEFISWLSGKPGVKVNPKIRLADLRSR AAGRGVVAQSDIAEGEELFTIPREHVLSTQNSKLKDLLSQDVEELGPWLSLMLVMIYE YLLGDQSAWASYFKILPRKFDTLMFWSPSELQELQGSAIVDRIGKEGAEESILEMIAP IVRANPSLFPPVDGLASYDGDAGTQALLNLAHVMGSLIMAYAFDIEKPEDEDDEGDDE SGYVTDDEEQLSKGMVPLADLLNADADQNNARLFQEETGLVMKAIKPISAGAEIFNDY GEIPRADLLRRYGYVTDNYSPYDVVELSLELICQAAGLENADTENQPVLQFLEDLEVL DDGYDIPRPLDDDLAGVLPDELVLLAKTLCMSSDELKQQVSKNKPPKPSLGHKEVTLL SKAIQSKQAQYTTTLAQDKELLAQLSQLEATTPLEDSARRQKMAIQVRIGEKEILQTL SDMLRSTTSKRAANGDNDASRRTKAQRT AFLA_055510 MIAIGLEGSANKLGVGIMLHPDNGNPPQVLANIRHTYVSPPGEG FLPKDTARHHRAWVVKLVKKALKEAHVSVQDVDCICFTKGPGMGAPLQSVAVAARMLS LLWGKELVGVNHCVGHIEMGRLITGSTNPVVLYVSGGNTQVIAYSSQRYRIFGETLDI AVGNCLDRFARTLHISNDPAPGYNIEQLAKKGKQLVDLPYTVKGMDCSFSGILAAVDG LATTYGLGGEGKDDETDTPIPDADGNGKPTRADLCFSLQETIFSMLVETTERAMAHVG SKEVLIVGGVGCNERLQEMMGIMARDRGGSVHATDERFCIDNGIMIAQAGLLAYSTGF RTPLKDSTCTQRFRTDDVFVKWRD AFLA_055520 MGNNPSKGPAGDVPSTSGHSTHAGSAGDRKVTRRPSLNAPSGTA KATAADPSASKETATGHPVSQNQASVQQRLQSRNAPDSATRHAPDTKKADPHYKEIPS PDPSNPVQVPTSRTSARHDHYTSVAPSGPPHNAYYSASAHLQRPPRLPLPIGDATATP GSPYMGSPPSERLLDEQAGQGDPKLGDAAVEDEEVLEELEPYTSSGVGRPVPTIIEWT APGDKVYVTGTFVNWEKKFRLHRSESNPGVMSTRLNLRPGTHHLKFIVDGEMRAADSL PTAVDFTNHLVNYIEISADDTNRSRSGSDKTSQSNVPPGVHPPQVLPTRVGSEQVGSG SAVEDQPDEWEEIPQGDFRRIIPQFLVDLDREDETQESPAYQQAVNVIGDAPTPPSLP LFLGKSILNGTTPMKDDSSVLNYPNHTVLNHLATSSIKNGVLATSATTRYKRKVSHCN RTVWFLGY AFLA_055530 MVLPRPFSRVRTLLLAAALAAFLTYSFLRWQRISYAEQAQSAAK EAASSNAQAVVLTRPEGHIGFWRQFQPLLATYQPKCEPPLRLDNAPSIRFEQASPDFR PEVLDMLDDHVDAMKQAHTGFIEDIKAKPPMLHYVPNTRGLVSTAGGEYLPVLVISLR MLRRTGSELPLEVFLANEDEYERYICDVVLPSLNARCVVLSHILDAVPKVMDIQKYQF KLFAMMFSSFEEILFLDADAFPLHQPEILFMNEPFKSKKMVTWPDFWATTISSYYYEI SSQPMPSNTIRQSSESGEVLLSKKTHMQTLLLSVYYNFWGPDYYYPLLSQGASGEGDK ETFVAAARTLGESYYQVSEPICAIGHGTEGGFAGSAMVQFDPVEDYALTQKGEWRVHG SKAPAPRAFFIHANFPKFNPATVFDKQAVNPAFADDGSYTRAWTIPQEVIGKFSTDVE KYFWKEILWTGCELESKFSTWKGRKGICSEVKKYWNAIYVDKKTSKV AFLA_055540 MSGRRLLDAIQVLNVAKSVATKHLAVRQRQLDLFTRTSSLTKGI KEQADGLVLTAQAAAALASRFNEPNPSESTPRAQTQSATESRRAANASADDARRSQRQ AESQIPSTTAAYSGNERSGDLNVSSQQDVFYQPSQKAGSELSGLPSTTLPKAASVTQS GLVNEINADVFHSSVDAEKVTSPAQEQEIPDEVMNQLFRSPKVAKSISRRGDANMNKG ELKTARSSTRTVEEDDIEKLGNSIADDIVSTNSQVRSVIYLRRKDIYANRFEQTDPVV IADTKQAGSYQMLESRVPSSRLGRLWQYGGLATSMAFGAVGEGLRRVTGSNDADAGSL MFSPGNMERLVAKLSKMRGAALKLGQMLSFQASIGQVHGAVLKKTGQPVAVKVQYPGV ADSIDSDLNNLSILLTASRLLPKGLYLDKTIANARTELAWECDYIREAECSKHFKELL KDDPVFLVPEIIPEASGRQVLTMERLNGVAVTKIQNFTQEQRDWIGTQIMRLCLREIT EFRYMQTDPNWTNFLYNAETNRLELLDFGASREYPTEFITKYIRTLVAASRNDRETCH RLSIDLGYLTGHESSAMVNAHVSSITTLAEPFMGSSPDVYDFSNQTITDRVRAFIPVM IRERLSPPPEETYSLHRKLSGAFLLCAKLGSRVRCKELFEEAVKKADKSGMEIKP AFLA_055550 MITKSSPQALEKEIYDLEYRLQNAKARLALASGQEPDGDSYVPL PQDTIQLLSSHALFLLSDSALPLGSFAYSSGLESYLAHNKPLPPKVTPTASFNRFLKL SITSMASTSIPYVLAAYRHPEDLETLDNDMDASTPCVVAQRASIAQGRALIGVWERAF RGTYASGPFLEGVTASEAAKAIEDFSDALKSCSDTADDLGPKGHFAPLWGIVCLAMGM DARQTAYVFMLNHAKAVLSAAVRASVMGPYQAQAILASKGLQDMIIERIDREWDTPVE DAGQVVPPLDLWVGRHELLYSRIFNS AFLA_055560 MPVAEASPVASSEPGFVKMEDRKRAATSDHNDSAPPLKKQATSV NGGSKPHPDADMPWKDDLERFQKDAIWRQMQEYKREKVSLEAKLKDMSKAATRHNEHL RVIDTWYNQFQKLIDEVKLLLGAAEDIKGDRPTFQSSLSFDDVDNFEKHLKSRSNDIR DIISRLVKHTPKSPPEICELQSQLAKKLAEEKATIAELDKALSEKQQLEESLEEASLR YMVAEKKLDRARSLTVAKLEKQYILGPQRPGGDSASGQREEQSVSNGATPSAERGPEL DEAHNKLVAISEKQKEQLQKLETENANLLSQITDLNIKRSKLTDDDYAHTDLFKQMRS QYDDVVKRINHLEATNVQLREEAVKLRSERTAYRNQVDEETQNVIAEKEAQLIRAETD LARIRNARDELLADQQMRKAAQEQEKTAVLKVQELAEARNAQIASLESEVERLRLQVE NAKATQADSSDIPVEELRGKYQVLERQYAMLNTELTSMQTACKKYSTLASQKVTDFSA LEEKMARLTAEKSKADQKYFAAMKSKEARDLEVRTLRMQNSKSSDIVSQLKESEAATR SLLANMEKQVSETKEALNSMMNKHHATQQQLAENGIVIEGLKGQINELKTLSTSKDAT LASTSSACRQAETEIEGLKATLADTKKSLDNWKNKSLGNSSSEYEMLRELQEYRNQNL RPRFLQRLRRRAPYFSFSKVPELQPIVRK AFLA_055570 MKSFSSSAINKSGKKFAPKAPVRRAAPAPAAAPRRPSVASQVSA SQTPQPPTQTATPEPASIAEPSPSLETPAPDAETPNEKDVVPTPPATATVTATATPKA AATAISIPRPKRKPSFSAFTLSQPPNATPAEPTPLLSIEVAPDESGEDRARVEEPQLS ETLVNEEPSLVAETPAEARPSKRQKTNAEPATPALESQRLTGPPQLATPPATQTSTNE ETTESRDTTESQPPAEQNRKTTKSRKRKPSKDVNGGDTTTRTRERKPRAARKKREPTP EGSEAVQIAPGIVKMSELCKDLRTGKISKRETELRQMELAELERKQKAQQDADNTEQT PIKENESAATPALETSNSGDQKAQNGPVMRIVNGEIVLDAASLQVDRHADAARNAGEL EDVVENHLTRKVNQASFGKRSKTESWDEEMTDLFYRGLRMFGTDFMMISKLFPGRSRR QIKLKFNNEERRAPGRIKDTLLGPREAVDITTYSEMTNTIYDDPRIIQQELDEEKKRI EDQHAKEKQAQEELTNNPDGAAGANHSPEQGTAPVKSKRNSRKQAAKNTSGGNEEILG TIDDFP AFLA_055580 MRCSNAGYDYDEEDVQWTCTASLPQEFKLGSTDVVCEGYRNADD KWVLKGSCGVEYRLLLTELGERKFGRVREETRPRMPLNNNENGMASLGDILFFGLMGA VFLVILVAIYNECSDSRGNRRGRTPGRGFGGGGGDGGGGGPYPAPPPPYSSCPDSSSF TSAPTGQGWRPGFWTGALGGAAAGYQYGRRNRHEYPSAGRRATSFGRWNDASDPGEGS PRSRSPQFSTPTTSTGFGSTRRR AFLA_055590 MTFQQNEPSAVPAQSSLSFTQGFLLGQLSVVLLIGAFIKFFIFG EAPPPPSRGLSHRASTHRRSNSIYTINPNEGTSRSLREKPSTSNVLRPVPSSATNTRS ILRKTYYSAIPTNPSGKHGRHRIHHSSHQPESLDWFNVLIAQTIAQYRQTAYLLKDDP TSSILSSLTAALNNPEKKPSFIDKIAVTDISLGEEFPIFSNCRIIAVDDPNSDGGRLQ ALLDVDLSDDNLSIAVETSLLLNYPKPCSAILPVALSISIVRFSGTLCISLVPASTPP LHTPSPSPSPPTADGAVNAGIHPTNGSREPTQEAPNAQEESPPKTSPKSNVAFSFLPD YRLDLSVRSLIGSRSRLQDVPKVAQLVEARVHSWFEERVVEPRVQVVGLPDLWPRMGR TGVRTGEDSETGSNAASRSAMSADMGNSLRADDIGREPDGLRFRGLGARPPFDSVSRT SSFNVETGGFRSHSMTREGSGGGMSDDFHMPGTLPGGAAAN AFLA_055600 MKAYWYDNKPGDQREPHDSGRPVSKDYLASLGVFYRYCPDIESV NALAKERGYKNRDEVCVSPQTMGDVYESKVKMFFAEHLHEDEEIRYIRDGEGYFDVRG QDDEWVRIQLSKDDLIILPAGIYHRFTTDEKNYVKAMRLFQEEPKWTPLNRSEDVDTN PHRKTYLGTLSTSAVAAK AFLA_055610 MAFRVRSVTLQRWGHGSRSRLLGSVRGLATVSDGSRPYDVVVIG GGHAGSEACAAAARSGARTALITPSLSNIGVCSCNPSFGGIGKGTMIREVDAMDGVAG RIIDKAGIMFRILNRSKGPAVWGPRAQIDRDLYKKYMQEELLATEGLSVLEGKVADIV VSKEGVEDVPGAQGKIVGVRLESGEVIPTSRVVITTGTFLGGEIHIGMTVYPSGRMGE AATFGLSKSLREAGFQLGRLKTGTPPRLDAKTIDFKSLEVQKGDSPPQPFSYLNNTVQ VGDEGQLNCWMTHTNEASHDIIRANLDKSIHIRETVRGPRYCPSLESKIIRFQDKQRH LIWLEPEGFAPNDVIYPNGISMTVPEDAQFAMLRTVRGLENVRMLQPGYGVEYDYIDP RNLWPTLETKLISGLYLAGQINGTTGYEEATGQGIIAGTNAGLSAQGRSPLTLTRSDG FIGIMIDDLITKGVSEPYRMFTTRSEYRISTRSDNADLRLTRMARNAGVVSDKRWRHF TDTEAQINELQTLLANTRMSSNAWSRRGFKARVDTSIRSALDLLCLDEVEIDALIPHI ESPSGKVYTPSSFAPEIRSRVAIERRYAPYVARQETAVRKFLQDESLLLPPDLDYSKV KGISTEEKQALERVRPVSVGMARRIEGVTPAGAIRLLAHVRRTGTPPASENLEETPDD ILQQAP AFLA_055620 MISWGLSDIRSQTGSKKSPILSRIPLPSSHENIYTIPNILTMTR LVAAPLVGYFLVHDYHQAALALFAYAGITDLVDGYIARRYNLQTVVGTIIDPMADKLL MTIGVACLAVNGSIPGKQLTDHYVGEIPTLWLAVIILGRDVGLAISAIYYRWISLPPP KTMARYWDFSLPSAEVKPTTISKVNTALQLLLVGTAIALPVVPEATIDAWNLREAMTG FHTYDHRVWRTGLPVRSAVLKPHAGRLVVGWVTTSESLLLYVFSHPFIF AFLA_055630 MAARSFVLAPLRASRQCPRFFNGIAPPSIGNAAAVREGWSAFRM EFRGSSIFTLAGPSNSLRSKSLNNNGCTTLRLTSHWRQSLGPQRRWHATEKPSDQTDA QK AFLA_055640 MGIWDDGEDPEPFPMRNPNLAMVTIDRPAAPAPPGTPSPPASRR PSAPEHPSTEPSTDPNLAHPRLMVNRACIYERQLPGDAYITAHVQRLQHGFYSSPAVS DQDLDHVDFLGINFVFHSPNTLTHRFKAATIRASIHSMRENSKPSTASQKPHAYRSRN PRFLKHAPHLLYGAVSPETLQWNYSLSGSLGVAELPLLASISPSGGMNGRYRRYEMMR IQGSVRSLKSSRGRKFDVEAGEIVWSLEENNLQRSGLPREFTFAMLIQKPRADSRIQL VLDIEPVLQCSYFNYPTWWLDLPAYKPIPRRSVDFRVEVGQRFEPLTPNKGFNFATLE SSFDDYVHMPGRKVTTGIPLEGGIAQDDNEIRREVTRDFAMVEPVRGIPRAPYIGSTP IGTTGKVPGNRNGVSNMLPILDPGSLSVRILLDNSHGHSSQLASHISALRSNSNIAKE RDKTQGQAPPQSPATIRDKRSSTQSKKVQITQSVSDNQIMKRSRGTKYN AFLA_055650 MVNGTTTVLEPTFTGYVATTQDALILFEACLTGVLHHVPRRPHD RERGHLVRSGSVFIYEENSSGIKRWTDGVTWSPSRILGNFLVYRELEKPFPPGEKKRA MKKANRRPVPPSRPGEPYPRHDSNGSQGYSPSSTGTFGERSHQSDVERALVGSLVDSY GFKDSGLVKKTMSVTVSGVTHHLVSYYSVEDVMRGILNPPSMVESLRFIRPRAELTQK QSFRAPIDDLETGGMENLNDPSHALYGYRPPQLVAPSGYGMPNPHPEFYMHANPYAAT HPPQSAPMTAPSAYRNSSISSRSVATDATSPMDPATPATYSRGNSFSLAGQLDGASHH SVEQRGMAAFDPSIPRRESNPIPTPYYTGGDRHPYYVGATAPAAHANYPVSTWTTAAP AQPQV AFLA_055660 MASNHYRPVMFWPKMEIFIATNMAKVFTASTDLQGEKKFITIVC TTASCIM AFLA_055670 MLGITLMLHSTSASMPDGHVTHMAILMKSNGSCVRVCACLCWDQ HFPSALGMPGPTCTCSTDLGNSVSKPGSETQEGTFSPSASGTICGVFAVHHVFIVSLT GWEEP AFLA_055680 MQLKLPNALAKGQPGILHQYAERLVAFEFTRGNQRKPHSLIFIG GLSDGLWTVDYMTDLVAALQHSEWSVFSLVLSSSYNGWGVGRLGKDIDEIAQCVQYVR DYKKQLFGAGKVVIMGHSTGSQDVMHYLSCPNPRPRHPVLDREIDPLTRTPVDGAIMQ APVSDRESILSVLNDGTERDSPEVMQELYRKAVAHAKENTYEDDDTVETVVPLSVTAR IGYPSSTAVSSRRFLSLASPDSPRKPDEDDLFSSDLSDEQLQQTFGVVGSRGLLKSKF MVLYSGRDQSVPPWVNKETLLKRWSTAAGPSWHPKSMIIPNASHALSDPDQAEPRRTL AERVIAYLDEVAQEV AFLA_055690 MFAALSPTIDDAVRFAGIALNVLILFVGYVIPKQGLIDGSIWFG WLFYVNPLSYSYEAVLTNEFSNRVMSCAPSQLVPQGPGVDPRYQGCALTGSELGKADV AGSRYLQESFQFTRHHLWRNFGVVIAFTVLYLLVTVIAAEVLSFVGGGGGALVFKKSK RSTKLKAQNGKGNDEEQVQNTGDNAALSRGEAKSSSSGEAMQRLSASDRVFTWSNVEY TVPYGNGTRKLLNGVNGYAKPGLMIALMGASGAGKTTLLNTLAQRQKMGVVTGDMLVD GHPLGTEFQRGTGFCEQMDLHDNTATIREALEFSAILRQDRNTPRQEKLDYVDQIIDL LELEDIQDAIIGSLNVEQKKRVTIGVELAAKPSLLLFLDEPTSGLDSQAAFSIVRFLK KLSQAGQAILCTIHQPSSMLIQQFDMVLALNPGGNTFYFGPIGPEGRDVIKYFADRGV VCPPSKNVAEFILETAAKATKKDGRAIDWNEEWRNSEQNRRILDEIQQIREERSKIPI ADKGVEYEFAAPTWTQTVLLTERLFRQYWRDPSYYYGKLFVSVIIGIFNGFVSSPSPR FLEFEHC AFLA_055700 MFSIFLIILIPPIVLNSIVPKFYINRALWEAREYPSRIYGWFAF CTANVVCEIPMAIVSALIYWLLWYYPVGFPTDSSSAGYVFLMSMLFFLFQASWGQWIC AFAPSFTVISNVLPFFFVMVNLFNGIVRPYKDYPVFWKYWMYYVNPVTWWLRGVISSV FPSVDIECASKEATHFDPPPGSTCQQYAGNFVSNIAGVGYLVNPDATEDCQYCPFANG TEYMHTLNVHDGDKWRCFGIFLAFVIINWALVYFFIYTVRVRGWSFGMGYLFGGVGVM IEGVKKVFSKKSEKEQN AFLA_055710 MSGLVSPLIFAFLCASTVGAVPPGTSNPNYSGTGGTMGHTGSTV GTGGTGVSAGGPSISLTKATNRDNPGKTRQQERFVEGCKLGQPRLCNIDTMRFVAVCS K AFLA_055720 MNPDDLDDPDLRAAIAASLRESSNHEENSQNGRQHEVVDLTADS DDDVIPIFPKSNSVIGSETDGDETDSGEEDGDDEDEDLKRAIELSMQSAIADEDGSSR HHLSSIGNNDSKASNTPISSRAETPASIQAPTQAAGLLGLDRRQMEKERLERLNKRKA EEPVSDGQRDAKQARTGTPSRSPGPAISVVDTSSGSNSSRTTPAGNDQIQIPSPTPSV QFPNGVVKKTWAFGCRRQGDDIKIEEVFQKSDLQLAVLSSFMWEMEWLFSKLNTAKTR FYLVMQAKDESTKLQYKSETAAMSNLRLCFPPMDGQVNCMHSKLMLLFHSGYVRIVVP TANLTPYDWGEIGGLMENSVFIIDLPKRTDKDSGFTRTGFYDELTYFLKASTLHENII AKLTDYDFSRTAHIAFVHTIGGSHMGDSWRRTGYCGLGRAVNSLGLRTSKPLNIDFVT SSVGSLTDEFLRSIYLACQGDDGSTEYVLRTAKSFPVRSRSNPTQLINKSTAEEWKDR FRVYFPSETTVNDTKGGPQSAGTICFQSRWYTGPKFPRHVLRDCVSQRLGLLMHNKIL YVRPDDPATLPDNSQCRAWAYVGSANLSESAWGRLVQERATKEPKLNCRNWECGVLMP VISKEDAVSEQNKSPNDESGTMLDAFKGIVPVPMRLPAPQYGPNRKPWFNSESGM AFLA_055730 MDPGPQETGVSVHKQDTSMQGPANKPNFNRLEPSNPGDQGSRFG FLGVWKESIVYYQPSDQVGPFVKLLPEFCR AFLA_055740 MPKFVPRQRKQKHRQKEAADAAVDSNAAEVLPISKEQKEAKRQK LREELRAQHTKISAKKQKRLDKYIENKLKKEENVELLKKLEKTTLDTSNFESSRELGK RKRLDENGIIEASRYTHDSASHVELSGDETDDSLPPSGTSFAIPKAQGPIEKPAEQVV VGSGLKRPLELGADGFPVLKKRKRAPKLKTKATKIQDLPWEGFDSDEDENESDNGSTP DYRKLKDKEVEALDRMNEDDDESSEDAFEDDQSEDENEGEEAEEEEEEESDDDDEGDE DEEEEEEDDSTSNQPRQSAFKAWARQQINETVGFKPTTGPVVSEEQPFGAKLKEKPVR NTVYEEEPLPAELQVTKGNPFRKAFHVQVDRSEDIQNARLGLPVVGEEQKIMEAIYNN SSVVIWGATGSGKTTQLPQFLFEAGFGNPDSPNPGMIAVTQPRRVAAVSMAKRVGDEL GQFSDQVSYQIRFESTVSKKTAIKFMTDGILIREIAEDFSLSKYSIIVIDEAHERSVN TDILIGMVSRIVDLRKAMSEEDPAVKPLKLVVMSATLRISDFTQNPNLFRQGPPPLVQ AEGRQYPVTVHFSRRTRQDYVEEAYRKVSRGHRKLPPGGMLVFLTGQNEIRQLSKRLK QAFKPTQRGGETEVKVQISANDAPLEAEDLDIGDADLSKVGNEDDDSDLEITGLDNDD DDDDGFDLGEEAMGSSTRVHVLPLYSQLPTKEQLRVFEPPPEGSRLIILATNVAETSL TIPGIRYVFDCGRAKEKQYDLDTGVQKFQVNWISKASANQRAGRAGRTGPGHCYRLYS SAVYENEFAQYTEPEILRTPIEGVVLQMKKNQIDPNPKGDNREKDAVYTNSDRLEDTV REQRHKDYARAHRLWSQHDDTSDALKFLSAICAYGYASDGESFCEKMFLRGKGFKEAT QLRSQLTDIVRANNPGVVGAYQPRLSQPTEKQIKALKQIVTAGFIDNVAIRADLSPVP PEMHRTPKRAIDVPYLTLFKSREGPATELDEKAVYVHPSSILASLSPKEMPQYIIYSH LQQASPSLVSTEVPKVRMFPLVCPSGLQLSAIAHGTPLIEYGKPIGKTEPIDGIPPRR ACWVIPSLVSEAGRGGWPLPAKKVVQKKDPKEGWIIEKFGA AFLA_055750 MSSNVGLNTPRGSGTSGYVQKNWAFMKPRNAGYGAPYPPVGANS DAGRPFKQRLPDKQILEHDRRRAIEVKVMEERDRLEEENERIEEELAERKKKGDKEDG EEQEGKILSDEEIEERCEALRERLVKEMEEEEERKGKEGERRGGRSARDLPPRDRRQF KAYQVHELAEAKIEESERLRKALGIKEDKETGEISSGRRDWEEKKRERERDRP AFLA_055760 MGDNPISPTEYARVDSPLYAALDSGSVHVPHYNSASARLSDAQA AALERRLREQELAQQAINPLQRLSFTPYDLARYQGSGMNALSGFYPVAQLGAAALASR GHRDHDPSQTVRSPVLEEFRANSKGNKRYELKDIYNHVVEFSGDQHGSRFIQQKLETA NSDEKEQVFREIQGDSLQLMTDVFGNYVVQKLFEHGNQTQKKILANQMKGHILALSTQ MYGCRVVQKALEHILTDQQASMVKELEHHVLRCVRDQNGNHVIQKAIERVPSEHVQFV INAFIGQVEKLATHPYGCRVIQRMLEHCKEEDREAILAELHVCTAKLIPDQFGNYVIQ HVIENGEDKDRTRMVTIVMSNLLTYSKHKFASNVVEKSIEFGQESQRHQIISMLTSTD DNGENPLLGLIRDQFGNYVIQKVLCQLKGAERDALVEEIKPLLSQLKKYSYGKQIAAI EKLVADSNSPTNGTLPHTTSTTPPNSHKSSPQPSKRAVNGLDGCRAPVVGAAPPTPPP IDPQSNGDGSSDTKTVTKSTPLTAAESAGTTPTTSVEINGAN AFLA_055770 MMHLFKRLVARDDEKSNDDRLTPAMIDLLIALLVLVLVGIALVG ALLVLRRKRQNRKRSELPVHNGQCTTHHRSLTISAPPYAKTESVLVYDEKKRLMENSS SPPPSPVPEIRITFPEEEDESGKRKSGRMVVVRISDAGGVGLEPCHDELPPYQSSDAE RFQSLDIERMGGLKEKEDVKRWS AFLA_055780 MNYRFLHIVGIFFFTKGFLLTRMVLENKSSCDVLPFGDVSSHPA VGKKTEGCWHQRSFEKAIVIIVDALRYDFTVPFASTAEGETSQLFHDNIPVLYETAVN TPENAFLLPFIADPPTTTLQRLKGLTTGTLPTFIDAGSNFAGTAIDEDNLVAQLRAAG KTLVHLGDDTWHSLFPDYFDPELTRPFDSFNVWDLHTVDNGVNDHLFPLLHPENATKW DVIFGHYLGVDHAGHRYGPNHPAMGAKLRQMDQVIRDLITNVDENTLLVVMGDHGMDS KGDHGGESNDEVDAALWMYSKRKLFGRTSPDTATARERSIPQIDLVPTLSLLLGLPIP FNNLGSPIEEAFAGPGGQDWKNLVAVNRLTSAQIKRYQHEYAITRGVDDGQEFRSLSF WETAEDAWQRSSKRGKSNTEAMRSVYQSYREYQRHTLDICRALWAKFDVPSMLQGVGI LVAGLVLLVFYARGIRSDRTELTKPLLSIVGVGSGLGAVVGGGLTFSGVADMPITESS ALWAAVGSILGASRVIFVKPGHLSLPVPNSLWGWLAVMFTVTQSVGFASNSYTIWEDE ILLFFLSTFGVVAGASSMRQKSTADRVLGVYHSILFVILGRVASFSRLCREEQMPFCR STYYASATSSTSAPWQLAIPFLVTLILPAVVKSFYAGSKSYEGVATLWVGYGFRLGLF LTSIFWMLEGADDGEWFSLSKETLKSIRVFLAQLVLGLAFAAGTTAFIYSKPCVSISV TQGTADSESKNKSTSSPSQPGRTTVTILGFGNIYGTRFFLLVVNFCLAIALMQKPMGL GTIGLLLWQILSLLEILDTNALVLGNSAIGPIVLALLGSFYYFKTGHQAVLSSIQWET AFIPLSSIKYPWSPILVTLNTFGPQILTAVAVPLTVLWKRPLQLHDQSRSTPSKPNNP ATKILSDVVQAACTYILYFATINLATTIWAGHLRRHLMLYRIFCPRFMMGAAVLGIVD IVLILFSVAGVRWSMMSVGEIFGW AFLA_055790 MHRGSVVSSSSDASGAPDFETSIRNLAQKQNLAHAIDEAEHVAK SLHWFTSEQTLALWEAGSYLIHHATSPEARRSGSLLMEAIAARQDLSPTARRAVFELI SCPSEADVVPARVISLISLSDHGRKLEFASSSSIIPIISSCVVPLYDLISTARLKARK AKIAKANGLAYDDAILDDLLQFAVDLITLQRKPPNSEEVRSLLDQLFIICKKTSVAAD IKNSLAVFEAIILYSDVPDESFVPLLEVLCNIYASVKSLSGPTSRTVRNLARSRRQDE MVNSLYGFLLGSSEEQGRNLNILRGTVHVFTDLIRAYGQDGMPRLQFEQLMDSLLVVL KKDDGRLEADVLDLCLNALEGEFSHVALERDWSIFINIIVSCSLRAVDESGDCSASSS SSQLSYPRASVLDDTRASILANIIQIASALETVWERMNRQQRLEATRFLMNVCQHVEP PQAELILNTMRGERLCFPENPDWVRHCQRLIRCFVRSRTKPSEIRILALDTVNEAFTN YENLSQFRAHGLLNSMLENFSDEDDILFLESLVSFVVDTSILVDDETSFVLLVDTLGS PMSKDLSKDGPHGAESPTLASPHSPLPGSMLESSLANVCSVGLVKVFLRCLNLSASKA ILVFEALLKIAQASERPVDSRLTVLKVLFRLRCDSSGSIAVLSVSENDFLMNVSARNA DSGPKPHTTVDDHTGEYSADNDNQRTSISGKLSTKDNASISSKSSGRNTGIPLRASKL TPPAWTHTSSQVLPEQPPDESSPFVYAYTTSDTSHHLESDPAQKVALKANMWLETVIS LLHRDTNWEIYSYVLTHLAPQLRNKDFFSNAVPQVKLLRSILCDQVKNDSFREPPAST GVKKTDVAGYIFDTLCVLIGYHEFFAKSEEDELVRSFMMGIIGSWGGTSRGCIHALSV CCHEIPLSVTKSLNGILDKMSKVITLANLAVHILEFLALLARLPDVYVNLREEEIRTV FGICIRFLQTSREQRFKASESTNRNPQMSAKLGSGVRETAALPAEMSDPSLQDGMSRY IYTLTHHVMVFWFLSLKLQDRAKHVNWITSRLIFRDEHGKEMVEEQSQVFLDLMQRVA FTDLGETIPYATFPPSPADGPVSKKSWVVGMSIVTVEIAGVSGLTQITKRQASGTTYA MYQQRTAPVLPHQVPPTPDAHLHGDGMRTAVLPSHVMLQLTTTAFPTPTAMQPVPLPE DDITRRALSTFDRNDIVDGHKIGVIYIDDRQMTEADILSNTSGSPDYEYFLSRLGTKV PLRGAQFNTQGLHPDIDGESTYAWRDRVTEIVYHVVTMMPTNFDNDPSCINKKRHIGN DFVNIIFNRSNIPFNFDTIPSQFNFVNIVISPVCRIANGVEPANMDPEGYEKLFYHVQ VMSKPGFPEISPAAAPKIISGKNLAAFVRFLALNASVFSLVWNSQGGEHISSWRNRLR EIKRLRERALGSQTQTSDAAEGAYPGQRRNTKANIFSEELPSRSTPAQSDFATDWNAS ADANILQNLDFSRWGR AFLA_055800 MADNLGAVAQLLEASLDPRQNKQAELALRQEEQKPGYSLQLLQI TASGSYPYNTRLASALCFKNFIKRNYTDEDGNYKLQLDEVTTIKQELISLMISVPAGI QSQLGEAVSVIADSDFWERWDTLVDDLVSRLQPKNPAVNNGVLQVAHSIFKRWRPLFR SDDLYREINHVLDKFGNPFLALFEGLDSYLEENKTNKDNLVQGFTQFNLMIKLLYDLS CHDLPPMFEEQISGIATLLLKYLTYDNQLLHTDDDTEAGQLEFARAGIFEVLTLWVQK YIDEFKPHVEQFVGSSWSFLATIGQETKYDILVSRALQFLTSIAGMPEQAAFFQDENT LSQVIEKVILPNVSLRESDEELFEDEPIEFIRRDLEGSDSETRRRAATDFLRKLAEKF ESSVTKVVLHYTEGHLAQYTSDPASNWKAKDTATYLFSAIAAKGVATTSHGVTATNSL VSITDYLQKHLAADLVAGDGVNPILKVDAIKYLYTFRSIITKEQWQEVLPLVVNHLGS SNYVVYSYAAIAVERALYLTDNQGQPIIAPNTITPLAKDLLEHIFALIQKDPAPEKVQ ENEFLMKCAMRVLIVIKEGVVPHTDSVLQNLINITEVISRNPSNPRFYYFHFEALGAF IRFAAPANPDKLEQALYPPFAGVLQGDVQEFMPYIFQLFAALLEANPSGSLPNYYQNL VAPILMPVMWESKGNIPALVRLLSSIIARGSQYVLENQQLSNVLGIFQKLLSTKANES YGFDLLESVVANFPPNALEQYFISIMQVILTRLQNSKTENLTGRFVRFYHFISAHDEK GYSADFFIQVTDKVQPDLFTPIYLNIILPDTQKLARPLDRKTAVLSFTKTLANSDAFA NRYKKGWGFTCEALLKLLELPPLPASKDDIIVEHDVEDMAFGVGFTALNTVRPQTKDP WPETGADLKAWVGQYLKEADKKYNGRVSGFAQERLSNDAKTVLGSYIA AFLA_055810 MLLRNLIPRNLLPYTQPIASLRCFTTTFHLTNKPLPPRLKLHDA DLTISYLKGTGPGGQKINKTNSAVQLIHKPTGLVVKSQATRSRSQNEKIARQLLADKV EQLEKGDQSRAAIKADRARKKKASKVKKSRRKYREFGNGHEEVQEQEDRDGEGHQEPV GDESSTTVPASQTHGK AFLA_055820 MTFFLWIPASFARWIRLKIYQYEVTFAVYMLTPTEKFIFNSLLL TLISMIITAIYVYLPDHIRSIYGHLYYYWAGERPFISSALPAISSVFREAGTQTLEVM YETAKNNAAAATDTIREL AFLA_055830 MSNILQRLRGGNLEVFKFGMYVLFPIGWMYYFGTNLDDRFSVPG FWPTTEQSHKIPLEKEEIDKELARMRMVDAIRREKRQREAQAQAEAQMQVESQAQNAE AFLA_055840 MATEDLVKLTYQDRIAIITFNRPEKLNALNQDLYYLLGERLREI DKREDIFITILTGKGRFFSAGADVTSTRPSGDLSSNVRRELTRSFVVNNIDITNTVAH HSKILVAALNGPAVGLSAALVAMADFIYATPHTFLLTPFSSLGLVAEGGSSRALVERL GISKANEALIMSKKITCEELVATGFVNKVISAPSGRKDDSDGFLEKVLEEVEDRLGTH LSQSSLLGIKELIRRPEREILDRQNYLEVFAGLQRFLKGIPQEEFRRLASGEKKHKL AFLA_055850 MPHSDTEVPASAEASTDLPQRLPFPPVTHSHILHCSYHDWQPRY RALTPKSRLIPLTVPFISYLRADGIVLPPENATPTDDDNLDTYSDDEADEQPDPSTEW EEIHTQIKTTISELGGIITPKLNWSAPKDATWMAATNDMQCRTPNDIYLLLKSSDFIS HDLELPFDDCVPDMPDSTTTPDVPYHLVLRKYVNFNPSLEFRCFVRDRVLLCICQRDQ NHFDFLFPLRETLRSRIQAFFDEKLKDTFPDPSFVFDVYIPPPHQRVWLIDINPWAVR TDPLLFSWLEILNMKDPIGIQEEDGAEEQFVRLSLNGNTVTGVVGAAEGSESSDTEDE SADDVDEDSPFFPEFRLVKRDDPEAYAFTTPQYSAHKLPKEVVDASISGPGGMSEFLG KWQDILAKQAQESDTDSDGGQ AFLA_055860 MALDGPDPQSLNSWQDAFQYPIPTVRRVEQELRRDIASNKEKLR ALVGTRYRELVGTAETIVSMNREMQEVDATLADIGRRCNPRLMEKKVTHFSQIKGDVH DKGATKRAVGAQLALLHRCETAISRLLRRRDSLLLGAKLVVVSRLLHKALSQQKTVPP FLESLRNQIASLRQTLLRRVNKCLASASSTADDIIEVLASYCLATSSSSEDAIRHFHR VREEVIESQLGLDDPSGGNILKALGLYVSTLQISKILLSRRLSDVLGKLKAHPILTDP EIHNLDDLNLDVLGRWVPPDVSNFTPWIKLSELSKSGVEKTLKNWSKQAFEKFVQGCQ KNLKSWLNFAELLSLREKVIEFWLRSWASTITHSSLQVLEGVRGIFNNRLVEILSDQA GSLAEFGQDVTSKISIWDNTDHVASQSLWDHELIVSDYSKGADAFKSAIADRLLGRDE EVSNMLRGYQSWLTSVDKSKQSIDELRQIRWNDIIEEGEDEDFDLDITATLNEDDPRL LQDALQSAVRKAFDALQSSFGDAFQAFGDSNHSGKAAFILRLIRLVRRGLPNELIADK FTFSKDIVPQLQKMLANEVVTQASPLKLLAKPGSRVPGRSLWEGDPELPVQPSPSTFK LLRRLVGSMDRCGPGLWDVTTVQVLKRTLQGGLSSIITSGIEILSSCDNYESKENTES DGGPSEQEGQNGDDDERVKGEDVSESRNVRDLKLQLYYDTAYLKNALAVKDSGQDLLM DVLENLRSDLGSADNAARTMEHNAAEYWKRTQLLFGLLAIGGEQ AFLA_055870 MATPRLPFLYPNLMRAVRSCEPATHRSVRIPSKNGHAPFHTTRR RAQETYHRRYGPAAEANLPPPSRPKDELSQTQVPQPAPKDTNTPLNTAPPEKSPPQDT KSNIPEASSQAKDQETSESSDKKQTDEPTPHEESISESHDVEPFSASPTENVGEERHE ERHEPPNRPDPLDGVLHMPSPSSYLMPSGAATPDGKPHLAPPPYIHHFDTYSLVRDLS KGGFTEDQSVTIMKAVRNILHNNLDMARQNLTSKSDVENESYLFKAACSELQSSLQTA RNSEMQRQRASRTQLQHEADILSQRTNQELAGLKDDIKAMFNDHKMTTREQQRSIDTS VQELNYKITVSLNSDGKSEIEGLRWILTRRAAFAIAASAFMIISFLKFYSSRKAQDAA EKKKKASSKKAIEKQAAKEPIIGSAVPVPEVHLTESLG AFLA_055880 MSSSETAARTSSDNAPPRAELDITKLHALPSEQQDLYLLTFTSD LVQYISGLEKPQISAQQKSLKKELFKILTLSSPTITRVVRNNLGRCFGAIFSKGDRGT LFETVTDLLGLLNAGKHEELRTKFAAAHCLGEVFAVAGESVFAQAGIVISSLLKLLKN SSNHTGLRGSIFAVLRKVVVGVGIPVDEAAARDIWKQARNAATGDKSTFVQVHACRCL EQLVNTTPFFDNANDFDHVKTVTWKVIDSPAAPVRHAAAACLARALAKLHATDAKVTP MPKSKKAKRQSKKPAPRPGEDEEEAEVSESSLSKKPESRLFFLLPDLLRQLSTQYLRG TTSNRSRAGIAVCYKHVLRILGNKFVEERYGEIANHLLFDLLNHPTVTYNRFRLLMTR KFVKSILEDTVGRESLSENSQLNASKWLINEVLKDYPQVIQERREPSKYTLTSALSAL SSLILSLGSAFVSLAEPCREALLQVLPHPSYTVQIHAAHCLRNFVLACPHQMLSCVTI CLNSLNREVGQLSTPRQAPRRCVGYANGLSAMLSTSRLQPLYGSVEVYSRVFTQATDL LKTSSNSELRAASTQIQVAWILIGGLMPLGPSFVKIHLSQLMLLWKNALPKHLGKDNF AQRGNLEMSFLAHVRECALGSLLAFLEFNSKLITADGARRIATMLQNTVGFLDDLPRQ KSVTDISQRLHPSLQLHDIATMVRRRVLQCFSKLIHVHPLSHGDVISQTSLLSLAISS FAEPESAQSGPLESSIAASTAQFESLWDLNDNFAFGLTGLAREYVRVTLSGKHENDNG PAWSAVESADQAIDDALTFPICQGSEHDSALLYASRHGGCLLADPHSTGVVNAAIELF SVAIPLHAPKVQESSVEQIATFLSSSSLQRNPGRRAAMVVNIAVALLEALKVALKDSN PMSGKLNPTTDKILQELLQKFVTDADPVVRTIGVEALGRLCESSGNTFTTSQINWLVD TIVDNREPNARAGCAAALGCIHSQVGGMAAGLHLKTIVGVLMSLCNDPHPVVHFWALG GLERVANSAGLTFSPFVSSSLGMLAQVYYADTHNEECATLATSNIEMSYLTPIVISRC VDSLINVLGPDLQDIAKTRNLILTLLRQFQLEDNPALVTESSKCLDHLSLYAPNYVDY SGYVKRLQTELAADNPLMRDVAIGGLSNLMKRDSLSVLKAAPALEEEIWLAFDDTPDN ANLKSMIQDWLQQTALEETELWVQRFHNTLTKTRGKVEEPPPTPAAKSAVNDIPDDEV AGFASAIAGAGQSDNVNEAAPGQELLKWQTRNFVLSCLSELLATVEQEILPDQTIPAE LALQQRVGDIVRMAFSASTANVIELRVWGLKILDQVLRMFGKTPDPDFTEASLLEQYQ AQIGSALTPAFAADSSPELASGAINVSATFIATGIVTNVDRMGRILKLLVLGLENFSK NPDTTEIGDLKGLNSNARVMVKMALYSAWARLQIASIEQDYLNEVVQSHLAKLTPLWL SSLQEYARLRFEPDISGSLGTGPLSNDLDEVYAALNRETLLKFYQDTWLSLVDAIAGL VEKDIDFVFDALDGKMKPDEEPVEKSQDEEDVTNEETKGKGNDINYRDEPVAFFFVLF GLAFEALVDQSTTASQRLEILQALKRILRPIISGNAIYQEAIFSETMDSLDRLALTEG TPIQNVIVEIARNLSLDHPSAKGSEGRSDHLSDDIEQLFELTKSIILVLAGLLPNLRE SVPLARFNVGSDDALSLIRLALSSLVNVASIFPSIIRNDLNACILHIFTTILATGLCQ SEVVPQALPIFKHFIQSISHPDDVGPEKSGNFHVVARQLRGCLTRFLTTLTIAQRRES ESSLPCAKNTLLAITILLTTGGHVLPPNDPTIVRILNEFLDCLQDVGLANVAAGCLRS ILLASTGSLTDEVIARYLFPRLIAFVVGCPMENGDVPNDPENSRTVIARTLVSYVSIA SDIPTALSIVMSTLIARGKREGQAVYQETAAHLLELAKTDQSVFRSLVATMAPEQKAL LEDILRSVNIDSGANKSTRDSVQAQQNEPSIALRFDF AFLA_055890 MTAILNRDLLRKCARPQSVCYCCRGFASSSPSFAGHNRWSQIKH DKAKNDKAKSKERQIIGKEISSATQMWGADTKFNPRLTLALSNAKRAGIPKTVIEAAI ARGQGISVTGEALEQVTIEAILPHSVAAVIECQTDQKARILQDLRYAIKDAGGTVTPT TYLFEKKGRIIFEKKDGLNPDDYLDQAIEAGAMDITADEEGRLIVFTEPTETKSVGEA LTKSSQLTIEELEIIWDPNRDTLVELTEDEHVREIEDILSTLREESSVRDIYLNTTQQ L AFLA_055900 MSSDTDTGAESRPTGLLDAIKRLEAVAFVPSKQRDTDAGELAKT IATHAYEGGLSQIALERLVKITTTRSQLDQGTITTLIKNLYPVESVPSSLVTQIVGCL GPNKNKPSPATQSLLLRWLIMVHEFLADRSHLSKLYAVLFNHLDMISLRKPICHLLSL VTRRKHVKPFRIQALMELVVTSGGDERELVTLLKVFKNYCPDIIVGDLGVSGRKGLFF KHPDPEWSSHVRLLQDTNMERLQATQPANFQVVHRGISKRSKMEVLVPDVQTSRVSYG RTSLEELRGVDHFVDKLDKIELPNQIISMIGDDFYASIIRQWGVRLRTQPFTLEESKP LARLISHAELLALSILECPAAAQQAPTDNVESPKPAALSVTEFYCVLAELFSHSNLNG NIRIAIPLAPTVYTLIFTPINSIISIMGSVLASYKSAFEASLTSQVLQTPGSAESLYP TQLVGQFNGYIMDICNLIWRNRGLNGEDPNALGCLIPAPTIAALTQYVRDATDSARER KREAAFTYNLSSIFSLSHNVALCNMSAACFADIEEESDLSENQPRLKRPVTQKALSAL EKEGGIKVAWQEYRVRMLDWLEATGSIGIGSLMRSTMKALRKE AFLA_055910 MPEQRQRRDEPESSSGFKGALQGLAFFLLTQFIFSQFFGGRQQN DAGSSGKPGGIPTFADRPARSEITEYSAIPDIINPIWPSDSALDMNIYVSPSVVLPTV KSGSASQLVLNEKNFTLGNYSDTREIDTTIKIPKEVQQNGTLFAHFMLGLSGHQLDPS AKDYSTDSAVYFFRPLNQYLPKKKAKKLKNLLAGSEEAEEEEEDNTPDVSIASYYHPN FTVSLIPDSGTQRFRQIHPAVRSHLQLEASGARDISGKNGWYYPIVYLNTFWQLRSHM MELNSTVETVPLRITLNNLQNWKFSMMSSVDDSAKQTARQAAFGASTPGGGDGTEFEM VKEILLDTNIWLLGTTGIVTILHMVFETLAFKNDIAHWRKKKDVVGTSVRTILANVFM QAVVFLYLMDNSDNTSWMILASQGFGILLEAWKITKTVDVRLRQPSRNSFFSFLPYVV VFEDKHKLTDTEQKTKEYDEIAFRYLYIVAVPLLAAYAVYSLVYNTHKSWYSYIIETL VGSVYAYGFLMMVPSLYINYRLKSVAHMPGKALMYKFLNTFIDDLFAFTVKMPWLHRL ATLRDDVIFFVWLYQSWKYRVDYTRVNEFGQGGDSDAEEEPPAVEAKDVKKTVETPAS SQASGKETSKSSTRKRK AFLA_055920 MSDNPPFPESPRPQFPNHNEPRVWVITAGDSPIGISVTRQILAH GDYALVGLAHSSLERDECRRDEFESFLAEIDSHSHEGWRQRFKSIPFDIRMIGECQAL VADAVTTFGKIDILLCCTSQTLVGAVEEFGASQQTLNMVRDQFEINYFGPLSIIKAAL PHMRKQKTGHIMILSGITAHIGTPGLGMYCAAGWALEGFCDSLAYEIAPFNLKLTIFQ CSIEIGILTNLVTSVPPIVPAYSPSSNQAPLFRGMLNRLVPRLPNTHTETNGAQETGQ IASVENGPFSRPEVTSMYPPLSPAHMEILVAETVYAITAIGGHENPPSRHIVGQEGVA SVKEKLKTVSEELEDFIQSSYAVDYAAGGDQKRASKDENIFGMGTGNGGV AFLA_055930 MARKRKAVDNGKTRTQPTSLLTIMVKIKKLLAKPEAWNCLEESE KREILDLLPEDLHSNLDPSPDDPGAKIPPLPEEFLRYSNNWRDGIRHFQLDLQNGRYD PVWLRQAGEAMQQRADGKFDKFKEEEFEQFWGQKQKMDKTLAAGQSSQVKLSTLISNG VVLVGDVWKYSRAFKKGNLLVEKEARIVDIQNGRLTFEMPLGQRVFLPAPQSSPLKDP QALVIGESEKPEVVTMTTPEIEQDRTAEISAGTHLHTNPTEEAGSSNKRKSEIQMEPR KRGRGRRHQVQTPKDLEVDQVTASTEVTRPTQVTVEVTNPPPTVANMNPSVMIQTTTT EHDGQYLEIVSEQPSATGDDALPQLPIVEGTSEEPGMITVSGITGPNAIAMKILEADG RSGKVPSGNAWKDFRAYRNNQDMGSLWEVRQAWFLRNKSLVN AFLA_055940 MVKSTQIARLDGLMLAASVDDEQAEVELSEIKTQAKMIFRRLSR NSAPQASIESGQYNLHYLIQDDICFLCICDRSYPRKLAFTYLADLATEFTTTYSSAQY QSPTLRPYAFVEFDTFIQRTKKLYQDSRASQNLDRLNDELRDVTKVMTKNIEDLLYRG DSLERMGELSGRLREDSKKYRRAAVRINWELVIKQYGPIAGVGLLFLFLIWLRFF AFLA_055950 MLTYLATTIIRLHSFSHILAQKAARDRSLAAPVFGLEEEQDGVL LGRLDKPVGKDSVEGIVLEMEHRRKSGRGVLEWYILPPASRYSPQHLKEVVTLLDDHP LYRPPEEPEAGTGEEEPESTFELRLTDRQRREREGVVLPYFDAQQGDGPGEGGRILYD MGEEDDFDEEEDEI AFLA_055960 MAEPPAKRARRVDSSTMWDMDDRPTRSPEPDSEYNRSPRRETNL KDDGRRDGPRDDRRYRSRSRDRRDRRRDRSRSRDRRDRDRDRDRRDRERSVSRDRYYD KRGYPLKGDRYRDRSRSPLRNGNRDRSRTPPLRGPRGDRRNDRKDHRTQPNGTADSRT PNRYKDEIDMDIDDAGGDDVEEMMRRSMGFTKFRSTKNTKVPGNDVYGVRKEKKTEYR QYMNRIGGFNRPLSPSR AFLA_055970 MIQLELDLYMLMFGISLPGHMTCSDVCACINKALLDIPPQPVPK LVNIFFLQVTPTTVTHLRFLGFRITPYCKMAAPNERRHIVIVGGGIIGCCSAYYLTRH PSFNPSRHSVTLIEATEIAGGASGKAGGLLALWAYPSNIVPLSYKLHAELAKEHNGKE KWGYREVNCGQLIARGRPLSEKRKAGEGEGGSSVSLQKRSAAALSKLKTARVPEDLDW LEPEGVRGYESMSDPGETAQVHPYLFTTSIAKLAEEKGAKITLGSVTNIDYSGDSVKS VTYTSQENGESQTIPATDVVIAAGPWTRSVLPGAPISATRAHSVVIRPTRPVSGYTLF TNIEIPANFDPSKSSRPTVASPEIYARPDDTVYCCGEGDHTVPLPKTTVDVEVDQERC QDIINQVGSVSDELRDGQVCARQACYLPNVTAARGGPLIGHAGTKGLYLAAGHTCWGI QNAPGTGKLISEFVFDGAAKSAKIGSLDPRNYL AFLA_055980 MHLSKLFTLSTSLFYVVAASIDNDVSVLAKEAARANNQSLLWGP YKPNLYFGVRPRIPNSLSAGLMWAKVDDYATAQSNFRHTCEQNEGMAGYGWDEYDIRK GGRQTIHDAGNSLDLTIDFIKVHGGQHGGSWAARVKGVPRDDAPPDQPTTVVFYSALE GLGNLGLHTKSDDSRGFEGDVKLAGYTSDLGEFTIDVTEGPRTNEYPEHEHPSYEDKP LDRTLVSSLTIPPEHAWQTKMILFSQMKEGVSETIEKYGAENPPPPSQVFTIKNTPGD GNVQLVQKVFKGAFEFDILFSSGSSPEPVTSDLLTQEITSASAAFAERFDKILPPQSP FNSDKYSEFSKSMLSNLIGGIGYFHGTDIVDRSAAPEYDEENEGFWEETAEARARAQP VLEGPKDLFTCVPSRPFFPRGFLWDEGFHLIPVVEWDTDLALEIVKSWLSLMDEDGWI AREQILGAEARSKVPPEFTVQYPHYANPPTLFIILEAFLDKLDANKNISVQQSPEDIA ERLRSAYVQQPELGEAYIRSIYPLLKKHYSWYRNTQRGDIKSYDREAFSTKEAYRWRG RSVQHILTSGLDDYPRAQPPHPGELHVDLISWMGMMTRSLRRIAERLGETEDAEEFKY YETAIERNVDDLHWDEQAQTYCDATIDEYEESVHVCHKGYISIFPFLTGMVSSDSPRL KAILDLISDPEELWSDFGIRSLSKKDEFYGTAENYWRSPVWININYLVFKNLYDIATT PGPHQEQAREMYSKLRKNVVENVFKEWKKTGFAWEQYNPETGKGQRTQHFTGWTSMVV KMMSMPDLPATESKGHDEL AFLA_055990 MAPVGRWQQGRDLMWYTRTDDSEEDRIRKEREEKQRVKEAEEEA MARALGLPVPEKHVQKAVQDTAAGEDLAVDEAGKGIGYGSFRGGVASLSGTGDDDKLE SIGLDSHSSDKRGQGSERSRNPARDKRRDRLSDRNRDRDREHRHRRHRDEREHRHRSH RHRSRSRSRDRRRRRSRSPSRSRDRKGNGEDRRRRDDRYHTRDRDADYHRRR AFLA_056000 MKDPYSSGYISPHMPHAINNIIVDDLGRDEVLLLVTDSGNVCGY RVEAIFSALKRAAERKEHRPFDGSQVDPFFAEYVEASAWGLAIHKFSRLIAVTANTGL VTVFAFALVNSASGKGNDIGQGLGEEEDLTDHGQTWLEIKSDEEFKQLRHLMPAEQRK RNMRLTYTGHFTNIPSVSFLNCDLDPNGTWMVSTDIENQVFVWKTWEGPGPFNVYHFG DASFKHFPETFNHE AFLA_056010 MFHGPLTTPEFLQVALLEALGGDIPGAEEYFDDYSIEEVSPFED IEMDEADDDTSEGDDTSTSEAVAYQVFNPPTHANFPILHFSQTDIRLIPNPLAPRATV FCGTPLRQQFTHIVGSLRDACDRFNMVKYIPEHGIVVAASQKGRAAVITLTESETNGL SFRVDWIVPFESQEKYGDRPLIPLLGMSVSPMQGFEMPPDVPNIPHNANGDGVKFHYK PTTNDEFDTPLQNNSKSANIASDELHPPYQVSSAGVSRESTPTVSSKVDTIPEQEQRT FPTLPECHARATRAYQPEENWRGWNPSRRYRLLLLFADHTVMSYEFWYNWSPTDTAGD ESDEDGYLLV AFLA_056020 MNDTELLDDERSVELSSIAAIYPEIKIDPSFPFKASLDIPVNPS PPLRICFEQYSDTELPTILTPPTSLDASEVGLGFATKTVDGGATASSDEDIHVLSHLP PLCLEIELPGGYPSEQSPIIKLSTDPAWLPSSIISRLLDDGKRLWEECGRDLVVYTYI DHLQQLAEPAFGIDDIPDGEVRLPRELKISLLDFNNKAEREAFEQETFECGVCLEPKK GVNCHRLLLCSHVFCVPCLQDFYNTCITEGDVENIKCLAPDCGKEGKSVRSQEGQPNR RKKHDRTLSPSELLQIPLEQETVQRYVFLKRKKKLEADKSTIYCPRQWCQGAARSKRH PKPIDPMSDDLGPSDDEDIGLVFDPNGDEAQLPPMADRVAVCEDCNYAFCCVCKKGWH GELVRCFPRREAELSAEEKATEEYLRLYTSACPTCDAPCQKRMGCNHMICFKCDTHFC YLCSSWLSEDNPYRHFNDGNSSCYNKLWDLEGGDGIDPDGAEALHQIPNELLDFDDSS DDEDQPAWDFDDGDNHFRRQGHPPPPAPAPPRVNQRAGGPGRREGGRNLNGLDAAGRA AAAERQAQARAMAEVRGRPDADVLRRPGLQRFLELVQNDREDEWDSDELDDDF AFLA_056030 MTDTPLASLSLTHVHYNPNDPLSFLSAWLALVPQALCVTYVTLI WATREMEVLLMFAGQMGCEALNFVLKRIIQEERPKQMLGKGYGMPSSHAQFVAYFAVY LGLFLIFRHNPAHPESSFHILIRIVLAMGLSVGASAVAISRIYLNYHTPKQVLAGCGA GIGCAFGWFLITAFLRAHGWIDWVLDLTVSTQLRLRDLVVSEDLAEAGWQKWEAKRKL KRRGHINSDPRSPKTD AFLA_056040 MDDASEPADTAVPEHHIVKADEVDQTGTDISPVSDDQLMEEVAE GLRQERVSGSTPSAPGEVPMEAGKPYRRPELRRDAAAPPLPLQPPPPAPVQQSSERPT DSLSLAQLRQFVQEMPRIEQPAYAFVYADSQPFAEEIEEWFQYSEFDRAMLLGMKSSF EKKWASFLEAQNAESSQVSWIDTTDDQRRAFMAQMVNGIREREVSVRLEALEVVCYTV TGVWGTTAGRDVDDYPEDPSPMETAEVPKSKSLQISWIENNANLVLESSGLAPLFECL CRIFERNRTSYSSEPASAGAESANPASLAAMEREANLILTAFYMIVEVGRKQEAQDPR HTPLRNALIGLKPNLLVFLVEVIARLRWDDSANIPLTRIILLFWKSLLLFFGGSDELK RAKEELEPKFKEREDDTSRRTPFLTASPLDYHIFRQEITSKYPAYNPPPPVVPLELEN NSILPPLPQHPSRGASSNGLFSGVGPSVAGGNGSILHQSVHIATPAPSPPPSPIGPGG KAGKKQNYQTNQNFPFMYPPLDVSSNDIGGKGTTELQDVLVGKRWEGSDVPASIIEAG KLFSTHVKMTRAMRQLWEERERFMKYDRGWYLDDSGAPSDENIPDELLEDFQDLDLKQ GKKNTPRWSPSKETDDEDIQRRLDAVESFYTQALVHLQSITIVFLKIILTNVSAMVNQ TQGSQGMSDGYGVNGSGPGPASITEDLNSEAAIEELDNVRLREITGKAISGSLLLLVK WFKRSHILKFEYMTQLLLDSNYLPLILKMFAHQDIDQAVAQKFDRKELGFFHFCLLQS DQPPEPSHSDEESSGDEAVPPPIARHRSQVDPGNSSVRVQSPEDAFEEFLNEPDLPRP EVDELGYPTAPPPKEPIKVFSFRNFFSAINYLHIMQKITRDKAHRCLLLVQYKSSTIL RKGLKIPDPHLRFYTLKLFKSQVPYCGRKWRQSNMRVITAIYLYCRPELRDDWLAGSD IDAEVEEALPLEQALRGLTHWWHLRRYKDVMGGEEGASLMEEERDFFVRELEAMGWGF AGEEMLNGTSEEAEMAAAGGQLPEGTEWDGGPLQMEGW AFLA_056050 MSSDEIVWQVINQQFCSYKLKTTKGQNFCRNEYNVSGLCNRQSC PLANSRYATVRSDPETGVMYLYMKTVERAHMPSKWWERIRLSSNYAKALEQLDERLIY WPKFLVHKCKQRLTRLTQVAIRMKKLAKEEERLGEKIVPKMAPKIRRREETRERKAES AAKVERAIERELIERLRSGAYGEAPLNVEEGIWKKVLRGLERAGEGERDEDLDDGELE EEEEGVGEVEYVSDLDEEEDLEDIEDWLGAESGDSSDDYDDEDDEDDDSDDESDDEDA SEHSEDEKKKPAPGFKRKRPAPQAKPRKKGPRIEIEYETEGAGKENLFA AFLA_056060 MSSYFSSLTSSSAISNLGTRLTSLRRAITLGDEADDPDHEDCSH ISNVLRAYYTEKGRPFPGWLPPDPKAPTPAPARTIATTQIQPGAHGSAPATSMYGRGS GGGLGDLWGDSGSAQPPTSQTASLRRGRAAGSMGAPLAATSSAPPGSVATPPSHSPTP PSLHPGGARPLPSQRGGSYQSLSGSQPLERAASAQERLRARLHGGRSPSPGQNPNSRP ISPYYGGSDPSVRKPVGGRMR AFLA_056070 MGSLKDVEASHDDHKDATAVEMDDILQDSKGTAAFDEAAMDMEL QQLENQLGELKKSRFELALPNPAYFTYILVAFASLGGLLSGLDQSLISGANLYMPDDL HLSDSRASLVNAGMPLGAVGGALILSPANEYLGRRMAIIVSCILYTIGAALEAGAVNF GMMFAGRFILGMGVGLEGGTVPVYVAECVWFHPLTVTVPSRIRGNLVSLYQLNIALGE VLGYAVAAIFLDVKGNWRYILGSSLVFSTILLVGMLFLPESPRFLMHKNKPVEAYGVW KKIRGFEDIDAKSEFLGMRQSVESENEEQQHTKKYAWMDFFTNPRARRAMVYANIMIV LGQLTGVNAVMYYMGTLMENIGFDKRNSVFMSLVGGGSLLIGTIPAVMYMEKFGRRYW ANTMLPCFFIGLVLVGVGYQINPERNPVAAQGVYLTGIILYMGFFGSYACLTWVIPSE VFPTYLRSYGMTTADANLFLCSFIVTYNFTAMMKSMTRIGLTLGFYGGIAAIGWVYQV IFMPETKNKSLEEIDELFSKPTSYIVKENMKSTAQIIRDLSHFRFKKVFSP AFLA_056080 MPSSSDYLNPKARASIADDSGSDDDLDLEELDPTSATIHSPRST DESTRPRDHGPGIALRNLRLGVRDRVWGRNQSGTYRGSEDMDCLLEDRDDDGLRRSHA SSHNYTDDNAPLLEEGRHESGRSFPDNERVLHRNRRFRFPGANIASLFFGSSASTESE TVIAKPPRDVFVGQVQRSKYPPNIVSNAKYTPWSFLPRTLYNEFSFFFNIYFLLVALS QIIPVLRIGYMSSYIAPLAFVVSISLGKEALDDIGRRRRDAEANAEEFSVLAFDKPLE RQTLSARGDVNSEIANAIQVTKKSRDLKVGDVLKIRKNQRLPADVVILKSVSNDTAHQ DTVTELSSDLIQADQSLGPPTVESSSRTAAETTNTSSTSDTFIRTDQLDGETDWKLRL PSVLSQNLPLLDLSRLKVTASAPDKSVNDFVGTIELGPPVGFYDPHVDKTDGDNSGNE GVQSKSAPLTIDNTAWANTVLASNTITYAVIIYTGSQTRAALSTSPSRSKVGLLEYEI NNLTKILCVLTLALSIILVALEGFQPTNDKEWYVAIMIYLILFSTIIPMSLRVNLDMA KSVYGRFIERDKDIPGTVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVS YANDAMEEVASYVRQSFSGSSLTTPSTPFGTQTGLGAAPRTRREIGSRVRDVILALAL CHNVTPTTDDEDGVKVTNYQASSPDEIAIVRYTEEVGLKLAYRDRQTIVLESTHTRSV VYQEFDVKYKQASLALQGRDIGMAKVISEYLERDLELLGVTGVEDRLQRDVKPSLELL RNAGIKIWMLTGDKVETARCVAISAKLVARGQYIHTVAKVKDKSTAQEALDFLRNKTD CCLLIDGESLALMLGQFRSAFISVAVLLPAVVACRCSPTQKAEVADLIRQHTKKRVCC IGDGGNDVSMIQSADVGIGIVGKEGRQASLAADFSITQFHHLTKLLVWHGRNSYKRSA KLAQFIMHRGLIISACQTMYSIASHFDPKGLFINWLMVGYATVYTNAPVFSLVFDRDV DEHLANLYPELYKELKSGRSLSYRSFFTWVLISVYQGAIIQGLSQILLDTIAGPRLIS VSFTALVINELLMVAIAITTWHPVMIFCLLGTALLYAASVPFLGEYFDLQYVITVDWV WRVVAVVAVAIIPVWAGKLIQRSWHPPSYRKVRG AFLA_056090 MAHNEVSAAWHPALRSEDGVPSNAPVSDDLTQVSKDSMTESAAE LKPSEAVIQENDLHSSSTSPDTDASVNVQSTAVPAVLDSDTPVYAEQVLNQENAQKNT AENSAQEDAPDHGQELSQTISDEPHVIETSEESAPTLGAAFGSDANGSHDTAAPDYMM DEPSPAEHDTTERREDNDAASWFNEQVDSGDRQTTNEFVNDDNQDFWGSPTNGDAGDD FFNQLKTQTKPIYIPPETESRYEEGVPLLDNTVESPVQPSMKEESQIDKIFEDDGDDE GGAFFNEVQGSVPNEGVPSPPITRKSTTQVIGSLDASPDSPVSPASSTAQEFDNILAA AASENQVKEDLSDDDLAAKWQAELSDDQPEKSTEDDLAARWQAALDDDDDLLLEDEIG KGPNNGQESLPQNPNGSVHETTQATLSSPFGTPQSSARPQAQPTSYTPHQPSTSDLLQ GIPGIAPQSSAAPMQDYFAPPAQPRPTTKRAESFAERSKEGYKSPYDLPDDLTRPRKP VVTHKPVVAQPGSMPPPPRSSSIPVPPTNAPGVPTPPPAPSTVPAVTTPKNFYEELPL PPPRPRSRPASSGRYTPTANIVTSPPSHSQPPPPPPANPYASLSPPPQDSGSVGSQTQ LQQPERLDPYANLLGPGAPGAPAAPSAASRYSPKPPTLQPGTKPPSAPRYSPAPPQSA APAPPRTRYASQPSSVSSQGAVLPFQPRTSSPLAHHEKVSYQPPEGLAIRSAPESASS YAPNGMQPRPNQQDVSNSITAPVGAAGSAAVTAVPENVSAAIQPTSPPRNPYAPPAYI NEFSKRVAPMASPPPAVVPPTGDAQFVPPRRSQTQSPSQQASAPGLSVPSDSLQRPAS VHAPASPTKSANPYAPSQISIHNRVPSQPLEFIPPNDGQELDPLERWKGAPIVKFGFG GSITSCFPKHVPRYAAGQAAPKIKSTPGEVKIFSANDWVPITEGIVQHPGPLKNKSKK KDLVAWLSSKIAAFENEGISEAAQLHPESSKRHDEKILLWKIVRALVEHDGVLEGSAE VEKSLRYIIFPHLQNSEPESTSGVNLPAFNALPPLNAPSQSDATDSQSLESIRNSLLV GNREKAVWDAVDNRLWGHAMIIASTLDRSVWKQVVQEFVRREVKSTTGNSESLAALYE IFAGNVDESVDELVPPSARAGFQMVSKVGGQGPSKNALEGLDSWRDTLGLVLSNRSPE DHKALLALGRLLLSYGRTEAAHICFMFSRAAVFGGADDPQTSIVLLGADHQHLPLNVL QDDDAILLTEAYEYAVSVLAGSPTSTLPHLLAFKLIHACSLAEHGRKSEALQYVDAIT AALNATTKPSGYHNQHLLFGVDELSARLRQTTSDSGSSWISRPSMEKVSGSMWAKFNS FVAGEDSDAASTGSGKAGDGDIGPFAKFSGTPTVSRSPSVSDFGPYSLPAAQSVPGSG PSRYQPGNQYVPNSSPEQYRGRSSLDSQRSSSFGFPFGQRRGSQEPSTPVESSMYQGG PLYGSPSAAGYQSTPPQASYMPLAPVVEDSAPQPYPVEPAPMQGSPVNISPYQPPANE SFGEPLDQSSATVPASSMAGYVPPGAGGGYEPPSVEISAAPALDTTEEPTHQDVLKKK KSFMDDDDDDDLAARAAAIQKAEKARKDREADEAFRKAAEADAKRPPAAKKSWFGGWF GGAKKENDNNNNSGGPIRAKLGEENSFYYDKELKKWVNKKDPNSASVSRGTPPPPKAS APSRSASGSTAPPAASMGLGLDSRPPSSAGAPPSLSSSPAPPSLAAPPPMLGTARSAS TSAAMPTPPIGSSLPPPPRPATSLSNASSIDDLLGAPQARKGTSAKGRKKGRYVDVMA K AFLA_056100 MALAKFVPLVQRLKKRLPEKGPDDGAMRFRTQLADAATFSKLTA SLSSLGKVCWMRLEDGIVRFTVIPDQGTQVWAQLPVDAIFDETSYILESNSGVINLEV PVGALHRALRSAVAATSAQLRLTKKGNVPLLALTIHASSWTTGSNALGITESDHAAVT GPALEAGDAGRVGQATTASRPPAVSGPRERETVITQEIPVKVLHESAIEGLHEPRCRD PDVHIILPSLFQLKSISERFTKLATDSKGSSGSAVVSAVSPKLELSANMHGSLKLAIA TDALRISSVWTDLVNPSLDPGQLSQTEIEQLPSERMRAISDDDEAGWAKVRIDGKDWG RVLSVGRLSPKVVACFIHETALILYVYLPGSWNGEDSCLTYYINSYVA AFLA_056110 MATSRSNPIPPPISLPAANFASHAVPPTPSPSRPTIPSYQSLDP LLANLSPESTLEALTSTDAVPKNEPAYDILCKSISQVSEAERALGIRAAVAAQNLSLW YKEVQTWEWPKCTDAQLGQGFISPSTTISNTSEPEYLGSLPAGVVAEHEKRIEEIRDG MESLGVDELKEHVLNAHIPSRSRPSSSNSNLSGPPSFSYVQLSDFTAVITATILRALP LLSRLNSLLSTWDVRLLVLRQVPGLLWSLRLAQSELNSALDLLKPSTPPSEQDALYSR TNYHAKRAALEVTVLSAGRRMDRMLDALEGREDSLPENWIDELEAIESGFGNWVMDAE KQTVENEWRRMMANKLKSKDHPQVPNQPAPVSDENGAPEDLTTQPPEPFPQAARPPLM ETIAEEPGSPTEDQISFETMLDQSVATSQRIEQAPTRGSGTSSSLDGTETANDSSEAS RTIQEADTVIEPIVTPERSTTNTAVLDALPLGEKETANAHPVHAQQISSESVCTVAAK DMPFSDLNREEVTLPEDILPRKTEPVCELQKAAEDPFVAQDKVLGSAEQRSQARDYPG NSASPEADSSPASPSSQAETGSVIIQRPKGVVDSPTLEETVESHGSETSTVPTAPESI IEVFGQLRSASAEEATTPPSVTVEPAPTDVAASIAVNRDSSLSSRTSSPSSPVSSRAP PSLTNDKDTAAPSPRQPLDSPIKLSKTRPGRLDPEETLISRGRRASGASVDSSDYPSL VSSPDIRGLHTVSSNGTPKLIETPPLFQTDYQRPGPMPTNSDHTLREDRLLRLDSEKS PPTSLKHNRALSLPLQRFINERLDMDYEGESNTDLTIPTIDKKVTDSVVRSSSHNDRL RPLSHSSKQRHPAPSTGIRRPVGRRSDLSREDHTSSGPDSVTQRQPKVWERNKNTSVR NTAHKAPVSQPPVLSTATRARKQLTAHPSLESIGAYKSTPRRSGETLTGTANEKTGSR PSTPGGKTVPTKLFVRSVGENGERVMVRVGGGWADLAEYLREYAIHHGRRHVSDTPRV EVQGLSSRETTPTYTPPGSRLTRSGNGRCTPSRPHSVISNRPSSSLAVRKTRRASNVS DMTDLRAASTIETLNLSSSPMSTVSSRRRLSTSSNTSFGAASTMSDARYGSIPLGLAG PKPRSRYAPMSAESEAWVEDVLGQARRSSSLRPFTFGLSPPEQDHAAGKVPTLPKSRS ISDIGKVGSSKRVVLRGLGSR AFLA_056120 MARKEVQYTPPPSPPSPTASYYDVSDDEEDDYNTISHATTGRGV KLLFSKSKVYVHPTPSSKDNIPGFIALIQQKPAHGASNAIASADSSRKAELSSYLLAW VPESSLGDAYNTYVKVDLAGDSSPPRQRYLVPPLPTTTTHKDPIGLYAFAVPLSEIYS LLVRPPSIGWWFGSLVINTRAGDSFPALFFHDSECESTILQKRKRTQESFDPFGEDGS LFWGGDEVLRWLRKYVEVQRSAADNSVYLINPSEEDRISFGRPLTADGTVTRAQDQAT GPSAQGSSGQRDAGMDPFMKAIKETRWKVLEQLSKITTFTKRTANEIAENPRIPPQVR RLMKTPEIQTLQDEFDSARLYLARWAMSISEQSERERNQRIWTARDVLEMENSSVGDF EILELETGTMSIHERRKTVTLKEWEGFFDPATGRLQVTVEEVKERIFHGGLDPNDGVR KLAWLFLLGVYPWDSSHDERQALMNSKRDEYIRLKGAWWETMVEGHSTEEQHEYWKEQ RNRIEKDVHRTDRTIPLFAGEDIPHPDPDSPFADTGTNVHLEQMKDMLLTYNEYNPDL GYVQGMSDLLAPIYAVMQDDAVAFWAFVGFMDRMERNFLRDQSGMRAQLLTLDHLVQL MDPQLYLHLQSADSTNFFFFFRMLLVWYKREFEWVDVLRLWETLWTDYLSSSFHLFIA LAILEKHRDVIMDHLKHFDEVLKYINELSNTMELIPILTRAESLFRRFDRAVQAIDKK NNFPAPSAHQRKPIQSPSDADKGKSPQRPPSTGFSSGTSSGPSAPPGDNSEPQVISPE LRELFSKDIPWKRQPSERREHIQNSS AFLA_056130 MEFDYYHMESGEKFEEYFKFVRQVAMEDYELCEKAQDNLGRGVY SEGILNPEKENGVSFYQDRVFELVCQQHAADQLATESVTPVTEERDKGLEQIPATA AFLA_056140 MMALPPIAKATLQAALINAGSNILAQSIQSYRDEKPFELDLQTL FQFTTCAFVMSPMTFLWLEGLESALPGHTSEEPAATKSTTEKADKSKQKKLNVKNTVA KVVIDQVVGGAWATVLFSLTMGLLRGQEYDVLMDQIRKDFWPLLIAGFKLWPLVSILN FTVVPADKRLLVGSIFGVVWAVYLSLMSG AFLA_056150 MSDWLPRFEILVFGAKKNVAFSLKLVDFIHFGELPTMQQLSLFI MIIINPARPLYTITSFLPQFSSRNWVPPTHLLSGHPSHFPKVDTTSPTSIPIASDLTA KRPSAVAQLPRHQAQVNSPDDTEYTTNQQSLICWDDSKIQD AFLA_056160 MGILSSQGGLSSSAPVSYKPPMVAKREALASDCANNVCWFDAED DLESEDGSISPISDPRQDRKSGNHWARFFPELSSHFSLVSPISTMTPATSLSLSSAET QHSSDPRSHADDVGQRAGSCSSSSPEGSSCYSRRSSATSLDSVSPGPTKKCADTISVV SPADAGVFDDLASIRRSHSRPLLKKLSAAELRNKPLPLEPAIRLTPLSVRHKDPPKIR TSTSAGPQSGRSRVSVAAEDLENTLSGFRADSPTIPLHLLNEPLQISRGRMEMIPSRP APQPPTDMRQKRRVEAREDERVKKNKGAFNFHLSGFSRKCSHLHARSWSSPNMRSEAV SSATRVRGGSECKERKSKDDMAGLPSFLNPNQILEPLSQSIERELRMQLPRLQVKETK TACSPILEIEPSTEKEAIQRPEEVEASQREKSPDQVIFKEKFFVSSSKITVSLSNNVS HQVDIAELPEMVYELDSGSPKSRRKPTISDYLPTPTLQMPGNLPDKVVVTFLRQVRSL DDLFRLAIISRQFYRVFKDHELELIKGAVFTMSPPAWELREMSPPWSTEWQILVDPDT PVPEYTPSLYLQRYAQDIYTLAQLKLLILTRCETFLRQDTIRGLTGKDDACAKEVDDA LWRIWTFCRIFGSGKSREGDIVGQVDWLNGGAMAMSDLKHGATASVTEPFGIHDILFE PPTGFGHGNKGGLSNDQLYYMTEMWTCLGVLLQPIHGRCKEAREAGIFAGHQVTEHDH ARAAAVLEEWTYYVLTLGPSAVLNMASIGPGGCAATLKRAQSIGLTKWECSESGVSRS SFLKEAVSKAYRSRCDSLCQWSPRTSEGGSPSASDTSSPSSANSRAAQLQLENERRRQ AAYADQLRNQRKRPANEGPHSFSDERPISKYSFIMSRLEGVPYEQRPPMPAPPTMASY AAYCGPPTSQYPVKSQPPFATYQQPQVRDPVDQAIDMMVRELGFQEQDAKWALKVTDS GEGINVNAAVSLLIREHQNYQRNNNVVPMRTYRSNSLLSSVIASPESMNSVWRWA AFLA_056170 MANIVAGGLHKVQEAVQGAASKDKKLVDLAPDTHNVQSSKEPLT TDHGVRISDTDHWLKEVNDNHTGPMMLEDQIAREKIHRFDHERIPERVVHARGTAAFG NFKLHESAEDVSYAGILTDTSRNTPVFLRFSTVQGSKGSADTVRDVRGFAVKFYTDEG NWDLVGNNIPVFFIQDAIKFPDFVHAVKPEPHNEVPQAQTAHNNFWDFVYLHPEATHM FMWAMSDRAIPRSYRMMQGFGVNTFSLINKEGKRHFVKFHFIPHLGVHSLVWDEALKL AGQDPDFHRKDLMEAIDNGAYPKWDFAIQVIPEEKQDDFEFDIFDATKIWPEELVPLR VIGELELNRNVDEFFPQTEQVAFCTSHIVPGIDFSDDPLLQGRNFSYFDTQISRLGIN WEEIPINRPVCPVLNHNRDGAKRHRIAQGTVNYWPNRFEAGPPAPVEQGGFASYPAKL NGIKKRGLSPKFREHHNQAQLFYNSLSEHEKVHVKKAFGFELDHCDDPIVYERLAGHR LAEIDLTLAQEVAELVGAPIPDKALRPNHGKRSKHLSQTEFPGKQPTIASRRIAIIIG DGYDPVAFNGLKGAITAVGALPFVIGTKRSPIYADGEDKSSSKGVIADHQYDGQRSTM FDATFIPGGPHVESLKANGQIRYWIIETFGHLKALGATGEAAAFIKEALGSALDVKVA TSDNPQPVEWYGVVTAGKIHKPESFKEGIQIVKDAKDFISTFFYQISQHRNYKRELDG LASTVAF AFLA_056180 MAIITRRGEREAGERKRKEKASKQACTKCKHSRFTVVRVVKLQG PGEASFSINSMVNGYAASFSPVSRISVWRGVSVFILSSAPFPSGINDNLSHCNRNNGI GVSPVIIWQGMGSLTFLADDLHAYPPTKVTDHKWPPFQLMGFNN AFLA_056190 MGVLDTQEPSAAVENFQEVLRLYPPFRDDDGAILAAVDLRCPDC DAVFSSSKELKQHYLTKYCPGTAVAVYVMTRDRCERHFAVDDDAPSYVQCRHCGGKCN ISYEPILNDPRDDVSFRDIQRDIASPISSQSPSVAQMSCRGLKSPAAANPHDQSITKK AKKIRDSWSLRMHAPNGVYQPFEGGTTVTHPGQSVTSVTSSGMTLK AFLA_056200 MDEAARLFSQLRDELAATTVWSQALTTRLRAEASLRQANCRAAV VEYHDALKILETAPALDVDKLSRATILHSMGQAYRNLDMPAQSEACCLEALGLYKRAL GRDNPKNFSVLHDLGALYERDGYATEAAALYERSFAGRLKMLGHNAPETLSSMQDLAS LKVLLGDLEAALLLLEKVVPALETVFGLQHATTLNAMNHLSILYQKLGLGEESRAISH RTIPHCRTFFGINSPITRDAVVRYFQDSNNFDFPTDIQDILDHYQRSRDPDSLKVIHQ LGRSYMDNGLNHDAAELFEALVEDFLTIKGPEASETFDALSALCVSREHLDSIDKAIL AYKQLIHMANRTPADHPSRKRIGYADRRISDLNRRRDILADERKAWSLHEPAQCENCR TNTKVLCNTCKIFRFCNEICQKQALQTHFPYCIPSVSLRESKSLAVKARCPTSARDQA ISKIRRVDKTKSVNVTASYTFYLDPRNFTTFRMKLNSDTNTVILFSLDCDIQYATIDN PLLDHNQGDSTSRSTSPSSTSSTADLKGVRWLSPDRQEAIVYVPSEMPQPQARYVLVT PGREMLKSIIERRVGVRGGGGEKERFQALELPDDELIEFAQGLLLTGFLGEVFMHVVE WVWK AFLA_056210 MRTSERDALNRRRSSTLHYQTFETPPPKSRGRPNSGESRSSAAD HPHDEPSHNESEGHSPLPKKQMAILAMISLCEQTAFNSISPYLPEMASKFPEVEENLV GVYVGTLATAFAIAQFTTNYFWGWLSDRIGRRPVILLGTILTAVCFVAFGFCKTLAQA IVVQALMGAVNGNQGLVSTCLGEITDRSNQSKAFAYLPVLYGIGGITGPLVGGLLVFH HNPWDSSKPNPYPYLAPNLMAAAVLVLDFVLSIFFLEESLEDPESLPKLQQRVRDFFS WLWQFTSLAKRARYLQPPHPIPYRPFRQDSGDTADHDSDLDSASEASSYRDNHRASLT SSELLNRDTLLLLLTYLVFSLCNVAYNALFPIFSQAAPPTGRGLTPSEIGLAQGFSGF VTIIFQICIFGKLRDKMGNRWSYRAGLFGFVVSFILMPFIGYKGNDSGGLSGKTALLA AELCFVLLVKTIATVGGLTSALLLITNSAPDHAVLGALNGLAQTLSAAGRAVGPFVSG GLFSLSSRIKPKGEALAFGVFAGISFVGFLLSFGIQGRSLEADDWESDSEHYKSDDEE PDS AFLA_056220 MLEAFEILTTSGVVLWSKSYAPVGAHIINSLINDVFIEEKVQIQ AANAAYPVYKKEKYALKWKKVKEFNLIFVAVYQSLLHLGWIDKLLDNISTLFIDIYKD QLRSTRVRVVEYPFDKYFEQQVKELEDNSAPINSEGVVTGAENKKDPLVSSEHGGPPP PSVPGLIQAQRQAAPGVATSDEGTPPQTPDTSRSTTPVASQILTARGGPGGRVSRRAR KAANAGANVSSGDESIRKGKTPKGGKKMRKWDADGYADEDDGTVLDYSAPADGDEAAA PAVEAVAQDSWGHRTGKGQFVLKDLGDEVHSILENADSEKAKNRATGIVGSGFNAIGG LFRNIVGGKVLTESDLEKPLKAMEDHLLKKNVAREAAVRLCDGVQRELVGKKTGNFQS VDAALRQAMESSLRKILTPTSSLDLLREIDTVTSPTSKQQSPRPYVISIVGVNGVGKS TNLGKICYFLLQNNYRVLIAACDTFRSGAVEQLRVHARNLKELSARENVGQVELYEKG YGKDAANVAKDAVEYGAANKFDVVLIDTAGRRHNDQRLMSSLEKFGKFAKPDKIFMVG EALVGTDSVMQARNFNQAFGTGRNLDGFIISKCDTVGDMVGTLVSMVHATGIPIVFLG VGQHYGDLRGLSVPWAVNLLMK AFLA_056230 MYRQSFAPPPAQSPPLHHPVPQHVSTVPMMRSPPPPTSQQSQTS GYGNNPYQPAPAQGGSGTYAPGFGGFINDPTAQMGFQVGKTAMMAGQEYMEQNLNRYV SIPALKHYFNVSNSYVLNKLALVLFPWRHKPWSRQQSRVTAASTGPNGQISHQQYSTM FLPPRDDLNSPDMYIPVMALVTYILLSAMLAGFRGNFHPELLGSITTTAIAVIVFEIL CLKLATYILSINNESQLLDLVAYSGYKFVGIIITLVTSEVLTPGRGTGGWVGWVVFIY TFLANAFFLLRSLKYVLLPDSATDARTGSMHTVARSQRNRRTQFLFIYSYVIQFIFMW VLSREGPTASNVAGSAS AFLA_056240 MSPAKAKLVAEALLSSIGLELVSCRVLQTLWAGYGHICEITARA SGNQTTRTSNVKKDANGNFHLILKLISPPKSDGDEGHLRKLLSYEVEQYFYQEITPSL DQDVAVASCLASTGHLQQGQSQELQGLTATIMEDLRQKFPVAGEKRALLNQQQVYAAI EWLAKFHANTWKLLPDDLDRYLLPPLKEMQRRRADPSSGGDKLWLNGGYTYLATRQKE LASLIEDTDSEWSAALCEPPQGSALSIADQVANFLTPCGRPYESYIHGDVKSENLFTT GSGDEVAFFDFQYVGLGLGVCDLAKLFTCSVPLGMLTSDYDVPEELPMDDGERALLEC YREALLARRPSGMKEFDYPMNILVHHWETALVDWCRFQASWGFWGNTEWLEARVRSIL RDPAWQEWLRNESG AFLA_056250 MADIDIKIAQWKLVEIGRVVLIRRGPYTGKLATIVEIVDHRRVL VDGPSTEENKIVPRHVLPLAHATLTHFVIPQLPRAAGTGPVKKLWQKSEIDSKWAQSS FAQKTERAERRKNLNDFERFKVLRLRKQARFEVQKAHAKLRAAAPKS AFLA_056260 MADPRVEEIVEEETPKQTVEDAGSDSESEAGEANIPAGAAVTIH SRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPSSNTWIIFGEAK IEDLNSQAQASAAQQLAAAEAAAGEHAGHDHEHDLGTKVPEAETKKEEEEDDGEPVDE SGLEAKDIELVMAQANVSRKKAVKALRENDNDIVNSIMALSI AFLA_056270 MNQLSPPGQNRCHLENLPVEIIQEIFFHCLEFNLPRASLYISRV LSDSTVYTWLIRLAFSSANEGSKSDFFTPDFLPPPLCFFALSEHQRRDLQHEILASRW CTLPLMRKCQREYVEHAIRRKCRNLELAPEDHYTLANINSRFSNLESCDKGWGGCRSK GDLILKARDRDTNIDYKVAVWFHFGAFQVRKPNKLVTDLDLFRLPCCLPELPARMPNK LLGPPWTDTKLEFLQLLSLDAYIDADDTFTRSRRILRQVIRDRDFATFQRLVNMHIRC QCYKYPVRWPVLPNHFQVALKYADEYDDPFIKLLVEQRWEDIPANLLHLKDQLMSKVG TSHI AFLA_056280 MGAVVSCIQSVFHAIGACLMGIVNTIGAVCKAIIDGVVTLFDVI ISCLTCGYCGRRRRGTTRTRRSRI AFLA_056290 MLTPIRVRGRRTKRPAPTEDAGPQLKRSKGRGGRPLMSLAERYN FSQSQATRRAQRLIAKPPKQPLKKLSRLETLPVELIEKIFLLSLNVNLPRASASLAAT VSSERIYRALILFAFWNDVPSSTGPFDAVSATAIAKILRPLEYIPLDLNERAALQSAI LRCKWCTVQRLLSRFLI AFLA_056300 MTEDQEEKLRRFLAREEEENETRSFEGTDKDNNHYTLSVSPLVS VTVTCHETETAQTHRILGITEFPERFLKGGNGFTSETIAYLETLRLASGFNTSELMET HVALSRDALQKGIHAALVEHNAEALTSLLKIDEYHFRCRNTNVAVTSSVPYTIPAEHF RTAVRVARNEPALFQLLVRASAESVPADDSDITQWAMDLDDSFGQWLLDLMLQLPQRI EAANANPAEGAVFYLGRANGQVELARRYLNEVLGIEELGSWMEETSHDFESQWRSL AFLA_056310 MEALAPYIPPALLPLAQTLHDQVPLVGVALVSLGAIYLGYIYIL GIKEAAVPFNVPIPPEVRANWKGKAWEDVQGEEKKVLEGQLRGSWNNKVILSYCPADG RILGNGIKPATTEDVDRAIQAAKMAQIEWARTSFAERRKVLRTLLKYVLEHQEELVTA CCLDSGKTKVDASFGEILVTAEKLKWTIDHGEKALTPQSRPTNFLMMYKKNMVTYEPL GVVSACVSWNYPLHNFIGPIISGIFAGNGVVVKPSEQTAWSSAFFLEVVRGALSACGH SRDLVQSVVCLPEVADFLTSHPDISQLTFIGSRPVAHKVCESAAKALTPVTVELGGKD PAVILDDARTVSEISSIASILMRGVFQSAGQNCIGVERVIALPGVYDKLLNDVSSRIK SFRLGSVLLESKPEDPQQKPGAPDMGALISPASFDRLETLINDAVRQGASLICGGKRV NHPKYPHGHYFAPTLLADVTPSMRIAQTELFAPVFLLMRADSVPHAIAIANSTEYALG ASVFGYNHVDIAACVSNIKAGMVSVNDFGSYYAVQLPFGGVKGSGYGRFAGEEGLRGV SNIKALCVDRFPKLMATRIPPRVDYPICKGDGSRQNGTGAWEMCKGVVETGYQLTLAG RVTGILRLLKNM AFLA_056320 MDGTFRWRRILMERRITRSAAAKEAASLAAAIKTSEREFVTKPP NLENESPEQQSPSLGNTSKTGSASKRRKTKRLASDIDVANELPHNLGSSVDPKYKASA VSSGVKEEVIDTLANDLKSTVQKATTAPRSVSSGKSKKANPYRLTPGITPFPDWPHPT PDACEEVNRLLSSVHGEIVPPSTIPEPSLTVTGCGEVPSVLDALIRTLLSGATSGNNS ALAFNGLVQKFGILHEGIGKGSVNWDAVRQAPLKDVFEAIKSGGLADVKSKNLKAILD MVHKENQERREILVKGEDAGPSDLMQKSEGSKQYEIACADQHFLSLNHLHTLNTEQVM EELIKYPGIGPKTAACVLLFCLQRPCFAVDTHIFRICKWLGWVPPDKATEITAFGHLE VRIPDHLKYSLHQLFIRHGKTCPRCRAITGQSSAGWDKGCVIDHLVKRTGKRKGELAT SPPVKRKSTGRQTRNEKA AFLA_056330 MCGIIALIQANPSSSAAVDLHEALYLLQHRGQDAAGIATCAAGG RIYQLKSNGMAAKVFHDGSRVADLPGFMGIGHLRYPTAGSSANAEAQPFYVNSPYGIC LAHNGNLINAPELKRYLDFEAHRHINTDSDSELMLNVFADELSETKKARVNKDDVFAS LSRMYERCQGGWACTAMLAGFGLVGFRDSYGIRPLVLGSRPSAEGEGTDYMMASESVA LHQLGFTNIRDIQPGEAVIIEKGGEPVFRQVAPKKAYAPDIFEYVYFARPDSVIDGIS VYRSRQRMGDRLASRILDVLGPEVVKDIDVVIPIPETSTTSAAAVARYLDIPYCQGFV KNRYVFRTFIMPEQKTRQKGVRRKLNAMQAEFKDRNVLLVDDSIVRGTTSREIVTMAR EAGAKKVYFASCAPEITHAHIYGIDLASPNELVAHNRDPEQIAKHIGADSVIFQTLSD LKGACAEIAQENGLAEPQNFEVGVFCGDYVTPVSDGYFDHLEKIRGEGRKIKALDRAK EAVTHGFASEKDFQIAANGVKLDASGNIIPASTPGESEVPQVSICSTRKPEESEEHPK VKDRMDISIHNMGDHP AFLA_056340 MSSIQAREPSILEIADPRPTTLEVYDSDTDSSVTLDHDSDGPDY ADDVVVISDNRPRDPTPFLLSANAAANLRELVDLTLPTFQAFDEDDYCTDEEFELLLQ SWQLHTPSPRVTTPVDETRATTVLPVEESLELYDGSYLRICTVLKDSMDAVSFSGRRL LKTRNHAGTYVPKERNELVWIANATEIIPFQMAKKFVSMNFTNICAIRGDPQKLVNPN HLWCRLKETLEDGEVSIEYVSFVEADEGHKIEPAILRQLWRGKSRAFGEEDRPRESSP VIVLDNPDPVIDLTCSESVDEQKRRRQYTFGDGFCGAGGVSCGARRAGLYNKWAFDNS EHATSTYRLNFEHAYCELSDIFSFLTSNDEFLRVDVSHSSPPCQTWSSAHTIEGANDD ANSACVFSSADLIRRAKPRVHTMEETNGLLDRHRDTLHRVINDFIEIGYSVRWGILRL LEYGVPQTRKRLLVIASG AFLA_056350 MSASCLALRRLTHRSPRTFQSRISSVSRISSAASSFSSLNALRA VNSTAPRVSRFSTMAPLQSGSQDGALQKPYDPEIQDMANYIHNYEVNSDLAYDTARLV FLDTLGCGLEALKFKECTKLLGPVVEGTVVPNGTRVPGTPYQLDPVNGAFNIGAMIRW LDYNDCWLAAEWGHPSDNLGGILAVADWISRTNRAGGNIAGGKIVKVKEVLEAMIKAH EIQGVLALENSYNKVGLDHVVLVKVATTAVVAKMLGLSEKQTADAISQAFVDGQSLRT YRHSPNTMSRKSWAAGDACQRAVNLVLKVQKGEGGLHTVLSAPVWGFYDVLFKGNKFK FQRPYGSYVMENVLFKVSYPAEFHSQTAIEAAEIINKKLAALGKSAKDIKEVTNRTHE ACIRIIDKQFKAMDNFADRDHCVQYMVATMLAFNRLTATDYADGSEAATSPLVEDLRK RIRCVEDTQFTTDYHDPAKRTIPNALTVTLNDGTVLEEVVVEAPLGHRLRREEAKPEI LAKYKRHLQAHFEQARIDELLEVGLNKSALEGYDVDKYVDLYVKDKMVASA AFLA_056360 MITGLAHINLLVPEGSLPEANEFYVQTLGLTARPVPQAQVETTA WFDIAGGPQQVHIAFGVNESLESSRHPCFKIGSLEDLQKLQQRIWDHHVRGGRAAPRE ADKPGEAISGEMTEEYPTRFFARDFAGNRLEFSL AFLA_056370 MATDMKKDIQPHVLSEGFSTSEHHETVAIKPDIELQEIPAYGPD GVRGLISSGYVLGAAFLASLGGFSFGYDQGVISIINVMEQFHAVFPQAETAFGKGLMT GMLLLGAFVGCLFMPYLADRISRKWALTVVVVIFDIGAIIQTCAQSYATLVHLAHLVM EGAPLYISEISPPNLRGTLLVLESISIVSGVVISYWITFGTRLIESEVSFRLPFGLQM VCATILGVGIHFFPYSPRWLALVNRQQDCLASLSKLRGLPDTDERVQAEFQGIITEVK FQELIQEKRHPGTHGIKRQLLAWRDLFGRKGWRRTVVGCGVAFFQQFIGINAFIYYAP TLFQSIGQSDEMSLILSGVFNVLQLVTVLVCFLIIDKIGRRPLAIFGGFATGVAYIII AILSGLYGKDWSAHTAAGWACVSMAFLFILIFGLTYSPLGWALPSEVFPNATRSKGVA LSTSTNWLSNFIVGVATPPMMENLGYRTYIFFAVWCVMAGIWALIFVPETSGKTLEEI DDVFGDTSGHEEQEVMRTAALATMRPPVQTTV AFLA_056380 MAAAVILRQYEEIEEEEEIDSSTGARDQQPVNFLAIIQAIIETT ASIPTHHSFANAVFWIAIRQEIYYALAMQRFPRITPDQDKRQGASAANKLILFAGDVT RWWLGDRSPLDWGELQQALLERVHAADHLECSCVKGRIALNHEGTYARVRSNPRQ AFLA_056390 MFHVVFGLLRMGTSAMFQMICFISTTTSVVFAIDKFPFGLHRMM QLPHRPSMDGLGLLNDGLQCFSFPGGG AFLA_056400 MLEYDNIIHTAPSLRNFLWYTQLLVPLPGYVFLVGELRQRTTGE LCERAWKAICVNYNDRGLIRKLRSPMHVAFGRMLLKAWNAHEEAELQLGRNVQPPQLV TMLRERVALQTSTSQSKPDLAGNGSTDSVKTTNGGPIAGTRMWVDDNPGLDRMGRPFA IMSNEDSMFPSLHSASQMFDEVSPDQNDVDWSYLMQPGVLGELCGKH AFLA_056410 MLRDTSDDENERLTIHHSFDTMFGDTDGFPFNVCGSPAQITDLH PPAIKMFQLWQVYINNVNPLLKISHVPTLQAQVVEAAADPAKISKPLEALMFGIYLVA VLSLTDEEVEATFDEGKAVLLSRYHQGTQQALINAGFMRSNELMVLQAYFLYLIVAFD KRIAEVTGSAITALSSSPTDCRLPLNVNDADLHIHAKEPPTPSTGATEMLFCLTRIEL TIAAVPNGMRPNPVDLNNPFAQHKPTPSPADATTQETSSQPPTNGLDRYCAHMESTYL AHCDHGIPVQFFALMMARVSLCRLRIVGFMSRGVSATTLRTGSATPSS AFLA_056420 MPLACYEVECRVLPPQPPKRRKKKLHERDLIDRLRKYEALMSQH GISFDSVLEGEYGVANLEHDTNGAGTAAEGSAQNSNPQAQGK AFLA_056430 MGSDKVSDVEKPSIVPSQAGQKRGEESESRYQPRTLKFWLIISC NLLSLFVVTLDRTIVSTAIPRITDEFDSLGDIGWYGSAFLLTGATSQLLYGRIYRAYD MKRVFLLSIVIFEIGSAICGAAPNSGAFIAGRAIAGLASAGVFSGCMLIIIPMIPLHK RPMFQGMFGGAFGVSSVMGPLVGGAFTSSVTWRWCFYINLPIGAVTIILLFLIWDPPK SNYEPASIGTHLKRVDPLGMFFFVPAIVSLLLALQWGGSTYSWSNSRVIALFVLFGVL ILLFAAVQILRPETATIPARVITQRSMFCAALYTLFISSSMILMVYFLPIWFQTVKLV SPIQSGIYTLPLMLSMVLATFIAGFMTQKTGYYVPAMYICPCVLSVGLGLMSTFNLDT DLSHWIGYQFLSGFGLGFGMQVSGLVVQRVLPFADVPIGIALVFFLQQLGGSVFATIG QSILTNYLMPQLSDIPGLDAREILNNGATNLASVVPSEYMVQVQQAYNGACTKSFLAA MGLSLAGLLASLGMEWKSIKKGKKPEVAVKDMVQRKHQELDGNA AFLA_056440 MVTPQQYPWKPTTPEGEIWQSLPPAISSSAAANLTPEEITSLNL DPSSPNATKLVLLEQALTKKLQCLENAAKPTPLYEKDHPTWQRLKSALFHINRSTGDL EKQESLLLEQVNHPGPKGKDLAALQNLAGLYEEKGEYKKAEKLARETIPALREHPILG SNSPQVLGSLRILIKALAGQGKIGEAEEVIREAEESIENLAEGQFAEHQQEERDALEK VVAGLKK AFLA_056450 MISVIFLAGSSSALKASRGVTSKVLSSTTQGALSGETLSKSGAH HPVRDPRPSVPPRGSEKDGKVARAKETSPKQPEAPIKPQPNQTVSTTQTCMESKLNTA PKLASQGISSDSLTGHSQPQQPAQADADSLTTFPPFAVGAKDKVKRFLESQGLGEPGD TPHQSPIDHLKSRPPTPWPGAGADPEALQSVDDARPPVPSHRLPRVPTAEDKPQNGPL LESSVSKKPEELGLKNLRISQPDIPSHPLPPIPTSRFNFLPSEDDFSVIDDPGLLTPI TEVPSELSRANSPVDPLMAGCKPRPIIKQPNPPVKKPPVQKPIEMPTPHHATPAAREV PKSQLPPSSTVLSSTPDRPETPQVTVGLPKPKQKTPLSSASPQARPDLVTAKKLERKP SKLNITTTSSTIENNSRRQSETISEKQIDEPSVDPPQQRLSSPFNPSKIKQQAWDLET IASEDSWFQENEDNDSDGSASGESGPRRTPPGQVRARLTVETVECTFQPPAQTPDLDQ AQYDTTGQARNEFFPPWAVGSQALSEGARDLRT AFLA_056460 MSRKVSLDAVVSYIHDALSQEGDSILIPRDVLSTILDAVTQSVN TEKALAWVEADCLSVRSRLEAYKRADDLVVEELCQAREKITAFARNKFSDEVPPKEYP VTEWRDDTANTSCKFDKDLAGLPRSLDLYEPFRSGTEVEQIPGRQIDAEAIDASKGDP ITEAHYFPKPPSVASSHGFEKHISVRTFDEIIKLKNSIRHMTSIVEKRLEHCISARET VEEAYHSKSELLATMSHEIRTPIHGIIGMAQLGLEAGCLPAVAHDAFNLVHSLGKSLL ANINNVLDLSRIEASRMVIESIPFNLGSTVLSTLKPLAVEASKKMTDLTYEVGSHVPQ HAIGDPNRLCQILFNLVGNAVKFTNNGRIELSADDSVMKQFGGTGLGLAISRKLVSLM GGDIWVRSTVGKGSIFSFTCPLKLESPCATTTEQKKSHRDLVIFYITANGQKSDPICK IITGLGIQVRVFNQHDIQIQELRDQNHLPDALIVESLEMACALRAHGHFGSTPLVLFD PTPSDSLKISIRSAFDLGIVSYITSPCSSESVLSSLLSALQDRPRHLEPSQIMPLSVL IAEDNDICRLVAAKALEKCTNDITVVTNGLQALQAYQNRQFDVIIMDIQMPVMDGLEA VSEIRNYERTHNIKRASIIAITADTIDDDRPRAELDEYVSKPLNPNQLRDVVLTCHSE GAKSPTIGDNMDRGSACEISR AFLA_056470 MALPLLVNAGLLALPIAGSIGTLLGIDAHRQATGQRPLFTSEIG NDGSISRNGVTNTRYCDLFNPISPKSEGQLYTLNPNQWGVTDKTEGGLCMNITTIANG SYATMSTAPEFSVTWKFDQETKDQTVHAFPNVQVDNILPASLADIHHLNLDMHWTYGI GNKTVNKTDDAELKDVNTNVALDMFFDDDIKTAKNVSLAKYEMMIWFAGYGEAKPFGY EDGIVKTKDLNGTTFQLYTGQNDLKQEVLTWYAANTTEKFDGDILPLITDLYTLDKGV KLSNTDYLGVLSLGTEAFSSHSNVTFWMPRLSIDIQGPTARTTTAKA AFLA_056480 MVDQHEGQQDQEKIAALNIYETEHNTNSFECQEEYAKGMIPIRP KHLSFESSDTAKDSSPSLCKEAQVDESPSSPTGSSNIAVLHNRDDVDISTGWRRWVFT LAPLFTIINTAVYFFYLGLRIYCIVMAQRSVDIYYGGAWIFVAVEMAVAIPSMIHNLW TVMAWKKRSRPKLRLTGDKVPTVDVFVTCCGEDDVVILDTVRGACDQDYPQDKFRVIV LDDAKSASLAAAVKELATMYPNIFYMAREKIPGKPHHFKAGNLNYGLEQVQYLPGGAN ELMAALDADMIPEREWLRAVLPHLLVDAKVALACPPQLFYNTPASDPLGQSLDFFVHI IEPIKDALGVAWCTGSGYIVRREALADIGNFPLGTLTEDVATSTLMLGKGWKTVYIHE PLQFGSVPEDYGGHLKQRTRWAIGTVDTAAKLNFCLWGKNIQHLTFAQRFSGFIYAIL NLFTLLLTASLFTIPIILLWGKPLVAYANDDQLHWLIWACFASTIINRLCEATLFSPA GYHTGQRNSRFQLWMAPYIAVCMVRSFILPKWLGGQTQAFKPTGSLASALNERDPKLT KNMFVRLRVMLLNYMVFFHLAFVYVTLIAVIVSSYRCFAIENGARDVIVCLITHAFWP PFAFFFICSSMWTPIAYAINPPIMPDREDLLVRDQKTGVAHPTEESKRIAFGGQAVWF EFEYSAATIATVLVFAYSFFF AFLA_056490 MFGRVGGQTFRCVVRRPIGRRRLCERKFSAYTTSTSPSANASST ASPLGSITSELDRIAPCFEVPASRITILDSPASFYSTLKSKIRKARKRVYLSTLYIGK SEHELIETVNQALHNNPDLKVSILTDALRGTRETPNPSCASLLASLVAEHGSDRVEIR MFHTPNLTGLRKKWIPKRINEGWGLQHMKLYGIDDEIILSGANLSNDYFTNRVDRYHV FKSKELADYYGRIHHAVCSLSFQILPDPHNTAGYLMDWPTSNGTVSPLEDPENFTSYA STVLGPLIQPTQTKPALEQKSSDGTYVYPVAQFTPLLKPDASTEFPAVTTILRMLSTS SAFSGARWLFTAGYFNIHPVLSSLLIASTSTSHTESTTRGTVLTASPWANGFYGSPGI SGMLPAAYTHLSARFLDRVAEAQRTNSIQLKEWRRGTVGEPGGWTYHAKGLWITLPRE EHPSLTFVGSSNYTKRSYSLDLEAGALVVTGDQDLKRRLGAESEWLQKESQAISRDDL RRTERRVSWNVRLAMWIVEKVGGAL AFLA_056500 MSTILRTLRNLRRIGIKDYGHQMQYIGDTKAGTLIGVDRYGNKF FENIEEELPLRTRWVDYKEKEYDPSQIEPGWHAWISYMVDQPPTADKIMQTGVRTWEL SEHRPMLTLSRAAFKTYNTVKPKYSAWDPVAAPRN AFLA_056510 MAARDSDKGRRYIAALDNARCQNKWDEIPELIRKVTKHAPQKTC LLEVASAEYQIAVHSPQRPSSAQSSSASSSSALPELIPHLLSTVERADGPPQDIFQAQ VCLGWVHWTLNEPGLAVARLPDDFDETVHKLTSTGEELSPWTKVCIAKACYLKGTYLK FWAFFHFLTGLGAGQHKVSGSADALDILQSLTSWLSSHNELSSDSSQFLHWSEQLASE SALVASMEALKTIPVADEALVKTALRLLRLWSAHPHVKQGALPHVDGFDVCTPKYLTW KSYYDLLSATLQHDLAYVPPASGPERQQLAAEIRRVESMYESSLLRETKFPTAYAGST QIEDWVEQVITNWEILCGPQWTDEDLGEGGQNAVGRNVLDILYRAATKTYHSHLILRR LFHVHSSLADFDLALKALDSYIEIVVGAKERAEKSAQYGELENDGTLLRTLSEGVTML CCFGSAKEAEKARELTTLMKKFINKHVQEIEGDDEEQVKLLISSDTSSTRSQAVSPTD IAAAYRGVGIGLAAWANWTPVNEERDNIRSEAIDNLEKSTAPELEDETNYSSLYTLAL LLAEDRDLDSAIDCVKTALTAKTNPGTVQGYFTRERDLVPLWHLLALLLSAKHDFDIA ERSCEAAFEQFPAAVTSLAHHERRPQKQQQAIQDQANGAGLQRTLIEELRGREKERII ETRMTQLAFVELLEGPEAAVNHSEQLLGLFATLFGNLALEADDKKNSQTDNLRPPSSS AHTVKSLRGSIFGRHKGPRAPDRRAQSGSDFKTDENNPSLVPNESDQAPTIQVTHEDK HVGHENPQDSRKLRKRSSTLKKGDNAPDPNHKSHINGHGSVTNGVGSVEQPNGGEDQS PDMVAAAMSANKEQSGKQPLRPVAHNMSHTQQPPPIGHAKQPPEQDVRLPTSYGFDSP TKAVTKFPLAQAQKHALCILVKIWLLVAGLYRRAALFDDAFEACEEAAKHVSRVEALC ATQDSSARSFRERGWGVPKSADELWADLLAEQAFLSNAQSHPHKAMDKFEQALMRDPD HPKATIGLANLLLDIWDQKMPLLPPEPEIELDMSMLTLASPEKQNSAARKADNKATNG QSSAETPDSQHNQVPHAIQNVEPKLLNRIAARDRAYGLLSALTKRGSSWDNSEAWYTL SRAYEAEGNTKKLKEVLWWCVELEDRRPIRHWSNLGSGIRGKFRTEQL AFLA_056520 MASMSMSLHAVNHRLTNIPVKQLPPIASCLATSLSNCGELLSAP QNQKSKSESDNAVQVHKLMTRLASLLQDRSPEGRWTAVVLVKAVVEAGQWEILRGCEP LVRGLIAILAKPDPNSTKKMSIITLTRIFHLTYQYPTLVREITTPSLPSFVTSALNLI SVKPSSEPTRKLKPHSPFLETVLNALGELIARHPTIFRPFSAQIHSILQAIIGSTSPT FPQTALDSAERLFISLHHCAPKNTSGEEWKNACQMTIASIHGAADYVFRAVVEQWESV DPALRQASRPQDYSREVGDGGLDTLGLAGWQGLDSGVNRLVVLLQMLSTCLTTATASM VAIPIGPILDLTSRLTAVVVPSDGRDIQANPQISRTEREHLFAELPRIHVGCMELLQN LVKTLETGAIPVTQTILEQTLWVYRAENFSREIRISAYHLVQTLLTRMGPSLTKQSLA SLADLIRASCHDLLPPTHDQSTSARASSDAKDKAKSNHATANADSFLNPRLKQSRQSD ISSFSSLTKAASELLAGVLTHAPTEYLSPSLRAEIDRTIILTSDKHTMLASVLNPVPA AKGRGVSASIMPFLARSFPAEIEVEALIRPRMPVLMNAPGIGGYTDIEEEEEEEVPAR VSGTASESTGFLQPSSTPILHHDMMDTDVSHKAAPTLTKRSLAEDNKPQPPALGSTGK VDSSFQSKRPRVEGDVTAVASQPSLDRASPATFTGTAAVSVPSSSATVTSVPPPRVAS VNTSSTTTVGGPLSGSAVAQTNPADAGEDDSDDDLPALNIDPDTEDEDEDDDETMEG AFLA_056530 MAGSPLSYLNIVRDNGDQLDTHIHHGELKPVFLWHLSLFTILPV SALVIPRRQSTRYVRPLVLVLIFSLALEAIRYRRALLGANGYMIGLVVAWWFIWSATL LVFHDVEREFLRVERKGRTTVRATSKHSIQNGYAHMNGVIKDTEPKEQQYTESLIWQP YPRSLSKRLNWSLGLLFNMRGPEWNWRISSMDPLPPSVKSQLKSGPPSRYPRGASKVT ASYPGVGTRIRTVAFDCLKYYLLLDVIKVLMMHDLYFWGVTSPPPPPPFPLNQILPHG AVYMYRVLMTALGVYAAVSFVTFFNPLIFLGLSRLFPNASRRITAAPLDASWLYSDMF GPFLVPVLDHGLAGAWGIWWHQLFRFGFTSTAHWILSLLPNRLANNSRFRRLVMTFVA FALSGFIHACGSYTQWSDTKPLSGTFLFFILQFVGVTIQEFVSHVVVAVLLYQYTGKA GKTRLPRWLRRSANAGFVFGWLWLTAGLIMDDFAKGGLWLTEPLPVSPLRGLGFGYGV EGEGWWCWKTPWFRYWDGGSYWERGIRVL AFLA_056540 MADTGEPVKPTRIPHWRLIVDQGILTQEVIDHPYAGSGTEDDPY LVTWLPNDPRNPMTFPDSRKWFYTVTVAWATLAVSLVSSAYTGGVDQIMEQFNCGTEV ATLGVSLFVVGFAIGPLLWAPMSELYGRQYLFIGSYCGLTVFNAACTGSKNIWSLIIF RFFAGSFGSSPLTNAGGVIADMFPASQRGIAMSVFAAAPFLGPVLGPIIGGFLGMKEG WKWVMGFLAIFSGALWIAGAVCVPETYAPVLLQRRAAKLSKVTGKVYQSKIEVDQGKK TPKEAFKIALSRPWILLFREPIVLLLSIYMAIIYGTLYMMFAAFPIVYQGQRGWNQGV SGLAFLGIMVGMLLAVAYTLWDNKRYINTQARHNGFAPPEARLPPCLIASIVIPIGLF WFAWTNYPSIHFMASIAAGAPFGFGMVLVFLSLMNYLIDAYTIFAASVLAANSVLRSI FGAVFPLFTTYMYNDLGVHWASSIPAFLALACVPFPFLFYKYGPAIRTRCKYAAQSDA FMKKLMEQTRDVPDETDTEKKSENNATEGLKEVDDTVSEPTPASSQLDDLPSASKYDR RKSIASQASRRSEGVNSQTVYDANPYDIDRVNTRESFK AFLA_056550 MGVRRGKRQVDQIDLTQSDDENPQSTPKTPRVTRGQRLGEDTLF APLSQSSQLAADDEEDDAQAADVIPGSQAADDPAAGSSMLYGNVNTKIVGVRYYRGHA TYGEHVILRREPGNPYDSNAIRVDNVMGAQIGHIPRNMAAKLARYMDTRSLIIDGVLT GEIGPWDCPILLSLFGTSDPARRQELKSQMEQDRLPLSEFKQREREERKQQKEREKAR KEAEKRARALAKGKGQQWEAANNSMFSNLYAGDGSIEGGESLEELIGQSSTFNPRDIG QVAENFGLSEADLAKMPMADRPAALSTELLPYQRQGLAWMIEKENPTLPAAGSEDVVQ LWKRKDNRFTNIATNFSTSIAPPLASGGILADDMGLGKTIQIISLILANSAPKTPGSS KTTLIVAPVGVMSNWKNQIQDHTHSESAPQVHVYHGTGKKEAANLDQYDVVVTSYGAL ALEYNPNAKVPPKKGIFSVHWRRVVLDEGHTIRNPRSKGALAACNLRADSRWTLTGTP IVNSLKDLYSQVRFLKLSGGLEDMTVFTSVLIRPLMSEDPNARLLLQALMSTICLRRR KDMEFVNLRLPPLTSRVLRIKFHTHEQEKYDMFQYVLPDSRMSVAFAHNYNRSEARGM LLDFKSKDKSSTTYSHLLEVILRLRQVCNHWALCKDRIEKLAQLLEDNKVVPLTPENI KALQDMLRIQIESQETCPICLDTLEQPVITACAHTFCKGCIEQVIERQHKCPMCRAEI TDTSTLVEPAVEMGESTEAVVADPDTPSSKIEALIKILTAQGQAPGTKTVVFSQWTSF LNLLEPHLNRYGVGFARVDGKMSSLARDNSTYRFSHDPNCKVLLASLSVCSVGLNLVA ANQAILADSWWAPAIEDQAVDRVYRLGQTRETTVWRLVMEDSIEDRVLAIQETKRKLM LAAFRETAKKKKVDDRATRVADLEKLLT AFLA_056560 MPAEGTDPVDWSVDEVVQFLCHNSHTPWSQSVSGAPRPDPTSFE AALRDNLITGEVLLNDVDKSALRDDLGLRALGHRSVPNSGEFTAPSSTPQETSRIARL QTNVEDFGPVERVRPHEQVFMDRHGRKRRRLDLTTLTKSRADNEHAPDPVQAKEWYMG PEQITPSQLFYSPDPDQNDETFTLVGSNFPTAQRLFVNRCLHYFQKQKRIILDSTQDQ SRSAIVPYNLSMVKSGERRFTLYTAKNGKVSVSTENIEDWPQLTRSQAVSEEGQTDTL DPSDPFSYLLQRYPVQDESQDAFPLYGDSGSEGEFDEETWQEIEDENPGGWFRKPSKL TPTEIECVIKDCVSQYESKWYDVCLPKEQVKARKLWLRARKGRFTNQEIKALLGKITS LNKRLRKLQDAIRESEYATKSELQTQCQSMEQTVMDIQQHKWRVSTLEQETCPPKISA PLKPTSVQRPKHNSGDEESLHSESGDMSDDSMEDFIDKSDIEDQPIHAENNSSTRTPP MSESDDNIISPSRIRRHSRARKLPFRESSSPSPPPVHKNKHPIECIDLTEDSPAVDNE EYRVETPPLNPVPRAITQPFEDPIKSERSCSISPEPYLGPRVMVEIPVYKTKHPNLFK PRKRPSLPDIHDVDKLVSVPWDLLEERQDRRRLLAKLIATLSIEERNKLAQAVPRYSS SDLQQLTGTGLKLLLKNQEIIPELEEAESRVVMRVTSFYISWINCVHLPSEGIQRNLV LYAQSKISSFVTYFNELCARLDDYPKKKGSKMHRLNEKGALSDPTDTPHKKRKREVKE SQNAKKSQQSAQLRVALQEKQRKILERKIGSTNTDPTRQAVSFGNPAIYLDPQIGLRV KPHQLNGIQFMWRELIEDENKQGCLLAHTMGLGKTMQVISLLATISAAASSDDPKIRQ QVPAAFYRSQSLILCPSSLIENWYEEFLMWAPVQSGIGPLRKITTSATMPERLQEVCD WNEEGGVLIMSYDIFRTWILNRETNKRGKPLNDNDYGKVRDCLLEGPNIIVADEAHKM KNPATGISQAAMQFRSKSRIALTGSPLANNLIDYYAMINWIAEGYLGEFVEFKAKFVE PITEGLYVDSTYTERRRSLVKLQVLKEILAPKINRADISVLAGSLPTKVEFVITVPLT DLQKQAYDSYVETILQGKGAFGSAQLWSWLAILSLCCNHPSCFRDKLLSRANDAQKIN KRLDEMEMIPGDEPIAQAGLPDSEKLVSEQEQIFAKVPDIKALEMSHRARIMNSIIDE SIRAGDKILVFSHSIPTLDYIEHVLRSSNRKYSRLDGRTPVVTRQDATKRFNLGSEKQ VYLISTRAGGLGLNIPGANRVIIFDFKFSPVWEEQAVGRAYRLGQQKPVFVYRFIAGG TFEEVMYNKAVFKTQLAFRVVDKKNPVRWAQKSLGEYLFPAKPVPQQDIAEYLGKDPQ VLDKIIMGDTGEEKSIRNIALTETFQKEDNDKLTEEERQGVQQQLSDERLKRTDPEAY RQLVLDRQRQSLTAGQVPAWTPSSYTQPAPMLPVPMLPQPPYMQLTVPSSAHNTGPPA LAPDMSIYPEPSKTPMPSTSAAAISSTSGIYPWRSAQSVPPNESTAVYSPFTPSPARS LGQGGAQMPTQMDGTNFDTNVQPHDMTADTALTELSQSTSSSEDSSEHPCRQQ AFLA_056570 MGDIYTNYPPPLNPAQKEYLVTTIKDWATQNGLLVRPAPSFVPK EIDPSGVLATNAPVTLFPSPFPKSCFNEATALQTVYNRLYAAITCNEEWIGKIMEDLI DVDDFISHLWKVHLAVKEEGYVQTLSLGLYRSDYMVHAPSSSTTPSLKQVEFNTISSS FGGLSSLVTSLHTELLDSPPGKPIAYPSHPLFESNAPPENTAVETLSAGLAAAHNAYG PSKSTPALPTCIIFLVQENERNTFDQLALFRQLTKVHKIPVFRLLSSEILDHTSIPSS NPSRPLVYSPPHSPETQFEVTTAYLRCFYAPSDFKSERDWEARTHVERSAAIKCPTVL NQLAGCKIVQQVLAETTGPDNLTSFLPDTDPAVVARLRETFAPQYDLSSGGRGRDLAL NVETAMNHVLKPQREGGGNNIYKEAIPDFLRSIPESEWKRWILMELIRPPAEARNIAL RTDGEVLSGEVIGELGVYGTILWDQANGKISHNEQGGWLMRTKSKDVNEGGVATGFSS LDSILLY AFLA_056580 MTLLTKSTDTTPPTDRFHTCPHPYHTPYSEINLPPILFRMDAPI GQDLPDLSPLRENGQVARDHEDKEIWDFPFLPRYITSSPPGWLLEYWMRTDPRLTYRD IRVRMAGPLHLRPHENALNMRRERDARRPLRLSCWTYRRGTPGRLNKIDVERVERWSI DQIRYNTTMDVVYADGGPVRLEDRALAAHTPATYPLDYFLNQGRTEIPSERIRVAQSV FFRLSERAKQLGLGSWRQLPDNEWPDTFRYNISR AFLA_056590 MIDPEEDLELSQQSNASVYPESSMTAESSESAKVNAKKGKGKKD KGKPVPRQDSPQAIDPRNFTTSRPDQDNWSWADLPDILYQFEPADKKDRKSDPPRMNY PIHGQYLRDLPILPDNIASTVEEFRVEAWMRLDRRIRLRDITDRMHPLFRIQDNALQQ RSVRFRQQFSLIAWDSGNKRSQQLKQDILRKMQEIGLSPALNTTRGITPGLIDPALGE DGGRIPLPNQYNKGKRVSRGRKPSKTPLKEEVATEDPRHEYTVQEEQPTGFSVPIKKS ASVGKVDTSKKEGPALVVASPVESVSIDFTVDDLTVESVAELFNYVPSYISFDENNDS LEGSLPVITGLIPDSELPETVSMVDIDLTVSIKDSIPRHNTEKALKPIATGKIQRRRP SPLKLARGGFCGNACHLGKCATPVYTNLTLVSGPAGAGVFHEGLLPPSQGLYPDVLTP YSASDLPFGVRHRVFDNLFEQCLSGDRYLFDIPAMAPDDMEMMDIGDINDIIIDDYDD YFQK AFLA_056600 MHQMKTQDRDNSDLPFTAEKPHSASHTIILDSPSPTSTTARLRR EHEDDDMTASHTDQDNWSLENLPDILYILKPEHGKSRQVVRITAQKVFGKHINAFSVL PDQISSKVEGWRLEAWMRLDRRITGQDIIDRVNPRYRFRLTSIDIELRRKAFREKFHV ACWGAQKTINNISRLAMAIGIDPASNSTRGLTPGLIDPSKGEAGGRIPLPPRAAYDDI SDPVSHPHHSNSDIFERTRLIKQSSHPGQIPAVILDESHRSNKTKSAEISYQLHWDTK HLRKKGTPNSDRFSITNSHLRRQSFHDAAR AFLA_056610 MSNPSSQLFRLIQNTATKHRHPKQISNKYSLQISCNVKPNASAN REGIIAVGPEKVDVCVAAVPRDGEANAAVSRVFAQILKVPKSTVDVIRGLKSRDKTLC VSDLEIGSEGEEKFIQQVRQKLEEAVIKK AFLA_056620 MSSTNPETTSSKRKAPDDRVASKAAATQAIHFTARNPPWTYLKL QLIHQPGTSTAVQSQPLDPLTARTHINSALSQFLGLSGTAISIDILKILPEAPQPKPT DKFIWLRVPRQDAPAVVAAVSSWIGGGTGGGSVGSVAWRVCAKGNYLGALTQGSGEDL FAP AFLA_056630 MAHLPPNAWQCAAQDDPASVFCCGVGHSDHTTLEILGINNILLT VTGFVVGLALSFRSSTAYERWADGRKYWSLLIQTSRNLARTIWVNTKEREGELGKEDL LQKLTAMNLILAFAVALKHKLRFEPDIAYDDLAGLVGYLDTFAKDAHDRQRLQPQRKS LWKSTGEYLGVSFAESNPRKLVKRSKKPLGHLPLEILNHLSAYIDRCIANDTLNISLH QAQAINGLATLNEVVTGTERVLDTPLPTAYSIAIAQIAWIYVMSLPFQLYNTLTWVTI PGSIIAAYIILGLATIGSEIENPFGQDVNDLPLDTYCRQIALELDIITATPAPRVDDF TVRDDNLVLYPLSMDGYNDWKDRSVEEIRAALRTKVIANSPSSALGSDESTVVGSMSS KQTV AFLA_056640 MLLSVAASTALNGWFIAPIGFVFTKWPSIGSVIQHYVVQHWYIK VPSSKVTIILTKLWL AFLA_056650 MFLHAIVYLSAWSQSGSLHKMQEIDNAAGAVAGVAMFVLGLPTI GWFVRKSYEVIYILIFIVLWGELGLTSTVFYMVHVLMFILIMIMVGMHRPKISTHSLV IVIFTSCMWFSDRLLRLAKICWFSVGNHATVTALLGDTVHVRLTRNVSCRPGSHVFLW LPSIRLFETHPFTMVSSSPPEFVIRAYDGFTRDPYYLAHKKQGQLLRCSMDGEYGQVP NFVEFDKVVFVAGGSGASFTFAIALGLLDTLAARNTSKQIEFLWAIRSLESLEWFEPQ LAKL AFLA_056660 MAEQFQVTTDPEMNQTLESESYRTSITSSVLNYKYGPYNRCFSS LDIPLPSYGELTAEDSMRIAKASMLCQMMKRSRNGWTYSIISTV AFLA_056670 MASIARSSFRLRSVTRVPTARTISTTPHLRAAAKPFFADEPAGP KLATAIPGPKNKAATAELDKVFDVRSLNMLTDYSKSIGNYIADLDGNVLLDVYAQIAS IPVGYNNPHLTKVAQSPEMTTSLINRPALGNFPSADWADILNTGILKVAPKGLNQVFT AMAGSDANETAYKAAFMYYRQLQRGGPEKEFTEEELQTTMNNQSPGSPQLSIMSFKSA FHGRLFGSLSTTRSKPIHKLDIPAFDWPQAPFPSLKYPLEEHAQENAQEEQRCLQETE RLIKEWHNPVAAVVVEPIQSEGGDNHASPAFFRGLREITKRNNVLFIVDEVQTGVGAT GKFWAHDHWNLETPPDMVTFSKKAQTAGYYYGNPALRPNKPYRQFNTWMGDPARALIF RGIIEEIERLNLVEHTAKTGEYLYSGLQRLAEKYPEHLQNLRGKGQGTFIAWDTPKRD EFLGKAKGVGVNIGGSGVSAVRLRPMLIFQQHHGKSNSTLAQTCRTGANVSAADILLE SIEKIIKQL AFLA_056680 MSFYVAPSQQRTLRACMVCSLVQLHSKFMREGCPNCDNVLGLRG NNDAIQECTSQVFEGLVTLRDPNTSWVARWQRLDSYVPGTYAVKVTGSLPDEIISSLE DSGVKYIPRDGSTGEEET AFLA_056690 MASSDLEAATALKVQGNKAFGQHEWPTAVDFYTQAIAKYDREPS FFSNRAQAHIKLEAYGFAIADATKALELDPAYTKAYWRRALANTAILNYKDALRDFKV VAKREPNNRDAKVKLADCEKLVRRMEFEKAIEVGDPPSAFEDLDIDAIAVDDSYDGVR LEKEMTQEFIDDMIERFKNGKKIHRKYAFQIVKAVKDIVYAEPTMVEIGVDQGTKLTV CGDTHGQFFDLLEIFRLNGYPSEKHAYLFNGDFVDRGSWSTEIALLLYAYKWLRPNGI FLNRGNHETDDMNKVYGFEGECKAKYNERMFKVFSESFSALPLATLIGNKYLVLHGGL FSDDNTSLDDIRKLDRHNQRQPGQQGLMMEMLWTDPQTEPGRGPSKRGVGLQFGPDVT KRFCEKNGLEAIIRSHEVRMEGYEVEHDGRCITVFSAPKYCDTTENKGAFINVGPELK LDFQVFEAVPHPDIKPMVSFTLVFFFLSFYLSTRYSVLL AFLA_056700 MRTLDWASAKDGVRSQDPFALTSALERWPVIITGAIDDLHRTVG DVSDEEKRKEGKGIIEKLAALKYELQHNRQLTPLPDDGQPGIEEYNKELEQRGNPKWH DVAWLYSECYLYRRISAYFALSTHWKGYDVFARQKMSTFKSSRPAVLELAARYKEIAQ EAEKGQADGKTPEQVEQAERILFSEMCEICLWGNATDLSLLTSLTYEDIQKLQGSQAR KAAEKNILVNDLDAAFEVLNKARKEKKTGERRVDIVLDNSGFELFVDLILAGYLLSAG LATTVVLHPKLIPWFVSDVTPPDFRDLISALADPQSFYTAADESGKEHSPLSDKELSE VNFLFEQWSRLHADGKLVIRPHAFWTSPGSYWRMPNTAKDLFEDLQQSELVLFKGDLN YRKLTSDATWDPTTPFTTAIGPMGPKSGVRVLAFRTCKADVVVGLPAGEDERLRQLPN GGGSEARKWAWSGKWAVVSFSDGKA AFLA_056710 MLEWITGQNEQFADNSKVLEPPETPAPVFAIRAFKSALFGTPGA DDEDQMEREPNPKNLTANQSSRASLSLKPTIGNTSDAPIATKADVDMAVNAMASPTKS ILVTPGTASNRRKTVSFGDGVVDNERKRGESPNKSSRTPLTSQWSINSSDGKAKPQHI AGSSETTNDTPGDTAEELHKAQQALGEANTKLEEMKREQSEFAKLKDLAQSSEQKASN LEKENATLKQTLARVKQEMTKYEGRRKEKEAKLKQREAKLELRVQEYRERLKSTSQQH REQEEGLRESFNDERRRMQDQIDLLKLKLTTFERLPELRSRTRHSDKGYAGVQVYDFV HDSPQKEQSDETQDIDEPPSPSPRAKDRRSHTTRTVLGELDIKRASKALGLETEDHSE QLAYLDDTPYKPKENHLLEGDGIPPSSPPDYPPLEPPTRRTSRQKYNSESYRSYVPTH STITSLAHHLATREDSKQIRTERLRARRSPSKYSLDAITGLPQTYHLPDRTKRRQSLA SVQRDSIPVDRMLAAQARLKRKQDSRKTRQEGKENMIRA AFLA_056720 MPHKQKKTSSNAHKNGSPRAEKKRQFPPDAPSLALPVVAPTNYE EIHRNEIEALRSIYGDDFEEVEHKRSAWQQSSDVVFKLHLRASSNPEVRLDLLVELPT TYPKTYPNLFLENLDDLRQGARSRIDDIIRTKPKSLVGSEMIYEIAVSIQDVLEDVAE AKAQNKDLPSLEEERMEQEAAANQRAELERQEELQKQEAATAEEERALQQLLEDKIRE RTKARLLRRKSRTSGIDTGSDIDAGENIPGAISFDPPLVMADSDEGPLVFRAVYGKTL LKSAQGKNTYAVRPVVTENRCHAPLLILKEYSIDETQLSPLAFREKMRSSEDKLEALK RLRHPNLLDFVGFKIYRPLEPASPQDNAWRVYLLLEHANKGSLSEFLDIVGSVPVETL RSWTIQLLEALEFYHRSGFVHGDIHCGRIMILRNQTGGTIVKLQASIENALPDSADSR QSLEASKSPLWLPPESTQGNTSPTMKTDVWDLGIVFLQMGFGKDVLQRYTSANALMGT LGLSAPLQDLLNEFFRTDPKKRPTAFQLQPSEFFRVDAPLVSHSSTSNSISLPRRPRF DSFGGLPAFSRYYQDFDEAGRLGKGGFGMVVKARNKLDGRLYAVKKITQRSAAALKDT LSEIMLLSRLNHPYVVRYYTAWIEEDYDFVDEEAVSSTEGDPFASQGSEGSQDSQGYG YSTGGLDFISSSGYPKIEFGSDSEEENDGTLSSRDNGQTPETYGTGSETGKELSRVRS GSQGRPVSTTLYIQMEYCEKHLTSGKTLRDLIKNGLYDDVDRTWRLFRQILDGLSHIH GHGIIHRDLKPDNIFIDVANNPRIGDFGLATSGQFTTAVRSSTAADFEGDFTRSLGTT YYVAPEMKSGFTGNYNDKVDMYSLGVIFFEMCYPLGTGMERDQTLRAIREKEHTLPPI FRYSEKALQGKIIESLLSHNPSERPSASELLHSGQIPLQVEEETFRRAIMHLLSDPSS PDYKKILSAIFSQSPKKFEDIAWDMDSRGTPAANELLVQGLVKERLTSIFRRHGSVET TRQMLFPRSQHYNNGAVRLLDSTGNVLQLPFDLTLPNARAIPRQDPSLEKTFAFGTVY RETSHGGEPRTHKEVDFDIVSHNTLDLALKEAEVIKVLDEIIEEFPPLRSASMCFLVN HSDLLQLVLEFCRITPSQIPLVKEVISKLNVGKWTMQKIRSELRSPAIGVASTSLDEL ARFDFRDSPKQMQKRLRDIMEGTEFAERLTPIFVRINWLMGYLKSFDVKRKVYVNPLG SLNDKFFRGSILFQCVFDNKRRDVFAAGGRYDSLVQEFRPKVLASRPQTHAVGFNLSW DRLSSAMLEYIKGSSKSHLKHHEVEPAAFWKTRRCDVLVASFDSKILRKKGIEVVQDL WANDISAELAVDASSLEELLTKYKDHNHSWIVIVKQDSQERGFKVRCLVPKEEFDLRS SELIPWLRNEIRARNQREGAVDFRQSRLPSQPDPGIDGERSSDVRILVPQHRSKKTNR RNIVENALFRSREVIEDALNGPIAAIDTRDDLLEAIRDTRLSDPESWRTVIQSAPLTE RKYLSQVHELLVDLAHENHVNDGADNFSNAFIYNYRTGSCVYYDLGRGK AFLA_056730 MAHTTVADPPQSVEPTNSLEETNTPDEHVASEENALAVTQPAES AVAEGAPKKKKIIRKKRRPARIQVDPATVKSEPPPQTGTVFNIWYNKWSGGDREDSYL SKQHAPSRCNISKDSGYTRADKVTGSYFCLFFARGVCHLGPECQYLHRLPTIHDLFSP NVDCFGRDKFSDYRDDMGGVGSFMRQNRTLYVGRIHVTDDIEEVVARHFAEWGEIERI RVLTSRGVAFVTYTTLAQAEFAKEAMAHQSLDNNEILNVRWATVDPNPLAQKREARRL EEQAAEAVRRALPADFVAELEGRDPEARKRKKIEGSFGLQGYEPPDEVWYSRTKQLED AGNGDQGQLEAPNQPLMLENASSASAPPQESESSGIFSSSTVAALRGLAGGNVTTQAA PQASGGPLVGYGSDDESD AFLA_056740 MRCAGRRNPAANSITENKATEYHLGLLKGKLARLRAQLLEPTGG AGGGGAGFDVSKSGDARVALVGFPSVGKSTFLSKITKTKSEAAAYSFTTLTAIPGVLE YGGAEIQILDLPGIIEGAAEGKGRGRQVISAAKTSDLILMVLDATKRAEQRALLEAEL DAVGIRLNKEPPNIYLKQKKAGGVKITFQTPPKYLDEKLIFNVLRDYKMLNCEVLIRD EYATIDDFIDVIMKDHRKYIRCLYVYNKIDSISLDFLNQLAREPHTAVMSCELDLGVQ EVVERIWKELRLIRIYTKRKGEEPDFSEALIVRSNSTIEDVCDNVHRTLKESFKYALV WGASARHIPQRVGLAHIVADEDVVSIVAK AFLA_056750 MFAARLFKAMPARASAFPSVNASIQSRFMATVRNGRVAHERATF TIRDGPIFHGKSFGARSNISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGNYGV PSAEKDQHGLLKYFESPHLQAAGVVVADVAEQYSHWTAVQSLGEWCAREGVPAISGVD TRAIVTYLREQGSSLARITVGEEYDADQDEAFVDPEQIHLVRQVSTKAPFHVSAADPQ CHVAVIDCGVKENILRSLVSRGASITVFPYDYPIHKVAHHFDGVFISNGPGDPTHCQE TAYHLRRLMETSQVPIFGICLGHQLLALAIGARTIKLKYGNRAHNIPALDMSTGRCHI TSQNHGYAVDASTLPSDWKPYFVNLNDSSNEGMIHKTRPIFSTQFHPEAKGGPLDSSY LFDIYLDSVRKYKASQSAFHPTRDSLPSPLLVDLLAKERVGVQPTIGMQNVAAAAAAA AFLA_056760 MIIFKPFLQALVATVLLTLSLGRASLRSPSYQQLIPQSPNHWEE PGCDGSGIVDPDGHCNGDGIIDNPHNPRDKEREGFRFDNPSTNCKYVTQMHIWDSFKD LEKDMNKLFTLIHKNVSFTVVGHHPIAGHYNDLLHFYVNALRRVSVLFMDHADKFQIH PQAIHGGCDSAWSVSEINFKGVMNSGDDFDIVNVWVTRWYQDQMVEIRTYIDAPRIMD ALHKNELWWNGTTFRDNVHYMPGPAGMPDIKELEDLMGYPDGRNYED AFLA_056770 MTLNFKDYSYDGNPHCYICGYTFHMVPYDWRRDPKATSLRKCDD VVLHNAEDVEDLHLFRRKAWWAVHRMNPVRTKCVSEANGMALEQADRRKLKSKRCQSV RKSVRQAGTCKPPVEILYMIANYLPSQAIANMEKAWGFRFGNTFWYSRIPTKVFHEVE DVADEDLDWQRLCLKLKRRLEKSGALNTRRYLLKCLDEILRIVRTLGGS AFLA_056780 MSETVRKRSRIACVSCQSRKRKCTGDQPCSTCCQFGIDCHYDLL SRKKKDARSFRPQQSIPPIASTTSRNEPIPKSHREGTTGDSPDMPVNSLEANSGAAFV RRLGLKIDPANAPRLDLFAWNVGARHPTPSSMPPSSMPRAVSVVDIISLEEMKLLAAT FFEKVDPCYGFIDRDHLFRQLGRRWLPSSSETALPYGPYDAVLCGVAAFGYLFSRRQA PQTELQLAEAARLILDQHMLSETPSSVDIVTGWVLRVAYLRTTAAPDAAWMASCSLMH LIEATGLHLEPSSDTVLGQSAEPCDPEIRRRLFAMARHLNVWISFELGRSRVVLYGAT SLPPTPRSTGEIFNLLPVSESLDPNKAQGSLDLESALENVLDVVHPLASHTLAQCNLM LCIYRRLRGLDSVISGALLDRVLELAKKGLKASRDMIALISPWHQTANVPFQIVCTLL AIDSRASLALLGDAMQTLREVALAYDTDVMREAYSTAYLLILLHQRRKEDDTRTLRGV LRANSAASAPPAEITEPAIESTHSLADYPGFSWLSDLVIDMPGLQNFDLESFMATDNS WPLPEPGM AFLA_056790 MRRRITFVQRPETPFSLDQAVLTPDALALHGIDGAREERATFSV DELPEELSDVLKQCHQLHVRWASERRYDAVAPFSSRVSPGLHVFYTPVDGSSEENKLK SLCALLKRAFDYGLKCKSPETLEESFITPPILSTRFASTAAFQYHSLLPTLDNLVAYI ENKICSSSDEQCLRYAASIRSADSVDINYDSISHSLTVLGYWSQSPENGWTDEIRRHA AGTDQVEVGLLGTEAATEPEDIKMGGLLAVVGKDDQLSMLSSGLPSEFGVAYGYGTDD SWAEPTLFSFPSRHQPLPEDATYSISFTSPTGLHPTMTISMPPSSLNSPPAPPDATCA LHTYLTLPSTIFGDKYQLSTTDPLFLDSHNLVALHAVAGETDLEAPDWFVSRWGSNWL LELATPSESDQVPEEWNVTIPLHLRYLRPSESGYRSASVPWPVVFWACTAEDGTKMGV NPFDRVNLGWEGLFGTRTMFYQLHPSSDRLVEELEVPVLQLDDKGFFQSKAIELGTMI VIGLGSLWVLWKLGAIAWSSGTRPQRKSTKQKKSE AFLA_056800 MRGGPAPPPPGSGAGGAGGSPFDGGMPGGFSFGGMPGGGGARTF RFSTGPGGGSGFQFSSADDIFRNFAKGGGGGMDHDDLFDILGGMGGGGGGAGRSFRSS RGPSAFQQSQRAPTPEPTVVEKELPLTLEELMRGTTKQVTVKSKTFDTSGKRTVQDVT LEANIKPGLRTGSKIKYRGVGDQEEGGRQDVHLIVTEKEHPNFKRQGDNLITTVEISL KEALTGWDRIVRTIDGKSIRVAKPGPTQPGYEERFPGQGMTISKKPSERGDLIVHVNV RFPASLTASQKDILKDVLP AFLA_056810 MPLLKSVINAAGLVGAVAGQYFPPTPEGLKVINSKHQEGVKISY KEPGICETTPGVKSYSGYVHLPPGTLNDVSLDQNYPINTFFWFFESRNDPRNAPLSIW MNGGPGSSSMIGLMQENGPCRVNNDSNTTEINPWSWNNYVNMLYIDQPNQVGFSYDVP TNGTHDLLTGNWDVSGYPDGVPEQNNTFYVGTFPSQNKSTAANTTENAARALWSFAQT WFSEFPEYKPHDDRVSIWTESYGGRYGPSFTAFFQEQNEKIANGSIDIDDAHYIHLDT LGIINGCVDLLVQSPSYPQIAYNNTYGIEAINKTVYDMAMEAWSKPGGCKDQIIECRR LAAEGDPEMYGNNETVNKVCAKANNYCSNQVEGPYSLYSGRGYYDISHFDPDPFPPPY YFGFLNQHWVQGALGVPVNFTESVDSVYNGFSATGDYPRSDVRGYLEDIAYVLDSGIK VALVYGDRDYACPWNGGEEVSLKVEYSDAAKFRSAGYAPLKTNASYVGGLVRQYGNFS FTRVFEAGHEVPAYQPETAYEIFHRALFNRDIATGKVSIAKNNTYSTHGPSSTWNVTN TVPDSPAPTCYILELGSTCTKEQTASVVNGTAVIKNYIVVDADSS AFLA_056820 MFRQYSNFISHKRRRSKSTSRVLSVAQSEAASDAPTVNEPIEIW THSQPIDAATQQQNGCFTTLTARIHKYDHVAQRGSDRFLEDLTATLDSEEAKSKSSYL TSPVGNYASFLYPECMPERLELVAYLTELGNVHDDHADSKNTPAADKPEELVESPRAE ARKKLLEKVTGELTDKDGLEIIDCYRSNWLVTPDVPTADNCANLDDYVARRRLNAGID VYWTLMGFAHGTRLGKEDQAIVRDALDAAERTMFFTNDYYSWPKEKREVKKRRVANVI LFLMQHQNMSEDDARAKTKELILENEKEFVKRREALYQAHPDLAPKLRKWMEVLEAAL GGIHYWCTNAPRYAVPETAEEESEEESDEESSSDEDESEDDEEEEDEEEENDEEEVRA NGTIDHVGGGDEPEGEPVWTPLVNGEEHPAVHLDATPLLAPTNYIGSISTNEVQLELV SALNAWLQVPNRPLTFVKQVVNDLHDSSEILANIQDQSSLQRQKTAAHLVFGPAQSIN SAAYMFVRVAKTVNGLNCPGMLDGLLEELETHFIGQSWELNWRFSLQCPTEQEYLEMV DKKSGSIFRMLVRLMQSASMEGSTLDFELLTQLFGRWYQIRNEYLGLLTGNNQRGFGE DLDHGKISYAVVRCCNVDPTAKSIILGIFRQKAEGASLSAESKIQILELLHKSGALQA TYDLVRQLGRDIMKTVSELEIAAEETNPGLKALVKTLGDIPAPTQE AFLA_056830 MSSLLIRTDTRIQLIEQIINYTFTNPATIHEALRTPGSMAILTP HRLDGHKDLAQLGDAALRLVLAKDGYQAHATRGQIDDTHSGKASNAFLARTGFQKGLD RYIYVNPSQGGIVSDKVMATTVEAILGAVYIDSGEDIPAVRSVVAKLGLSWPA AFLA_056840 MAPSFWEKTKGTSKKGFDKAWHTLDKLGDPVNRLSNRVGAEAFW PMTLDRESDKAARILRSFCKDGFYADEESQKQSTDGAKSGKIDRPKGKQRVLKKIPTE VIKRAKGLAIFTTMRTGLWLSGSGGSGVLLARIPETGEWSPPSGIMLHTAGIGFLAGV DIYDCVVVINTYEALEAFKKVRCTLGGEVSASAGPVGMGGVLDSEVHKRQAPIWTYMK SRGLYAGVQVDGTIIIERTDENERFYGERISVTDILAGKAKRPPTSIKTLIQTVKAAQ GDKDVDESLVPAAGAPTPGDVEVVPASGSSSPPSKFGIPAADDPDPFGVKALEAEGLF IREAGTKTRPHVDSFEFRPSPNSPIYSTFRHSVDSSPRNSWRTSVQSYASIDRGTQTD EPPLTARTSISRASSRSNRDFTERAEKSPWDLEERGWDTIIPEHPTDHSHHATHDGDD DLVDDDVEIHEVSNATVTKCDGFPSSPIEDLTSTSPKRQSPTFTRARLVTIPKRAPPA LPPRNPYRGYGSMSTPVSPTAGPPSPSKRSVVSSLGLAELNSFAELPLDVKNDASSVS SAEASHLQHNGNIDKDEFHSISTVGTSDSASDGGFSAKDTSVTGTSPSKTEDTYHTFD DITDNTLSKLQHRHPSDVAKPTATELEHSTTITEHDYKPAMHSSLA AFLA_056850 MASGLRILVPVKRVIDYAIKPRINKAQTGVETAGVKHSLNPFDE LSIEEAVRLRERKGPLKVENILALSAGGAKCADTLRTAMAMGADRAFHVDVPDSNDGG LEPLTVAKMLKEVVNKENINLVLLGKQAIDGDQGQTGQMLAGLLGWPQATQASKVDIK DEQGTVEVTHEVDGGVETLRAKLPLIITTDLRLNEPRYATLPNIMKAKKKPLEKKTLA DFGVEDKKRLKTLKVTEPPARQGGGKVEDVDGLIGKLKELGAL AFLA_056860 MQRLRHDVIPSRQRKPQKWRGYQTPIQDEQRGKSTCIMSEDQGS GPRKRSALILYGSETGNAQEVAEELGSLAERLHFMTQVSEMNHVKPEKLSSYTIVVFA ISTTGQGDLPANARTFWRSLLLKRLPATFLEGVSFTSFGLGDSSYPKFNWAARKLCKR LVQLGANETYLSGEADQQHPEGLEGTFIPWITDFRKHLLDTYPLPEGQHPIPDDVQLP PKWVLQLQDQTSKSNTDPAVPRTENQSTEDSNSRLEHDLRPIPDTLTATLIQNKRVTP AKHWQDVRHVSLTVPDSVTYVPGDMISIMPKNFTEDVQALIQMMGWEEQADQLVSLVP ANSQHSAEDLPLPPIPNLESYSKLTLRAIIMDYLDIRAIPRRRFFSEISHYTNDEMQK ERLLEFTNPEFLDELWDYTSRPRRSILEVLHEFDTVKIPWQHATSVFPVLRGRQFSIA SGGELKRTTEGGAKFELLIAIVKYKTIIKRIREGVCTKYISALQPGSTLKVHLQRGGL NSSLGQLSGPTVLVGPGTGVAPLRSMIWEKAAFVKAYKEENGGAEPTIGPTILLFGGR NRNADFFFDEEWQQLSKLVKLEVFAAFSRDQKQKVYVQDVIRDNFGLFFRLLHEMGGS VYVCGSSGRMPQAVREALIEAFQNGGETDAERFSREEAEEYLLGMEKSGRYKQETW AFLA_056870 MQITKSLIATTVALYTSVVLGESVHIAYTSNGDFYQEKIEAEKL TKLEHPGVLTDIQNTANCVLWRHHPNHPPDYQAKRGSNLVIPPQQLDYIYCYEPSGAW VNYVW AFLA_056880 MSRFGAKKGRKLPGAEFTWDNDPNGEPDTAPTPLYPKYTVPQAR PLSEREQKQVDLYRALREQFHDGPYYSVLDPGLSGRNSKAARQHFDPFHGMPSYSGKY QKKKRAIPKLSGRPYIMKFFPRDDLWEVIQPNFKAGAAVDGFVARMVRPPLKRGFEDD DEEDEDIGKRRKTGDEDDEGGENDDLLEPDDEQAEEEIMDDDFEDDDDEMGGDYNAEQ YFDGGDDEYGDDGFGDGGGGGDEDTY AFLA_056890 MAIPSTYNEVKLHLQQVQRDPSVRLDIPIIDKLKLQLTESTDSS VPATLLPLVSQLLPVLQEDPTPITTLAIKATAYTSFADLRSVDPPIDFIAGFKAPSPP INSLALALLSKAGQTPSDAAIVGGDSELVASLVELWLSTSSTAVAQAAFDAIWALLEV DLTSPLENGEGSNEGQEATGGQGLVWRRVFTDRDVYGRLFSLCSLTENGPGSLTKRDK TVAQGRLMGFLAKAGNLRWDIISSSQISDIETRYNSSSLLHFAACEMVDKTDVLMHMT LLNFFHDLLEIQAPGLIARSFVQAASTFSSPALDFLISQNIHSSLLSYYLDESKLDPV DLNYLCGPIMAYVAQYAELYPNHFLQNPKHLLDRILSRISASVTISSAQWAHGPVPSG QLNVLSCIPRVLLVEATKQGLNPVLALPTSPPNKEALDVLSRILHGPSKLYLPDTMEL NTSGQTATDWHKEAAAARILYFMYLNQHSTFWTDVVAAADILAMKDVSLAAISFMRSI ITANWEKLSVEVTSSVPGTSRYQLPSEQGLGSLSPASQGVLPSSGAWAALTPPALTVL LPYLFKAPRSYAEFVAGGAGDSQNAVWKVATAKYEVLVALHNHLKETGGDMAGFEDIM RTLQQRVSEGPWGPVTHGGSQVETVGL AFLA_056900 MTERLSSLVSQKSSDSGLHIQLHPLILLTISDHITRHAARSQQG PILGALLGQQNGREITLEHAFECIVKEGPNGEPQLPNEWFNERVKQCPSYLLHPLTTG VTVKDVHKVPALDIVGWWSTAPPSGPDVTHLPIHRQILQDYNESAVFLAFHPSQVKGA SANGGKLPLTVYESVYEGENAAESEKTMQVDGEEQSLSIRFRELPYFVETGEAEMIGI DTVARTARNAAVEGPSALSSAKEVLKKKTDNKEQSADTAVLSPEDEEQSEGGNQKNTT PSTATLSHPILRNINSLLSHLSLLSPQEQSAFSAEVLAQSNDVHLVALLGQLSSSINS MRELGKRTAILTNVRRSNTSRKTQMSLQNRFEEELFSRDGTTHG AFLA_056910 MCHATHYSKPIEQRHIIRILLMVPVYSLVAWLSIYFYQKSVYFS VIGDCYEAFTISAFFALLCHYIAPDLRSQKEYFRGIDPKPWVWPLTWFKRCCGGERGI WRTPRSGLTWFNVVWVSVFQYCLLRVLMTIVAVITQHFDVYCESSLNPAFSHIWVMAV ECIAVTIAMYCLIQFYIQIKDDISQYNPFMKILSIKLVIFLSFWQSICISFLFSAGAI KATKKIAEQDLKVGLPNLLISIEMAIFAFLHLWAFSWKPYSIGNTAVEVTDFYGNGKA TYQGGRWGMKAFIDCLNPWDLVKAISRSIRWLFVGRKKRMLDPSYRTHNEAIDLDGAG GTNATAYQGAGAMMNSGRTGRYTPDEEGQVLLSNAQPDPTARPEGDVGLNPPPYDDVD HGHYYPSHNRLSNPALLDPETHSPRPYSPYDNPFSNPYIVPSDSESDHHHTSTTAHHN APYPPDALQEQPPMPMPESYHPPSHGGGRTTRDV AFLA_056920 MTDYSTWKVTELKAELKRRGIAQTGLRVKQQIIDRLVEEDAKGD ESPVANEEPAATQDAIEELQPAEGKQPTPPPVDAAAESHDPNPAEQVQEQHHDQEVPG IDAGETQAEKMTTDVTQDDDAPTEKNQQQPSKSEPAEPAEAQPETGTPTQPIEQAPGD TEEKEPTPAREASTGQTDSAEKVAPAAAPPSELATGLSTPLPPEELIEDSRKRKRRSQ SPVPTPDALANKKAKLPAEAPRVLLPEDRGAMDIDGDTKADEVVSVSQETPEEHVHAD LPDEQASVPRDDPRSPSSPDNSRSKKSTAPKHDVRFKRLFAAGETEQTRPASPPADTV TEDAEVEPALHVATAALYVGGLMRPLQPAALKSHLISVASPPGASPDPDVVVNFYLDS IKTHCFVSFTNVTAASRARAALHNTVWPNERNRKTLFVDFIPEHKLQLWIDTEENSRG RSGPPARWEVKYDRTDDGVEAVLEEIGPKNAGSRQAHGPAPGDFSRPPPLGPRADMEK KDRRPSGPSKVEPSSRPGQGFKPLDELFMSTTTKPKLYYLPVPRDVADRRLDRFDDLL RKGSYPRPGGDETRRISFEDGDLFVDNGPEFAGRNRRRGGRGRGRGGFGDSWRGDRRG RH AFLA_056930 MAIKAAQNNQAIYFRNLHTPGHPILLTNVYDPATASLIANHPST KAIATASYAIAASQGIPDDALTLPQNLAAVRSIAATLKPNNPSGNKVSDEVATKLPLT VDIQDGYADVAETIREIINLGAVGCNLEDLDGTTGQLRPLAEAVARIELAVRTAAELG VPDFVVNARTDVLGANPGGKPGSIQDAIERGRAFLRAGACTVFVWGGAGGRGVSREEI KELVETFQGKLNVKLVLRDGFLTVPEVKELGVARISLGPELYRAAMSGFMEKADAVLA SYS AFLA_056940 MGTHQPLPSFLPVDNPTQPFWRTEPHPLDELRSTEALPGQSDIV IIGAGYSGVSIAYHLLKHLDGHNKPHPAITILEARQICSGATGRNGGHLRPDLYDRIP TYIRRYGVDAAAEVANFELSHVKAFKNLLAEENIDCDFNITRCLSVYLDEAAGEKARK KYKELVSRGLAFADDIHYTPPKNAEGVSGIKGAKACLSYTSGTLWPYKLILGLLSKVA DSPAVNVQAFTPVTSVVSDSSGHIIHTPRGSVRTSKVVYASNAYTSGLLPEYSASIVP CRGICCHIGIPEGKTAPFLPYSYGIGTKTGESGGSYLISRPDGSIIVGGAQRTFIDRK DQWYAVIDDSTLIEPTKDYYNDFMQRTFKGWEDSGAYVKEIWTGIMGYSYDTSPHVGE VPDKPGQYICAGFDGHGMPVIFLAAKGLADMIHHGKSFEDVHLPRLYKSTAVRITNAQ EGPEGGDIFSLN AFLA_056950 MTYENEQLLNPVERLDSQPENPFSNLITDQLFVSIPSFTLESGV TLYNVPVAYTTRGQLSETGDNALVICHALSGSADVADWWGPLLGGPGQAFDTSRFFVI CLNSLGSPYGSASAVTYKDGNPEKGLYGPEFPLTTVRDDVRIHKIVLDYLGVRQIAAV VGGSMGGMLTLEYAYFGKDYVRAIVPIATSPRHSAWCISWGEAQRQSIYSDPKYEDGY YSFDDPPATGLGAARMSALLTYRSRNSFESRFGRNVPDPTKQQNIKGTEKLPTPPNEH WAIHNDGHKGGRSTPSGRNSPTSPTPQQTEVQYMDPQFSGTKTFSKTIDSALKSGQKR PPTYFSAQSYLRYQGEKFVKRFDANCYIAITRKLDTHDVSRQRASPTSSDPVREALAQ IQQPALVLGIESDGLFTFEEQKEIAAGIPDSRLKRIESPEGHDAFLLQFEQVNRYILE FFREVLPDIMSKAPADGAVEVDGVNKLTKSSTFGEAEVEDITAW AFLA_056960 MGSERRDQYSAAQDRTVPQIDSKYFSPKVGAEVAALKDKFDSGV GLTQQPSRQTMRLLKSSHSDARPDSSERAPGSLLGQVRGTTSGSDASNAEDPNSQSLV KESRQGSKQSMMGNPIGDAQTKDQDTMSFLAGTCNSGPSSDEALDDMEVFLNPDVGLD SNGIPSFTSPSTFLSSTSPSFGVSSGLPQSRQPELIAQSRFLGDSPGTKAGLDPSQSG NGESEPGVIIDGNGFAHILTVAEEAQRNLNLQQAVMAKMKANAVESNSNALPQTPKQV LLRQEPQSEHQPIPSRLQNSWSHKSKATTLNWKSRTETPASSNNKPTFFQKIAGLFKT RRAPGQGNHALVEQPFGLAH AFLA_056970 MEQDAKRVIVTAVRPINEDIGMLRGFPLLVYVSSVHESMPYPSL QTITARAIGRSQGSLPFLCMGSWNATLDMRHRITPLVDKTQKDD AFLA_056980 MQPSANMIHPDGPVVISIPESAKIHIVGKTNADFRQRVTVEEIG KDKYIFEGSGEGKPMTLAGGNESVDLEPIKGTGFRTWKIDFQNSSSGAEDSFRMSKVL RPVYNTVYDENYKVRKMEWEIASEDNIDDDYNDAIITVSADI AFLA_056990 MRRKVRRSVTHLTVFGCILFLILYLNCSPSLSPLKKNPQTYPWT RVTYQTNSEVLPPARGICPGLKESSKPALVISRVEADGDPSWLDVLDKKYHLCVYTVD APENPKSQYLQVPLNRGHEAMTYLTFIIDNYDQIPTRGAVFAHGSRFAWHNDHQTYDN ADLLAALNIPAALEPWGYHNLRCDWSLSTCPSNVPPQGGLENAFTAAFQPWSARAVSD VALPKALDALFGTGAGSQAKLGRTHTVRSQCCAQFVVARDNIRRHSREEYVALRQWLL DAGTHRNAASLDDRTSGRVLSYIWHILFINQNPVAGVSEGVDLEALNHQACPSAKDCY CRLYGRCDLDRCVSGSCFGQYRLPKNLRLPDDWADTH AFLA_057000 MSFIDADPEKQGSNDNVASTGSSENVIPKEASLRPIHGWKWAIA YASMISTTFLFALDNTIVADIQPVILDLFGEVSLLPWIGVGFALGTMCVLPWGKVYGV FNVKYVYLFNITLFEIGSAVCGAAPNMTALVVGRVIAGVGGSGMYSGTLSFVAMLTSL KERPIYMAGSTVIWGIGSVLGPVVGGAFADSSATWRWAFYINLPIGAVFAPSYLLLVP SLDPQPSKSWAEKCRMIDWIMTTMFLAGSASLVMAITFGGTLYAWSSGNEIALWVVAG VLLVVCIVLAKYHPGVDKDNRLYPAHFFKRPILVNLQLQMFLVSGIVLAMTYYIPLFF QFIRGDGPLDAGVRLLPFIISMVVFAMGNGALMPKLPYILPWHLFGSALVVAGTALLY TADLSTSNAKIYGYCILVGAGSGCYAVAGFAVVQSLVPVKDISNAVGAMAISQDLGMV IFLAMAGSIYQNLALQKVTQAMPTLSAADITNLVAGTSSHTYKALSGEERDLVTPQIT DAMRDVWLFFLVAGVVSFVLTLGLGVSYLLLSVFGIRKGIYGLTGWFCRGLG AFLA_057010 MLHRAADGSDSSAASMEDRGGDQRKSQSSSITKRERAPQTSGRL ACDISSTTTTARPITPQVDLSWPLMTPSERLSDHERLKQRLQSHVTPPMGRAFEGFDM LANLTEDIPDFWEVMDPALTPDALPILPGDTPHLPTPFESGYLTTGSGGSVAPAFESS LFASTHGLEEPISPSDLAALHHKYFDVFYPVLPILNQSRFHREWTHDPDSPRIRGLSY AVALIGATIAPEYAHLQSSCYSNARKYIELCERDDDETYIMSLNSFQALLFIVRYELT KKHFVRAWMTLGRAVTLAQILNLHRIDSGDTARQQRTGSQQDATETGLACDTLDPASL EETRRSFWSLYIFESYGSVRIGRPCTLEEDNLCIFLPSPGELSETFLPSPMPFISDST KLTGVGYLTSYAAVTIMVKLARLCFEHVSILSRSASDSGFWDRHYRLVKTINDYTAIF QRYLTAKAVREDPLAFSLHLNLCATHINLHEAAIRKVEEQDLPKLVAAESRKCSTAAA FKILGAIRMNWPVQRSERDHFTLQATFIGWPISMSLIALSRSLANGDTTPIGIVDSLR LLCAALDHVEEADGYWHQASRAAVAALAKWDEQQHESRPGE AFLA_057020 MAACLARGVTHIHNAAREPEVGDLINFLVLMGVDIHGAGTDQLV IHGRHIYTYNAIVAQCPPVPGLRPHDMHSISNDRFSFLLLCQPTFISYIAYCKLPKDK QCVWPNRVRFTDDDMEALARKLADYPICESVVFGELWRTRTKAQLISLEERALDHWFF GRTVMAGDAIHKGTTNSALGGCTAMEDGVAITNQLHQLLNRHRNKKPSTVEISAAMQE YQDSRLDRVKTIVKAGGDLTRLQAFDGWYFYIMQRWLTPWIGLDTLAINIAKLAGAGT KLSFVDFPEQKGLLGWQDTIAVEARKNEQRKLPKRWWYWTGDLQQIWPLLVGFFLCFS STLLWFLPRDPHHVWSGIEAAH AFLA_057030 MPRLDVEKTIEELSLGEKVALTAGKSKTHDRKRKEMLRIPGIDF WHTASVPRLNIPTLRMSDGPNGVRGTRFFNGVPAACFPCATALGATWDTELLHEIGQL MGEESIAKGSHIILGPTINTQRSPLGGRGFESFAEDGVLSGLLAGYISKGIQEKGVAA TLKHFVCNDQEHQRMAVDSIVTQRALREIYLLPFQLAMRICRTACVMTAYNKVNGTHV SQNKEIITDILRKEWGWDGLVMSDWFGTYSTSDAINAGLDLEMPGKTRWRGTALAHAV SSNEVAEFVMDERVRNVLNLVNFVDGLNIPENAPEKALNRPQDQALLRRAAAESVVLM KNEEDILPLKKEKSILVIGPNSKVAAYCGGGSASLDAYYTVNPFEGVSAQSKGEVKFS QGVYSHKDLPLLGPLLKTADGKTGFSFKVYNEHPSESNRELIEQLHLVSSSGFLMDYV NPKIKSLTYYVDMEGLFTPEEDGVYDFGVTVVGTGQLFIDGELVVDNTKNQRQGSAFF GSATVEEKGSKELKAGQTYKVLFQFGTAPTSDLDTRGVVVFGPGGFRFGASRRVGQEE LISNAVKLASEAEQVVVFAGLTSEWETEGYDRDHMDLPPGSDEMISRVLDVNPNAVVV IQSGTPVTMPWANKTKALLHAWFGGNECGNGIADVLYGDVNPSGKLPITFPVRLQDNP SYVNFRSERGRVLYGEDVYVGYRYYEKVDLAPLFPFGHGLSYTTFTRSDLTLTTTPEK PQYEESGEPITATVTVTNTGKVAGAEIVQLWVAPPATEVNRPVRELKGFTKVFLQPGE QKKVEIVVEKKLATSWFDEMREKWASEKGEYGVLVTGTGEGVLKSSFKVEKTRYWLGL AFLA_057040 MTTSGSMRIVGEMKTRWVVALDLEAATLPHEEPHLRHILGADRG YMKMSDRKYGFISTYEGTIFLKQDFKMGSWTLFHGHAIRHSTKEQEVLDFGDKFSLRE CFWFLIGCDLEDDIAGNSLLLRE AFLA_057050 MKVFAPLLSLGLATSVAGHGYMYIPSSRTRLGHEAGVDSCPECA ILEPVSSWPDLDAAPVGRSGPCGYNARDSIDYNQPTTNWGSDAVQSYSPGEEIEVQWC VDHNGDHGGMFTYRICQDQSIVDKFLDPSYLPTNDEKQAAEDCFDAGLLPCTDVSGQE CGYSADCTEGEACWRNDWFTCNGFEASDRPKCQGVDNAELNSCYTSIAGGYTVTKKVK LPEYTSNHTLISFKWNSFQTGQIYLSCADIAIQ AFLA_057060 MTHRPFFDGWVGKIIDFPQLGTMSRWRLVTKLGDLNDQESALDY LEWQAPSGAYGTFRCRNVDHPDELAVVKIFVQSVLRLHEHMTGSTQLASYIRIPYAGS DFAIHDERARQATDSLTPFGRDQINALTTLTENNCSSTPTLVAKREFKQDSTGPVPGG FMVYLLMQHHPGVQLNKRVFWNLEPSERQQMREAFKEALTECIDCGIWPTLSKLHWDK SLGKV AFLA_057070 MLGSSLSTTKLPFFRDLNQLPCPLPTTEDIEAGTILPTKSERSS GDHGHVAVVGDHFVVKYGQFILENEGHALLLLEKYPSILVPRLYAMYRKDDILYLVMQ LLPGADLSKLWGELSCNEKASICDQLKEAFAQIRTIPSPGYFGSVTGGPVQHRFFGWV ESDPRIMGPFETLEDFHLDMALVSQRQEKRNDRHPWGAEWFARHLPQALKDHLSTFTH CDLVKQNIMVQELPQTDRHTDRKFKVTGIIDWELPGWYPRYWEYAAFFADFLWEGERG KMFETFIDPWPLEAALLGLFKHDLEGY AFLA_057080 MSFSPPDSYLQISQILRDPNNDCNTIPINELQFALPFCLTPPDS ELDQSVSSVVNVGEKQQLPEWIQTEPGWLDSVEASYQNQEACAGNVTHSYSHYQIDDR ASSASAVNIQQELYPVPTPQKQSTGRRIRRQNHSCDPCRLAKRGCDLPRGVAICGDKP TVACTMCSLRSMECTVAWLASRKPSRQIQRRAETSPRSPVGYKAPTSQWNPVEDVSQM EGLTLIPRAEWDQAKQLEARERCLQHLYLYIDVFDMQITACLSEGCMPPCYSLGIEAL VPLSNSADVSPYVERVRSSINNSWYMDLTAWNSTSATPNLYLAVSLLDALFQYPGGQK SPSSSNTRDKAIDETYKWVAIATATQFTVDENNRTGTPKSHSRARDIAFATWQKAREM LFRNIGATGSFRLALSLLLFGGILPPTGLEQREICAEDTTYAHREGARRLRALCSNAR IYLQENRGHGGHPLSSLNTVGAATGRRKSHTVQAFSSEARQYIQEVVGAIEWFFWMSH SVTIAISRERTDPTNLELQYGSIKELALRGPAQPGNLDELKTRRHEREIEDSILARAR PEKHNVTTLWSQNVSCDVVDRAVTNAGSLAVLLWRSVALLTLASQDMLMGMGDEESFQ RQYTATTELIDSWREAFGPITSTTIIGLQASRADIQRRVLFCATDGDLAILLFDELIR ELEVGLKESLPAGDSFYTILRSTSTYRQEERLESAMNISYLASLSIKVPSPGFQGDHG LKANVQDIAAHPQPALVVKAYTLAAKALADEIQRVMAKMETGSVYTLTNGLNNCLQGL LALEKTLVMFPNRDDDSAKAPLE AFLA_057090 MLANPDHKGAWRSKYLEHGARCSNREFKVRLYLGTRGHRGNIKI HYPKAHESRCPLLINTGTLTLLLEYQRVPSLAAIMSDRPSSKRHAFFEPNLEISPQDI DYFASLPFASPYLNSPFYEPVPFITRYDTKATSNKFFSKVINTAETIPHLLALVRVPD SKPKQTTDQRDNAHPGFVVFVSLGPDLCGFQDTVHGGVLAALLDEALGLCAESTELVS KGHTRLYTAGLEISYRSPVPVPSVVMIKTWVTKRQGRKWFLEAQVLDQEGAVKVEAKT LYISSRVDAGL AFLA_057100 MHTNSTGSKPVVFIGAADAICGEAIRLFVQASDVPVILADSDED ALRAVVAKLPGKNITIRKVDLFNPDELRRTITEAALVIQGAQPYHRTSVPVLTACIDA KVPYLDYSDDVNSTQASLDLHEQAEREGVPCYINCGSSPGMTNLIAIDIAKELDTVES LDICWLVSEEGGQLGREVLEHLMHITGGPCLTWADGKAAVHENWVETAFAPIITGSSD LFYESVHPEPVTLPRRLKDITRIRTMGALSPAPFNGFARGLGAAVHSGTLSMDAAVDF LEGMQRKPSSSWSETIGAMAVQFRGGDITLNQLYQLATHGIASLKPWNLALWGMIDQV RKGQCTSGEALGFLINSARGKQSPHRSGILVRGVGTRNGYPAITIRRTPVVREDSFMG ESMATSIGASCAAFALMVLDLGAQKRPGVQCPEDWAKLETFIKSMERLGCPRDQVLES VEG AFLA_057110 MAENQIPHELLAKLAGQIIQDPGIPVAAPTVSAWQEPAHPIATI QSDKLPQRTDFAIIGSGITGTSVAKTLLENELARDKTITMFEARSLTTGATSRNGGFL LSHAPPFFKRYAEALGIDAARDIALFCDRTLESIVDMAKAENLDKASQIRDVTTIASF EHQEGFAEVTESIRMYEEAIPEAKGKYTIIDKDTAEKEYHLRKSSGALVVQSRVFWPY RLVTNLLQRLLQLYPEQFAIETQTPVISITIDEADTEYPYILTTPRGTVRAAKVFHCT SGFTGHLLPKLRGAIFPCRLSMTTQKPGPQWGNRPNSWLFHTKQSYDPNTTLVEQGLY WMQQNAETGDLFFGGDLQRLDDFLSSDDSVISADSARNLTDLLPKRLFKEGWTNPITN TTMTSATALHRIWSGILSMTADQVPIVGSVPTSISGRNVEGGEWIAAGFNGYGMSQCW LCGEAIARMALGEQKPEWLPDVYLSTERRLGDVSMGSEAALASFFAR AFLA_057120 MSERNDSLDYDVIIIGAGISGINFAYRLQESHPDLTYCILEERH EIGGTWSLFQYPGIRSDSDLFTFGFAWRPWTQKHSIAHGSLIREYLQESAEQEGIDQK IKFRHRVEKMDWSTCKKAWTVHVSTDGEATVTLRTRFIMMATGYYDYHEPLETEIPGI NRFQGTVIHPQFWPSNLDYTNKDIVIIGSGATAITLLPSLAKKASSVTMLQRSPSYVL SVPREDTTEKVIRWICPQKLAASLIRFKWIIVPLVLVNFCRWFPNLAKRLCLDITRKE LPREVPLDPHFTPRYNPWEQRMCMCPDGDFFECLRNGSGSVVTGVIESVTEKSIRLQS GAELNTDIIVTATGLKMNIAGGIQITVDGDWFSIPDHFMWKCTMVDRLPNVVFALGYV DASWTLGADATAQLTCRILTQMREEGLSIVSPRCGDEERNGMQELPFLNLNATYVRRG GSAFPKVGDRPQWEPRSYYWKDLVRAWWGDIRTSLEWSE AFLA_057130 MAQHNLPRDHSDTRGRGRRQNNRGGRNNFGRRPSNDNQNQAGGR KDTAMSPPNTTPAKEAPDQNQTNGAPLAMNQSQPPPSPSPAPSQSQPHPPPTPQPQML HVPPIQPAAPPPPFDYEYVSDSVVEAWSSTGKQKLVEDGVHAKNEQDIAKLAAIYQEV IRAAFYGRLSPTDAGVVVRDIIGEDVAAEDIDMDSEGQTTTLLDTRSLFLDTLSIVTD SDTTNPALKPLVFSTGINAALMRLQLDTPLLQSLGLVRDTFARMGIRKQTNLLYRQSN YNLLREESEGYSKLLTELFTTSNNEPPSSEVVEDTFERVKAMIGAFDMDVGRVLDVTL DVFAAVLVKQYRFFVKLLRASSWWPKEDAFRGSDGDNRDSGLPNWALPGSAGWVTTDE ERAELVHTNQHRDREFWKRVKEIGIRAFFEIGRKPVSEEELKRMLPESNNLSEEEAGT RKWIEETGTLPPKGNRVAAQLLGFKLRFYSSRARSKSDILPDNLIYLAALLIKVGFIS LRDLYPHLWRPDDSMDLLKEEKMKEKAERERAARPGGGVNALMMAGALSDDTLPTPTP RIRESETRSATPGKDQEAEKSTPVKTEEDELPEPSDQKVMLLKSLLAIGALPESLFIL SKFPWLMDGYPELPEFIHRILHHCLSKVYASLRPLPSAEELREQKQILSSDQSGIPKG QIRLTQAPPRRVLRWAQLDKEDTNDGTDYRFYWDDWADNVPLCQNIDDVFALCSSFLN LSGHKIGQDASLLTKIARIGKDSLSKDDSPENRARWKDLCKRLLVPAISLTKANPGVV NEVFDLVSFFPRETRYNMYAEWYFGQTSRLPDVKSAFDQARAETKDVLKRLSKTNIRP MARALAKIAYANPGIVINVAISQIESYENLIEVVVECARYFTNLGYDILTWALINSLG QKGRSRVQEGGLLTSRWLNALSTFAGRTFKRYSVMDPTPVLQYVVEQLRHNNSTDLIV LEQMISSMAGIITDTNFNDNQIQAMAGGEVLQAQTILQLLDRRHESKTTSRRLMKALT VSKLAGQLLIAIAQERWTCIFQESEGSDELKLLGNVFDEIHRVLAQYLDLLRSNMSVE EFDSFVPDLSSLISEFGVQPEIAFWIRRPSVAKKISESDRATTEEEAGVAKSGEVEQQ SPPKDEADKMETDEGEAIAQDSGQTAESAMDVDKDQSEKPPDTDAVNKTTEQAIATAS VTAESPLNPVMQDLQDQVKSALPSETWGVVGLHFYVTFWQLSLYDVHVPQKAYEDEID RQKKKVIAIGNDRSDISMAGSQRKEREKKQITQLQDRILEENKAHLKSYGQTRTKLQK EKDRWFAGMRGKHDALNVALLEQCFMPRLLLSPIDAFYCFKLLKFLHTSGTPNFRTVG LLDQLFREQRLTALIFQCTSREADNLGRFLNEVIRDLGRWHADKAVYEKEAFGTKRDL PGFAMLVDPEGKPTTFLEYEDFRRLLYKWHRLLAAALKTCLNGGEYMHIRNAISVLKG VVQNFPAVNWIGRDMLTSVNNLSQNDERDDVKIPAASLIGDLNRREKKWMLPQAFMIT SQPAAGKGNPSKSEAADKNANGKTLPSRPQSSTPGLNASAAEFKPTTEPSKIEPTKTE VEDGEIEDAKMTDAASKNTIDTDKLGQKSSEQADTTASTPAEPIASQTQQASGAESTT NEKPATPAVEPRTTGQPAPASPAPTASQAPPKGPEPGRQANIPRRPDPERAPPSNQNV RSQTHTPNRPYREDGRLPPRPDLLDDRRDRHSDYPRGGRYGGEHDYNRSFEQPVGDGR GYGRLDREYPLRPSMDESFRGPPYREGRLPREPEWPDRSGRLRPSDAREAPPVARSGP PTHPDRAEMIHDHPDREGYRRGEALRQEKDDRRPLPSRTLSPPRVELPNRPERFPDER RSGNFGQAHSRHEDLPTGPRSERVGRPPMDGHDSRDSDLSHGRLRQPEPSAEIPSGPR MRNAPGRGGRGGPGPSNGPNAPNERQPPTGPGRQGMRSGPDQSASAVPSSPGPERLDT TGIHPDRLKNLQPQPTEGSFGGPMRSSHPSSPASVVPPSGPRSALGPPQGPSSLNRGP PQGPGYGGERGRGDKRFAGLNNMLQQSGGPAERAGPGPGPTIRGRGANRQPAGMNASQ APDEGGRLGPPQNRPDLMAGRPSTSFPEDDGQPRNRPSGPRGEPVEESGPESRRSGRY SGHDRDRERERDRDRDRDRDRERERRTGEEEGTRSSSRREEQRDRTRDYERERSRRSD AGAGNTREERYESREASRRGAGSRDENRRRRDREDASDAQSQEHEGRLRPPSSLGGHP PPPPPPPPLPGSAEEDRRWGGGREPRDRDRDRNRDRQRDRDYNRDGGSGGGGSHRKRG RPGGDDSGHGDGGGRGGMRMGGESKRPRRGA AFLA_057140 MAPPKVFLTGATGYIGGDVFYAVHQAHPDWQYSLLVRSKDKAAQ VTSKYPNVRIVLGDLDSSNIIEEEVKNADIVLHCADCDHVASAEAIAKGAAHHTPENP VWVIHTSGTGILTVEDFRTNTWGFYRSKEHNDWEGVDELLNLPDDSFHRNVDKIIIEA SQRNPESVKTAIVCPPTIYGPGRGPGNQKSVQAYWLAAAVLKRKKGFLVGEGKNIWHQ VHVQDLSDVYGALADAAAAGGGKATWNDKGYYLAENGQFVWGDIQREVARVAYEKKLI PSPDVESLPDAQVSELNEFGLYAWGSSSRGHALRARKLFGWSPSKPSLKELIPEIVDL EAKNLGLL AFLA_057150 MSSFAESSRDVRIENRGPETWLVCEAQAEDGSWQPAEINLDDVI GNDDGWFSRDTTGFTQSADDITFEFRGSEPWLEAELPTRDGGSRGRQGINLGLHITNN DGNLEWYVSIEIYHPGH AFLA_057160 MWVTSRKQVSGGNVFFLVCNRDWELACHTNQRTMHANNGNRLWL PDIGNISFL AFLA_057170 MKEAVEKIEKHSEQADKFYNEVNNGEHKYRRFAILQAYTTLPNF NQSKHFKPYDYRQAEEKSRQLFEDFTLYQSYDTLLTNIRKDRFSGGGAVKEELESKRT DEIEKIRRKVEDIAADPAKELSIGFNYLRAEEFYVMVILHSLSFRQGPRSSSSSKRMT FQMSWESRLYPLGEVLLTEIPSVALLVST AFLA_057180 MSLRKALGCIIYAAVWLSSIDFATGFPTQLDNFGVNLDHRGQSV ENGVNGVVSHQSEEPYSTRDSVLKHEDDSAVESLTESMEDWASDRSLSQLTATDTGSD SSQLYLGPHDPFEPKEQLDGYNAGRKPVFAIAHRVLTIQGMKDAVAHGANALEIDMRG WSSWGRKGWYCDHDGTITSPGDKAEDMFRAIQDQRRNGKTINFVWLDLKKPDEYKAGE NAIERLRDMARKYLQPWGVRVLYGFYRSHVDGRAFGVIRDNHNYLEAVSINDKAANVH KSFQQYGAKIQNTKRVADYGYFNLGF AFLA_057190 MYREEKLTNAQMKLTAIATLAYGISTASAYALYGGYERMFYYYG YMIDADVNGQPKKVAPSCKQTGKCTFNEFIKYINDLSKPVSVTSDELPEVHTTAQKLD TLQLTGAYKVGKIWPKASTIPALFDQISRYIKEVRDRVKRKESIEFARASIESVCFLR KFARSEALRPYLEGKKVTPVIKKEVFNGKYYDLVDEAATIKKISQAKKMIQDFDKADP SHNDNIKASCDAAARLHGG AFLA_057200 MASQIERRLSHIEYSDKICIEAIRGERLPEALGLPVVQHSVIRG IRYHDGFAEELMGSLPAFTRALCARSIMSNRVPQINQPEDIPYCIWHPDVATEATYRE VARRYPQMKYQVGRACAVAGYFNLYMELNLLPEVHIADEARENGHSDIYEDIMASPVK YEVMNDYFRTINADQPKVAHLNGNTAVRPYLEVKRKFRQTDEPFDVKGTASKGHYFDI TEDNGVDEFDTKSLPSDGAAVTQYLYSPLPRDLPLINKDVLILTAAYYGDIDRYARLC RPMTVPTEIHCIVRGIYHNTMFAKWWSLQPDISDYRIQRAIYARFIMDNDISRITPET PCNELPYLIWYPAIAHGRVYQELVRRKPSMKAAVARACIVADYRDVYDKLDVDPDVDL LAEAKVSPNPYYLQDLRNKTERRGGVPDEWPKWNPCYTRDRLFEHTTTRLLGDVSNSI ADTESGVPYNGVYADAGSYTTQVTKMVGHHNQIREESRAQIQQQ AFLA_057210 MDYSAISHDPEHPAGTSPWASPRPNQTTFPTSSTNDIPSDPLAP PHPPYDADRESQPESSLPTGQEQTESPDISERLQSAQLGDPDYHNEPSQYAAQQQQYG EQPRSQVPARYQTGARQHARPAPLYKIQAKITSLERTGKKDPILRFDVHTNLPKFRTT QYRDVRRTHAEFTKLAEHLMSANPEAMVPAVPPPLTPAGAGTEEDEIRVKASMQKWLN TVLGNEVLMQDDEVVLFVESDFGYSPVVRMKQPATGMRRKVLKQFAPPPDDTPELQNA RPVVKMFYLGSMDASHKVDRVVKGRRGLGLAESDFGVKLGQMHVQETHPGLANAYRKL GKVIQTVGDYHAVQATAEATTIGEPLNYHSSDAFIVKETLTNRHILLRDLIQAQQVTR SKRAAADRLKVSSSVRPDKVDEAINALDEAQSHEDYLTKRTHRVTSNLLQEKRRWFDR TTSDLLASLREYTLRQIDAERRTLATLESVRPDIRAIDSSGGLSRLGRESHPTVRRPN LGSSQGPKGDAWSGIPRRSDGLGRSMSGSLISPTSEADEEVNGQGKGRLRSPSGVGPV VEEDDEDRLDARNAASRLATSTF AFLA_057220 MVAAPLLRAHQAARLQSVSTSRLGLNPHVIKSAGRLQLLRGSSF STATSKWQAGVLDRTRNIGIIAHIDAGKTTTTERMLYYSGFTRRIGDVDEGSTVTDFL PAERARGITIQSAAITFHWPPQTAGDGNTTPQEPQTPRSASSHTVNLIDTPGHADFTF EVMRSLRILDGAVCILDGVAGVEAQTEQVWHQASTYRIPRIVYVNKLDRDGAAFGRTV REVASRLGGWPAVCQIPWFEGGNGRFTGIADAINLQGLRWEEGDGKSVKMFNLEQLAS EEPQLAQELKRARVALVELLSEHDEAMVEKFFDCEEDHLAVPPNDILESLRRCLLEEQ GRKIIPIFAGASFRNIGVQPLLDAVTNLLPSPPETPEPEVSIGGVKGGLRRLLSGDLL VEQGEKAASAKGKHKKKSAIQAESRNAIEKLQGCALAFKVVNDPKRGVLVYVRVYSGS LDRNSILYNTNLNVSERAPRLLKMYANDAVEVDSIPEGHIGVVAGLKHTRTGDTLVTY SGNKATPPEPLNTLQLRPITVPPPVFFASVEPHSLSEEKRLQESLAMLLREDPSLHVT VDEDSGQTLLSGMGELHLEIARDRLLNDLKAKASMGRIEIGYRECPLGASGPITKIFD KEIAGRKGKAGCTATVEPFDPEETTTEPDPSTLSIQTTDGNQIIIQAPGLEVEVNKKG IEESPLLPPGLDVHALRTALQNGCLAALARGPQFTFPMHGTRVTLTFNPAEHLFGNES TPSALSAAARLATSSALRDLPSGAGTSLMEPVMNVIISVDEASLGAVVHDISSSRGGH IISLDEETPLQTTGITSNPTDDLLPPIDPNKVYAPPDPFQSSTVGIDLPSSANRPRTI TAKVPLKEMVGYLKHLRSLSAGRGTFVMSVDRFEKMSAPRQKAVLAELRGDFF AFLA_057230 MSKMWEVDPETKAKLLQISKTNGNDKCCDCGAPSPQWASPKFGI FICLNCAGTHRGLGVHISFVRSITMDAFKIAEIQRMELGGNEPWKSFFDDHIVTQSEG RTFEDSTIKERYEGEVGEEWKERLSAKVEGREYVPGQKPPQPKRNPTVEAASSRSSTP LGRASPASHDGFGGMDGGRKERNEAYFAKLGSENATRSDSVPPSQGGKFTGFGGGVPV SSGPSRNTSGGNIPGFDDFQKDPMAALTKGFGWFTSTVGKGAKTVNDSYIQPTAKSIA ESDFAAQARQHAAQFGQTVQVGARGAAGQFQRFVEGPDENSAGRRRAEPERKDFWDDF SSLAQEDNHRRNASRSSAIGTAAMKPSPTSNTSAATGNASSTAAKEKDDWDNDW AFLA_057240 MSTAVENHADNQAATTPAATEATTNGTAPAAPAQSTDAAAASAD EGRRLYIGNLAYATTEGELKEFFKNYKVESVSIPVNPRTNRPVGYAFVDLATAHEATS AIGELSGKEILQRKVSVQLAHKPEPAEAKAEGAVSGGEGASGNEGRRRTGGRGRGRGR GRGRGGRLGRGGRANQPQNGQAAAPAEPTNVPGQAAPLTETTNQTEAAATSESGKQAA KPRARPQKQRGPPEDGIPSKTKVMVANLPYDLSEDKLKEIFAAYSPVSAKIALRPIPR FMIKKLQARNERRKGRGFGFVTLGSEELQEKAVKEMNGKEIEGREIAVKVAIDSPGKE DDAVAAPATDAEKTEPATEAPAQENTAPATA AFLA_057250 MAEKRRASSDMYSDMPSKKAKVLNETQEWILDEDEIPATPSSPP SPSKTNDSPADTDALSESSSTGPATPSPYTVEFFADMANTIANLFPCEAFAKAHDCTI SDVSQAISAMVVAPLSDPSFTWHSDNEMSIAEYGQGMIRIWNEHYERKLRNADMTKST IDLTTPTGSTSSSGVETPSEDGSELSASFDKELLGETSPEDEDEPPDSEAPGLPKKSC LSTQSQKVAGQPAKRVRWAPPLSPVVREEVYKDNYGNYVPVPTPEEVKEKERKKMREE MRASKGLLERPQTTYDAFDLEILSGFDDLSYVV AFLA_057260 MDHIEPVDGSDGPSAEAPSPPSTTQPTSLQRRQRATSLRSRRPT IRLQRLPSLENAIPQIQSGVSRGTGNVSSINVASPPPAKGADEDSWQGNRRRSSSEPR PGRWSAPNPSALPRLPPDQMHPLMEEPTSPINLAHSSRPFPEVHPSGSPPPRVQRRGS LGLLRWTSEAAMNRFSRNRVSASGAAPSSGADTTDNEYHPHVVDVLDVIDPEVSALST LTNVQNSLFVPNLGPLINRNQTYALSPPRESSESTGEETTETEEGEETFEKSPEGRPS LERPLTSLSAVLGKQDPQFAVLPEGSNLEGWTERDIEELNDHVRHMLHSRRSKFKRAM KGFGKYVSKPLDRLLTVGPVALGFLITLYATLITLFGLAWVLFLIGWINVGGRQLYII NVIDNVLVALFAIMGDGLAPFRAIDTYHMCFIAHYTFQTWKVRRKRQLPDLKDKNDLP TRREIDVDVEFGDTPKDEEYEFTVLNRLQQQKLVHHQTKLSKSHTFYKPHETLTHHAF PLRMLIAIVVLLDCHSLLQIALGACTWGISYHHRPFALTTVILCCSITCNITGGVLIM VGDRRTRKKDVVERLFREQLTKEAMKKVCKKKQKRQQKIEEEDEPRLSVSTRPQPYDG T AFLA_057270 MEDNSLNPQAHPAFSSDMQSIGKLNRSKTSIDDALGSSGRSNGR SSSIDSTSDRPKSQAGEGTDSAKAGPSGFSKLLAARKKRKKKENQKATEELPTQFELE GEKDAQDRPDEPSEDRPPSAANNDGLNPQNDEVNLLTDDSEPERTPSLTAQKSHAGFY TTSSPLTKTTSTDANDTDSAQADVESAVSGPSATAHSESNADTELSRPTSQPSSTLGV PEDRGGKKRSVSPGRRWKGAFGSSQDKKDSNRDRSSSTQSEGKKGGGLFGNSRRSSTS SKKAPTIVAESPPPLPPLIRTDVKEEKPAQPSDHAAPSTPPRRTIPAPHTTVTPPTPR TEAAANLFSSPDVTESPDSLKGKDPLPPGVVVSPSGNMISHRRVRSASSVSHKPSKLS NSISALGPTIEEAKATSKTNLGTQQTGFFSSVFSAAQNAASTLSSSLNTQSKTRSPSQ QVSTESENISTKDGESSQNETQESSKTERKKPLAIETLGTGDLDFSHLDIAVPPGGSV STPDGVVITKPDIPSDKRKNTAVHQRDEEAARLEDRHAARAVTMAYEKPSEVSVVPPS DESLELQSTSSLPRDATGDHTSPSGSVLDGEISVRPSRSGSVRSRLTRRRHRGSSAAT ASTAAAAGASAMALGIPGGNSSVPRLTGFAVASKKRNRDFHQLFRSVPEDDYLIEDYS CALQREIILAGRIYVSEGHICFSSNILGWVTTLVISFDEIVAIEKESTAMVFPNAIAI QTLHARHTFRSLLSRESTYDLMVNIWKINHPTLKSSVNGTRVEQGTGDKTEKAGEESE GGSEDEDEIYDEDEDGDNADSFFEPGDASVNGSDKSLPLKGLSRQASGNLPVNGTAPA TSNTNGDPRGGKSANENVDGDFPGPTTHGPTTYTDPAGQYDKVIKDEIIPAPLGKVYS YVFGPASTNFMPKFLVDNQKSGELQFDIESGGLTNESRTRQYSYIKPLNGSIGPKQTK CISTETLDFLDLEKAVLVTLSTQTPDVPSGNVFCTKTKYLFTWAANNQTRFLMTCTIE WSGKSWLKGPIEKGAIDGQGTFGSDLISALRAAVAPRARAASKVGGKGKGRRKRGDVA NEEAAAAAAKAASDATKQQAQTWGPLEPIRGVLEPIAGILKPLWNGNLAVLVIGILLF LIFFRTPSQPSMLSHDIGCPGYSLPQRLAAYEEMWRREESELWSWLEDRVGMDGMVFP TVNRPGESRAHERARKIQSGRDFINKLDEDKMSVREMDHAIRTTREKLDTLEKILTNR KMQATAGEDTMHSEL AFLA_057280 MDITSIDHGGPTPSVSVRECMLYLMVKSRQDREFPSQSYIALDD WVHDKYTATTEKPGPRLKHITEAARGPKKSENPKPRDSQPRGRGALGSSNQQNAAQSA NPRGAPSTMESSAQRVNPQGAPSFRHPMETRGQAKGKSGDNKERKR AFLA_057290 MKIKAQMAPWTGDTSCADYLPITQEIFRELSVLEKLTEGGCSST PRFIDFLAFEQDDDDPVPDGYFVVFLLEKLPGVNLERIFSEFPLRSEIEEFYSFRLSH RDPARRNIVRDEGTERCFIVDLEGVEELNHPVFSTPHFDPWSDFREWELCSAELDPDE ANYDLMMPGPHEEIEDTDEALYALAARTKHISKPDGNVATKVK AFLA_057300 METAPLTLPDRISPRKAHTHARNAVLETRKSNPVAASEEHDLAA GEFAAAAQKSSDREALRTLQLLEQHHKKLAQILRFQHENPPAAAPGTQQVTVESGGGK TDTQQHPPKLLGHARLPARETSSIASNLASARGIPSQPRRGSPVSPTVSSQQAGAKMT EGPAKIRTSEARLRERQAYATKERSNRTPSKQSWNPPAASPTDITSQQFVPPDAESSH PKDRQATSEEPFQRFYSTFEGLISKLSAPLAFAGLPLGSDVSEKADSARKTSADTEVD RQPAVSDRQSQSGDTDISRIFSRAALRAVRDSTGGGTGSTAESFYVVPTTGGTVSYAG ILSRAEKEARRSSFEEGDEDFVDARETPPSPEMRQSMTGSKGRGSRGKDKLTSIQSPK TLEELQMENQALKHLTDTLSKRLHMWEVNAQSSSMALQQSLRAMHHQNVPSPEHFPQS TPAVASPIAPLPTPASTDQEQRIRELEELIQQSEKELDKVGRENDKLRNVLGRYRDRW EKLKEGAKTRREGRSTADRNSYAEPSTPVRTGSTSTQKASETTQVQAEGAAENDTNSS NEPEGKNDSSS AFLA_057310 MVSGVFTKGVLLLGLLSGLALGQDEKPRYKDPSVPVEERVTDLL GRMTLEEKMSQLIQGAIGIVSFLPTGGDITNWMNETTGEFNLTGLEWSTKMRGGMFYA MAFSWISGALGLHRRQCQESSGLYSPKHDSRDSRHCSDRMYVLVMFDSLNPALIILAL IALHGFLIGNATIYNSPIGFACSFNPELIEKMARLIGQEASALGVNHVMGPVVDLARE LRFGRVEETYGEDPFLAGEIGYHYTKGIQSHNISANVKHFVGFSQPEQGLNTAPVHGG ERYLRTTWLPSFKRAIMDAGAWSIMSAYHSYDGIPAVADYHTLTEILREEWGYKYWVT SDAGASDRVCTAFKLCRADPIDKEAVTLAILPAGNDVEMGGGSYNFETIIDLVNAGKL DIEIVNTAVSRVLRAKFEMGLFENPYNAAPASEWNKLIHTQEAVDLARELDRESIVLL ENHDNALPLKKSGSIAVIGPMAHGFMNYGDYVVYESQYRGVTPLDGIKAAVGDKATIN YAQGCERWSNDQSGFAEAVEAAKKSDVAVVVVGTWSRDQKELWAGLNATTGEHVDVNS LSLVGAQAPLIKAIIDTGVPTVVVLSSGKPITEPWLSNNTAALVQQFYPSEQGGNALA DVLFGDYNPSGKLSVSFPHSVGDLPIYYDYLNSAREIGDAGYIYSNGTLEFGHQYALG NPKAWYPFGYGKSYSSFEYGAVKLDKTNVTEADTVTVSVDVKNTDAAREGTEVVQVYV VDEVASVVVPNRLLKGFKKVVIPAGQTKTVEIPLKVQDLGLWNVRMKYVVEPGAFGVL VGSSSEDIRGNATFYVQ AFLA_057320 MTGIYVLAAASALALGVGYVVILPIIYYFYDPKGFRKYPNFAPL AGITDLPYCYLSSCGYRSKDLYEAHKNAPILRIGPNNLSFGRIGAVKDIYGHNTPCIK DIKYAMTWGSHTHLFDVIDKADHAAKRKRMSSAFAIKNLERWEHKVANVTGRLVKALD AHCTLPLLPGQTEPQAADVTLDYNKWINLFTIEAINLIALSSTLGLLEKGSDEVTAQR KDGTTYPARYRKSQDSTAHAQSLFVWDYKYFHWLSRLSKLVPKYRQMWKDGEPWGDVI YHQAVTRLQRYQSGEKLDDFFSSLMEDKAGHPNNLEWGEIVAEVGAIINAGADTTAIA LTQVLDMLIRHPKYLQRLREEVDSTLDADEVVAPYDKVKNLPFLRACLDEALRLIPPT SAGLPRRTPPEGAQILNEWIPGDTSVSMTSYSAHRDPEIFPDPEEYNPDRWMDLDNRK RMEPYFVPFSTGARGCLGRNITYLEQTVVLATLVHRYDFAVPANWKLGRFEAFNLIMG EMPMKIWRREKA AFLA_057330 MSQYRNGGFISLLIRNGLACLGKKGRLYYVHVNPDGRQESDGDA DDDDDDAEESLPDATGSNNSPSPSSMIWRKGQKPHSLPASTSTNTSHNHQGERLGQSH RDSRHYSISSFNAVAGSNETSPQSASYYAQTSAYDCLSQPTDQPSNAFPAERDHYRLG PLKGVPETFSDASYTSDLQEACLIRYFVEKLAHWFDSTDRDRHFALTVPGRAMFCPVL RYALYTASAGHMRQALKCRNNINGTVIFDGIPLPGLSEDSAIRYHNICISYLIEISKD PNEDYNEDVLTAATILRFYEQIDAPSIGTDTEAYLKAVQFIVHTQNNDSFYAAQTIHG PTHDTNIHSSPAISLRHSACLIALRQEIWSAFLHQRPVRLPISPKNDYNAFPTTCDFI WANRILVWCADLLNFTFDSHTNTKYPTQASRLEKWNSLKAFETHWNNHKPLSYKPIYH VPPEPEKGSYFPTIWLMNDSQVVAEQHMELARILLAVSNPGMQRLGAGAGALNRALEA ELRAITRRVIGLGLGNRAGPPALVTSAVGISICGEYFDDPGEREALVRFLVDLEFEYA WPTSAIVAALRSAWAS AFLA_057340 MAHLHEVPLAAGLLTTFVPSAVPTLTLSSLYVSPSSQPFPTLAS DPGYDDGGGGGGGMHEWSSLIGIVTALIGNILISLALNIQRYAHIRIEREWEHQKLQK EAEWKRANPGLGSTDTYGSVADHEYNDYETRGRNQSRRFARYRDESPEVRFVHHDQPH IDLGPEDDDEMDTNAHQDQMDESFMSDRTVRPGDLRRKSYLRSPYWWVGIVLMCVGEI GNFMAYGFAPASIVSPLGVVALISNCVIAPILLKEKFRGRDFWGVVVAVTGAVVVVLS ASSSEEKIGPHDIWVMITRWEFETYVGISTVLIIGLLWASGKYGSRTVLIDVGLVALF GGYTALSTKGVSSLLSFTLWHVITFPITYLLVFVLVFSAVLQIRYINRALQRFDSTQV IPTQFVLFTLSVIIGSAVLYRDFENYTLDRAGKFVGGCLLTFLGVYFITSGRVRNDDE SSYSNDEEEAIGLLAGERYRDSVDMSPPARHVRVQKPSRIPLETQAENMQSPSGSLLS HGIEGIDEGQRTPRGVSSAAPSSPVGSLTADSLTGQSLQPSPPMHPNSLLTNPWADAH ESAIETPKSEPQIPQIDRPVTPEQSRPTTSGSPVLLRFPPAPGIEDNNLKVPAASGTE TASPGIRSSTVPQTPPARRLRNSISSRFSPGPLLPTISAGFSAVVAESLRRGETSPVK DRKSMRRHRGKQLSTTIVDGFLRSRDGENANIDEGLGPRGVLPTARFNSTGDIATAPV TAGQSTTTLDTEDAPQNLQPDGSTSVSRIRSLSDSWSGGLAWLGGTLRKVNGHGNGHE QIAESQTNEEETGANTQA AFLA_057350 MDGFDEEAFKKFFPGSFGKQERKTDVNTQIDRTKRTHVSAKTTA DDDKAGLISDEQANVQTGAEDRKDKSESDSDNESDDSDSDDEDEDEFPVSHELVLKTH ERAVTTLTVDPSGSRLITGSTDCTIKLHDFASMTPSTVRAFKSVDPTAKKQSALQEAH AVHYAAFNPLSPGYVMVVSATPQPRILDRDGETITEFMKGDMYLRDLHNTKGHISEVT SGAWCPTDENLCVTAGSDSTVRIWDANIGRSQKEVIMHKSRAAGSAGRSKMTAVAWGS PKQGGPNVLIAAALDGSLMMWSGNGPFTRPSGEIRDAHTRDTWTSGLDISSDGRLVVT KGGDDTIKLWDTRKFKQPISTVAHPSSSSRYPTSNIVFSPTSANVLTGSETGHLHILN PATLKPELVTPVTPGSPLITVQWHEKLNQILTGSANAETHVLYNPDMSSKGAALVMSK APKRRHIDDDPNLTMDLSHGISGDNVVVGSNGVPHYSSATWSSRHPTIGLTASGRPRD PRRPHLPATTPFAKSQPDEKHIRENIPLSSMRDEDPREALLKYAEKAEKDPVFTKAWK ETQPKTIYREISDDEGEQEPDKKRARR AFLA_057360 MGNQQSNIGGGPGGDGRDDKDKKKDKPRYEPPPPPTTRLGRKKR KAAGPSTASKLPDIFPTSRCKLRYLRMQRVHDHLLLEEEYVENMERLRKTKAQAAHDS VSRSEFDIMDRNADERGRVDDMRGTPMGVGNLEELIDDDHAIVSSATGPEYYVSIMSF VDKDLLEPGASILLHHKSVSVVGVLTEESDPLVSVMKLDKAPTESYADIGGLETQIQE VRESVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSE LIQKYLGDGPRLVRQIFQVAAEHAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLEL LNQLDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKKKIFTLHTS KMSLGDDVDLDEFINQKDDLSGADIRAICTEAGLMALRERRMRVQMEDFRSARERIMK TKQDGGPVEGLYL AFLA_057370 MASNRSRRIAKEIADIHADTQSGITAEPVGSDEDLTHLRGTFPG PPGTPYEGGTYVVDIKIPTDYPFRPPVMKFHTKVWHPNISSQTGAICLDTLSSAWSPV LTIKSALLSLQSLLSTPEPKDPQDAEVATMLLRKPKEFERVAQTWATLYAGAPSKNAG EGSGGATNESLRQQELKSREEQEKEDLAKYDGYNKDLIDRFCSMGFDVDRVVAAFKYY GIDRMNGEDYELEEAYMGDVTARLLGEP AFLA_057380 MIEDDFYRSSSQFRLWSYTEASLQSLRATTNANASERVRSALRK SREAQQSATSSAAGTPAANQNGSDADSKAGGEETEIECLTPEEEHQLVRYFCEQIIEL GEMYKPPLPTIVRATAIQYLRRFYLTNSPMTYHPKSIMLCALFLATKTDNYYLSLRQF AEVIPGGTTPEDIIQPEFLVMQSLRFTFDVRHPFRGLEGGIMELQAISQGMGQPAPHF PTQTPDDLKRRLHSLPASPTTSSSSITDRLARAHHNTREILKSAAQMTDAYFLYTPSQ IWLAAFMIADKPLAEFYLETKLGGPQQQQQGSPLYELRVKLLRTLTDCSNLLQAYKPL ASDPDQKKNLRRIRKKLTHCQNPDKAGVAGQKRIPAAAAAVAAAGDPATSESEMERLA KKRKLDGGQPNDIFGGELVTQRTKEAQQPQ AFLA_057390 MVSASKAARLAKRADGDSKKKLGKGKKSGTESPQVDSDVPADDQ PATTTEKMKEVEKLTAQMDKHGLSDRVTTGVLSSMESSRDVKVTSASLVFHGKVLITD STLELNFGRRYGLLGENGCGKSTLLKAIAHREYPIPEHIDIYLLNEGAPPTELGALEW VVTEAQNQLDRMEKQAEDILEEQGPDSPILEDLYDRMDKMDPSTFHTRASLILTGLGF NKVTINKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEYLKKWE RTLVLVSHSMDFLNGVCTNMIDMRMKQLLYYGGNYDSYHKTRSEQETNQMKAYNKQQE EIAHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDRIFSFRFAEVEKLP PPVLSFDDVSFSYSGSWEDTLYEHLDFGVDMDSRTALVGPNGVGKSTLLRIMTGKLQP IGGRVSRHTHLKLGMYSQHSAEQLDLTKSSLDFVRDKFPEKSQDYQYWRQQLGRYGLS GESQTALMGTLSEGQKSRIVFALLAIESPNMILLDEPTNGLDIPTIDSLADAINAYSG GVVVVSHDFRLLDKIAKDIMVCENKTVTRWDGTIGQYKDHLRKKMIDAGAV AFLA_057400 MLRNVDFSRWHVDIDRYLNPLVPRPPWRWLPRPISHFLGYRGDK PPKNLGNLVLAFWSLIGVFCGVLVVAEVSLHVPSFQHHHAPIIVASFGAAAVLEFGAI ESPFAQPRNAVLSQVMASAIGIGIGRLFALNPHANALPQVGGALACAITTAVMVLTKT VHPPAGATALLSVTEGYEIGWFLILIMLLGCIMMQAVALVINNIQRRFPVYWWTPDPL PRPKVVVEDTESAREGKQESLAPSASDDDTQAYVPAQIVIQEGRVSIPDNVWLTAEEK EWLEKISQRIR AFLA_057410 MASKTFNVGVVGYGFSAKTFHIPFVSDVPQLKLYAVVQRTPKPD DDAEKDHPGIKSYRTAEDMVKDDGVDVVIVTTAPDSHYQLTKLALEHGKHVVCEKPFT PTTQEADELVALAEKQNKLLAVYQNRRWDADYVTASKLVKSGVLGRVAEFETHFDRHR PEEPAPDVSKWKNKVVPGGSAIYDLGSHLLDQAVHLFGLPNRVTGFIGSQRAVNTSGY EDSFTVLFHYNNGPLVTAKAAVVSPEEEQLRFWVRGEKGSFKKFHLDVQEEQLKSGIK PGDSVYGREPSERYGTLTTIQNGKPVKEVAPTVEPPTWSEYYRKLARALAGEGDLPAS GAEARDVIRLIELAQESSRQGKTLDV AFLA_057420 MSQNQPTTRQRNDEAELDLLDFIYAQPNRDEIQGNPQKVLDLID EFAKSRHLIHVGPEKGKVVADLIAERKPQTMIELGGYVGYSAILFGDAVRRAGGKKYY SLELNPEYAAISTLLLDLAGLRDFVQILVGRSDQSLNKLCASGEVPQVEIMFIDHYKP AYTTDLKLCEQLGMIVPHVSVLVADNVLYPGNPPYLEYVRSTVEQKRKAAEKGPIQMY NTEGIPAVSVRAFLGSDSTPLFDILGDPNLVYESTLNQPEGLRDALEITRCVGVEKA AFLA_057430 MSIPTVRRAFGSIAKQRTLQSVRGLLQIQTLGLLLRIAISFILL PLDNAILFATCLVGHFSVFLSHSNPVHRRQAARRDVHFYPKTIFITGIDTPYGLALAR CLYYHGHRVVGADITDLPFASGEGMSKTLAAYYRIPRSHYVSRLLDIIQREKADIWIP CSSRASVLEDAMAKQAIESRTACKCIHLDTELVNQFSDTESFTQYLVEKKLPVVENYQ VQSRDSIHKILHRSPSKVYHMRRPDLAVSDNKVVTLPKRTLSMTYTEVSEIQISKDRP WVLQQQARLGEFFAELLLVSGQVKAIKIRPADNQPWGHSRLDEGLALAIHRLMDRFAF KGGHRMTGHLCLRLMVDEEFDANNVRYVTHIAGCTQGTTAVRHLLEEPSPSLIDGYLA VLSSQPDDTSADLDRKRMQARASISAVSRSKFTFYKTLKQCDVRRVLPSLYPVAQQLD HLVSQGSDLLLFWKDPRFSVLDPLPWWWNAHIYQPLKGLEVVLSRRDVKRL AFLA_057440 MLDKTEVLTEEAVHDLPLLKYAAAHWSGHFSELTDSDAKFRDLE NKIYRLFSERIVYLNWRRVARDNFRLSSWNNIWGDVLEPPIYLACKMGMQSVVERLLS QGANPCTQFQGKSTVKVAAQNGHLTIVQQLLGNIEISAEIASEIASSIDLDNVPHEEV EDLLDLLLSTKVLYDKTANGCVLLNEDFVRAIAGNARSAHRLMCLLLDKQDKLEVPVT ESVLYTALWNNDGGETMRVLLDRRRKDIQITEDLMESVTAVSSYNPDISELILQHWGA TVPLNQDIIWKFWRYAPAKVMELLLQIRGHEIQITEELVAAAAANGDHAVFRLLWERV SGIEITSETWQRIVWSSNGLEWMEMVLAKCPQRYFLENEIILKVARSSYGLPLMRMLL DKREAGLVVFDVSEATMIAAASNENCPQQMMELVINNADSEISVNEKILSSAIDNVWA GESALEYLLELEQNLPITEEVLVSAATATAVPFYQRKRVLKSIFNKFPDAPMTDHVFK AAGHMSGVLLSLWLKRGRHVQDYQVIGRLLEQSIVSLQKLTKLFDEGLVEMDDNLVDA VGTQNALIMALQGEFDVSQTLVEFLPRHEEDGFSNKDLDELVNLCAGRDISAPAIEMI SVISFKWGDARTIRVFIDRLGSAISITEKKVWDALWLNDEFTFLQKVIISNILLEYGE FDVSEILLEFLPLKEEKGVFSDEEIDELVNLCVGQDISAPATEMLSEILFEWGNANSI LKFVKRKPTVQLTDGLIQRAERNEQAYKKVLMPFLYSKRAADQNINNAEAKTEAEED AFLA_057450 MLGKLRKKLRYFRKRERSDDTRENFHPANLSQKGKDRVVSESGK SRIEAARNASVDQPVNDTNHLNETPTEKNSKTRNPDQQDDVKCLEEAKHDGVDADVSP ISKQHTKGTSLKEDREFGDPETGPKALHELKNDHKQDEAETPEYPVSKKTPADEKVVE RSEKPGNVDLWQRAFDELNEDLKGQLREDEAISPENAIKEVIDRTKESFKAYQNGGLK FKKYDGKEVNVRDVAKKILNSAIHCSDIIKGIAAFDPSNHASSAWGIVTLGLTMAKNS VDQKEAAFKSSEFLSDILARYVILNGHRRKEKLPSSDGLDDAIVQVYKAILEYTAEVK KRLNASGLNRMGNSIFPVSDTELNNLQSAVQDQDKKVSDWSQINNYIYLSTKGDEILA SIEKVYQNTETIKVKVNYEYREWKATPGKFLWLHGPAGCGKSILCSTIIQDIEKYCSN DLSRALFDNWYLRSFQDHLSVYGKNMVDKTVSQTKTNSQQFSVM AFLA_057460 MKLARSSINPGRTKNIIHGVQGFIIFLAWACTIAVFTKGDGIDG RSAWYWALCWFSIPGLIYLIAVPMWPRARRFGNVYAFATVDCLYALLWFTAWVCVASY VAQGKSEGKDSNQEDKDKDSDKKTGCDNWKYGSASKCKISTATCIMGVVIFLLFIVTA FMSFRNVMHFRRTGTLPDAVSDPTFAAQSQAAFSSNPAHDFEEEDDFRSGRAGGMGSS VRSDRDEDYALLHQSEVDEFGNPSGRTAMHGAYDPTASNAGGSVLHDYNTVNTATSYG GAHGQHYAPNSEYAPPSEWGMGSSVSGYGREQITHNPNTLKNPKMADSIDIYNQYPLV LDPTSKAINLSSQTTTPASASTVTTELTELNALHRGLISLDPPNIPPPPLPINPKRSA QITKLRDSANTAYRKNNHAEAVRLYTYAIEMGIARPGWEPMSLAREELAGLFANRAQA YMAQQAWPEGLVDAKCSVESKPVGNVKAWWRAGKCLAEMGRWDEAQVAIDKGLEFEPR NGEGAKELLSLMEEVKEGMKRANSSSA AFLA_057470 MISTLLLGRTYDLSFVQPLTRVLFNRFIGLALAVLASVAIGSSY VITKKGLVQAAEKYGFSGEGFEYLRSPLWWCGMIILISGELMNTAAYAFAPAVLVTPL GALSVLISALMGAYFLNEDIQVLGKLGAAICLLGSILLVLHAPGDRDIQTIEEILHLA IQPGFLIYCTLVTLFASYMIYKVAPRLGRTNPLVYLSICSTVGSISVMSVKAFGIAIK LTFAGDNQFTHASTYVFSLVLVVTTLTQMNYLNKAMGEFPASLVNAMYYVGFTTCTLT ASIIFYQGLNTSDWTSITSMMCGFLLNFIGISLLTLSKTGQEARPESVRALSMRSFDM SRGRYDHVRTSSVDLPSALERRSSGLDAAERQ AFLA_057480 MPKSRQASDNASRLDGHDRVNRSKRGLTCANCRVRKTRCDGTQP GCKTCEVYGVECRYEKAPPMSQILAMAKRLQETEQTITELRIALHEAREANVAQHTLS IERQIPNTPSADTSFDSASYIEASSSTQVAPESETAPEQILLSDLSLDENGKLCYYGP TSAVHAPPPAESTNVNDSYSSMSDARSLLTSAALESKIWEEFALGNAAIQTDIPRQMI SKLLQIHWTWIAPMFNWVYRPAFMRDMAINGPCYSPFLVVVIIPTAQGLLQLSARELA WGSISQAWLYSGMAFRMVSDLGLHHSTTKIATLGHLTAEDLEIRRRLFWSCYFWDKAI SLFLGLDDSAENDLWAPYYGDQLSPDKAPPGEYPPMKSHLVSSFQNVCKLAMILNDII LQLYSRHGNIYMDEALNRIQARLDHWREQSPVHLRCDPDNLPEICPPPHIIAQK AFLA_057490 MIMKSSFMPLVALSSAISAWAHGEEEAKEMGPVAFMWPPDRVWG AAYDNTAPCGSSSGATNRTDFPMVNGQLALVIQDESWNVQVAISHKSNPSSNDDFEPF IDGSRIKDIEPGHECYPVPNPSVDVEEGMNATFQIKYTSDFDTDKNETYYACADIRYV AASKFTTQVPCFNVTADEFTAVTSTTATAGATATAGSSSSSSKDSGTKESSSGLSGGA IAGIVVGCVAAVVIAIAVLFGSRRFLQKYRSYRQKASVRNVDWAETATVDPKVDNEGL RKIR AFLA_057500 MHLPTLVTLACMAVSASAFHYPDFVPLHRRQEPGTPEYDCHANC GGVIVAARKDGYCDTDTFKTELSDCLNCALKYDIWKYYGASVSKAATGCGVDATPVEA SSTTTTAAASASATETGSPITSSASSTSTDTAATTGVTSAASSAVPSSSGAASSTPAA STVASSATPSQNSVSFPSSTIFFFAGVSAYNPTNLLLIDWWRFANCQFVPWSCFY AFLA_057510 MDMNRIHGMRRRFSIICIILTIMSWPTKLWYTYKIPCQPDSISY YPSPINMEKDIQPPHDQSQPSEGPVQPQAQQQNVQSSPPDGGYGWVCTAAAATINAHS WGFNSAYGVFLAHYLKHNTFPGSSPLEYAFVGSLSITLLLLVSPIATISVREWGIKPI MFCGVILETASLICASFATEIWHLFLTQGVLFGMGVGFLFIPTAAVVPQWFTTKRSLA SGISLSGAGLGGLIYSLATGAMIRNLGLQWAFRILGIIGFIVNTTCTLLIKDRNSTTT GHHKQTSMNLTLLRKGEYQLLLGFASFTMLGYFVLMYSLANYANEIGLNASQASIITA IFNLGQAVGRPCIGYFSDRVGRINMASSMTFLSGILALVVWTNAKSYGVLGFFAVAEG LIAGNFWATIAPLMAEVVGLKEVPAGLNILWLSIVLPCTFSEPIALEIFTGTGSYLGT QLFTGFVYLAAAGCLVALRGWKIMVDSRGAGVIGDGSERRTDGVDVLVSGMERLGFVE FCQLCFRWEVFRTEPGIYTKTKDPDFPTPAS AFLA_057520 MKLFISSILSIGCLAKIANASLGALNNPGLFPFVFNGVAVFNYP NWTTQQPRDSIKEGENFFCYATETGYLSFGCETGCKAHVVNYWAANNSLKFETDYVFS DANLALPELGTLSTFYRDEEQGASAVGTRHVLSSTSSTALDCCKALKTFREIKDKYKL NLSFDLKDICPPQETVKTSWWRWVSLISHSSKKQSSDWPFVPAVWPYGLLT AFLA_057530 MKSQSKFLFTSESVGEGHPDKICDQVADAILDECLKQNPLSKVA IEVAVRPGLVIVFGVVHFIPQLDIDAIVRFVLKDIGYTSPDQELDYRTCQVMDCVELH PSLFNGISSGLEAPDDEPAGDQGMAFGYATDETPQLLPLTLHLAHRISRELKAAHTNR ILPWLRPDTKAQVTVEYVEDEGRMVPTRVHNVVITAQHTPGVPLEKLRQGILDKVVRK SIPAKYLDDRTAYHIQPTGDVGVSPSGKFAGVTGRKIVVDTYGGWGAHGGGAFSGKDF RQVDRSAAYMARWIAKSLVHHGLAHRCLIQLSYSIGIAEPLSIFIDTFKTSKFTSDQL KEIIRKNFDLRPAAIAKDLNLIDPIYYQTAKNGHFTNEKFPWEQPLDLVL AFLA_057540 MHLRALDGEISYPMWLAGNGETCTAYSLPNNHTFSVECTRRIRA LCTNTAEYQTGLDPPSTSRHIFVKTSQGLIKGFRDRLTARFQGIPYAKPPIGERRLKN PERLHSFPGRKKGSAYDATEFKALCPVRFPIESPKNMTPNATPDLPNPNYPISEDCLY LNIYTPMLPENESTDLLPVVVWIHGGSNYFGGSSLPFYFGMNLATRRPLVVVSINYRL GALGFLSDLSKASDIGSNQAMRDQLMALDWVREHIKSFGGDPERVTIFGESSGGSAVM SLIQTNPGKGLFSQAIVQSGGTWSGWQRPHVQADLTRMFLDLAGCNDLDCVKNNRTMG EILEYQGLLFSKAQEVFPRGEVNFIEPFRPFIDHDLITEDWTTALEAGRYRKVPTLVT YTRDEFGLILKTNETLKDKPIDYSTAVEFLATFLLGEERTRQVLDTPELGFNRSLIHM SDVTDPFIQLTTDLGYRCSSEIYAGFLDRHNRDVWEVSWDIGLPQFIGGMICGNGTKR ACHAAELPILFGSANYANISSSALASVNYYQQARNTIDLYSNFVHDGEVWINATYYLR RNGNAVRNVLHWDDTPASTRGGVRWEICQKMDEMNLYDRLYYPYLPLGDRANTRVGYI SLQYPMVAGPFD AFLA_057550 MSSSPLLDNERHNTQWMGQLRSSNKFTLATMSMALFTDELLFSF MVPLLPYIFEQRLKVPASRVQAYTSIFLTEGALVAIITSPLIGHVADRAKSKKALLLG LLVLTLASVCGLAVTKSCTFYLPSVTYILTGQVIVAGIFIGRFFQCFTSNGLWIVGVA TMVESVGSEHMGKIAGLTSTLTAAGTCAGPVLAGFLFGLGGYWPSWLGPAVFLVVDIL MRVLLIDRPKAPQENAQPISVEEEQGWRFYTRLFRQPRFTTGIVCYSVYALYIASFQT TIPLHSWETFKWGVFPVGLLLAAVQGPGMVLAPLIGYWKDRWGSRIPTAIAFFSMAPF LVLSGAAGDERFSWFTDGNKGKIIYSCSLAMTGCLMSLLSGVGAMEATVCSGYGFPLE RLSPSPVA AFLA_057560 MARDQEIVSLSSFGKDLLASGFFTSLDAPNFADNNVKGPPRRNL EIAPEETFPPQPASPATWMGLSTLSAVTSAYADSPESPEQLIVGGAEVQNVSLVMVDE HGTYNDLKVMPVPVSGAKSSGGSLLVAPVGESKQIAAQPMLLLPAKADSDLSFSDDSK ALPMAELEKAMKESKGKVGVAAVDSYVLSGEIENFFGVKGVTGKLYCFRSDEEEGSKD EEEKPIDEKVKLQDMESPLGIFFPEIENKTIKTLPLKNLEFTFSNTKKETLLPDGLRL QGDLELTDGLQWVSDGLKNVFGSNKATELPSKIRVSALLAKERDWTKKPKIEGIVLQA FLHEMKLPAWDFLEFQTVGIELSARKEAAKKDIEDNPEKEQKEDTDKTKEEEEDGKSK EIVAKKDKPCNAPTTKDHATEERRKKEEEGSKEEKRWKVGFGLFGKVNITKVPKSPVP LEARYWIRMGDWKEEKKEEEEEDEVEGDDEDKELEKPDGGEGKETAEAGGENENDDAE EDKPTERGKQYEGEFSV AFLA_057570 MYAQITGAEPSKEAKEHDLVFEELHLNISRKLKSKEKAIEDKKD VKEKKEEEKEKEKEKEKEKEKEKEKEKEKEEEKEEEKEEEKEEEKEEEKEEEGEEPST CLELSGKVSFNDCKSVHGLIKIDTISGLTIQGGVEDYMIKDADVTIKEASIDIFIGAK PKQSKDTSRKTKPKLEADTKDGKEAGEGKVEVFNRESKFAIKGRVDFSGITVTVAFMT ERKETNAKSKKSSEREWVLFGIYEGTLHLGKMCDIMEGPMADLELKNVALIAASGESK TIEALNTLKYPVRKGISLCATIPPLPELNNFAKQNVDGLVLAATIQKQKLELDIQLPR AFDVTITDSVKLCEIGIGIEISKTPSLMVMGTLNIVMDVDQDPLLLEGMVKAGLLSSS ASIATKSPWVNPLNISKHVTIADFRVEIEITYATVMELGPSKLGLAGDIEVGDLTAGA AMQISHHPGEQVISANISEVDLVKIIRVAGQVAEIQVLQDIRGGEDTFVFTDANMYFS TGGTIAGREYPQGISAGGKLTAFGKTAQFDLTIGKAGLDFQAYIDNFSLGPLVVSSAS GDPRAKMVVLMTKDKQVIQVDGMVRCFGIGFATLVDIQIGTETPSFDARIAVAFTDAF IISLQATVKDFKELKDIATKDLYFQAQIQGDLFDMICESIKSLLRTLEKLGTEGIESL QNLIGAQIAEKQAEMDQEKEKLDEARQKVDTRRQVRQRDMKNEKDKRDKAKAEIERLR DNVTRAKQNKAQAENELKEKVEKLKLERESLIQRKRKEYNDMLEKAKQDQANNQRELE RLRQEQRDRYGTDFLRKVNIAKGAYYEKERILKQAWENVARLRREFNDANIFKKALLL PELGAAELAHEAAKAVLGGYLEAAKVLEDTLNSDAFQSIVTAIEDAEKAVESAANGID KLLSGGGFDGFIRAFVDTKDARIKAAIQALEAMQNENNKYQKAIREAQHILDQNAPDL EDQIAQADENIKSLEEDAMLADLERKYNYQLEVHNKVHNTIQQMQAGLETLKENWQKG MHALEDVVNEIQKKINAIFHIERIVVGIHTHALIDDKPLVFKFYGTVANRHFEIEAQW APGNDLSRLYKGVTNEILKLGV AFLA_057580 MPYIMEIYTDGGCRRNGRSDAIGAAAVVFKNRFGKCTGWTRSLP RYPPPTNQRAEITAIIFALEKALDKFERLDTNPYLEIERGRRRGVYLDSEGGESEGG AFLA_057590 MALQLSRSPVYSLIILSILWIEGIAAGNFTFPTESESRFIVGDQ VNITWDVLTPRISLYEECGTQQWIIAQNIVNKYSYVWSANRDVYKESGCYFELESLTS EGEPDGQDNATSVVFGVSKRYHDDPSPTSYHFASTSATSLTGTSTPATTSSSESAGAV TVTGVSAANPSTEGSSGGLSSAAKIGIGLGIPLGFLLLAVGVGSFLFFRRRRRLRESE PDVAEPVWHSQSTTPLPGGFVDGSNTAKNVRGSHTDTIISELSSENYRSRDERQTHEV NELMGVERSELD AFLA_057600 MKHGKCFKGKMHKGEKGMRGMGDDEFPEMPRCGFGGRGGRGGRH HGPYGHHHHHHPYAFGFAGHHGRGKFHPHPHGHRHGHDSDNEHEFEHGLHPWTKHGRS GPGHHGRPGFHHPHHKHGGPGPHFHSRGDFHRQGHGGPRHERGLGKKHGKGKGFHHFD NMHHMHHMRPFRRGHHHSRDAASFTPLVDVFLTATQTIVHASLAGAQKTNLSVGYDAS RSLLRIAGVVHRPDVDEEMYRTFLVAERGYHLGVFKREVPLSHGVVVEGIQARLEDGV LKVILPRIEGEEVQYGNEVEVEMVNAEKELSTPDESDTEGEEEEEHEDEGEEAEKEFV KVDIQ AFLA_057610 MVLDRLQQLTLQVSASSPPPHPFDPLSTVEIDTAVALVREAHGK VNFNAVTLWEPRKAEMMAWLADPQKAPRPMRAADVVAIAPGGKIYDGVVDLDQKKVIE WKHTPGVQPLITMEDLQEVEHIVRQDPKVIEQCAIIGIPKEDMHKVYCDPWTIGYDER FGTDVRLQQALMYYRPHVDDSQYTYPLDFCPIFNAETKQIIHIDVPPVRRPLSKAPPN NYHPAAIEKEGGFRTDLKPIHITQPEGVSFTVNGRHIEWQNWNIHVGFNYREGIVLNN ITFNDKGNVRPIFYRLSLGEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLSLGCDC KGAIHYMDAAFVNRAGASTIVKNAICIHEEDAGILFKHTDFRDESIIVTRGRKLIISH IFTAANYEYCVYWIFHQDGTVQLDIKLTGILNTYAMNPGEDTKGWGTEVYPGVNAHNH QHLFCLRVDANIDGPNNTVFQVDAVRGEGEVGSAENKYGNAFYAKKTKFTTPLEAMSD YDGFTGRTWEIANTNKLNAYSKKPVCYKLVSREVPPLLPKEGSLVWKRAGFARHAVHV TKYSDDQIHPAGRHVPQTSGEPSQGLPAWIEEAGPNSSIDNTDVVLWHTFGLTHFPAP EDYPIMPAEPMTLLLRPRHFFTRNPVLDVPPSYARTPSQIAAGASSCSCKKNDGSSVL V AFLA_057620 MPPPVGRYGPTGLSGPYTHLQQAHLQQQQQPQHHPAHAQSANTA LPPPSLGGHPGFAAGNPNTNINPFTLSGTGIANGMSVAGFGGAADAGGTGLASHAAQM GFARGAQMQQQQLHQTHDGRLALEAKAGAVKTRIRDVWKHNLAQEMAVLRQLVEKYPY ISMDTEFPGIVARPIGAFTNKADYHYQTLRCNVDLLKMIQLGITLFSAEGEVPPPNAT DANGQPLGNSLVPAPCTWQFNFRFSLEDDMYAQESTAMLAKAGIDFSMHDKNGIDPFE FGALLISSGLVLLDDVHWVSFHSGYDFGYLMKIMLCKPLPENEEEFHKLLNIFFPSLY DIKYLMKHAGRNQAVNDTPLTPAAAQILTNLGQKSGLQDIADELGVKRVGIAHQAGSD SLVTGEIYWKMRQLVFNGSIDESKYSGQIWGLNGQMPALLYQMPHQTPNLNGATIYSA AGTPSTPNAGTPQHQGLGTLTPGAVGGVLGQFQVGKA AFLA_057630 MAGTNPTGFDIKEFKAAASPRSVWAKKDPWARYEAWRYTGPFSR FNRFKRIFPGFGIASVAFAGYCAYEAVFMKHDDHHGDGHH AFLA_057640 MSSSQDPHHEAEDAYVEADEAEEIFQRDEDHPMDSDGEDGDEPM TYEQEEITLENDSSAHFDLHSDSLFCIAQHPIHNSIVLTGSGDDTAYIFDSTPNTERP LLPQSYESNPQPKKERESLQPIAKIEGHKDTVNAVAFTGPKGEYAVTAGLDGQLRVWR DTTPQLTGQAWEFVAEAQEVEEINWIAVCPCEKGDEENSNVIAIGANDGSAWVFRIDH NDTAQPITIMQTFFQHTGSCTAGAWTPDGKLLATVSEDGSFYVYDVFGAAAAAGISYS AGTSAVVGLTPEDQRFAVDGGLYSVAIAPDGAFAAVGGAEGHIRIVGLPRLASGGAAA SKAKGKGAASQSTGAAAGTILASLQAQSDGIETLSFSSPPLSLLAAGSVDGSIALFDT AHRFALRRHIKEAHEGAVVKVEFLQGRSAPASLPPRGPIASAASGQGQPWLLTSVGMD GIVRRWDARGGTAAAAQGLLKEWKGHLGLTENSEGEQAGGVMAFTQSLDGKRVVTAGD DGISFVFEE AFLA_057650 MVFTPQKGAGELPAIPDNIPISEFMLNDQYGRNPLQKSRDPFTC GITGKSYSGAQVAERVDYLARALAKEFNWAPNQGTEWDKTLAVFSVNTIDTLPLSWAT HQLGGIVSPANAAYSAPELKHQLVDSQAKALFTCAPLLSTALEAASLAGFPKDRIYLL EVPAELTGGASIPAQYKTVSQLIEAGRSLPKLERLNWGPGDGARRTAFLCYSSGTSGL PKGVMISHKNVIANVLQISAFEKTHRDALAAPGGPQHTEVVLGLLPQSHIYALVVMCH TGPYRGDQVIVLPKFELKSYLASIQHFKIGSLFLVRTVPPIIITMLRNHEVCKKYDLS SVKSLFTGAAPLGMETAEDFQKMYPGVTVRQGYGKLQLPNGEICLTETCTVVASTHPN DIFLGSSGTLIPGVEARIVSPEGQEITSYDTPGELVVRSPSVVLGYLNNEKANKETFE NGWMRTGDEAVFRLSPKGTEHVFIVDRIKELIKVKGLQVAPAELEAHLLTHPAVADCA VIAIPDEAAGEVPKAIVVKSASADKDDEKTIQSIKKYVEEHKARHKWLKGGIRFVEAV PKSPSGKILRRLLRDQEKEERRRAGAKL AFLA_057660 MPAKRKRATAGAEVSLPSAVNGEPPAWANFRSELGDTLPWFRAV QGGIYHKDGLAWGVLVDRDSGERSYIDEEVVITRIGGSCSKNANGDLVRVKDQAKKDL LVKCLMNSMEQKVPVGVIIGEHNTVLGKMVPHPYNVMGYFRITNIWFECIGKRIAAKV RYEKLDLQSKSWWADKGSLPPPSLQERDFASRPNSVECNACNHRSFQVFHQGWMCLQP SCTRFFKIGALAAPANLTYHQKFLSYRMAPDPVIKPHHSLIPDLLSTFDEDDASVATS RVAWKGIVCPQCRKCISRKFWRGWKCSDDSTSISTQPQHSCTFEKMTRMPVVSLRSVI DTLEIAPQKRAFMPDDKFMTPEVDDNSLAPYRRDINNHPNGPNTMFTQLQMAELGLQR FPLRNAVVTGTLTAHFAVNYGNPYKYVAPVNCKGFGEAPGPVLHALGRLQWATEQAVH LTGHTSYPPNEMLVLGYFANMKIGYHDDGETSLGPTIATLSLGARSTMQIRMKSKYYK GQSSRNILLENDPVLPGCAFQLQRQTWKAEFEHGMITQTKYNKCRNELFKNNRTQCEA KPCIKLDIHHGDMVVMHGESLQKYHEHEVIPESKLRFALTARHIKAEHVDPKDTHKGE FTLAGHQIYNGI AFLA_057670 MSFIQLVHFFGLLTLAAGKPILIPRQASGAPQDGARNLIDVQLQ SIGNSTIKAFITNIADENLRVVKRGGLLDNELPTKKVVVSGSGANPTFTGAEVDYVNT HLNDDAFLELAPKQTIESIFDIADSHDLSPGQKYSAIADGMLEYTKLDNPKKFFVVPY TSNAIDFDAPEDSANRLATRATLEACSGEYNKLMQDNLAQAAKMAEAAAADARDGSSG LFQKFFKSQDEADKKEVAERLEAIAKEATSKGTLTYYCQPSAQDSCGGNIAAITYPTQ NRVVNCQAYYETQQVVNECGYLDQAAISLHEFSHATSVYAPGTDDVVYGLDGVLQLST AQAKNNADSFAYYANSVFNNCSADGNQSGNSGTQIGSTNGNGGGLDIPFGQGTGQGNE QGTSQGTGQQTGAPTGMENGGGFTIPWGQGIGQGNEQEVSQGAGQQTNQGPATGIGGM NPWGQQAGPAEGTTQPGSEWPAGFDDLPWSFNNPSQGAQSNGGQFSNDWQPVSNDYQE ISASPSGDFPFGNFGAPESSQGFELGEGSGWFKRDQ AFLA_057680 MWSVILLSLIAVVSALQSLPPVQWTNLDSEHDGFDIATIDRNIY ITNSFASDRDQNGLTLIPPSAIEFANTFRQDLEEITGESWNLHPVEVWPEGQTGIFLD RLDCSQDGLTYENGDPTEEGYKLQVQLGRVSILGSGARGMWWGTRTLLQRLLIAHNSP IPSGQVVDAPSYSTRGFLLDAGRKWYSPSYLKDLCTYASFFKLSEFQYHTSDNYPLSR GHNETWQDVYAQFSLRPESPELQGIVQRENETLSRADFEDLQQHCAQRGVTVIPEIEA PGHSLFITKWKPELALESKDLLNLTHPDTIPLVKSIWTEFLPWFQTKEVHIGADEYDA TLADDYIDFVNDMAEFMDEQAGKTIRIWGTYEPSDTRNISKDVIIQHWQYGQSDPVEL AEQGYEVINSEDWWAYMSLKNDHMPIFPAPYPDFFNNSRVLNFADREGWQWTPALFNP VNVTEQPDPKPVKGAILAAWNDNGPDATTELESYYAIRNGIPVVAARAWAGNRGPIIN VSTLSDSMDLLTSKAVAQNLDRQISHKSEDANELLSWTNPSENINRDKIHLGYGSKGM NYELTLNVSGPFTLWSNDSTLALSPDGNLTFVSDGWEYPLRSIEETDGFDESYPGRIW TNETSSTHEPVTVSLQSHITIRTDMIGGSRVWVNEGFAGRFEVLVFGGKNRLLSWSQM AFVAPLEWIEGGIQRLTVSEFDGQH AFLA_057690 MYTFPLLLGILAATKDLALAKPTQKWGLREFNNLVTFGDSYTDD TRASYFYAHNGSAPPVGWKQPESNSSASGGYVWGHYVAAATNATRHNYAVSGGACSNK ITPRTMSGLNMSFPSVLEYEIPAFLADTQYVDSQGNKFLDIPADETVYAIWIGTNDLG NYAFLTDSQVQGKVIPDYIECVYESLDRVYESGGRYFVLMNLAPLQLTPQYALLENGG AKTVSWWPDKPSNQTLISYRMWEQVVNVNEVFRYRTPFEVLVADRYPGAGVAVMDMYG LLSDIYYNPDDWFGDVGANVTGFVKHCNAEGEDCVRLQDEENFMWFDELHPSQTTDKF IAEEFVKVVNGESQWATYW AFLA_057700 MDDNDRTPHYRLSPPLRPIALRPESVSRSELLLTVKNRPEPWHS LDYSVEYEGQTIFSVQGYPWSIGQRRVFYDRSGLPLFELRCRWYNSSCLELRLPGQME HVILSAKLRVAVRAPKAVIRFRNAVLSEQRRPGDSKKRKGKGKLDDDGDNGVYLPDSD EMMLEVRDEDPYYHTQVLVLGDRIIAHIRRVTNPAELAEGPQPSSCYRPKWEVRVAPG VDVALIAVVVVILGQRVTADEDYRGSGDI AFLA_057710 MKVGDSSSSNLAITITVEKDGFYEVNGTRQEPTVSLYMTAGASK LRRMLRETDDLIVCPGVYDGISARIAMGLGFKAMYMTGAGTTASRLGMADLGLAQLYD MRTNAEMIANLDPFGPPLIADMDTGYGGPLMVSKSVQQYIQAGVAGFHIEDQIQNKRC GHLAGKKVVDLEEYLTRIRAAKLTKDRLRSDIVLIARTDALQQHGYDECIRRLKAARD LGADVGLLEGFTSKEMARQAVQDLAPWPLLLNMVENGAGPIITTKEAQEMGFRIMIFS FACFAPAYLGIKAALERLKNEGVVGIPDGLGPKKLFEVCGLMDSMKIDTEAGGSGFTN GV AFLA_057720 MIPTAVVGVLEQRQGVCNGAEMRTPYSERVVLGHSSINLRVVSI ADRAEMTGDEWLLSGCCAKKGWNEEVRSHLSVDQIYSASQGFRVLAPMIRSSHAPSSG PSASYARGKISLLTAKRLGMMTPSLGIITRVGLGARYEET AFLA_057730 MQTGNTIFVGLGASNQNPRPYGWARSLTSIGCFIIGSFIFARLN RLFGAKKRGTLILSFCLQVIMLILTACLVQSGVISGLPLHNVNTAEPDWTQEVPIVLL SIQSAGQIVASRALGYNEIPTVVITSLLCDLMSDPKLFLLRNEKRDRRVIAFVLTLVG AIAGGWITKGTGDIYAALWIAAGIKLGIASAWMFWKEELDLST AFLA_057740 MASITVTGAAGRQIQENNLGKLVPTGLFIHNEFVPSTTSQTLTV ENPSTGESLGTISAASPEDVNKAVESATIGFNVWKNTSGPARAKLLLKLADLIERDAD DFASLEAVDAGVLYTDSKGMNIPQAVGNLRYYAGWADKIDGKTLAMEGGVAFTYREPL GVCGAIVPWNAPLMITIWKLAPALAAGNSLIIKPSELSPLYAQKLALLIQEAGFPAGV VNIISGDGASAGRALAEHMTVRKISFTGSSATGRQILKTAASTNLKRVNLELGGKGPS IVFDDCDLDNAVLWTRIGITANNGQICAAGSRIYVQKTIYEKFLEAYKKMAEGSPSVI GNPLDPATTKGPIVSAAQHNKILSYIEQGKQCGARLLFGGEKVGDKGYFVENTAFADV SENATIMKEEIFGPVASIAPFETEAEVIAKANDTLHGLSAAVFTNDISRAHRVTAALE SGQVTVNAWAMLSPNAPFGGVKESGFGRDMGEEALEGWTSVKSVKYAILPPKL AFLA_057750 MPTETEDVRTALLWAQEHNVDLAVKGGGHSVAGTSSSEGGLVID LSRMNKVTADTEKKTLTVQGGAVWKDVDEAGAEYGLAAVGGTVNHTGVGGLTLGGGYG WLSGQYGLTIDNLLAATVVLADGQVVTASATENPDLFWGLRGAGYNFGVVVDFTFQAY EQKTPVYAGIIAFTPDKLESVVEQMNVLFENPDPRSGAMIIFAQPPGAPTIMVNVLVF YNGTNEEGSKRYAGFLALEPVVNMIEVIPYSLLNSLQNPMATYGDRKSFKGLFYRTPM DAQFLRSMLDELNAKAQDHPDMIPAMLLECYDMRKTCSVPLDATAFANRSLTQNGLLN LRWTDSSKDAEYRAWAREIQAKFKAQFESQLNGEETADVPQYINYAEPGDAVVNNIYG SNLERLKDVKAKYDPKNVFHKMHPVSRA AFLA_057760 MVDTFSSIPIVDFRRLQDPLTKAEALEQLREAIFQVGFLYLINH GLESLVKRTHEKLPELFALPTEVKERCNMINSPAFVGYTRLGAETTASKTDLREQFDF GTPGMKPWTENDPFWQRLEGDSQYPDHPGAKELVENYIAESAKLSQEFMRYVSECLSL PPTTFESFKGKMDRLKFIRYPQAAPGSQGVGPHKDSTGLFTFLSQDDTGGLQVLNKNG EWIDAPPIEGSLVVNIQQGFEAITGGICTATTHRVIAPTTKTRYSVPFFLGVRMDLTL DQLRESAAHIVKCIPASDDRKKRAVDVPSEFLSPLYSCFGEAYLRNRIISHPDVGQKW YPELYERYTKEKLT AFLA_057770 MANFKLFLALAACLSGQALAAPTKTIGKRAAITDVAHGYASQNG GTTGGAGGTTTTVSSYAQFTEAVSSDDAKIVIVDGTITETADQVKVGSNTSIIGKDAN AILEGFGLLVKEKENVIIRNLGVKKVLADNGDAIGVQYSNNVWIDHCDVSSDRDHDKD YYDGLIDLTHAADYVTVSNTFVHDHWKAMLFGHSDSNGDEDTGHLRITVNNNYLNNLN SRGPSFRFGTGHLYNNYYLDVSDGINTRQGAQLLVEGNVWSGGKKPLYSTDDGYAVAR DNDFGDGENTAPEGTLTSVPYEYDLLAASAVKDAVVGTAGQTLTF AFLA_057780 MDLKYEFPPQQQEGKEWFNFPTEIERSLTSVFAPRNILIVGAGI AGISCALALSKELTPFVPDLQITIFERHDILSTSGGAINLTPVAQRHLDRLGVLDELD KMGTEGGTDVEAIELFSSRSGRPLGSIDFTDQKGNGFGGYKGRRVMRIVLSVAMLAVV ERTKNINVVFGKKLIRGEENEDEATLHFQDGTTATGDLVLGCDGVHSATRTHWVSPEH PSEYTGISFLQTVIDAKSIKSPIHFRSTSMNISRHGSLLASYCDREHDQIFLAAIVQF NEANLPSCKIENGQDWVTQHRIKNALHEEMQARFGKSGVPCIREMTSKSGDWMLYPVY QVRPNARWHTDRALLLGDAAHAMPPRDESAAYALDDAILFSRILAKHRHEPLPVAFKA YEDLRRHTVNTAFKASRRMWEKNRDMGFLEGRLKELTFPLYIRNHRQEREAAWAFDAT QITIPMPVEGGSLYSHGKSDTS AFLA_057790 MMRYLLTVSLALVATVGGNPSEPIVDLGYSSYRGYYNTTTGLNI WKGIRYAAPPINELRWQPPVAPPRNSSHILPAVDQPPVCPQSGAAGTPTVYGFNSGPG DEDCLYLNVYAPPRASDLPVFVWIHGGGYGLFGAVYDPSPLMNTNGNGFITVEIQYRL GAFGFLSSAEVRERGTNNAGLLDQRFALQWVQQHITKFGGNPRRVTIGGESAGAGASM LHALAYGGEESNLFQNIIAASPYSVPIYPYDDPVPTGYYEEFVEKAGCGPSSIAKVRY NSSFDCLVAAPSETLQNASGLVSESGLFGTFAFLPVIDNDLIRERPSAQLLSGKVTGQ RILVGVSSSNNANDGVPLSNPNVVTRTAFDSYLSATFPNFTETDLAWLNLVYDTADSQ PTDDSPRFDTLGTSGPTAKNESEVATGLQQTVFNIFAESTFDCPAQWLAEAFGGPLRQ AWKYQYSVTPAYHGADMNAYFPAKASWPSKEFNHAFQKIWGSFIINDSPIIPLQDATA NQSHAIVPETPHGTLDWPQFHPSAPWHMDLNTTGGTVSPVVVTPNYTYYIRQGDDIIN HFRLANAYTWEGGRGLRCLFWRAVADRIPL AFLA_057800 MPGSSWPSKHKDDFLPDPVTCWVYSSTVSFLHLEVRAQYRLQQD YIIYLQSYSLPGAIGMYALSLGVQKIGDTLPLPVYALLSGLNSSTVGIIALAAVQLAE KAIRDRLTRILVIFGACATNGQSLAKEPWWVVIAVLTYAESAWFNVPSAAAIIVGAVL GLCWYGVVGY AFLA_057810 MNIATTCNSWSIENHRLEEERRWVTDLHCKAKKDNGEWISTQLR LDDILGNDDGNFKYSLRYPERNISSSMSNPRLEVTGDGRPILHGRLTTRDAYGHDRSL DLSKILWNKDGRLSLNEDVVRAEDDRRREEARQKMLEKARRNPKLMERLRRQGKL AFLA_057820 MWTRTLAAASVSLRPSSVPREILRSTFAPANFLRDTILPRAQLT PCLPRSIRQISTVNMGDKVAAITLPNSEQFYLDGEQGESYLIQVSWPLHWQGHDPPVG NEQLPIIYIVDGNALFLTATEAAWRRAASSHFAGGGIVVAIGYPLTRKLYDARRRSLD LTPPTQAQIPGYGGADLCLDFIDKAVRPAIKERFPKLSFSREALYGHSYGGLFALHAL FTRPQSFDCYIASSPSIWWNSRCILHEAKSFLDKAKEADEQTPSLMVFFGSHEQSPPQ WNNEPLDHYEARKQIASDLRMGDNARDLVEMVRRSERLHTVVVNEYEGEEHTSVMACS MSRGLTAFFEDWPFPN AFLA_057830 MRRATSFGRLLVYGNPSSSDLALLLVGFITSIASGIPFPIMSIV FGQLVDGLNSSTCNVTPSNADSYQAGINDKILLIVYIGIAYFALIYIYVLCWNLSGER LAQRLREKYFSAILRQDAAFFDNLPAGEVSSRITGEIAVIQQGSSEKVGIVINSIAFF ITAYIVAFIKDPKLAGMLVSLTPAYLIMSIGGGYFVQKFFGRALEGMAKASSVALEAF SNTMVVQAFSANARLEEKFVEVLHPALSAGVWKSIAAATQAGLLYFIAFSANGLAFWQ GSRQIADAVESGGDGITVGNTFTVILILVDASLILSQAAPFLQSFDAAAVAFGKLEAD IDHPTTIDGTTEDTGRVLPEVTGNVELRNVSFKFPSRPDKPVLQDLSLSCPAGQQTAI VGLSGSGKSTVAGLITRFYNVDEGAVLLDGHDVKDLNVRSVRSHISLVQQEPCLLDRS ILENIALGLINSPRHDHLHSILMGSVLADVAAAVRDGKDLVSASLEHGDGAREIVELV LHAALLADANGFIERLKEGFGTQVGSKGNLISGGQKQRISLARALVKDPRILILDEAT ASLDSATEARIQEALDNVAIGRTVITIAHRLSTIRNADNIIVMRQGKLVEQGTHQQLL EANGAYAELVRLQNLNVHGGQDDEETEVSSARSGSLVPIVSEKTGALETSVTDAIDEE KTKPAKTAEPESAIEEKRSFGSTVGSMGSLFRPYTFVLILAIAGAVIIGGTYCGSSVI FGNVVGKLSGCEEPDSIRHAGELFGLMFFVLAIVEFLANFLSWSLFGWVAEQVIYKVR ILSLRSILEQDLAWHESANRNPSLLLSFITKDSSALGGLTGSVVCTILSVLVSLVATI TMTHIIAWKIALVCLSVIPLLLGAGYMRVTTLASFEERHLEAFANSVGITVEAVNSIK TIMSLSLEHEVLGTYRRSLSAPMRQITRQSAWANLWLAVGYGLSNFLYALAYWWGSKR IIAGEYTQTQFFIVQLALLVSSQLWGQMFALAPDVSRAFQATRRLLNLLDLGSTKKLS APLQLLRDVEATAPPREKRSSSESRDGISVVFKQVRFSYPARPDTRVLHGLDLSVQPG QFAALVGPSGAGKSTIISLVERLYGPDSGTIEVDGHNIAYSDGSFRDDIAYVPQQSVL FEGTIRFNLTLGARPGQTVSQSELEEACKLANIHDTIMQLPDGYDAYCGPSGDRLSGG QKQRLAIARALIRKPKLLLLDESTSALDAESERLLQDGLEKATKHMTVIAIAHRLYTI RKADVIFLIEDGRCVDRGTHAQLIERSESYRVNALNQAVDG AFLA_057840 MAIGDRFQNLVGRQTPNDTHEAPTVEDIETPVTINAAPYDKEAG TGSPENKTASSDDNISLPHEDAQRGVKDIEAVTLAWSKATLATFLILIWIYTLANGFR SSILASLTPYATSDFQSHSLLTVIEIVASAMTSAVYIPMAKMLDVWGRAEGFLLMLGF ATLGLILMAASNNLPTFCAAQVFQSVGLGGMTYSVNVLSADVTNLRNRGLAFAFVSSP WMITAFAGSKAAEEFLVHVNWRWGFGSFAIIIPAVAMPLYVVLKVNLRKAKQKGLVNH ERSGRTLVQNIIHYLIQFDFANILLLTVPGVILFAAGLTVFLLPFTLARSAPEGWKTD YIIAMIVVGFVVLLLFAAYQVYLAPVPFLKHTYLLNRTVLGACLLDFVYQMSYYCWNS YFTSFLQVVNNLTVAEAGYVNSTFQVVSGVLLFIVGYLIRKTGYFRWLLLIGVPLYIF AQGLMIHFRQPNGYIGYIVMCEIFISVGGSVFTLCMQLAVLAAVDHQHVAAAMAILFV SGGIGGAVGNAISGAIWTNTFESSLARYLPESALPSLASIYASLPVQLSYAVNSPERI AIQKAYGYSQTRMLAAGTGLMALAFVAVFMIRNLNLKNMTQTKGVVF AFLA_057850 MTQAILRTERLELVPLGPEHSEFTKMLDKDPQVMKYIGFGKPLD DEQAKEVHKWLLHAATLVPGFGCWVGFAGGEFVGWWVLAPCPSEGTPEQFRSDRSEFG YRLLPKFWRQGYAKEGSRELLRHAFQDLGLSEVFGETMAVNVASRAVMAACGLKHAYT FHNKYDTPPPGIEEGEVRYQITKDEWVSLVPVQ AFLA_057860 MKTFNHLGLILCGGKGPRALRVPTNTTAVVALIAWSVVLARYLR TRVALSDAQSPDFIQLRVAAIPCNSL AFLA_057870 MEAISLNSSDMSRFSDFTILTTTYKTVHGHEITTDILYPKALTP RFEKTQDTVTCPILLRYHGGGLIAGYSLFAPFFNPWYLELSKEYSAVIVSPNYRLLPE ATIFEILEDVEDHWNWMHKSLPQFLSKHTHGTIQPDLDRILTAGDSAGGYLSIQMGLS HPEQIRAVNAVYPLVDIKSPYFTNQMEKVVFSTPVLPQDTLARHIRKFREREEATNQK VVVSAPTDAERTQLMFSVCQHGLFGQFFPGDAVELYPLERLDAGARFPRGGVLVLHGR DDSVVPVEGSYMLKNKIDELDSSLRFRLVVRDGEHGFDHSAKLHDAWLWEAAQDVIHS WLV AFLA_057880 MVECPICQKTVLLSEINRHLDSGCEAFCENVSSSSLLSSGPNPG CDRPLEVTSNSAVDDKERPDRIHHTIPIARPLVTESTKRVFDQQQSCEEEKRDTRTEH TRKRLKPDNAPLAERVRPGSFDDIVGQNHLIGPNGTIRQFVHEDKIPNMIFWGSSGTG KTTIARIIGDVSRRRFYEIGSTITTVTEYKNIIEKAFKDPDKGLRPSIVFCDEIHRIT KPQQDILLDAIKTGRIVLIGATTENPSLTIRHGLVYKCAVYTLTKPKDADVRKMLHRV VEEQGLHSHLLDDELLEYLSNFADGDCRLSLNLLEIACDLSKRDGMTGERLRNSLTMH LNYDRSGDQHYDTISAFHKSIRGSDADAALYYLARMLKSGENPLFIARRLVVATSEDI GLANNVLQTYATSVYSVLEKLDIQDAQASLVQLVIMMCLSKKSTRSYRGLNNAFACLK EPGAANAPIPYQLLPISPKTKTMVEEFTTPHVFSKGKETNFLPECLKGRKFSEDTDFL FKRDPDLTYR AFLA_057890 MDQHQAPVIQELSRLIPSLSVYTVSNPQFEHYRMTYNRALTHQP LAIVRPQTEEEVSQVVQACSNQRIPLAIRSGGHDFFGRSLVAGGIVIDMRAMDSIIIS PDRTSARVGGGVIAGTLQQYLAAHQLFTPTGQSKTVGYVSWACGGGYGFYVGTYGFGV DQILGARVVLASGAIIDTDDDQELLWALRGAGAGNFGVIVELRVKVYPAPKLYAGYLA FPLHEAATVLEEFETVAAHGLPDEFSGDGIVAHPDMLQGVVSEPCFTFFWCWTAVDGD LEPARIFLRRMMGLGTVLANTVEETTAAAYGVGDSASATFFCSRNIRGLNPEIGAIFS GHPPIQPLSAVIIHNNHGKGVRKEIPNGFSACFPNRFPHVILGLHGGTHSNAEVGSDT ITEASSWAKQLRREIEERELALDGGFPSFFPPEQIDVEQFFGVQATDRLRRLKGRLDA DNVFSGGMVKCL AFLA_057900 MPNIKFFYTPDACSIIPHILLHETRTTFEPIRIEMEGSDESFPE SFRKINPKSRVPVIVVDNEVITEVPAVSTIISSLAPEAHLLGRTPIETVRVHEWMNYV AGTVHAGGISHFFRPGRWTVSTEEKDLEMIKRRGLEIMRNCFVLIEEKLVGEYAVGEG FTAADVFLYFAYRIGVRAEIEMPVYAKFTELARRVEGRESVQTVLGSEKIHSIF AFLA_057910 MPLVDVEERYVADTVGDGKMSCDTTVRDRLESVATVVKPPGPSR EPSGKDARNCQHWMEYVQTLVDEGFLAGCAVDVLVDAPRRF AFLA_057920 MRSDYSAQDLDVILELAATVQKQYTDVPDQYKGILNDAKRLSDQ LCETEDEDSGHPVGDQEKQRLDDIHKRCRDLLHELDAYLGQLDTTRTLSPQDEGGSVD LKTTTGETVLLLASKQGFREIVRFLLEQGVPIDPKDRAGRTPLHHAVAKDHKEVAKVI LEAGGDIETTDLADHIPPMFAAPHGNDKENRSCHTPLITAIEADNEEMVKLLLEAGAD PDHYTDYYTPLTSAARNHNMGIFTLLIDKVSNLDHQDEGGDTPLMHAAKWHNGVAELL VERGARLDVENRYGETAMSIASQRANKHLLTLLLERIPGQEYINAKGQGLLHFAAESD LGGGDEPMVRFLLAREGLTRDQKVADAQSGLHGAAWRGYTTILKILLEIDGVDVDGKD ANGYTALWLAVRMGREDAIEILLDTYGANPEIHNGRMEWSAIHAAIIHNEPSTARMLL ARGVNPNSRDRHGRTPLSGAVNIEWDICHDGIGSTMVPLLLETNGVDVNSQDNLGRTP LFWALLSAIYLVHSPEKVEMYEAGVQLLLEQGARVDIRDESGRTPIFYAAMVKRAALV QMFLAKGAEPDCIDADGRTPLSYAVEPFNVGWLVEYKGESEDEWDPAWSGDQLSKVVK ALLAQGADPNRRDVKGLTPLSRAEKRLEEGNEVLILLRKASARVSGLWFKSRF AFLA_057930 MQFTTSRGSVWGHKWRSSSLFIVSAMAMALFTDVFLSTFIVPIL PYILESRLGLDVSLTQRMSFALLALSAVTSLICSPFIGHYADQMSSKKIMLLGSLATA LFSTIILAMATSTFTLFFGRFIQAVASAFIWTVGYATIADNVKQDNLGKTYGVISLVV AVGTSGGPMAAGILFEIGGYWLAWSSAFAIIVVDIVLRVLMIERPRTQPGTPRGDDQD PENDPLLPDNISIVEEKTGWHFYTYLFRHRQFVCGAMSYFVFAVLISSFDTTIPLHVR DVFDWGSMMSGLLFAALQGPGIIMSPLCGWLKDRYGTRYPTAAGFAILTPIMWVLGMP GDDRFPGVNGGNTGQIVYAVCVTAVGTFSCLLNGAGSIEATVTVDEIEARHPGIFGPN GGYSRALSLASMSWTSGAFIGPILSGYLTEKVGYYEMNCVIAVLCALSTVNALWNLKS KTPADGQGQPDDRK AFLA_057940 MPTDQGEIKYIAVLGATGNQGGGVVRALLAKTEPSFHVRAITRD VSSPAAQRLQAKYKDSGRLEFVAADVYNKQSLIEAFQNVYGVFAVTNNRIAGKKIEKE QDMDHELVAGRNIIDAAKVCSTQTCNGLSIASVKMKSLANAKTEIFSLGPEKTAAKTY PVVGPKLSFADFARVFREITSQEATFDPITLDQWGATVAATVGKGYEEDIKQMVEWIA VAPDDKICYGTRDQTEDTSYEDLGVKASTLADWMRRTAWQGPQ AFLA_057950 MTPLYCKGNYTVYSYFVGYKPISTQGDDAAFVDIPKKAAELDFL FTAELAGCSLIVTDYNSSHYRVYHDSRPMSSTFYDHVVMAADLTDYIGGLEYKQGKWK LYAQLLKENDKHQRII AFLA_057960 MDMATCSPLEGVMVDLWHCNATGSYSSFTELSPNTKFPALLAEQ GKNASDFVVGSTDIHTDSETWLRGMWPTDDHGMMQMKTIFPGFYVERTIHIHVQVHTD WTTGENGTLVFENTVSTGQLYFDEKLEEKIMAMEPYSSHTQINRTRNDVDMEFSKGTA NGYNPVVSVVPVDENDLTKGLIGYITIGVDTSAIEDEHWSAS AFLA_057970 MVTGSTSALLHSIPISPHSSLSPSEATACIAMNPLDSATMGTTI NRRQSQNREAQRRFRERREQERAQTQVKMDVLRTENKRLSDLFNLLRTENHRIEGENE RLKAELEIMRKRWKDVLRVMSEMAQQDERTAGCRSSSSTTSPSSPTSPSGPCSQVDMQ SLRRSIVMQTLVALFEERGSDSARSVTIKDGSVSP AFLA_057980 MAPIHERIREDLLIKERSLWTALTSADPGPEIEKLSNSEANFLF PKTPILTLDGEPSLQQTLKPPFHHFDSFELKDVRVIIIDLMAGTVTYNINASKGKEQY RATGSTTWSQASDGEWRVVAHTETLL AFLA_057990 MQLLNTITTGLLLSSSCLAAASPATWQRSVSQAPKKAAPKVFIV SMFEPEAAAWWGIPEFDLLAHNITIPGASPIFPDVHCTADYSVCQLITGEGEINAAIT VSSIALHPFFDLTHTYFLVAGIAGVNPKVATIGSATFARYAIQVALQYEIDLRELPDN FTTSYFPQGAYAPDQYPTSIYGTEVFEVNADLRDLAASFAKKANLSDSESAKEYRSKY TAEGYEAATKAPAVVKCDVATTDVYYSGKLLSEAFDNTTEVWTNGTGKYCASAQEDNA TLQALLRTSARNLTDFSRIIIMRTASNFDQPHSDESALQHLRYVDAGGFEPSIKNLYN AGIEVVTGILNGWNTTFKAGVKPSNYVGDIFGTLGGTPDFGPGRQQALADAGAITKRG LLRRGLMLA AFLA_058000 MLLRWILVLASATLAAGAAAATTESEDTLPQCAVTCQSDLIANN STSCASTDTSCLCGDTTFQSNMTDCVSTSCTPKQTLLTTRLSNRQCGIAPHKGRPEVD SATLVPLIFSTLLFANRIAAKFMGLGGGWGPDDYTIIVAYSLAVAIFAVNMTMIHYGF GQNMWDITPLDNITIVLKYFFAFVVMYKTQISLAKISVCLFLLRIFQSKLFRYGAYTI IALNAAIGITWVFTDSFRCIPVHLAWDQWATGEPGKCVNFIAVTFVNAYVNIGVDTVM VLMPVYEVFKLNLSARKKAGVSVMFAMGLVLTGVAIARVIVFWFNRWNTNPTVQLQPI VHWSVIEVHIAVMCACLPTFRAMLVHVFPKMLGNSSNQSYYEQRNTPSKPPTFGSTQA LSKSHINKTVSYSVDYGANKRPQRHSFVPLVELDPHDH AFLA_058010 MPQLTEPANLPPPPYNSSTYIFQNTTIRQTIRVTQPGHEIRLRL SNAFGLEDLSVTKVAVSLPVDQKLGTSAIQSNTTKDVLFSGSADIVIPNGGLVVSDPI AFPVKAQDTLTIDIYLEQGQGGGAITSHPGSRTTSWMSLGDWVGKRNLTDSSVESVDH WLVTLYFISAIEAHLPSTSRSCAIIGDSITDGRGSDTNKNNRWPDLLLTRMQQTPTTN SIALLNQAAGGNRILADGLGPNVISRIDRDALAQSGVRYAIIFEGVNDIGVADADPEV QKKIGDRLIVAYQQIVTRLHAASIPVFGATITPFGAPANASDVQPYSDPVREDTRQRI NEWIRTSGVFDAVLDFDQVLRDPEAPAQLADEYDSGDYLHPNVAGYQALADYFPMDLF EEYRS AFLA_058020 MSIFQAQKTALITGAASGIGFATAKLCRSRGMHLALLDIDAANL HKAKDELAATDPSLKTESYEIDVGDKNRWSEVANSIKSAFSGVDLVFLNAARPQRAQS QYEGKLKPWADVESWKKTFDTNVFGPLNGIEAILPLLLSTNTPRSVVITGSKQGITNP PGGGAPAYNASKAAIKNLTEHLAHDLRSDPATAHISAHLFVPGWTWTGLMGNVGPTQE ENVKKMAGAWFPSQAAEVLVDGVEKGSFYIICPDGETDWPLDQARMQWASDDVVEGRP ALSRWEASWKERAEAGIRADAEQRRK AFLA_058030 MASKSVPSSDLERHDTFKSAGRNASLDDNDTNKLGAYASAPGVV EDVVRVVDHKAERALCRRFDLRLLPILAVMYLFNALDKGNLGNAETDGMSDDLNFKPN QYNLLLSIFFVPYVIFAPPFAMLGKRFSPARVLPILMFSFGSFTLLSSATKNFGGMFA LRWFLGMSEAAFFPLVIYYLTTFYRRGELARRLAIFYAASNIANAFSGLIAFGVFQIK HSSIPNWRYLFIIEGGVTVLFSIFAFWYLPRSAAEAKFLSDDEKALALHRIQVDSSAV VNEEFRFREALGIFKHPSTYVWLCIEICLGVPIQGVALFLPQIVQRLGYSTVKTNLYT VAPNVTGAVMLLILAFCSDAVRLRSPFIVLGFLLTFAGFMIYASIDDVQAQIRVAYFA TFMMTWGTSAPSVLLSTWYNNNIAHEGRRVLLTSIGVPLANLMGLVSSNVFRSQDKPK YMPALITVGAFGATGAALAGCLGIYMWLDNKRRDRRDGVTVRAQDVPTERLRDGPASP EFRWFL AFLA_058040 MFNRFTNGDWQSRLERWTDDDIEDVGDNLDKLMTALDNYTNAFL DKAPAQDNWPGIGPEISEAFRELAQTALKMRETIPWYLFGDDQVAATEAEKDRSAEDI ADELNDPFYFSQKLFPENIKLQRCTALNLTITLCVIAWNPPEEEAKHEDAGPDMWQLC TSPRMIAFFATYGASEAVAGYFGKAFWPRHPRGRLLAALVMVERLD AFLA_058050 MEGLLGFTGGEILHRRLIGHGVDHIFGHPKAGALALFRDVYKST VIRFVPSRHERGAGHMAEGYAKATRRPGVVFVSTDPSSSNIISPMLDGLLDGTPMVVI CGQVPATQETNSLQEEKSMERIGACMKWRARVQRLSELPGAIDAVFLHATSKQPGPTL LDISSEIGEAIFDSQALEELPRVALMVEKVHEKDCIVAPPIVDARFLYERIFHVADMI NQSRHPVICAGNGVLASARGCTLLSKIAKKAQIPVATTLLGLGSFDETREEALHMIGT YGAPYANCAIQNADLLIVIGARLDERAVGDADGFAPKALDREGGGRGIVHFDIDAGKL GKVIEPTEIILGDLSETLPVLLSFLIPVEDRVRWLDQIQIWKRLYTIEEPTGRAKHRP CPQQVVAELNRQTTPMKSSITVTTSVGQNQMWTSQHFRCTHPHSLITSGSLTTMGFGV PAAIGAKLALPDQQVIAVDGDASFCTTMEELMTALLHQVQIKVIVFNNRQQAILSQLQ SAYGAKACCDARMSPDFDRLARSMGCQGQRCDRVEELPHAIYWLLRCRGPALLDVAIR QPDMVSIAANGTQMDTVAWA AFLA_058060 MPTLHTALAPLLPSKQNSFLSVRVDGVFNQVAFRVMPAPLREKQ PLFDLSRRQQLQSAHNVRGLLFGFWSPGCSNGFNIAGFHLHFISDDRTAGGHVTGFEA WDVKLSVGVLKDYVVELPQDEDFLGVPIRSYEEDQNLS AFLA_058070 MASIKQWTTPLKGVESLKLTEAPKPAPGKGEVLVEIHAVSLNYR DIEVTNGEYTHHKSVGQEDRIVPCSDMCGVITQVGDGVSAWKVGDRVLSTFLPDHQTG QVTEKELSRGMGLPLDGVLAEYRVFPEHALVKAPSYMSDEQAATLPIAVVTAWMSING MRPMGQNGGHGEYILLQGTGGVAIAGLQIGKASGAKVIITSSSDSKLAQAKELGADYT INYRTHPNWEEEVMRLTNNHGADIILEVGGAQTLKKSFDSIAFGGLINCIGYVSGKMD APDDRTNVNLLALRRNVTLKGIINGPKDRFEEAVKFYEKHQIQPVVNKVFSFEEANEA FRFLESGNHFGKVVVRVK AFLA_058080 MSHKPADHTRRKPPSLFPAKEEPTSPFYTMFQSAPPDYLLQREE PREYPSSRSSPTYRTRGQQEDYGVILYGVAQKM AFLA_058090 MSEKEEVDLDSIINRLLEVRGSRPGKHVRLQDSEIQYLCHKARE VFISQPILLELEAPIQICGDVHGQYYDLLRLFEYGGYPPDANYLFLGDYVDRGKQSVE CICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNVKLWKTFIDCFNCLP IAAIVEDKIFCMHGGLSPDLNSMEQIRRIMRPTDIPDCGLLCDLLWADPEKEITGWGE NDRGVSFTFGPDVVHRFVQKHDIDLICRAHQCVEDGYEFFAKRKLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKKAIITRGFKSDRSNPSSSQQKKQS AFLA_058100 MKRIPGEPLSKAWSKLSTHEKEGIAKQTAEYLLQLRNLQSDKTQ SLTGGPVYLDFLFRNKDSHLSHGPITTHDELWAHMERGLNEAISEAVRIRLRQRMPPA APYTFTHGDLTNVNIMVENGCLTGIIDWETSG AFLA_058110 MSSSSHNPHNTPTLPNFTRYITTHDANGTAIIHSETESTFREYD NGSLRFNVPFTTSQFPAELSGDADIAAHESLIASGNLGLVSPSGTVCRVVDFAPLKSG GKGLMHRTQSLDYGIVLEGSIEMWLDSEEMKLLKKGDIAVQRGTMHEWRNASETEWTR MVFVLQGTKPLVVGDKVLKEELGTQTEIKPSASATNL AFLA_058120 MTSLPGSNRAMLVALWLMFALLIGFSDGHARSIRRHGGLRNPSR PIKAVSLCGIGCPSCPAGSKTAPKVSRPKNGIKSGSLPKRVLARPEDEDFGGDVDAFL VSQYMRADWVPSSQQSLSSGLFRELGNVKFNLAVQDLHGCTSVVVVSEKGVWMSHMWE NPAFGTEGPSGELWPSAENTFIADVLNAMEHGNQEMPGLTQFTGNGGAFIAAYKPFAY IFYPTGTQNLNYDRAYKARIDRIREKLQRLIPLKAPPLLYQYDRTRGSMMDARGKVLF QYEPNERVMQTNDGPLQQALNRLWLANRPTYVHQRYWPAWPRQMVAGNANQKRDTASS TPSSTPNSTLDDPETTLTRQIIPTLSTISLDDSIESSPATLDETLIVSPTSEAEPDIN IDI AFLA_058130 MNMGFLPTIKCSNCGMNVEISAMGDHVCAPGPVSSTPPPPPPKH HSPSKSLGRSGIPPPIDPSKANRPFMRLEAVISSKGNITPFPDGQQSPPRQLLRSQTS PLPSQPDQDEIPTFPLPRSMSQKTSKHMVPLDNPYKALPIPAGDTGTTGLSIRLPLGE QAIAPPPPLPKDDVPGPLPTFHKYSYSTESKSSYRTSIASSRYDSRRSTSVSMGRPSY GSLAQQYKFLDDAPPVPSTFPSKLLRDSNATTFSEANMPHGETQHDQDQHKRHGSGNL NPSTERTSDQDAQGDRLGGLRASSHTNSDRLSSNRGSAELFFRSPTPSSNGTPSELLD LPQERSTSPADMQGIEYKAYKSPGLPHLPPPDQELGDVDEPSGAQRRNSDAVSEAAIS ITNFARELGLDTMDSAAESSTASSDSSPSDTRSGSSFSSIGSAISMSKRHPSDQGQLG TLVEDLQKGNGENTTMSMAGSDTLEPPRMPQHLFSPDSPTDPAISMGSVSLLHDKPMQ KPTKQDQPEPPATTSPTTERPARAAPRPKGPCRGCGEMIIGKSVSSADGRLTGRYHRA CFVCYDCKTPFQTADFYVLDDLPYCAQHYHQRNNSLCHTCHTGIEGQYLETIERRGHG PADRFKFHPDCLTCRTCQVALKGEYFEWNGQVYCERDARRAAALPPPPRYQRPGPGLY PPGPLPPHARQPSRGYPRPPPPGYAGRPRSPRPPGPPGPPGTPTTRLPPPSALDGPYG MTSNSGGRFPERRTTRLMMI AFLA_058140 MPNPVETNQRLGSMTWEGWRGRQFIQLVHLEIAGRHHPFNGRRS SSRGGTSAYELHTH AFLA_058150 MAPHANSDVAANGAVNGSAQSSLFTVNSPNVEYTDNEIKSKYAY HTTDITRTADNKLVATPKATTYHFKVDRKVGKVGMMMVGWGGNNGSTVTAGIIANRRG LQWETREGMRAANYYGSVVMSSTVKLGTDPKTGEEINIPFQDMLPMVHPNDLAIGGWD ISSMNIADAMDRAQVLEPSLKQLVRKEMAEMKPLPSIYYPDFIAANQEDRADNVLEGT KACWAHVEKIQQDIRDFKAQHGLDKVIVMWTANTERYADIVPGVNDTADNLLNSIKTG HEEVAPSTVFAVACILENTPFINGSPQNTFVPGALELAEKHKAFIGGDDFKSGQTKMK SALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVAANHIL YEKDEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISLFNICEDSLLASPLII DLVVLAEMMTRVSWKAEEAADYKGFHSVLSVLSYMLKAPLTPPGTPVVNALNKQRNAL TNIFRACVGLQPESDMTLEHKLF AFLA_058160 MPDTIPLPPPFSTIPRTPLTLGPSPIHPLPRITADLNQTATIYA KRDDLNSGLAYGGNKTRKLEYLAADALAQNATTLVSIGGVQSNHTRQVAAVAARLGLK ARLVQEHWVDWEDPGYDVVGNIQLSRLMGADVRMEDAGFGIEHKETLKKLREECEGNG ERPYYIPAGASDHPLGGLGFARWAFEVREQEREMGVVFDDVVVCAVTGSTMAGMVAGF KLIEKLYPGEKKKRVIGIDGSAKPVETKAQVLRIARNTAVQIGLKEEDITEEDVILNE DYHAGTYGIPDKATWEAIEYAARMEAFITDPVYEGKSFAGMVDLIKKGEITGNVLYAH LGGQLALNAYSRLGETK AFLA_058170 MTKVLLTGATGYIGGTVLDHLIKSSATSVKDLTFDLLVRSNDAA EKLIKTYGDRVKPILWAGFTDLAFITDTAANYDIIINAGTGFLPEGTKAFIHGLARRI GPDTPVPWFIHISGCTNLSDRPLTATAYPDREWDDANGNAVYEFLKSEDARDPYPQRT TEVGVLTLAEETGVQAVSLNAPGIFGTGRGLFNTQGLVHLLAMSYILKHGYGYKLNDT ANFDWVHVEDLADVFVLLVRAILEREDRGVGYIPSGKNGIIFPAVGRVSLIQIMEEGL DAAFGAGVLPREDTPKEKEIRLVGLQEVADEVMGGLLDMAERGVAGHKKMKGTVARRL LGWNPSRLEEHWRQAYVDAIEVLQSRKGSDTLETCLGK AFLA_058180 MASLDIPHETLVKLKDKIILITGGSSGIGRATVELCLQLGAKAV IGDLSPPPSDLSSTENLKFVKADTSSWDSLRNLFNEAVKTFGRIDHVFANAGIAPRTN FLDESLDENGELAPPDLKVLKVNLIGAIYTTRLAVHHFRKFAEQHNGIATGSVVFTAS ASSFQTFGAPDYATAKHGVLGLMRSLVGQLPGNVRVNGIAPSWTTTGLVSADFLASLG VITQDPGVVARSVAFLFAESTRNGHLIYSWEGNYKEIEQAEGGLLSTLKNILGNPVSE DEVLQRMKGKASTGK AFLA_058190 MAHGLFQLSNGLYTGLAVILLLPWTLTALGFTALNVLNSKSTYL EIIASSSLLTFLICRLLVASAPSTSQDDTIARFIASADYGSRRGAVILFGLCCTWLYE LLNQAVLLFFMTIFGSVMATAIYNDAFTDNNVDPDESTTAVSVEVKKFEDMAGFDPTA VFKLIPPRLLVYLVGLVWLNFLSLGAYVLCHAAVSLKKVLSSSVAVGRNVTAGEKKLV AEQ AFLA_058200 MSTSGNQRVATKVDRKRITDRKAQRNHRERVKAYISRLETTVEE LTKASQEGSECSLLQKLQEKQLEIERLKGAIRQANTALGTALDTTSASITRSPIAEIV PRDTPVTENNSPSVAEHETTQRLNNRRMQMEYTLELEDISLNMNRASQFQTNNKRKDF AAPYSNLASGDGQMNYFQVLNESLIHVLSGGPLTTSAADDDDLTIRAILHGWDTIKEE KPLDRAWNFLRALDQGLFHRTGPVERLAILRLMRSMLMVGRNK AFLA_058210 MAGFALNDHNGELNGGDLLAQTLKHLGVEVAFGLHGGHLDAFLQ GCEASQIRLIDTRHETVAVQAAESYAKFSKKIGVCFITANSGFSNGIPGLATALADRS PILCITSSPPTRDAENNSLQGIIDQVVVSRPLTKFAYRMTNPEDTPRIVKYAMGVALS GAPGPVLLDFPIDVLFTPVHKPLISWGSVSSPFPYGPGPHTAAIEGTVELLNAAKRPV IILGTGGNSKEAAESLMKLSETCHMPIFDTTKCKISFFPSQFALNGGASSALALLPHV GIQRPDLILLLGARTGMFLGGRSGAIIPDKDCKLVQVDVDGAEIGRTLPVDLGAICDV TQFAAGLNRHFETASFQGSVDTKWVDDVLGLKSLPSPYEQQAEVQPSGRLHPYHALKH LLTFVPKESIIILDGGEAPLWAGEMISTCSPSAIVKSSGGLGFLGNGFGYALGAAVAC PDQTVINLHGDGSAGFHFMDLDTYKRHNLNIMTIVVNNYCWGMSSNGQDLIYGTKTPT RPVSHLSPVTDYSLVAKALGNASAKIQSIDDMSPAFVKLLDQSGPTCIELVVDDKPIH PITVSMVGQTDEPGMVVVPYYDNVPRAYYKS AFLA_058220 MKAARFYAARDIRIEEVETPQGSDEKALVQVEWCGICGSDINEY VQGPMSIPHTRTGPHPLTGDILPVTLGHELSGRIIQAPSTSSLSPGQAVIVDPRYYCS SCTACTSSVTNCCQSLGFLGLSGGGGGFSEKVAVPPAMLHQIPDNIDMATATLIEPLA VAWHAVRCSGVKGFKGLPILVIGGGPVGVATVFVLRAWGADQIYVSETARRRREFLQD LVQATFDPIEVNGWCLIVLDPRKG AFLA_058230 MTYPLSRTTRILLLGLLALTYLFPPVHSLATIAKSKCIKSCGDT RKTSADDLVCPDSAYNNTQKGRTVKDCLLCQSTGTAYINDERNDIYTFLVTQKYTVQT CLFDRNDSSISGCQDDCIPLRSVYKTNWYGGSNFTPIYTYCDDAGFQQYADKCESCLR GKNGTYILGNFIDNMVSACTNKPNASEGEIVTLRQPIFQVPASEAVPDESESSSGLST GAKAGIGVGVGVGGLLIVGALGWFFCLRKRSKKVDAHQYERPWQQENPDAPVLSPDPR SGPPSEMPAEAVVKGPTELEGEGNAKANVGSGGNEGGYAKDKKETPSQLVELP AFLA_058240 MAYSPLRTLVGLVAVFHFFIPALSLEVTDASKCRGECGDRKNTL TTDLVCQDSSFNTTANGITMKNCLLCESTGTTYLNNHSGDLWDFLFIQKYTLQTCLYD GASETSISGCEDNCLPLRSIFKDLWYKTNHTEELYYYCSDVFTQYASDCATCLRSKSG SVILGNFMDNMDSACETKPNASAGETITLRRPLFDLSTATSNTTSTTTSSATATGTGD NGGGGAASSSSLSTGAKAGIGVGAGVGGLMILGAAAWLLLARRRRGAAQGGAHQYEPP MEQGPASELSYGAGAPVEQATVKQFGELAAVERTEVELDGGNGGLRRGGNAVELP AFLA_058250 MLTEASIKRPAVNPDLSASTAEIAAVPLTFLIEIRTLGAQCVDL KRSTRVIESKDMKSREGINANKYSS AFLA_058260 MRGLARQRQPNLPQELLKSLAARLAASKEANCREHILVILKSQE TFPIEAIDVLESMPIDDRFAFSPWAKHMHWNEDILMSKFTSSKEKDRVSF AFLA_058270 MIWAHCRIRSCDHSPYGGDKCLCEKYRGPLRFVIYQGVYNSYAQ LVVVAMSDKDRRGHPDGTWIAELDICIAKGAEIPEGDTHLLERLARNETPSDLRSEVC LSLAAGWLDKCSREHTECDADNDKPALLPTRVIDVGNSMTPPHLHVSGDGETGKWVAL SYCGGADSSITLNSSSFENLRSGQPLSDFPLTLRDAVLVTRALGVRYLWIDCLCIFQD DTNDMAAEASRRSRVYSNAVVTIAATTAETVNDGFLDKREPHFNCSFPWRRHDHPDSV KNDCRTYPVFFRGDRSPLNKERPRDSPWATRGWTLQEELLSKRILYYTKQEMIWQCHA GTATEPAEEPEPYSTPFSRLKKLSASSGDPEKSTKSAAATYKLWYELLEEYVRRHLTS ENDRLPAIGAIAESIHTQLNEQYCAGLWRGDLLFGLLWSLHCSSGGSGPPGRVIRRAL LRLFDFQPKHPTLPAREIPAGVSKNRGPSWSWVGADTFVGLTWPQQIDTFDYLAKVVH VEVRGKIQDDFGRVEGAALTLDAPYRHLHLRLGTYLKSPWSPISLVQRALTRLSPLAR TRKLAQIALTRPDYLASTTTSGSIIVPSSSTEFTLIQLAKTSVGTRPVLYLLLLQPQA RDKANKGEQQHYRRVGLLRLMPSQYDDDDYIGETMTDLLEGDAYREVTKKKWPVGTFV IE AFLA_058280 MDESFILSKFDRLVESGLVLYDEKQQIIEHIDGDLKFQFILTSA LTKKPTLTPAQPQPETNTTQEPEKREGSDISTTGFEIATTDTHILIANKFSFARPHLM LLTLDGHRRQYEPLDEADLNGAWRILNAAEPDYVAFYNCGRDGGCSRLHKHLQVMPLP ANSFAAFLDSPDGSESETEVPFQWFYRRLQGKLNPATLLGVYSDLLEQATKVGGGRGE HAASAPSGAVCPHNMILTKRWMVVMPRRRGAVNKEAGVNSLGMLGVIAVATMEEVDNW VRLGLTESLAELGVPKEK AFLA_058290 MGDWKSQSPPDYNEVVASDYASNQSSSPDGFLATSELQVEAMGY NTNQALSGSKLLENISVYSVEFGVRTQEKYTSIRLKRNSNSCALVRSSDPRQNALIST IYRWGPGRHPRMRILARDSSVSVEQAIDDDKVCGELVDVQSRSMVSRAQVFDTSLGKY EWRYGSREERKACNADSLLILERMDRMVLGNGTRTKSGARVAQLIQNDQFRTPGSVKY SGGNGGRLVMDLRMWKDEKHADTDSVEAFFVASCILMLKREADRFIDNNIVAVT AFLA_058300 MRHFRIIWRPEVNGSAAVKQCCARIRPTDDQQECPRSLVDRLDV FLAQELNTPVLDELYPRLWLVARKSGTSIDALHEQKLKGREVVPVENPQLHLVWHRDK FYIKPLPECLLNHDFWTGHLSSHGTNKRSVALGFVRSYAHLIKHRSDFALVHVHHLIP ETVTWNAWCQFIQHFRHCEDTQVAKRYHYGQLCLSRLNWAVRLFQPPCAKTIWFYDVP YWSV AFLA_058310 MADTVGKTITCKAAVAWAAGEPLSIEDIEVAPPKAHEVRIQVHH TGVCHTDAYTLSGKDPEGAFPVVLGHEGAGIVESVGEGVTSVKPGDYVIALYTPECRE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKARGKDLLHFMGTSTFSQYTVVADISV VAVTPKIPTDRSCLLGCGITTGYGAAVVTAKVEEGSNVAIFGAGCVGLSVIQGAVKNK AGKIIAVDVNDGKEAWARKFGATHFVNPTKLNGKTIQEELIEMTDGGCDYTFDCTGNV GVMRAALEACHKGWGESIVIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRTQLPG LVDDYLNNELKVDEFITHRETLDNINAAFEQMHHGDCIRCVVDCRQ AFLA_058320 MSTSAEEKFRSEKEWNWAEKYGSEWFILRWITRPLPKRVMVSIS RLVCPLPRLLATSFLRSRHQYLVGVRVDNKCNIGLS AFLA_058330 MRSCETRACGLVQSSEASTAQKNKRRLLFLITPPNPPSSALFKT YTSNIIMEGLNASEQREFAARMERKQLKEFMTMYSKLVQRCFDDCVNDFTTKSLISRE EGCTLRCVDKFLKGSQRLNERFQEQNAAMMQSGQMPGR AFLA_058340 MLRPTSHSTVRRSVSSASGGAESFRKFGSLRRNVSVSSKNSRNS NSNNASPATPTSMSGAGVHRSNTLPSKMSPAIAQARYGRVAEAPGGNTPGQTSTQRSQ SPSRTPPGPEQKHQSQKPQPAKPQPPTQGSETATTGLVYVHTSTHGPIPISNATATHS PIAEKPSQDTLAVPSSPPRAAVTPTKERKLSSLFSKSPPSGSEKEPRQPNRLKKKRIP GSASASAQSSSQSLQAATSDSGIGIPPPRPSDPTDAGGDTPKPLNAPNPEDDSQQDGK PEKPAGPNEAGHPSDTTLRPYGSRTPSMNSRSSFTDLSDADPIDDASRAERKEHRRSW RFPRSTKRSNEQIGLGLGSPPLLASNPGADRSTSSFGSWHHSSKSSPSDLQQFASEHS FQPLSLDAEVNNNGKDSSEPEKRSLFGKFKAKVAQVRDGVMDTERDRTRSPPVHSDAE KSVSSQTLSPAPKESSHVRPAPPAPIDVTRELQEINSTPVSPLPGSGMPPAIPEEPRT PDSPAAPVELEAKKENGVAETHPPETRPAETGSAATLPTFESHKGDIETSQFLGN AFLA_058350 MSSTPPSPSLAQGPAAPKSAPPIHILPNNISKTYALIHPVLLLA LLALRFNALVADPVAELLSKLPFLAMLQVAFVMTCLPPAGSAKDDDDKSTSANSSSSS SNAGSTSGVILKPGKIGLRRKNTPKSESACLSAKLIPAILSLTLTTLLATPVLTILLI LFGAPLTTHHPETLLCGAHMAVLTSTALIYVHGVDGSVWKEVWGARRPADAVWGAALG TCVGAWFGAVPIPLDWDRPWQAFPITILVGAYIGYSVGFGLGRTVLFGKRLKIEEEGD VVEAKKVD AFLA_058360 MSRPSLSIGSDSLRSCLAPRDQSSDSGSDICYDTALPPITSKQL ILNSRSCRGSDPSIVVGSFRGNQKAAAALGFAPDMSSMTRGPNAHRRTGSTLKTVMRK IFTRKARGQADGCEEVSPDFRLNNSQESRPEKDTTNYAALSNSLKSKYSNPARDELKD PKAFEDTLLKLEMRPPRTRRATLPSLIFSEDDESRDALDALIHSDPADSRSKSPRLGD HDERRRELLRSKRRSRSATALRGLAKNHRMSPIQWRRRSIESYVTSTACGATSETDLV SLRPPTRGTAVSAPQTAVEPSVDGVDPLDDPVDEERIPPNVGTLVSAMQHDESISLEQ RLTTLEVKLIDLEFAIARMQTERSEPSPTASAGRKQSQNSTEHKRKKSTAQSPPSGSE ATSSVGSAGDRPLSTATIRPSAQHLHRSKTLQSPSLSSLSDHHAGISVEQYSALVMLL RREQNARRSLEHEVSGLRSDIQQLQQLARNSMGLKTMYPIRSADSQEFMRPDSNTMGY SQTTSVHTEQKLGSPYESDSDYDRTDTPKEDTFRPRWPPNRRVEIGNMI AFLA_058370 MRRFLSRKDPSTISNDFTVRRCPKFGEMRRIASPLVQLVFEFFS PRCCQTDTAHTPRSMVETHPRNDMRDEPLGLQSICSGLIYTQQRVSLLGQGIRSLPTN DLISTLSDIKRG AFLA_058380 MENLSREESPSTDACSLCGPSTMEVDMGPSFQQGISFLSLPEIA KIKILEYAGLLRPCLIDISSECVRRNPNIQPLCNRSSMRETKGSWTAFVDRGCTHPRL PTALFQTSRAVRDEIGSMFFGLNRFSAMLYRKADFCQFRDATLWGMEHLKYLHIDLGP CDRRFLKLYGGVHRTIMKIWVAFCDLAAVRMPSLTYFSLKCRVKDLEVAHKLMCAMDH FPSLAQCAIHLNQYQENDIRAVVKRSCWRLTNNLGDRPPFGFLRLPKEVQLLILEQLL INRPDPYIMASECPKGLVTLQDRRRQRPTIYPLTCCGTCSPLRAMCFCYARQTAFSTT CSCFTSPTPYFLVSRGFYEDARRVFFSKNNFAFTDEDPELIMRLLHYIPTSSFMQIRH LTFKFPLTFRSPARTAHRVEDAALLSWSVLRRFIREHFDIPRLSLTIIDLGTKNYGNG ATQSRNKYLRKLLKAFADLRGLRDFRVYLADERSYERTXXGRSKPSHMPFIGQKHLNT AFLA_058390 MEPPAKRRKSKVDTAPPRKINSRASTRVPEKTIEKAVKTEPVAQ KTRRAPARKIKDEDGSFKVEPPSNWDTIYATVKKMREANPTAPVDTMGCAELYWRASS PRDRRFQTLVALMLSSQTKDTVTAVAMQRLHTELGDGEAPLIETSMIKEEPDEDTFKL EKPLRDSTLNLENILAVSPERLNELIGKVGFHNNKTKYIKAAAIILRDQYQSDIPSTA EELMKLPGVGPKMAYLCMSAAWGKHEGIGVDVHVHRITNLWGWNKTKTPEDTRKALES WLPKDKWHEINKLLVGLGQTVCLPVGRKCGDCDLAGTKLCKSEIRGLVSSKKVDAKEE SVEGGVEVKIEGE AFLA_058400 MASSSTVKRLLSELKNYTNNPNEHLLHLGLVDNDDLFQWEAVLK GVKGTPYEGGLWSLSITIPPNYPNAPPTIRFNTRISHPNISFTTGEICLTLLTTEHWT PAYTLSQTLTEIHQLLTNPEPDSPLNVDVAVLLRDGDIPAWESIVRYWTEEERWQGPE SASFSRA AFLA_058410 MNRLLKLAFLSLVASSAIATTAPEQVESETNTVADQSDSLHKAL HLFEKFSHGVFRSDEDAADALTAEDRELAAHLNLKRDNSSSAVEPSATAVVPSSPTQA SSPSSNTEAPSSTTEAPSSHTTEAPSTSSPSTTEASSASSSDSSTSQAEPTTSAQPTT ATPTQKTTVEPTTSEQPTTTEKPTTSEKTTEKPTTSEQPTTTDKPTTSDKTTSEKTTE KPTTTDQPTPTTTDKPTTSEKTTSDKTTEKPNTSADSTKGPTTMQTTTTAPEPTTTEQ TTKHTTPYTSTYKSTTTLPNGEQSTITSITVVHPTETDVATPTSAAPGLQTDNAAPTT GLTRELFVMVGGAAVVAMAL AFLA_058420 MTDNTFFENWEGRQVHFPWDGPSTWTLTRLISEKNSQVHARDYY NGTIGGAYATFLCHNFVDSTQRGVMKIFKHQLRALNTLTQISPTR AFLA_058430 MELVCDCESLKGRINLDDTIGPEFDRVNAVAECFGHKREKSRDM MKSESESGH AFLA_058440 MHYIQYGIGCLLSLLLTGAKGHEDKLFTRGPAFSAYPYPTFFIE CPEIGASGSRMDIAHVYDGSGYFPELRWPITTPDTQEYVLVCEDPDEPLAAPVIHGLY YRIPPVFISLHRSDFIEVDSRNDPYRLRGGFKYGANSGGGVYLAPQPARGQGPHRYFF ELIALNHTIDQSKLSPMATFDEIARQIEGKIIGWGEWFGVVERI AFLA_058450 MQSMVLLDLKFGDGLGEFDDAPFPLRVSGLRTQLPILHCYSYNA ENVELYQD AFLA_058460 MTENTFFDNWAGRSVDFATAGTPSKWILTELLSEKNSQVHGDDF FKNGCIGGAYGTFLCHNVTDSTQRGVMKVLMQVPWEGSQYAPAEHRSSQASASYELDW NMTSQLNALITLTSNNCLSTPWILDLKYGWQETADPVPGGYIIFILMSYLPGVQLTKA FWGLEDSVREQIRQAFKLTWLDCVGSGITPAHPNIEHVFWDAAANKAYVVFPVNNEII LLASERVSPQNEKMCGKMLIGVSGAWQSLRNLIILNRMKASGWIL AFLA_058470 MPKLETHWATIVTSYSPSTIEFTGTLLIQLLTFWLPSLIYLTLP TLFPTWSNNHKIQPAPKQPTKKEIHHCFKIVLRNQLLTTTLHLLQLNLLNKGTSSYTL TPTFPSLPILARDFLLSLLAREALFYYAHRFLHRPYFYVRIHKQHHKFTAPIALAAQF AHPIEQIFANALPISLPPQLLGSHVLTFWAFLGYELFVTATVHSGFDFFGGKARMHDL HHEKFNLNYGSLGLLDWVHGTDRLEKRRD AFLA_058480 MKGSAIATALTLGASTALAAPSIKARDDVTAVTVKGNAFFKGDE RFYMRGVDYQPGGSSNLADPIADAEGCKRDIEKFKDLGLNTIRVYSVDNSKNHDECMN ALADAGIYLVLDVNTPKYSLNRASPKISYNENYLQYIFATVDAFAGYKNTLAFFSGNE VINDGPSSKAAPYVKAVTRDLRQYIRSRNYREIPVGYSAADIDTNRLQMAQFMNCGTD DERSDFFAFNDYSWCDPSSFKTSGWDEKVKNFTGYGLPLFLSEYGCNTNKRQFQEVSS LYSTDMTSVYSGGLVYEYSQEPSKYGLVEIDDGKVKTLADFDALKSAFEKTKNPSGDG GYNKTGGANPCPAKDSPNWDVDSDALPAIPEGAKKFMKDGAGKGEGFAGKGSMSGGGS TSTGTAEPGSGSATGSAGSSSGSSSSSSSAGVMNIPNMSLAPLVVGMVTVMSTFVGAG LILV AFLA_058490 MGDWNRNEVPFPIDVSTCILRGEHRRLEVQSSDHAVEYVPWVRA LVRSYSPQANDGLTLLVIQIVSSVVVAFDSLDIGRNPI AFLA_058500 MGSLLRRIPCGSETGFFTLDLSANGHLIAFSERNKTVKAWDLDA ASGIRQLGTLHTADDVYIVAVSPDSGYIAAGCDDGMIYIWRAASLGPGTASASGTIIE KVLEFQAHDENVMALSFTPDCRSIISGGMDGTAKLWNIDSLKAFVNEDITPNDCTLIY KAHQDMVLTVSPDPTGSWLLSGSKDMTVYLWDISTGVAEMRLGAHGNSVLGIAWSPTD KIVATGGGDRVLQLWRLHRISQ AFLA_058510 MTLTTIGAVVILAVSCIPLEKQWNKDVPGTCLPPKTVYSVAYVQ SAFTIVIDLCLTSAPVIILWDVKIKRGRKTLICGLMSLGLVATVSNALRNCYQGGLTA PDMPYAITNVAIVSILEVGTGVIAACIPACVPAFRCRQKAEPVANSYRDKKISVIRGG RWDEGSGNWSLGNAMSDSIALCGVKSPGRVVTKVTAC AFLA_058520 MCQEDGLMWQKFPPHISWERLAWTLDLFINLRGIGWSYRWGSYH VPIDIEGPPGGMHRERLPSRSDPPSLARLIIRFVGQVSWVMFCQVNIFPLLKAHLTEG GGSNAGFAVGLLAVTVSLITIIADIDLLNTTSTLLVTAFDTSRLLGLYAFPWAHPSPW GSLDAVTTKGILGKYFKPTRFC AFLA_058530 MKVVTAKKASQHRSILVTYSMGKPGDMPAHKAVHVNKSFILSFT ALALVALLVALDGTSISVALPVRTQLFPRGLEEPQLKHFGQELHTYYALLVNLVLQPS FGSLSDLFGRKPLIMLALLFFVVGAIVAATSQNFTIMIAGRSLQGVGGGGVVTLIEII ITDLVPLQLRGHYFGMIGTVLSIGSVTGPIVGGGFAEQVSWRWIFYINVPIAGASLFL IPFSLKAHSPTPSPLNAKIRSIDYIGVVLFVASMTSCLIGITWGGVIHPWRSAQTLCP ILLAIIGMIIFAYHEIFRARDPIIPVSIFGTPTAIVNFIGVIVHGLILWCVLYYLPFY FEAVKGYTPILAGTALLPLTFTLCPSAITIGLLITRWGCYRWAVVLGSLLACLGSGLL CIINAHTSVAGWVCLMLVPGLGLGSLFPALIYAIQASAEPRQLAIAVAMCSFFRSLGQ AIGIAIGGVIFQNSMHSNLMKYPAHASHADDYSKNVILLVEALRKMPESATTDDLKTA YSDSLRIVWAVCCALAGISALLSLLTKDYTLTAGEPAQEDVGETNKTATQVK AFLA_058540 MSAGEKPHVFHRLPRGKHDHSILIPRSRQGVWFAVWERRSLSRV PHSGESTRHLY AFLA_058550 MLIDGEKWACEACVRGHRVTTCKHHDRPLIRINRKGRPFSTCSV CHNTPCPTPEEHTKLKREAEAKSSSKRGAARVSSRSNSVLVPIAPRPSSSSPTPSPQS RPQIMAASGPVVSAPPQQQSPQQQQPQFQPEPFARSSMALPFTGGEFVTPALCSMGDV PVSLSMVSPLDADPTGRLLFGEGAFGDGGFSLEDLDVGALEGGVLQEDWSWLSEDAL AFLA_058560 MATPDVAPQFGAELKVFMDAFKPVNNWVSHGVQWLDEIQQFYRE RSAIEKEYAAKLTALCRKYQDRKAKKISTLSVGDTPTMTPGSLESASLTTWTTHLTTV EAHAGERDQFATNLLVQVAEPLKLAATQYEEIRKSHVEFHAKLEKERDAAYGDLKKAK GKYDGVCQEVEGKRKKMENSFDHSKPKAQAAYQQQILEMNNVKNSYLISINVTNKLKE RFYHEYVPELLDSLQNLNEMRVSKLNSLWSLAAQLEKSSLSKSMEHMAHLLNEIPRNV PHLDSLMFLRHNVSQSQEPPNMTFEPSPVWHDDEALVTDETAKVFLRNLLSKSKTQVR ELRVEADQKRRDVETSKRIRENIQQGKDNRSEVEVVRSIFYLQGALHEVERKKLTAEV ETSTIMSVVGDLSLGAKNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHS KCQMKVPAECPGEQNKEEKKKLKAERQEQANATPHLDLEPTTTGSSAAPSLTRKDTMN SLSSGYAVSANRSLSNAASQPALANPTESAAPSPVPEAAATPAPVQETKPKRNRVLAP PPAQYVKAPPVAETTKPSEPRGKMVYPFQAGGADEITVQDGDDVTILEPDDGSGWMRV RAGSAEGLVPASYVEPAPAASAAPATSPGMAERPGSTYSTSSASLAGSAVGKKVGPAV APRRGAKKLQYVEALYDYEARSDMEWSMVEGDRFVLVNRDGGDGWADVERGGVTKSVP ANYIQEV AFLA_058570 MSAEQPAETASAGNPLADRITTADGSKPEGTTETTDNEQADGAA AQLGGSELNEPDYTVEVKLSDLQADPNNPLYSVKSFEDLGLDPRILQGLSAMNFRKPS KIQERALPLLLNNPPKNLVGQSQSGTGKTAAFVLNALSRLDLSTEQAQKTPQALILAP TRELARQIVGVVQCMGQFLDGLNVSTAVPADTNSRHSKIESSVVVGTPGTVMDMIRKR VMVANKLKVLVLDEADNMLDQQGLGDQCIRVKALLPKDIQVVLFSATFPTHVHQYASK FAPQANELTLQHEELTVEGIKQLYLDCSDEEDKYKTLVQLYGLLTVASSIIFVKTRAS AAEIEKRMVAEGHTVASLTGGIEGSQRDAVIDQFRAGQAKVLITTNVLARGIDVSTVS MVINYDIPELHQPGAPERQADFQTYLHRIGRTGRFGRVGVSISFVSNREEWNMLNQIQ QYFNCTIQRVDTKDWDEVEDIIKKTIKNTRAQAQFGR AFLA_058580 MTTDSPETTRADGTTVQAAPSPESHYSTHIVLTTYPGQSGIDPV PLNWGAKDAKSRGPVVVSRSGPLLKRRNAMGAHGGSYSIYNALAIAAGDLPPDFRPDF KNSEPTFNFPWQPAWADKDKIVSMDPYGHDIVNQFRDELNAGWDIRPTMAVTRANMKL AEIGEAVRDGQLDVDGSIVVDSSGEVRVTKVAVEPVWYLPGVADRFGVSEPILRRTLF EHTGGSYPELITRPDLKVFLPPIGGLTVYIFGPPERVSDENVKLALRIHDECNGSDVF QSDICTCRPYLAFGIREAIREAQNGGSGVVIYFRKEGRALGEVIKYLVYNARKRGGDT ADKYFTRTENIAGVRDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDAIVQSGIKILE RVPIPEDMIPDDSRVEIDAKINAGYFTTGRQYTMEELAEVKGRGWEKWEDITVS AFLA_058590 MGSHVTPQPHVPKAGVWCPAITFFDHSTDTIDFDAQKKYYSYLS KTGLAGLVILGTNSEAFLLTREERAQCIAAAREAVGPDFPLMAGVGAHSTKQVLELAH DAAGAGANYLLVLPPAYFGKATTPAVVKKFFADVARQSPLPVVVYNFPGVCNGVDLDS ETITAIVRESAASRGDGKSNVVGVKLTCASVGKITRLAATLKPEEFAVYGGQCDFLIG GLSVGSAGCIGAFANVFPKTSAKIYELYKAGKVAEALDLQQKAALAESPCKSGIASTK YAAAIYSAPLAGIEGAEEKAKPRTPYEEPGEGAKKTVRELMDSVAKLEVSI AFLA_058600 MTIAYAQDLVIGFYTSPNLKDWTHASNFTQEGLPGDQFECPNLV KFSVDRAVSEETSKFVLFISVNPGAPLGGSGTFYVVGDFNGTHFTSEVAQETLFDFSK DNYAAQWYSGIPENEPPVSIGWASNWDYTEEVPTGPLEGWRSAMTLPRAHTLTKVNGV WTVTHSPFEGLSALKGRQLVSKSVHSGDVKANFSGVPSNAVYFDVTLKGIDVAKPTGR VNFNFTSSVSGEFLDGGVSLDDSSFWISRAGTHLFTIEDNGNYTSSSTTTISSFGNGT FSFSGVIDRSVFEVFLGQDGIQSGTMTFFPSSPLDTLAVSAEDLGDRASVSVKAWGLQ SGWNSTTASKRFRA AFLA_058610 MAMPGMGSDFQLFSPAQSSDRRESQTDSMAFPQSSPEDSGQDWT QWMRWDDHSFPETTNEITQSPFDFPFTSPGTSIGKQSLGAVQKSEFSPDISLDCVTNL SFDSFLGQDGGGGLPPHRSSNAGTDMQSAQSTTSGSPMSLAGAKRKSGSDDDGSTVSG LAPPGKKMPSKKRAHNVIEKRYRANLNEKIAELRDSVPSLRASRHLVDEDDGEGVTPA NKLNKASILSKATEYIRHLEIRNKRLEDENTALKNRLRQLDKAVDQNVTSAASVSSPS NYTESGASSSPSVFSNAEDVPSDPSPTSLHPPEGMIKVPDAFKRMRAAAPRDESWSQS YIQYPSSGNSSPQPGSRKRSHYPNKYMLGALAGLMVFEGMSSEKKTESTAKGLLAIPV NFFNNLQLPPAGYWVAWARSFWYSWHARAISHFLILATLVVGSAFIVFVYLFNSGGPG LQYSSSKSASATLSSSNFRRQAWLTSIQRVGVPRHTFFHEWYVVTSRCFEYVLRCLLG WKLYSWATGITEEDEKGRVKTWDIAIDAQLAGGDAEVSKSRLVLTIFAAGTLPRSPMR MMLKALHVRILLWRVGDPGSWTFNVSNDVARSLARYQWDLARKMNSSLPEDHPDVLPS HLSALLELDSEEVMIDSVIQRAANLTWNRPTQEGTDGDEALLDVVEEDPAIQSSLDAL AAWWSSHLLQRALLRYFEASAGGQDRRKSRDAFKSKLRTALNVAPQPSAAHTRALVMQ AVFFEQDRVANINMVLAALPKDKGNTKKAQASNFLDSSLPVSVRDEINIAVRCAMIAA IFTARTTGDTSLPASFTMQKAVSWFNQLPLDPVELTLLGFAATYHLLHVLASDTDYMN SSDSSVPSSPVSRHRSLSDNESDRPAPSHNPKCSPLIPNLSRVASELSYWAKNAYNPA FYGFTSHLVGVVDAECKTLCHSAGVDLIDYSRIQEERSKAIRNKDEKKKKKPRKKSNE DSRQTRWATSNSKPARCPSPESSREVEA AFLA_058620 MGVDQIGPGKQHTRSDHPPMNPALATPLYVLGGFFVVSFLSRSI IRLRHHRRLREILREDKQEGFARNGALSAFFNKHVFYAPLWSLRHSREFRLLGRIHMG IIPLRLEAVLLLAYFVLNIIFFFVLANWWSGYEETMYQIKYAAGHLCVMNLPALVLTA ARNNPLIPMLGLQFDTFNLMHRWIGRLIIGEAVVHMACVVASEAKESDMSKVTHLLWN TPFFIEGFVALIAFMVILFQSTSPIRHAFYEFFLHLHILLAITSFVGLWYHLRGLALQ RVLLVTLILWGLDRIGRLGSIIWRNFGKQRTMATVELLPGDVARVDVALARSWTFKPG QYMYLYMPSLGLWTSHPFSVAWTDDRTNLTEKRGSNDSLSILLGGPKREVMSFLIKRR DGFTNKLLEKVNKSMEGRFTASALVEGPFGGLHSLSSYGTVLLIAGGIGVTHPMSYLH EIMSGFSQKSTAVRKVSLIWVIRSIDHLEWIQPWMTSLLNHPALQVPNEQKSHTYFQF PELSLSIQIYLTVSESTDEYSLDESPWTNSAPPSVPISMVFGKPSFDQILESEKTQQV GAMAVSVCGPGGMGDDVRKCVRDNQGTQTIDLYEASFCW AFLA_058630 MPPQFRILHIGDNIKYNHDVYARFSSEFEIIQPSAEEREREEFM RALKERRWGDFHAIFRPFWNTGGEMGRWDRELIPLLPKSVKIMASAGAGYDWADVDVF AEHGIIYCNGAAASSESVADMTIFLILSVFRNLAWSHQAAHSANPQAFADAHKNSPLT ARNPRNHVLGIIGLGQIGYMIAQKAHAAFGMKIAYHDLFRKSPEQESRVGATYYKDLE SLLADADCVVVATPFAGKTLLTLERFRQFKKGARFINIARGSLVDEEALVQVLDEGHL AAAGLDVHANEPYVHPRLVKHPRVMAMSHNAGGTVDTHIGFERLAMENIEGFLLNGKA LTPVNAHLLKRMVL AFLA_058640 MRLLKTRKTKAGNFVVKLFTDEQLRGLPYAILSHTWGPDEATLQ NINEPSVSSSMGYKKIEQCCSVARKMGYEYVWIDTCCIDKTSSAELSEAINSMFAWYQ EAKVCYAYLSDVPHIPFETSRWFTRGWTLQELIAPRYMIFFDRNWKRLGDKRSLARRI SECTPIPDSILWGEKDIDTFSTAQKMSWAAERQTSRVEDRAYCLMGLFGVNMPLIYGE REAAFIRLQEEILRISEDHSLFAWKSSDTRAGLLAPSPAAFLDSHDIVPCETFDTFSS PLIISGRGIHLDLCFIGLEQVGLGLAVLQCKKLGGEATSVAVFVRDTSLAFKLERFKR VYCDDFRHLDLKRYHTHQYRMTRMCIESGRITRFKSPRGSKEYNNLAPPHIYPDPKMT QSIDWGSPRVDVYLWLLLTRSNLGAALNAVSNGQTPLSWAAENGLENYVNLLLERGAT TEVDDKVIDTPLALASKNGHTAIVKLLLDKGASARAAGSSGKAPLVHGSYAGHEDIVW MLIDKGADVNAKDRHGDTPLIHAARGSNWSIANILIEKGIDINTKDQYGYTPLFYAIR ADEVALVKRLIDKGADLNAKDECGYTPLFRATFCDRAYIARVLVDNGANINTKDQDGM TPLAHAVFNNRVDIVNMLLKRGAEIDQAHKYSLALLTYAINTGDQAILNLLETPVERL VRKSHQGILKLFGT AFLA_058650 MRILCLHGRGTSAQIFKSQTTSFRSRLNNLPITFDFIDAPYPTT PASGIDLFYEPPYYTFWPDDSPTSLQNARTWLLNHIAKNGPYDAVLGFSQGCSLAALT LLLHAHESPLTPPPFKAAIFICGGAPLPLLEELGYTITPEMRSRDTESRKKLSIQADS AAILAKGAERWGGAGDAGEVVDEESVRGEIERCGIGKVKIGVPTVHVYGRKDPRFVAG VQLSGVCEGSVRQCFDHGGGHDIPRSSAVSERLAELVRWVLQEGGVV AFLA_058660 MGIETSPPDVEESTEPPICPYPKESKSHLNNHPSDVKSDLETEH GTPEHSPTSFESASNTSTRQQDFRSSLQYAHTIDPEHLAVILDVDVRHGLSSADSATR LQRDGPNRVREMEGLSVWKILLRQVSNSLTLVLLITMAISFGIDDYIEGGVITAVILL NIVVGFVQDYRAEKTIMSLQRLSAPVCKVLRDGRVSSAKAESLVVGDIVQLAVGDIVP ADMRLLDGMNISVDEALLTGESLPVTKTPHITLTSRDVPLGDRTNMAYSGCSTTQGRA TGVVTATGMMTEVGKIAQLLQDKRDQGSANPFVRAFQNVKSTTKNILGLVGTPLQVKL SKFALLLFGLAVLLALIVFAVNKFDVQGEVLIYGICVAVAVVPESLIAVLTITVAVGT KAMAKGNVIVRKLQCLEAVGGVTNICSDKTGTLTQGKMIARTAWIPLAGTLTVSQTTD PIDPTSGSVQIDEVDWKPDAVQGNIALTTFLNAISLCNLSTVQKEQQSMPSEKDPEHG AQVRWTAVGEPTEIALHVLAMRFGLGKPNILQDSNLQLHTEYPFDSSIKRMTVIYRRL ETSMNEVYTKGAPEAVIPRLNSSEIEKSSIQDTADRMAGEGLRVLCVAYKKVPINNES EVSSRTTAESNLSFGGLVGLYDPPRVETAAAVRRCQMAGIAVHMLTGDHIRTATAIAS EVGILDPVMGAKSSRLVMAAEDFDRLSDIDIDAIEQLPLVIARCSPTTKVRMVEAMHR RNAFCVMTGDGVNDSPALKRADVGIAMGKNGSDVAKEAADMVLTDDNFSSIVKAVEEG RRLFDNIQKFLMHLLISNIAQVVLLLIALAFKDAEGNSIFPLSPLEILWANLVTSSFL AIGLGLEEAQPDIMYRPPHDLKVGVFTWELITDKMIYGTCMGSLCLVAFVCVIYGKGN GTSSMGHDCNEAWNESCRIVFRARATTYATLTFLLLVTAWEVKHFSRSLFNLDPGRYP GKLSVFHSIWRNRFLFWAVVAGFVIAFPVIYLPAVNRIVFKHQAIDWEWGVVFGCVVV YLTMVEIWKATKRAFGIGSGKNATLTLEDAETRAGLVSPVLTLSANASVDASVEMK AFLA_058670 MDSYPNPYSLEIVNSFNPLLEKHFGSVFFNLNGVGNYHRANYFY TNLHWWVNGRDNDHMKAELARRHRAFTRSGASWRRIQQRYHWTLYSPASQFRRSHCRY HRQVFDLVCYMTYFNTMLVIISIRRFTFVSSGDGDMHPYFGMRWNMHAGSC AFLA_058680 MSCAKPASSLASSNSSIILFQRVYKNLSFSRAKNSLSFQYQQKK NIKKVSLNGDNRGYEGFRAQNFEKRGKGDLKEGFYLGKDLALDDPQVVTRKFDKGPNK YPLEVSEPAKFRAVMDEYHEAMTSLAMGILRMLALTLELEESAFDAFCEHPIAILRLL HYPPQDPDSSDIERVLTLVGKGIGAHTDFGGITILLQDTTGGLQVWNNVSSEWVDVTP VPGAYVVNLGNMMMRWTNDRYLSNLHRVINKSGKERFSVPFFLSGNPDYIVECLPTCI GAGPKYPPITVGQWMAGRYADTYGTSNEEAISDMREVPS AFLA_058690 MKDQDIVGEALAAVLPQHDKPWLRVPHLLKLNLILLVPLLSSAV AGYDGSLMNGLQSIPEWKRYFGNPTGSILGVVNAAQSIGSVVSLPVVGWLSDRLGRRL TLLLGSLTIVASSAIQAASVKYGMFVFSRVLVGVGSMLVVQPAPMLITELAYPTHRGK YTSAFWTMYYLGAILASWTCYGTQRNMTDDWTWRIPSIIQAGFPIVQILFWWCVPESP RWLIANDRREEAEQLLARFHTDGDVNHPLVQFEMSEIIHTLSMEARASQVPWSTLVKT PGNRKRTFIAICVGAFAQWNGVAVVSYYLTLVLDTVGITDSDTQTLINGLLQVFNFIA AGSAALLVDRLGRRTLFLWSAAGMLVSFVIWTACSAVFDTSQAAPLGNTVIAFVFIFY FHYDIAYTPLLLGYPTEIFPYSIRSKGVTTTLLSVYSSLVILAFVNPIALENIGWHYY IFFCCFDLLVLSVTFFMFPETKGHSLEEIAQIFDGPSAGTGGLEVGKKDYDETITREH AEYAG AFLA_058700 MAAAQKSIRWPNPTLPDSVFKMFDMHGKVVIITGGSGGIGYEVG RALAEAGADVALWYNSSGQADDRAATIAKDFGVKCKAYKCSVQNFNEVEAATQAVVAD FGRLDVMIANAGIPSKAGGLDDRLEDWHRVVDIDFSGAYYCARVAGEIFRKQGSGNMI FTASMSGHAANVPQQQACYNACKAGVIHLAKSLAVEWAGFARVNSVSPGYIDTPISGD CPFEMKEEWYSLTPMKRDADPRELKGVYLYLASDASTYTTGSDIVVDGGYTCR AFLA_058710 MTQDSNPSFVLKAVKDVAFEDRPVPALQDPWDVRVQIAQTGICG SDVHYWQRGRIGDFVLTSPIVLGHESSGTVMEVGSAVKNLKVGDRVAIEPGIPCRHCE YCHSGSYNLCPNDRFAATPPHDGTLSKYYITQSDFCYPIPDHMNMEEGAMVEPVAVAC QITKVGNVRANQKIVVFGCGPIGLLCQAVSKAYGAKKVIGVDISKSRAEFAKTFGADD VFVPPPPPADVSPEEWSEKLAKIIKEQFDLGEGPDVVLEATGAQPCIQTGIHLTKKGG TYVQAGMGRENVMFPITTACIRDLTIRGSIRYSTGCYSTAVDLIASGKVDVKRLITNR YTFEEAEQAFELVRQGKESVIKVIIEGYQGR AFLA_058720 MDAVYAQVVESIYSRAIIHDKPRFLVAIAGAPGSGKTTLANALT ERLNAMPASIRRHTVCVPMDGFHLSRAELDQLPNREEAYVRRGAPWTFDVSGFITFVQ RLRKWAEKDTSPFHNQTTPPPSPSSSEILYAPSFDHEKKDPVTDGISITPDTSIIILE GNYLLLDELQWRDVASMVDYRVFVEADLQVARERVAKRHVLAGIEPTLDDGFRRVDQN DFLNAQTISERRLPADLVINGTPEHQSKDN AFLA_058730 MNAQDSSQSEQCFVPETEESRRHRERRARRDWRRRLRLHVISRL TDDNLRDLLTRPAEERDEDRNILDMETVRQYVPLEFEGRPVPDIDAPEELTWATEEAV KKRLCGIESIYAYTWSQPYNRTTRLVALWYGEEEPQLPEFLQDVPYFELRLGRDPRNI RPQVSCKILCVEPQSISAVMQPTRSFGSLGFLGLLEEDTHNGGRVRHVGVTVGHILDD SIEDIVELETDDQMYSVQLHPAPNFERRGRRRPAFRNHLPRFRSCFDSICLLEADTLS AELMDLLHISNAIDCNALFSVPEGIPLVDSLWDPALLDSEHVLKSLTQMLPLEVHKHG AATGQTTGQLVDIEDLENNDSHSKQTLGDDTLAKQLVIEWLSPEKPFARDGDSGSLVY AKKNGKIVPLGIHHGSDERLRLSYAYLLWSWCEELDRKLGLRLIFCPPGRCPN AFLA_058740 MVLAQPDNKHVMQAFSLKGKVAIVTGKSAVEWSISSGGGTRGIG LEITNALAEAGADVSIVDFHDSVAIIYNSSSTAEATAASIAKTHNVRVAAYQANVGDQ KEIEAAVQKIVRDFGKLDIMVANSGIATAVAAEDYTTEQWQQIMNVNLDGAFYTAQAA ARVFKEQGSGNIVFTASVSAALVNVPQKQAAYNASKAGVVQLAKCLSVEWVDFCRVNC ISPGFVATEILDHHPPELREKWLSMIPARRMAGTYELKGAYVFCASDASSYMTGANLV IDGGYTLP AFLA_058750 MRVENADDCDRLLPSCSLCDKFSRRCIYETLSKTPLTRQYLTEV EEELTRTKALLSELLPGTSRDISNGERFIYPEQGTTGDRGLTSEIPNREGSSEQPERA YVPHSNIGSETIPAPEVPSRPSLGVFSASLSNSGQLYDYSDRNQTGISHRANRRSQDA VMSMETPPSAGNVNFEWDERTEDQGGDGFVDGMAILPSRSNDGGYLGTASGAALLRMT NSQSGGERLDMPEPGRPFETASSHPSPSIPFALSSLSQLEPFVDAYFSLYHCSYPIIH EATFRAQFMEVIPRPTSNTWQVLLYVVAALGAFTAAVTPTDVDLALFKAAKARLTIDV LETGSLILVQALTLSSNYLQKRNKPNSGYNYLGLARRTAMGIGLHKEFPTSKASPLAM EMRRRVWYCLYIFDVGAIITFSRPLEFPEQGIETRLPLNIHESGITASTQTAPSPVTE TTVYTHLRAQAMFHLKTNLIYTKITSTSFPSAAELIELDDRLIGDWLASLPYFFNEGA IQAPKFALCHSILRWRYRNLRILMYRPFLVGKWMLNSDQGPDGLREKDDTHVELAIQR CFDAARESVELISSFWAQHQKTTMACWYGVYFLFQAILIPVICLRNNPSDPAAHGWRE QIFQAVNTLESMVPLNANAERFLRVIQSLCGCYLYPRSNGWEGPIQESPETQIANLYP LMWPTLEMAQLDGVDSAL AFLA_058760 MASLHLASIAIGVLTAYLVTKLLTFKKPPAPLPPGPPPKPIIGN LKDLPQNGERDWEHWLKHKELYGPISSITVLGQTFIILNDQKLAVELLEQRSKWHSDR PKMFFAAEMSGCGGILGLIPYSDRSRAIRKAMNKEIGSKVAVSRFNALQEAETRRFLL RVLEAPEELRNHIRTEAGAVVLKLAYGYTVEPHKQDPLVDLADVSMYYFSLVCRYGAW VVDVIPSLRFLPSWFPGTEFKRIGQRSKEAFDNFGGKPYNFVKHQMSQGTHHPSYLSS ILESEEIEPGSEKEYVTKWSAASIYAGGADTTVSTMASFFLAMALYPEAQRKAQEEID RVVGNSRLPTFADRDNLPYINATVKEVLRWHPVVPNNLPHLSTHDDMCQGYFIPKGSI VISNIWGFAHDPDVFHDPMTFKPERYLGDNPEPDSHRISFGFGRRICPGRVMADAAIY LNIAQSLAAFNIGKKVVDGKEVEPRVEFQAALISHPEPYDVSIKPRSSVHEELIRAVE EEYPWEKSHADELVNIKV AFLA_058770 MTLFYETPDATPDHLNQNTQNGILAPQQSPVLTLLPKSAGLNDF LPTVSRLCGTIEKDVEDVYPCTVVQEALVALSVKNPRTYVAR AFLA_058780 MDNFFVHTAQSRPDLWKSLEDPTHPLNAAWPLFLDQDIYLQHYC SQLSKIEAFACFQYAIVQIDDYDQEHIIACGRSLPFYWPELAKVGGKIGLAQHPKVLH TLPDEGYDAILSRAFEQYYAREGIFQDTDRPTSFNDPPAARTEPPNALSAISITVSPE YRSRGLAEALILAMKQAAIERNFDAMVVPQRPTRKSEFPTTDMIDYILWPATTVATHS PGTTVRKPDSNLPFDPWLRKHARLGAKVIKVARRSMRVEGSVEEWQQWTGVNIPQTTR QGRCVYVEPNVWLHHALPCFNIPIPLDSPIV AFLA_058790 MVGPTASLTPLSRADGSASYQCPSTGSNILGSVNAPIELPGRRD ALKPEDATVEVFVKPGTAPGGVGERYVEGIIKNMLGKLILGREKGYARRGVVITLAIV GGESVARGDSYLTLLPALLHTSLLALLSASVPLSMTYSATVLAVDTSGEIIREPSVKE AAAAASLHVLAFSSNGHLLLNESQGPFDFETWEKVHQRALAICHGTVARSSDGDVAMA EDVDGQPLEGALREAIEEKIQQDYSWKIDAA AFLA_058800 MSPIGSFYQENKANNLSPTPIHPTLAKTPPNPTSTRRSSNRRRV LYMDSVTGNFSNVASLLWHAYAALPSPSSSVNWAGFYIRQDKFPNAQTTEKQNQNQKQ VLWLGPFQGRPACQEIRFGKGVCGTAAEKRETVLVGDVLSFPGHIACDASSRSEIVVP ILVGGETVAIIDIDCTEPDGFDEVDRKYLEDLAKLLAEACDW AFLA_058810 MLGPYVHKRAPAELPFSCITVSQSTMIAYGLLTLIVSTAAVARE IVFPPIAAIQGSGQVPLGEDDTVDIVTGSHFSGLTTFGHLPYVNCLVDDQAHSTPYDI AILGAPFDTGVTARPGARFGPVGIRLGSRRLQGWNIYTGVNVFESWAKLVDCGDAPLT RLDNTVALKQLDLAHKVISSRPTNSTDRGRTPRILTLGGDHTTTLSALRSTYDKWGPV SVIHFDSHLDTWDPKVLGKVMFKPPISLAQHITDITSGVNHGTFLHIAHEEGLIRNTS LHVGIRAPVIRPKGDIRNDIRCGFEIIKARDLDRVGINGIVDQIKARVGDSKVYISVD IDVLDPAYAPATGTAEPGGFTTRELLSILDALHGLPVIGADVVEVAPIYDTTAETTTL AAAEVAHSLLYLMVETPVNDN AFLA_058820 MTMAKEDDNQQVFSESTGEDARLENLGYEQELKRTFGLLGMIGF SFSVVTSWTALSGVFIVGVTSGGPPVMVFSFIGVSLLTLAVAIPMAEMCSMYPVAGGQ YSWVAALAPPSIARGLSYISGWFMLIGILAMGATNNSIGANFVLGMANLVFPDYTIER WHTVLVAYLVAFMATAINIWGPHLLHRISRFILIWNIGSFLITTIVLLATNDHKQPAS FVFSEFQNFSGWGSSMAAIVGILQACFGMCCYDAPSHMTEEMKSASKEAPKAIILSVV LGAVTGFAFLLTLCFCIGDINTTANTSTGVPVIQILYDSTGSKVGTCFLASMIAIIVI VAGNNLLAEGSRSVYAFARDHGLPFSHIFSRVDSKSHVPVNAVLLTLVVQLALDAIDF GTTTGFETVIAISTEGFCKSSHTTFPSVHDLSYAIALFSRLLGFITGHKTHMKGPFAL PQSMSIGLNILGLLFLLFAAITFNFPTDYPVTHESMNYTSAAIGVIGLVSTVTWITTG RKQFTGPQAMSXXGKCFTYCIVHVGFHGESPILEITVRGAVSGKRRRIASNAYKSIYV IYLAYLSSTS AFLA_058830 MSHEKEDFRSTDEEVGNNPVPSTQNHPAPMAMLTVAVKWYRSTF YNALILGLCNFLAPGIWGAMNSVGGGGLEKPYLVNAANALTFCLMVLSCFFGSIVVRY IGIKWTLIVGTMGYAPYAAGLYTNNRFGTEWFVLFGAALCGLSAGIFWMAEAAIAISY PEPHNQGRFLGFWLSFRVGGQILGGAINLGINSNRDTAGSVSYAVLIVFIVLQALGPF AGLLLNTPSQVQRTDGLPVKLRIANSPLHEIKETTKLFFTRNFLLIVPLISQAVFTEA VMFTYLSLWFSVRARALGSFLGGIIALILGNLLGAFLDTKRISLKTRTRSAFATILTL QGAWWIWGTIIVTEFKKTQPSYDWADAGFGRGFALYLFWVAGFQLNYMFLYFLIGNLA EDEEDVIRISGLLRGTESAAQAVSYGLNAVTIMASVGSVYLNFGLWAISLLPAWLVVK HVGVTLGDKKIQRETRVA AFLA_058840 MASAAVQLPPSHEPSPLTQKTSAPTSSEKPRHVQTKLYFLKELE DGSHLTPNYVTRPETYERPSVELAVTVHDVSGHELDYTLDKNGFQYYYHESREKDFLD DEKIAREYYPETEQLLKDATGASRVFIFDHTIRRAPKDVRAQSTPQRGPVQRVHIDQS YEAAKNRVSYHLPEEAPELLKGRYQIINVWRPIKPILKDPLTVGDAHTLPDSDLVGIK LIYPNREGETYAVKPNPDVKWYYRYGQTPDLVTLIKCFDSKTDGRARRVPHSAFVNPE TVNEEPRESIEVRALVFHPDDQE AFLA_058850 MSNTEIEPLLDSAAPGYDTVHDPSYDSPPDALHSGFQNKLPEAP SHRKALNWSSAYILVISRVIGSGVFATPGSIVKSAGSIGLTLLLWLVGTILAACGMAV SMEFGCMLPRSGGDKVYLEYAYRRPKFLASTLIAVQAVLLGFTASNSIIFAKYTLFAF NIEPTEYQHKALAVGLLTLITIVHGCFLKTGIWIQNILGWVKIFLIMAMSLTGIWVIL LRPYDESMSESDRVVTNNPFAWDTLWEGSNWSWSLISTSIFKIFYSYAGLNNVNNVLN EVKDPIKTVKTVCPAGLLTAGALYFLANISYFLVVPLDEIKNSGELVAGLLFERLFGA HVGRVLFPLAIAISAAGNVMVVTFALARVNQEIARQGFLPWSHLLSSSRPFNSPLGGL LVHYIPSILVISLPPQGDVYNFILDVEGYPGQIFALAITVGLLIVRRREPFLHRPFKA WTWAIWLRIVVCIALLAAPFFPPPDRKGDVHFFYATYAIVGAGVILFGIVYWYIWTVL LPRWGNYQLEEEKGVLEDGTGITKLVRSYRIT AFLA_058860 MKMTAVSTYAPLVTLSEKQQTISYQEIYPEQRSEPTFANPNVQE EIRPQLGRATQRALLLKAAREQYTLVTDHAIPSISNKDEILVKIVAIGLNPIDWKGPA FNFGIPSLPWVNGRDLAGVVVKADRSSRVQEGDIVLVPSTDYRDIRKAAFQEYAIATH FNAARIPPTQSIHASASLGVAYVASALALGVSLGLDFSHASACPGPDLTEVVRQLDTD TIPADIRDECYSGLSKIEKVKPGDWIAIWGASTTTGYITLQLAKLAGLKVICVADIAR HGARLVDLGADALVDRHDTQRAVDIIKGLTKGKLRYAIDIVGKDTATLLQQTLDDAVR EDGSHAHLLGLTGLPKDRGSNVIYHTVPIKLFHTSPQVGERMVSWLEDLLHSGALQLP EIIRTDGGLGNVNASLELLRQGTASGKRIVVDLAG AFLA_058870 MGSIDKPKKQLILNAFAMQSPSHLNPGLHRYPKDQGGAYKSLQH WVTLAQKLEAAKFHAIFFADVLGGYDVYKGPANLDPTIPAGAQFPINDPLYSVPAMAA ATESIGFGVTASTTYDAPYALARRFSTVDHLTNGRVGWNIVTSYLDSAARNFGLNTQV EHDERYRIADEYLDVTYKLWEGSWRDDAVNVKDGVAGYADPKAVRQINHEGKYFNVPG PHLCEPSPQRTPFLLQAGTSTAGKAFAAKHAEAIFLHGQKPELVRPSVDNVRQQAQAQ GRDPASIKVVAGILAIVAETDEAAHAKFAELAQYGDPEGALALFGGWSGYDLSKYEDD QDFRFVEQPAIRSMVNHWASTVPGTEGKKWDKKTISEYLIMGGNGAKVIGSAKTVADE LERWVEVGDVDGFNLSYASIPETFDDIIKYLIPELQKRGIFHTDYAVKGGTFRENMYG EKGQARLPQSHPGAKYVWHAGEETPKYALEKNT AFLA_058880 MAAVEVSVVSDRNIPLLNGHAQSHTPVAVSTDIKGPVASTVIEK TEEVAPQGRPAFELEDHPIDEVRNIKVGVIGAGIGGITAGILLPAKLPGLDLRIFDKN ADVGGTWYENTYPGVRCDVPAHVYQSGFAPNTQWTEEFAQGHEIRDYWQGLARKYQVY KYIRLQHKVEEAIWVPETGKWRVTVRDIGIGRVYVEHLDVLINAIGHFNDWQLPNYPG IDQYTGTIFHSSHWDHDADLKGKRIALIGNGASGLQVLPSIQPVAQHVDHYARNRTWV ADSFGTTGVRRLEPNLFSREQLESFKDPDTYIKYRKSVEEGYFSRFGAIFKDSPENQA QRDTWTQLMLQRITEKPELADKILPEFPPNCRRATPGPGYLEALTKDNVSYIQTPIER FTATGIVTADGVERPVDVVICATGANVDHAPPFSIIANGIDLKKAWKHDGLWGFPYNY LGIATPGFPNLLWIGGPHATGHSGSVPNSMENLVTYIAKVLRKIRSQGIKSMAPSKQA TDDFVEYSDTFYPRTVWTGNDDSTPGQKNCRSWYNGGRPGGRIHGLFPGSAATLNYIR REPRWEDWEYAYTNPSGNRFAYFGNGWTRREMYLDADLVPHVKRPDTIDLTTYMEGWW DV AFLA_058890 MSPIRVGLIGLPSASGENYEGTSWSVNAHLPFLTKSPNFEIVAL LNSSVESAQTAIQKYGLPNETKAYGDPQDLANDPDVDLVVCSVRVDRHFLTVRPSLIA GKAVYVEWPLDRNLEVAQEMATLATKHNARTIVGIQGAFSPIIRKMRSVIESGEIGRV LASTITGSFGNNVDAESKNVRYFLDRDIGGNPITIHVGHSLEYIAAVLGEFKTLRSFS SISRPTIDIKDYSVGDTGKVIEAGARNTVPDQILAYGTVEPSDAAVTVKFHAGKEFPG QPRLDWRIQGEKGWLRLASPLVSLNVGGPGIKLEIARNETNTVEEILPEADEWDELPV PAQNIARLYEAYRKNEWHPTFDWALKRHEALDRIWKEFDAESH AFLA_058900 MSKRIIVTGGSGKAGQYVIHHLLAQGYSILNLDLNPLPPPLNEK VHTLKVDLTDNGQVHGALLSHFRLTEPFREPHQQVPDAVIHLAGYARNMIVPDTETYR VNVLSFYNVIEAACRIGVKKIVLASSITVYGVTYAEGDVDYPSFPVDEDVDANPMDVY ALSKERWLRRMNSKRSSMDMWRGRRSIKCMGGHIQMQEILD AFLA_058910 MSTSTPTLTVYDILMREPVSENACSPNPTKTRQTLNFKSVPYKT TWIDMPDIAKTRKSLGIPAGRKFADGSEFYTLPVLVDTATNSKIGDSFDIAVYMHETY PSVGGDLFPQGVELDFRTSNTAMLVPLSELSEVARRERYIRYAEFNTHVDAAFTAHTI LNVMGLPFNPETAEASKAEFCRRAGMPSFEAFNVTGEARRGIMDSFEKTLGDLARLFV RNQTGPFILGDKPCYADFIVGGWLRMFSVCLPREEWEEVRGWHGGVFGELFDGLRGFY EIK AFLA_058920 MLNLCNALLSIIFSVILRLDTPNEWQPLFGSPLQACSIRRFWTK FWHRLTVSCCASSGTQVTRRLIGMTPGCRSEKIFVAFWTFLLSGLCHVIADWQAGEPC HPHDDLLFFVANFMASALELLVVRRLERVKGYRADHDKDIWSVLLKTTNRVVGIIITT TLLYTLAVSVAKPGRRTFTVNSGENTMLNWLRKLFGADNAALDRRSQQRRSRKRSKQM RAREQELRRQNQRQELKGGKHRTPFFWGSPGVTTPVHQTRIFE AFLA_058930 MNVLWALFACVWIQHAAAVRYFDQLRVPQTASPWLAIYKIWNDP QRRMSTGLPPRYKRSISSPSRISFTFHRLAKIILCWALQLFIIGPLVPLYFNFTAQDF APSRKVFLRRLLPLHNNHHPITLREIQIRLFLSIY AFLA_058940 MVQYITLGKLSKFLFCLLARFSPLYWAVAVSRTSYIQTTSRVTR TSLSQTQVRNFHSRKDTAPAINKTWRSFAIAGAVSAPGFWLLTSTRDDDVPRLEAPPT GHLVAEPGPSKEEVTRIISQDAYSFPVRSVTGVNRYDGTQLASNSLCEDRFTHGIFPS PLNDGTQWMAWAVFDGHAGWQTAELLKDQLLLFVRHSLSKVKSASTGEKSMPDEVLQH AIVKAFLDLDDSIVKTALQTAQSSEPLQDKLKKLAPAYAGSCALLSMYDSVTGSLHVA CTGDSRAVLGQQKPDGTWEAIPLSVDQTGSNEDEVARINQEHPGEENIAKDGRILGMM VSRAFGDSRWKWSLDLQQDLKQRFGGPLPLTPKYDVRTPPYLTAEPVVTTTKIDPGKP SFVILATDGMWDTLSNQQAVDLVGKWLDAQIHGHPISQPKTEYKRVDFGDLGNGVDWE FEEGRTTIQDDNAAVHLVRNSLGGNHHELIAGRLALGSPFSRHIRDDVTVQVAFFNCP HLLPK AFLA_058950 MKKTYAYFAVNMARTSFIQSATTMPSIHERALGAYVRTPGGGLV RCVGLHSQWIQNTWSAIRSTVTAKSPSPAHCLRVCLPGSEADCGLQEVDIYERQRANT ESIVGFILKPVLLGWMIGTLSIDQFFADLTLDRMNVTATTSRVVAEAPVDLTVERIVN LFDKELRYRLKNDQWETQGREYFASKVQFFVQHNSTLQLCLPAFPCKSSNPEKVMGVL PDRGEEIALRRLHAFAHKIEQIYPPGARILIISDGHVFSDCIGVDDETVDKYGEHLKV LNRAISIAMGEDAEQVQFQSLVDLFDLTSPSPLTSPNLVGMLSLPKLQHYLSTNLDDI AEFCRRILVAGCQPSGESLRTQIESGDESTLALYRGFSRFMLQDLDRHPLTQSLSRSQ RKKLATKVSFEMILRNQAYSNLIELMFPDCLRLSIHAHNNSGPKFGIRLFDTATVRAI RQLDSVGSDDNNGDDESAATASHLLHIPTPWHNCIVEVAGDPKLYIVKYGVIKNGSFN DKYSSELVKGNLAKGEGAFVSLKRPLNSVRTA AFLA_058960 MTLLRITPAKSKRLRVLVGPMRSSVNPASILWHPRGHKRSVFFP KSEQPTSRKMVMHGLCIIIGKALAVGILFLSICIFSRIVPPRFPRNIPAVPFWVTLLS LVRDIDQEDIYRRHIQKPLQTHGAIKIFFAGQWNLLIQRSSYLNEIFRNEDVYQKSGN QKKIPHSVLAEFLGRFPVSPSVALLLTLGPKGDNVISSRGTTWRLYRDIITPGLQGHF DAGLIAANAEELCSSLLAFQNTVGNCGVPVQNLLQQFTIANVSQVLLQANHVCHLFY AFLA_058970 MNFPVLDRLGKLIPCRVRARNVVEQFSAALQYGVRHGQGTPNAS NLGARLMAARDGGVLTGKQFRDNLNVLFVAGQENPQLLLISMLYLLAKHPVSVSCPLK SMELMSQDVQSRLRREIDACSTLDPSNVAFSELPYLTCVIYESLRLLSPISQLINRRT SQDVILGNQIYIPKGTYVGYNCYSTNRDPAVWGPTADEFRPERWGQSNTEISQCYRQR RARAEFVSFHGGSRACLGEKFALLEARVALFVLVSRLSWSLDPEWPDRKTPVGPLYPR ALRLIFTERK AFLA_058980 MSSIDRIVKGDRDKDNIHAHEQNAGSEEEYASETTINNQQVASL STKKALWAYLILCFSTGPTSSMAFNYVSAAIQSAANTVGHQPGSDKPCARRGHIKCLV KFGAGEIDYVSYVLYLRSIGRAMEGIVTIMTAGVADYSRYRKTMMLCSILLFGALALP FAGLTKAEYSHLNGLATLYCLLTTVQGVYTVIEASYIPIFMRSVGGLHSASPAADPDT KRTWKKGFTVSVLALVASNVGGIVALLIGVILSYGIGSYVQVGYFSYLLAITIAGCIT IVFGTIGQFLLPSVPGQERPKGQNLLLLAVKGWIRMIGSARHYPEAFKFCIGWILWNT GYSNFLGLTQSLFLEVTGIARGSGVYQVWSFTNVIFACMGSLSFLFLYPHVRVPIKSW AYFFLSVNFLCVLWGCIGISNHVTIGYKHAAEFWVEQVLFMSTSSALRSYNRTVFASL IPCGSEAQFFGMEITLDLATGWINPLVQGVIQDHTHNLRFPMIPNVLLIFVAGLLYVW VDIPKGIEDAKVPLSEAKGGN AFLA_058990 MTSPTTSPPNQPCSVAKEEEPSKLVTAPAKSPASAVIQPPPYTA FSRPKRVFILAVVTVAGFLGPLAGNIYLPALPVLEHEFQVSAAAINATVSVFMAVFGF GPLFWSSYADWKGRRPLYLISLIVYIIANVLMAVLPTNFGALVFLRIVQAFGSSAVVS MGAGTVADITQPKRRARAMSYFMLGPQCGPILGPILGGAIVGQASWRWIFAFLAILAV VLWLIMLLFQPETLRARVGNGKPYSNKGWILWPPTPFSCLAPESERGPPPPKPTLKGY WRLFTYPPIGIVSVNTAILYSSYFGIAVQLPTALENVYHWNSTEVGAGYVAVGIAMVV GSIAGGRWSDWRRARMVNALGEDKVTPEARLRDQIGGILLCAAGFAMFGWFVDRAIHP AAVLFSTFLVGFGMSWIFVTTNAFLTECIRQQAAQAFALGNMLRNPAAAVTAAIIHPL TVRMGWGWCFTGLSILNFVLVGSAMVILRVKSPDWRRRRSAHMAGN AFLA_059000 MLTAMEKIAGSTVLSDVGESNSELGTEDERRKALLQSFTPEEDK QIRRKIDRRFLFLIGMMYIIKTIDYTNAASVKVLQVGEDRNILNELRMTSDEYNWVQS IYFISYIVFEVPSNLLLKRLTPRLWQSRIMLTWGIVLACHAAAKNKETLWAMRFLLGM CEAGMFPGIAAQLCGWYRSDEMGKPIMWMFGFQNTSGIVGSLIAYGISYMNGLCGMSA WRWVYLLEGLFTILFSGVIYLVLPDWPKSPRTRKWLSEREQDYVEARLSENAPKTADS DFSKEEVIASLKDPRTYAFMLSQVLVNFSGYALTWELPTITTSLGFAGLPRNQLLNIP PSAAAVLAIIFSGWFLKQAYITRPAYTMFCIMGPMLVVFILLTVLESRVGIYISCVLG NMFYSVYFIPFWACKFLFYRFCIISFFSVCITRQTNPIPGRTSSLKGTTGAAFTLAFQ SCVGQVGGVIGPQLFQSKFAYNGYKTPFGICAGVIGAACLANLWTWWLTRNVEWDVRR IRRLRIKEERQGRIYADDDVKVYQERQFYSGVAKKGSETNAVEAV AFLA_059010 MGDTKDNVISEQSSVHDDRKDNTLHYASRGQALSDESTGAGDIA GFDAEWMRARTSLTADEEKKLLRRVDWHIMPLCAIMFLLKNIDSENVANAKIMNKGTD RNILTQLGMTTDQYNLVTVLYYIPYIVAETPSNLLFKRILPSRWQSRIMISWGIALAC HAAVKNKGGLLKLGCFLELFFNYATGIGLMRCRYDCFTFVSELRSSDGFAALTEQDIL GNFSGIISGVLAYAFDTVSGSHGLSGWQWLFLTEGVITVAFGISLIFIFPDFPPQAKW LTDKEKAFIQARLPGNAPRAEEINFNFREILDSLRDRRLWLFTLIWAFFTVGTHGLRF YQPTVIANLGFTDIATSQLLNIPTSVLTVICIGVFGIWADSSRLPRPLYPLSFLAVIL ACYGVLYSFPSNGAVYAVTVIANALGSAWYPLMWPWRVQTTSRATGSAFSIGFVNSYG QIGGAIGPQIFQSKYAPHYTVPFAVTMGLMAGCILTTLVTWWITRDTERATRRLKLAR LEAMRRGEAVLDDVVDNDLVKNKGVERPGV AFLA_059020 MSQLQDYRLLTFDVYGTLVDWEGGILAALQTSLHRNDTQLSREH ILHVFHELERDQQARTPEMQYSDLLSTIHPTLLQQLGLPTPTAEESKAFGESVGHWPA FADTVDALKQLSKHYKLVVLSNVDRESFAKTNAGSLQGFPFDLVITAQDIGSYKPDIN NFKHMLSAVKERFGVEPSQVLQTAQSQFHDHHPARKVGIKSVWIVRPGAIMGNLDETV YDWKFDTLGDMADAVEAGN AFLA_059030 MSPPPRKKSLRLRLYQWLWRLRNISSPLRLRGSLVRLRRFHESP LLALIRLLIPFPQWKFPVPDPVAPIDILGNVELEENKLEYLDDLRSIPLWRLRDTPMR SLYRMYEAMLSGLYEALGPETEYFWYQRNWSLQGIRDPQDADPVRYAILACLVEELVV AFNWRLSLGLRRDRNHIMRETGKDSLPPYAPLSGPIWTSSVPAISPKDLDRFPPEYVS DDRKLVLEADGLNKVFARRNIVTNVGWLYTI AFLA_059040 MQKRKQYLGAEDFYNGKRSAPFLNTMKVSVPNPMLGLRLLDSKL AAIPIIVAFAPIKVIAAGGFFAVAHLKNRQTTKDLDYLLEPDWANDDDIKQSLREAIV QVADELGYPQNWANDDVALFVTKQARQLLMDLALKQNIVLWSGNQITVLSAPVEWALE RKLRRIYAADRGRKAELDLSDALAMLNLLKNDKGGRLDMEYYRTLNINGFDVVPDRVT MQRVSAAYKAKYNDEVFF AFLA_059050 MVESPRIATVQHVALATAADALLPILSAISFPRGITDPCYSQTK DSTLSRVAFRSGAKKTPHSSFAHRPVSRLGWIFLAIGASRTLAYRGGRDLRRCRLGSD ARRPRVPLGRQ AFLA_059060 MPDPKSSDAADHPSGSQQGRRFKLPGWLDHFNVRDLKVLFRCWA AAWVASLLIFIGPALHKIGIATFFGALVLYIVPPAGILFVYLLAALSLLFGMCLAWAW GLLTMKAALAARPDAQTKAMVQALQQQAVAIGNQTGTSPAAEAKVLVYDGFMLDARVT VVFYVMICVFIYFMSRVRVANPKFALAQIFGIIIADLFLLFGPSLPSFTASLPEVLIK PGAIGIGLGFACCLVFFPQSTSFVALTQMEQLVRLGEIPLKCTRQRFAGESLDLQQLT ATKAKIIGAFKAMQPSLAFLPLDFSRGRWNSDDIKTLQEPLRQAILSSVSLMDYHITL LRSDQKLQGIQPLSQQGSDKSYMQEKRVREVGQYQLQESADLLQALNSPEHGVSRART REALRKSTTELLELCSETSELLVQCLHAVNSGRWFRRAASRNHLDELVMKADTVLQTL QSTRSSCATETTEALLDSHADLFNESGHLKAPEHLGPHALRGIILGMVMEERILGTAE TLERLLAQVHRLMQARTQERIWIPSGLRYAFSWLVNPRQKAPADDLTAAPATDPDDAE EQAKEAHRRLKISRGYGRPIRQSLLVRALTGFYHWFTNPGGMYALRMVVVTIAVAIPA SIPHSAGFYYREKGIWALITAQTTLLVYMGDFTVSLVGRTIGTVVGGVLGMVAWYIGS GNGPGNAYGLAAITAVMTAILMWWRLFLPPIFTMAAIMGGATFVLVVGFSYDDTHTWQ YGLPGHGYEAFWKRLVTVLLGFVAAFIVQIFPRPPSATRHICKTLSNTIRTLSDHYAL LLSHWGRPETNSPIGAVAEKIAVEVAETLLSIQGSIGLLKFEMTFGPFHREALANMHV LCQDMNQALGRLLILSTSLPEHLQERFAQNVSLLNDHHIGDIMAVLSVIEQALKSGLP LPERLPTPLVKRCFEQWYAQHRAAELSTTLVRDENYRRYCVAISSYLKFLSAIDDMVL VLKGTLGESHLIERWQGEVPV AFLA_059070 MTSQTLPGSPFTKGAKATPQHGKAFKSSSDVIGGEQRQQVMPGK RRASGSSGSPIPQEGNDMNAQLGGIAASPAQSASGSVPIGKTAMNEPRRDAQGNVEQF KPSGGQAREELHKTASSAPGDLPTSLSALEGLQVSDGGHILDQNGQTVGQVVEGDPED LVGMTIGSDGEILDEDGDLVGRVDLLSKPGDAVEEKAADAGEAPSLGAARVNISFKIG EDGRVMNDDEQPVAKVVDGDPRQLVGKTPNEQGQIYDEKGELLGRVEPLSTEEATGAI DEKLEDTKEQAGDTAHMADDTAAEGEAAIDEKLEGTKEQAGDTAHMADETAAEGEAAI DKAGATATEELPDVSTLEGLTCNKFGNIVNADGVPVGELIEGDPRILCRGSFQLDDQG QFWNNRGKVIGKARPVPVEESPPGPFADLDDLFVVEDGWVQDANGRRVGKIVDGDPKK LIGRAVDDDGDVVDKRGNLLGHAEPWEEPDEPEPEKPDLSMLEGYRSNKYGNIMGSSG VPIARVVEGDLKAVAGRPVDREGNIWGDTGEVIGRVEIIPENERETRGPFSGFRDLQV NQDGFIDDADSVIVGRVKDGDLSNLRGLTVDEQGNIIDEYGDVKGHAERYDPPEEQVE EEDLSSLEGKTVNKLGNIVDTHGTVFGRVASGYIKHLAGKKVDARGQIWSDSGKVIGQ AELIPDNEQERPEGPFFGLEGLVLTKDGMVIDPEQKIVGRLVEGDPQRLAGRAVDEDG ELLDKAGNVIGRAEPWTPEEKQRDVNPMAGHKVNREGEVRDGDGNLIGKLTDGNLQQL IGKEIDDNGYVVDNDGNKIGECTLLENLPEEEEPEQEPELSPEELEKQEKEKQDRDLA KRMCAILQQTLDSVQPICKQIMQNIEKANQTPKDELDEEELVKTVKPLIEEAASMLQE CKGALRALDPTGEVAATAKARDAAHEATPEQYSLANLLKELTQTVVETIDQGRRLIAD MPHAKKQLNPLWALLSEPLFQIIAAVGLLLTGVLGLVSNLLDGLGLGGLVRGLLGSLG IDKVLEGFGLGTLTDALGLGGSK AFLA_059080 MAESPKTSHIEDTKPDSTTQQSPGRRRPPKLRKQQVSAEGSNKQ QSASPGTAKSPSPDKPQTPPPSQVREKESMAANQEDTESVDAPSSGPEMQPEPQAAAP PPETRVEERPAQNIPRRRRRRQGQQEMVPLGNVGDVGNTVNEAGELVQAAGSKAVNTV TDTAGKVVGGALSGGQSEEKKGKDEQLRLRLDLNLDIEVQLKAKIHGDLTLQLL AFLA_059090 MSSLSFADKACRLCITAATVDFDNNLLHWCELEEFDTTFISYNY DDRHYIHELNALKQGLGVSESYAVIADQTVQVLSASHGTDHKRHIVKRRVGAGLGTGD RLDFGYRAYSYPGMSPGFAESDLDNYDPVATEIAWTRTLSVLQSGFQKHLDLEKTWEV DELYVAFKHTQEMPWILPGLQPTDRQVEIVLVSIVTLRGGKLYSEHIYWDQASVLVQV GLIDRNLVPEQAKGITALPIIGREAAKKILLHDQEESTEPETASMVAQVDGLDIH AFLA_059100 MYSKKNKAVLPYISPDQVSKRNGVDNSEIWLVIDDIVYDCTSFL SGHPGGEAVLRRFAGFDCSCTFHLVISPTLPKMFSYRQYHAIHGERRKVFANKSLEKL RVGWTEGVSNPYSKPEWVE AFLA_059110 MDANPPQEQPPQTRRRRTRLACEACKIRKRKCDGQTPCESCSRY DYRCCYEPPPRKRRLTTVAAGQTFSPPVTLPSPGPVPPSTALEPSSRRPTEEKSMEAN AGVIFPQLLGLKLSPDHAPSMQHGSGWNLGVRRSPHRSEKSITWILSQAAWQKLFGVY VEKIHPVYGFLDLEVVAGQAGRRWEDPCASNEYDAVLCGVGALGSLFSSRSKASEQER HLIDCAKDILETTSTLVVSPGVHDVEGWLLRTMYLRCHSTPHAAWIASCTTMHIVEAA GLHRESTRGSLVYPEIYPGGQPENVECRRRLFWIAKLLNTWISFEYGRSQVVIRGAQC PAPAPKPGDPTSDLISLFQLSEKLDPDQTVQVLELEESLVRLEEFEFESDAVILSQSV LAFTIYRRLQLLNSTTNMTSVVDRVIRLGCRGLAASSRSIDASCPWWHVSNVPFQFTC ILLAMDTRKSLVHVKDSLATLKKAADHFATQKARKAYKNIDFLVRLSQKRKEQDAALL NESVGSSQGKQDGPMAEEQLNGSVSPLEGAGTEDTWSADTLLNPMDQSSLNYSYDWDI FVRDALDFSTTFPRLAEQEY AFLA_059120 MAPGILVNGFHDSTTPSSTLPTRRRPYPHEGLRFDPKLKPKSYR MTGTSPDSKILFLDVNILDSTGNDPYRGDVLIHGERIVSVGLVPDVEALRHDPKVRVV QGRGRTFMSGLGDAHTHWTWNNIALELLGDIGVEEHTLITARSALCYLDSGYTMCYGA ASAKDRLDCVVRDAINRGTLPGPRLLANGREIAKREGELAAGITAFAEGPLEMREVIR HHAKIGVDQIKLSMSGEAITETVSAEECFFTDEETAACVDEAHRNGIRVCSHARARDS VIQCARHGVDVIYHASYTDEEGMQMLEKVKDRVVVAPALNWLYATVYEAEPFGYSMEK AEQVGYRRELETAIKALKEMHKRGITVLPGGDYGFAWCPHGTYARDLEHFVKLLDFTP MESIVAATAGIAKLFMQEDELGKVLPGYYADCILVDGDPLKDIAVLQDHSKLDVIMIN GRIHKAQPTEFLNTSAVPPSLQEKKSYFNFVAFEDELGRSRIGHLDLGDSTIQPLTMA SGSPLASLSQVIELGDEGVVRAAEAPFPLSSVKLLPPLADRDVLCIGENYRKHIKEYR ESGFAAADNKVTDSPQVPTVFTKRSTSITASGTDIYPHPGFTQTMDYEGELGVIIGKA GFSIKEKDAMDYIWGYTIINDLSARERQRDHRQYFMGKSPDTFCPMGPVAVEAAALSG EIRVQTHVNGEKRQDGTTADLIFSIPKLIETVSSGITLQPGDVIATGTPHGVGVGHHP PKFLKPGDLVEVSVTGLGKLSNRIADPGSKNPTIDRVLQKPSSIPTYNLDRTWGGIGL TKVGPDHYINVRELGSQSPDAETIVFIHGLGANLEYYAPLVQAAGLESNYRIILYDLE GHGATPARASSTATLQTFARDLDLLFAAKSITSATLVGWSLGGLIAMFFAEKHPSRVT TLILLGPGPTPFPEPAVEVFTKRAALVREKGMEASGVANAVATAATSSVTKSRPLAIS AVRQSLLSTHPEGYAKGCIALARSRGTVITVENLRMPTLIVAGQEDAISPVKLAQGYR SKIPNSQVELLKDVGHWHVFEDLEGTAEAINRFLGRL AFLA_059130 MSEHTLDWVRDKAIGWSHTPPRNKIDTHHHCVPSFYAKAVEEQG GDPSGWPTPHWSSLASKLLMKRVGVKTAVLSVTAPGACIMPSRSERSQLARKLNEYSA ELRDKDPESFAFFVSLPNILDTEDALAEIAYGLDTLHADGVTLFTRYGSTNTYLGHAD VEPIWAELNRRGCVVFIHPTHPVDTNPVNSRLPQPSIDYPHETTRTAMDMITNKTRLK YPRCKVILSHAGGALPYIISRVTTPMSKAPDFAVSHRMGITHDQAMESFRSFHFDLAL SASPQVLDMLLKMVPHDHILYGSDFPYAPITAYPAFLEALESYEMDPELRDMINFGNA MKLFPRLSTPRGGSL AFLA_059140 MNVLTTLIRLPRTGAITMGASIHAHISAAANESPITAAFVSIAA CLGLIFLIIQEIFKPVGKRRSPQGKKWKLPPGPQGVPIFGSLLYLQKVREDEEHRIHK DLAKYGEMTTLHLGSKTWILLNSKRVVSEIIAKRGSLTNGRSPMPIASGIVSRDGRSL LLPPAQWMEKRRVMHSLLSGTAMKQYGSWQELESTQMLAEYLFQPERWYRHHYRYANS VVHRIALGERLVKSGKELAELQDVVTHFVGSIGTSLVDWFPELDKLPRMLQPWRKHWE KLGDWNEEVYKSWWIPAREKVENGTAPPSWVRDVLLHPDTKFTGNDQEAMYVALQLLE AGSDTTREALNIFAMAALCFPDKFQKAREEVDSQCSTTKNFRLPGIDDLGNMPYICAM IKELLRWRPIFSFTPDHVLTSDMEFEGYNFPAGVGFVINEIPVCNECEDPEDFKPERW LDGHETDAAHGLWQFGGGRRICVGYRLAFQGLFINVARLVFCYNYEAAGPYDSKRLNH HKTVEPFPVKVTPRSEQHTSLILEEGARLGVLEDAKRLI AFLA_059150 MDNTPPDELQAILPSTIDTYNAWVSSNGATHAVDVLPADNSTRL LWIGPRKAKNVVLFFHGGGYVMPLSKGHLEWMAHVRKEALNAGIQLSIVFGGDSAGGH LSLSLMAHLHHPRPTDHGMKNLVDLHGTVKGCFLVSPLTSFDFTTAAYQKRFNADILS RKVVHKWGDYLVENSPWLEEISAGSGWGMALDVPESWWRNFKAVDRILLTGGYEEVFS DHIQQLGNMLKRQSQGTVTLHMGNETHDTPLMDFISGRRPSETTNTITSFVISCFKG AFLA_059160 MPLKAVDEGKRRSRTRSKIALACNSCREKKIRCDGTKPICGPCV RRSYRNDQCVYNPENSRSTSRDEYLHALHQRIKDLEDICSRAGVVVHNPSTPQSGLPL DSTGHGETPPQGASLSSAPRHSEHESMGQNPTPVTSNTRLAPACILPGTQGEHDNSHT RSAEEDATDIYESPLFDEGEGHITGMGQIILSGAGSEEQRGSTRLQYYGTSSTASLMR FAWQRMPSRPAGTSAETRYSRLQDTSDNYGIDDFLLPPRAFADQLVKHFFDKVFNLYP FFHRPSFEAAYRNLWRAEDEPIIAAPTVLQVGLGSSAESGPKSIVFQCALNLIFALGC QFADIAPEEAEAVANSFFLRAKKFIGLDFLDINTLGVVQTLLITALFLQSSPYPSRCW HSVGNACRVAVVLGLHRSDILATLSPLESEIRRRTWHGCVMMDM AFLA_059170 MASKSTDVPTYSQIACVGAGLSAVALGATLQRWYGLEDIQFFER HPTSGGTWYINSYPGCGCDVPSALYSFSFAPNPNWTKLMPSNKEIKEYIDDVVDTYNL LPKMSFETEVVRTVWREDANRWLLYLRELKTGREYTHECQILFAATGQLVEPRPCEIP GASDFRGSIFHSARWDHSVDLNGKNVVVIGNGCTAAQIVPALANSGQVKSLTQIVRTK HWIFPAPNFTYPKVLQWIFRYVPLAMKLHRLHIFLVAENDFRLFPMTKGAARLREKRR KQVEKYMREASPRKYHDLLIPDFDVGCKRRIFDPGYLESLHQDNVLLTDAKTERITEE GIETDKGFIPADVIVLATGFQTNKFIPYMDVVGRNGETVSKHWGRYGGPAAYNCSALN GFPNFFILLGPNAATGHTSAMMAAENSINYALRILKPVLDGDASSVEVTAKAEHDYAY WVQDALSKRVWNAGCVSWYLNDQKWNSMSYPWTQGHYWWRSLFPTWSDWTIKVRQLSC VPSDRHAHTDS AFLA_059180 MTMMLPREGLYIDPIVKILRKTILHPIFTLTCLYFVKSSACAQY DKPAQMIAGTSVLLWLNDWLSAKSRNNWVIDDSWDWKKELVVVTGGSGGIGGGVAQRL ATMGARVVVLDIIPLSYEPGNDRIIYYRCDLSDEKEIAAICEKIKSEIGHPTVLVNNA GLSRGQTVVEGSYSDNIITLKTNLLAPFLLSKEFLPSMIRQNHGHIVNISSMSAYIPP PGIADYAASKAGLIAFHECLGQELRAQNALKVRTSLAVLSFTRTPLFRGETNQSHFFM PLLHVDTVVEAIVDTLDSGLSRTIFLPGIFRLFAGLVSRPFKGKGLIVTAANRPSSEG PLIGRRI AFLA_059190 MLYSQGFNFDTYAEKGVDPRTGQYTCAIALYEVPSSVRNCPPFN LTLHYNPLNTDDVGLGKGWSFNLTSYEHRTAKTLFLSTGENYRVTELSSGVSVKDQKL KSFLFQKKNTHTYQVIHKSGQIEVLSNLNGVYNVSVPTEIYSANGRSLNLSWVHSGGQ PRLSKVQEASQNLVAIDYTDAKVTITRAPGTSEAATFTLLRRNSQLVELQLPLAGTPS WRFTYGTFGLINVTSPAGAVENITYKNSGHLLPKGAPVQAIPYVISHTVRPLQGQPPI VSTYTYSDRNFLGYGGGLDWKDGEDNLYRMPADYEYSSTIQVVGGPTTKYTYNKFHLT VSTQLRQGMKEITQTNGYYALVNTGFDKQPTQYQLPKTVQTTYRDISTGASRTETTEY AFDDWGNPTTEVRPSGITITRDYYPSAGETELGQVLCPADPHGFQRYIKRETVTPAKS AYSTPTRSKRYTYMQLPTAANALIGYLVTIKQLQVMENAQRLSSTEYTYINQVQSRNH SRLQQQVTWLSDQYPTTHAWDYEYVGSEQLRQITSATSYDGHKTHEEERSSLVSGLTL SEWDENGIETEYQYDLMGRQTKTTVSSGTPYQATEQVEYVVLEDAGTRVTTTDGKGVQ ARYTTDGLERVLRVETQDDDGTWDQYNSYTGTFRVVQERSYNALDQCITETDIDWLRS GNTPTEQRTSRTLEYDDWGQVYKVTKNSGAVHLAITDPIAMTQTVGEEGEGQTRVHLN VFDAPTSEQLIRTNGTVYSTIEYTYDGLGRRMQIKDNSGHITKFTYDSFDRVIETTFP DSHSITTQYAAQSAAILPQSIGLKGTTGFSEQTYDGLDRLTRRTVGGRTSSNVYTGIA PMPTQLTTPKMNKIKLTYEPALDFTLTRRVTSDGVDAYKYDYQTADVVQLDSSFSKTS VGYLPSRLVSHEKIQAKDDSHSSEYVYSQAGKLQGYTDIHGQQHKIQYDSYGRPQSIS LGTLKTTLGYDRADRIATSTVHDSKGGLSLSTKIVYDEFGRETQRTVNQGEKMLHQST QSYGDTGLISGKGWRNGDGSLTRQESFQYDNLSRLVNYQCQGTQLPVDEKGRPIQQQK FTFNNYDGLTRIYTKFSNGSENTATYTYSSKDPTQLTRITNTHPDGMASIDLEYDANG CLTRDEQGRVLVYDVYGRLSAVYTNQNQLVCEYAYDAADRLVSQKVPNEPDTNLYYRE DSLIAVKKGDSKTSYVSGGGMYWGQIVQKGATSHAQLWASDAQQSITSWLDTEQPGKV HSQEYTPYGLSLGGTAIGFTGQWRDPVTGWYHLGNGYRVYNPRLMCFHSPDAWSPFVS GEINPYAYCLGDPINRADPSGHFSWRAFTIALVGIAVGITVGILTAGAGVAVGIGISI AAGVVSDVVTGVIYDVASGKSPTWGSVGSDALWGFVGGVAGEAAGRAVAYGASAAFRQ IGKQVSSAASGSLRAPLAAITEGAAGSAAGGATSKATTRTATQLPAWLVERFQKGLPP IGLHGGAPSGGKKAAQKAAQKAGQQAGPSTAPSPWTWSDTKAYRKGGHLLEDAHLSTY NDYKALVTGGQHPSQAARFRDLHFEQLRGRQRGQFTIRLSQEHRVAFTIDETARHIDV FHIGGHYPRAILLSAGPLAQL AFLA_059200 MAWEVVTFTVHTNTSNGITDALYANGQMQVPVIVGIKAVDQNYS PYTLTEAELKRITLVDYYNTATEIKGNWYYSTEENEFAHSLPTSRDPGQPIRQEGPQY ITFWVSTTKVENKNIAARITQTTDNKVITTNSSSFNSRVTLTSREPIRYTTDDVNLVR ENTSSGKWPVSTNHNGALVNTVLYNYTQNNYYVTSKLYPFIKGEIYNYDATGKSNNVI SSPYLKNAYAYLIDRNDVKIFFIWPMTSESTEEPGVSRMVGSASPWTLVYAKAYVKVN QRANALCLTRMHYSCNTNYWIRDWTMNAWFRLYDRYGNKAEFYPKTDDRDTIYLQAKS SQLLATSEPDAKL AFLA_059210 MTPAFEDLPLASEGPPGNAWGRFGDQDELGTLNLITPDTIVRAA KEIQTGVRISLDWLLSKPSHPSFGRKGFQQEIVHRSPNCINDDILTFNTQGSSQWDGF RHYAHQRSRRFYNNVTQEQIESSDIIGLHTVVEAGGITGRGVLLDYAQWAATKNLKIQ PLSSTPITVESLEAMVKDFQIEIHPGDILFIRSGFTAAYNELNEQERMNLAQRPSPDF IGVEATENMLRWLWKYQFGAVAGDAPSFERAPIRGPHADPRYNLHEWVLAGWGCPIGE LFDLERLSQHCSATGRYTFFLSSVPLKVPGGVASPPNAIAVF AFLA_059220 MARKYNQTILFHWLLTISFVLLVKDTRAIAQRAFRSSRTRPSTS GANDRTITIPSNVNASVTVEHQNTPSGSLYPQVEPREEWEDAQGVMDNIEEAPAPDLV SLLSLIISQRTLNEPS AFLA_059230 MRLILVSIYRLAQITTQVLRKLYGSKKHDKVARDESTSQIEAQL SKWLDETPRFLNPEKQLGQNESEVFYDVPWIFKRQQRTIRAAFYFTSMQLYRGHLLDE FLQANFHDRLSLTPAPPVQKCIHAALQMALFAADIKEDVTYNSVFWVYHLPLSRLLIL FLD AFLA_059240 MAVIFLILAVGCIWYPSLHSRDIFEYKTKAANSHKARGSTRPHN PGWRRQHPCSLPRFW AFLA_059250 MASSVVGNDPIQQKTPCATPDSKRATPPQLQVLGKTKDGRDLKI RSYPKFDTLEEERLYRKQHLAAAFRVFADRGFDEGVAGHISVRDPILTDHFWINPLSA HFSLIKVSDLILVDEDGLVVEGDEPINAPAFAIHSEIHKARPDVHAACHAHSVAGKAF AAFGRELDMITQDALRFYKSHGVYRDFRGVVLDREEGQRIAKALGQGKAAILQNHGLL TVGQSVDEAAFWFMSLDKTCHAQLLADAASAAGYKKIIIDDDEAAYAALQVGGPEKGW LAFQPYYDEQLAKTNGNFLL AFLA_059260 MVNPSIASNRTHCILHAAKEGKYAVGAYNCYNGDGVMAVIRAAE AKGSPAIIQLFPWTLHFQGPEFVRYVVNSAHAASVPIAVHLDHCIKPEDVELALTLPF DSIMIDGSTMEEAGNIAICARNIKRAHELGISIEVEMGRIEGGEDGLPNVDLGTIFSK PEDAKRFMEATGADFLAPSFGNIHGGYGVGGAERSWDLTLLRKIAKTVEQPLVLHGTH LVENELFLKAIDCGVSKINVNRIVRDDYTKFVAENAGKLEFTVLKVQAVDVYSKSVQR VMDLFGSSGKA AFLA_059270 MTDHIGTFPELKGKVALVTGIGQMGDPQMWGNGAATARVLSRNG AKIFGCDLQLESALHTKKRLEAEGGVCEVTTANVTSSEDVKRMVEVCVAKFGRIDILI NNVGRSEPGGPAEMTEKVWDAQTDINLKSVYLSCHEVLPIMEKQGGGAIVNVASIAGI RYIGKPQVAYSAAKSAVIQFTKATAVIYANRNIRLNVVVPGLMHTPLVSYLADKYAGG DLEGFIAKRNKAVPMGRMGDSFDVANCAAFLLSDSARYITGQKIVVDGGITSSTG AFLA_059280 MHNLMVALTLLSALVAGVFSFGPEKGGLQYERLVRNGCHIEHPT VLTILLQTRPIQLTQDLATSQTVNPTAANSWWSSSFVHASDGNDYLIISHVLLQGQDV STALLRASILDINDTAYYHQVSWIHNGSSRAAQVQNGLPGLATKYFGFVSSDPVNPLD QMRIWCLTERVEFNLTFQLSAPVILNGGTGTFPFGNEITFEWSMPGGVTDGHFTVNRK FLTIDSASSSTWYDRQFMWPIVPMDGPAKSNWTWFQVHLGQRTMSIWVWDTFDGQRSQ FATVRDKPGIHQVLAVSEFKPSSRQWTSSCSKATYSLDWVVALVDGTMMELSSVRNDQ ELCDKEGTIGTYEGYITVSGTRGEHPISGYGLVEVVPVGMIKRPS AFLA_059290 MVDSQIIKAIQNSIEAWKDLAVPSIQKITDIESNKKHYNEVYEN LEWAIKTVAHIADDLEELVKEPVNNEDADQIVTKLEERVGQLVYDNMPSCQERIQEVV NNDDVYYGFCSFNP AFLA_059300 MGSPLLELANYYVLGRILYYVPYHSPIHPGRVLTTFGLLSTVVE VLNALGVSYIANPELPESTIKLGYILMKISLIVQVLVITLFCFLAAMFQRSCYRSGIR SRRVSAPLITLYISTFLIFVRCIYRIVEHFGASKISPSPSRDLEGLSPILRHEWFFYV FEASLMLVNTLMWNWWHPRRYLPERSNIYLAQDGETELEGPGWKDQRPFLVTLCDPFG WFDSNKKKERPFWENNGYTLVNSAA AFLA_059310 MAKTSSTGGTQEEVWAALPRWPSVSLGTIGFGELYFMSIDVQRR KSIQTMVLRDGPTDKDPPLASLQSDPYLRAKPVSVTFASQGELQESSIVEPLEDVKLG RRMSPTFSITVGGSGKDASYEQFEWRSSHGKEIKELAGHTSGWKLVRLSEAVGEAGGS RSHRAMGCSSDGKEIVAGIAHNASWSLSKGFRIAFVGSGLTGVLGERWEIMTLMTAVH LWLIEFQIATKAIPIA AFLA_059320 MSILEVERKFRCLAINRLLIDGGFPPFRSLRYCGKHSFHDIYFD HRGILCSRGVWVRQRNGCWQAKIRRGGDYNNSKFEELSAPNQIADYLEELTGVRNTER DKFGLKQTASFTTGRESWKADKDFTIVQDVTDFGHTVGEVELEYYLEQEGNSSCDNGQ LGESKMADMDARISAFMKRYSWAFCAGVPKGKLTAYFEKFPGA AFLA_059330 MKANFLLVLATVAAGVLAHPEANVENNLAARSGTCHKPSSCSKF WAGKCEQYCAPYKFSHLTSDGCYMLAEKCCCDTTKA AFLA_059340 MFAAPAPSDLVVIQYLPSTGTPSYHTARATSTSDITSMPSSNSS GQVGMGAAVGIGVGVGAGVFLIATAGVLFYNRRRRSSPSSTTPTSLRRLWVGPESESH QLQPQRRVELGKSEPRPQELA AFLA_059350 MDTRAPLRPATHQRHCWECMRRRLVCDFARPTCNKCRSAGVACP GYDEKKPLRWLAPGKVTSRARRRRSPHGTNHSFTVSSEGSEEAADKEHVPRTSVRSEL LRIPIPRGELKSETCTMVEAVWYCMSHRASKCN AFLA_059360 MELIKQRGGLASLAHSSEYLKPLILHSLILGVMANTTTPPSQHV PTTSQLDLIAIMRELYGDGIYPILLCPPYLFIDVIKINNLRFQTTSAPITETTRATAD EILEHIEAFSPDDWTGTNPDAREDWLLLGRMYKSSIALYCISSLQSLSILPSSKYYTA MRTVHGNHLYSLLPKITRRTRIRHFTIWPLVVAGMQAVDASPNVRRIVDEQLSELSKI MGCPTPTLAKAIFRRFWTSGHTGWDECFDKAYVFVT AFLA_059370 MDSPRLWTGLAGLITYLIVISVYRLFSHPLRNIPGPKLAAVTHL YEWYYDLFLGGKYLFEIERMHERYGPIMRINPPEIHINDPKYYDEIYASGTHRRNKDA EFVSFTGLLLSSASTTDHDLHRYRRGLMNNFFSKKSVRGISYFVEEKVHNLMQRFEAF YRCNKVVRLDDAFAAMTSDVITHYCYGKSWDYLDYANLRTDVRKAVHDLTCSVHFNRI FPIFLAVLKKLPLRWLYAIHPGRSVVLDIQKTIYEQSAEAIHGDKYKIGHNDAVDKHK TIYDQLTDPSIPAEERSLQRLQDEGLLLISVGTETTARALTTACFHIASDDQLRTRLR EELRTVLPTPTSSVTWSELEKLPYLTGTVNESLRLGGFLTTRSPRIAPDEPLTYKEYT IPPGTPVSSSSYFGHKNPNIFPEPERFSPERWISAGRSNDHLFKYITSFSRGSRICAG MNLAFLELYMTLAYFVRRFDVELVDTTVEDMRIVRDMGVGFTHRGEPTVYGRIVRVCE D AFLA_059380 MAPRRGGGSYSGGSSSSSSSSSSCSGSAFSSQAAQITIAFHALF FLVFCGLFCFASFKLIRSKQKGRALRRWFPLGFSIVFSIVAVILNIVLLALIQCDITS ITVYQLVSLVPGWLSALAYFLLIALIMVPICKRLVQGNRKIAKMVTIVHSIYVVVLGI ILLCHLAIYTHLVDASYRGTLVSGSTLRYHQIKLATAYAVLAVIGMLMAAANMLFALT RGHHLRRGILFPAIILLILSSLGMTVLDLANHIIIDYLQAEYISKGIDAYNRSLEAQN FLGYFFYSVTFLMALLVASSKQLSDNTSTGPVKHLQNQQKYPGVSQAHQPYRAYRPQG QAKMHNGYH AFLA_059390 MGSFASPLPQISITHDHCLRSDHTSTMQIKSSTVLVALVGSSMA QAANLQQRQFESTDVAATTTDSNSGSTETGSVTLPYLTETSQTGSTTGSEAPTGTGSG TATGSGSTTGSGSPTGSSSSGAESTSDSATPTSGSASPTESGSTTSTSTSTTTEASTT TSGSETSSGTASSTSSSASPSSTDSGASSTLPQGWATWMLPFVLGAFL AFLA_059400 MATNTSSFTAIPILDYSLSTSPTTKPQFLAELRNALINVGFFYL IHAPIAPQIQKDVVDKCKDIFDLPLEKKVEIEMVNSKHFLGYSRLGAEITAREQDYRE QFDFATELPAPGPSEPLYRNIRGPNQWPDESAIPGFRKAIEAYLAEISPLSDAFRGLI SEALDLPPTALDPYFDDPQQLKLKLIKYPPPPISSTEAEVQGVGPHKDSEFLTFLLQA SPHSGLEVQNKSGDWISAAPVENSLVVNIGRALEAITGGVCTATTHRVSLAPRNFIDQ AGASLGPRFSIPVFLGMGLDLSAEKITLQIPQHVRDLIQDEKVRSDAEATFNRIFSGR TGEGTLLHRVISHQDVGRRWYPDLLDFALKQYETK AFLA_059410 MKVALLTLAAGLANAASIAVTPRAFPNAPDKYAPANVSCPSTRP SIRSAAALSTSEKDWLQVRRNETLEPMKDLLGRLNLSSFDASGYIDRHKNNASNIPNV AIAVSGGGYRALTNGAGAIKAFDSRTSNSTARGQLGGLLQSSTYLSGLSGGGWLVGSV YINNFTTIGDLQASDKVWDFKNSILEGPDVKHFQLINTAAYWKDLYDAVKDKRNAGFN TSLTDYWGRALSYQFINATTDDGGPSYTWSSIALGDDFKKGKMPMPILVADGRNPGEI LIGSNSTVYEFNPWEFGSFDPSVYGFAPLEYLGSNFENGELPKGESCVRGFDNAGFVM GTSSSLFNQFILRLNGTDIPNFLKEAIADVLEHLGENDEDIAVYAPNPFYKYRNSTAA YSSTPELDVVDGGEDGQNVPLHPLIQPTRNVDVIFAVDSSADTDHSWPNGSSLIYTYE RSLNTTGIANGTSFPAVPDVNTFLNLGLNKRPTFFGCNSSNTSTPTPLIVYLPNAPYT AESNTSTFQLAYKDQQRDDIILNGYNVVTQGNASADANWPSCVGCAILQRSTERTNTK LPDICNTCFKNYCWDGKTNSTTPAPYEPELLMEASTSGASKDQLNRTAAVIAFAVMFF MTI AFLA_059420 MLPPTIGRGNTQAITCLLNMCPKLESLHLHWYNLDIFSLTQAQK EEQHFFDRIADSCPIGRLKYCTLQGIHTSEQKLHCFLRRPRLRSLTMEQIRLDSGTFQ SIFEYLSLNMTKLQYLRLDDFWEDKLLYFDGPGQPNFPSISGPDLPNSITRTGRETRR LIRYCFSMGGSLPGADSRRWMEKHWRLYGPPRGP AFLA_059430 MLKVVQDTLKAARDTQEAARDWEEANMAERSLEQPFGQRLAHGH LLQVDCGSAEMGVMEEMEAATAGEVLLVSTEVSLIAME AFLA_059440 MYGLDRLIEIAWIRRDCHRALAAKGGRYHQSPPLVEGQDQLRDC VGSFNALFEEVTVPFIYIIHTAPPLNINVKDIQKEMIEPAVIWTTQILESAHRQGGTS LKRFVLLGSAVSVLNSFEDMSREGRPYTEEDWNPVDVRHVVRSHVDALTNPAARGKRI LLISGLITPQLVVNLIRKHFPALREQVPEGKPDQVLPHGVHPTGWDMRVSLDVLSKGT NEGQ AFLA_059450 MDSKVARLPLFGGPRAWHSSDWTSTDDRVRGGSSHSHMSCSPAS LVARFHGNLDITTLGGAGFASQRTTGEDRSWDLSGYDGLELHIARGDDKLYTITLKDE TAPKRPDGRLESTLSWEYDFHAHGEKRVFIKWADFKPTYRGKEQVDARPLDLTGVKQI SFMMRSFFGIQEGDFSLDIVSVAAVRYKYYRDDPEEEEEYVMVDEKLGTVAETPKSRG WLSWIGECCGLS AFLA_059460 MDKLASKLGGGHKHGGAGGAQNEDYVDKGLDSLETKYGHGKVDP HNSKVRQTNERITDSARNKFESATGKHVPSKISN AFLA_059470 MGYGLCQGLTNHIGPLRIEFRLVCSIGYEGPIRSRYRRHLPSRI IPAASFVFVHGLNPRGRVDHAFETWTHQNGTFWPRDYLPQDIPQARVFVYGYNSYVTN PQVMSNASVKDHANTLLNLLDLERSPQVNARPPKIIFIGHSLGGLVIKQALLNAQEDP KYTSIRTGTYGLVFFGTPHHGTKGVELGKIAAKVAKFVSKGHASNDLLDCLEHNSLFT RQMSSRFCHQLEDYRVISFIEGKEVLLGGSGPASISHLVVDEESAILGLPGNRETRLK LDADHSQMCKVGTRGAMYKLIKGNIKQIADQLLVTEQGYITQPSPSPRAGPPLPPRHT NSSAPYPPPNITSQAATQRVIGTLYHPFDNDPRSIEAAEYKNNWKWDDARRVEYTIFQ EHLRTLGADHHSTLQVGYNLAEIDLESGYLGKAAEWCQWVSNNSQRVLDKRHPLTMRA ESLMGEILVSQGKQQEGESVCANVLARQQMTIGEDDIDTLETRRRLANAYSSVERREE GIATAEKRTESLKRLLGENHIKTYAAVLDTIELIVAKLSSSNEAMAIARFHTGTEDIV NVVQEASREMNNLLGPRHPLSIRSLRLLGACQIFTSGGLTEPSETLRRALATAEENLG SDNPETIQIVVYMGLMYAKQSNPYSYLVSQQNLELAMPWFRRYLDWAKSRDILSSPDP QAILGMIANMYMGKRDYQQAQNYYEQLVTACQKGNIPVPADVQNMLQLCRMNTRLMSP YTSSSGFESLLSSFKRL AFLA_059480 MGTGMAGILFHLMPFEHAALQYIAIAFFVLNALLFLTVLGMSIL RYTLYPEIWKVMIQDPVNSLFLATCPMGFATLIELWVFICVPQWGDWAKTTAWGLWII DAVAAAAVTASLSFILISQHYVTSLERITALQLLPIAATIVASGVGAEIADILPNAQH AMGTVIVSYVLWGMSTPMAMIILVIYYQRLAVHKLPSRETIVSCFLPLGPLGFGGFSI LYLGKVARQLLDESNAIDPLVGHIAYVLGLLLSLLMWSFGLIWLVFALATIYYRSPFP FNMGWWGFTFPLGVYAANTILLGKEMNLMFFKVCGTILSSAMILLWLVVATRTVHGAW HGVLFHAPCLQNLKEKLEDSQDDATNDEA AFLA_059490 MDITTNELDCDSYVKLDAFTRKTYRDVYPAIDPTRPELSQAGKV IVITGGSRGLGRSSFAASFARANADAIVLLARSSINLAQTEKLIKDINPSTYVLTIAL DICDEAKVKDTFDKIKDRFGIPHVLINNAGVLPAQGTITDQNSSQWWETHEVNVRGTY NVTKAFLRMTGPNPANPTTIINVTSAAGHIVAQGMSAYCLTKLAITQFTAFLKNEYPR ITSVSLHPGMVLTDMGSSVLWLEPFMRDTAGLSGGTAVWLSTGDKAFLSGRYVAANWD VAELEMRKEEIIRDNLLTVCLRGRFGEMVAR AFLA_059500 MYLQSSLALVLLRAAVVHGYANPGACSGACNIHDPSLIQNGDGT YYRFSTGNNISFASASSIEGPWTALGSVLPGGSSIDNSGRYDPWAPDVQKVGDLYYLY YAVSSFGTQESAIGLATSETMEEGTWTDKGSIVTSTTGDQYNAIDANLLVDGSANYLT FGSFWQDIFQVTLNGDATSSTSTPVNVAFDPATTHPVEGAYLYKYGDYYYLFYSWGTC CGYDTSRPAEGEEYKIKVCRSSTPTGNFVDASGVACTDGGGTVVLESHDNVYGPGGQG VYTDPNLGPVLYYHYVDTTIGYADSQKLFGWNAIDFSSGWPSV AFLA_059510 MQSESPTHSNVTSEPPRKKRAKYTQVACNECKRRKLKCSGEPTC SRCARDGVPCIYTPGTYALSNGASSVEEPHDDGVSARFQSVDRKIESLQREMRAMAAR LRELESSSPSNSNANNPTPRPASIVSSQAANTGLQRIMNRPMSPYHVGPTSAEFGLTA RRKPSDDEDEFESTAAPSPVAAPNVDFATDDPLGNLGQTEALRLVTVYENTVGLMYPC VDLDSMRAYIVDFFRDDSRQILSSEEQDWFFARDVEVLKIILAIALLTESHGRSERAA VLAESVEDRFATRVNIPEVDMKELLILTLLSIFHSYRDDEVISWRCIGMACRGSMQLG LHCQETWYRTGGVFPGELQWTWASRLFWCIYVLDRKWSFGTGLPFAIQDTDMDTNLPE PGTATPYLTCMISYARLSGKIWGLVVGWGSRSRAATSDYCSYLDFQVQQWIQSIPQEL RFDPSRQSSPDSVQNDNMMMLQVLLALQANQLRILVYRQNLLSSESIETNVSGASIAV ETAKSTIHMLDYFTRVSDIYFQRPEPFNYFLISALAALFLAVFHAPTRFSNVCRAEFY AAVDMVRKSSTRARTSRRLQKIIRSLKLIKLNVGKSPYKHGQPPENLGKVLSRFSHGQ HDSNQSTLSQSPLLPSHQHQPSGIPTPQPSASLWSVSSPPVTAPVNYGNTDESCDDLT SFFEMAGGLYFDPKTGPPDETLTSDGASAGGEGVDAFHAENEALTRVMAGLL AFLA_059520 MPRTNFHSTFDCIISWLRRVKNFDNGSELADIIRRGELVSLSDE QAVHLLYLQFQNEFERLKRVDYTLEQGDGDPLKGSLDGKGLTPSQFLFGEDYAEINRT VVNFLSLKWLLEDNHQAFTAHQPSAVQLSIPTFKSFRELARNILGTTDDILALVVSLI LGDVGKDPQLEEDIERKDGRKPNHDEVLARAIQLRRFRKPLGLLTKDKRAEVLLGVRV GAKLNIPQLTQGENVPGSLESILMLQGQPQAFKLKYLEIMLDVSGAGAHVDARGAVRM IEPVCKSFLSAYPVLEQVISKTLSVRDAYNTVLQNRGQLLAEQGFHALSTNNPSDRAF LRLCAMGRVADKHLAELFEKAFTELPQPTQEELITGLNVDGCNGEDAVILYYMPAIFA EALRVTRTASDVKKIQVLQSLMSFMARTYNDTKPVDGHPGVILERDVSGAKDYIRMDG FIDDPTILDQCVPPVATY AFLA_059530 MGWFPTKLDSEESKWHFDILILLAVIGSSATQKHMPAITASGFG LFPRLLPAPETLLDTSRLYRLPSTQNVDVVGVHSGTVLTELNYFANLLHKIEDIKPFE FRSYEIDYNYDDEEKAEPSKLRIKTKSFLNVITILSIFMSVGLLVFAGVIHDGVALVG VGTMALSTSAASLSAWWSPELPEPPKRSVGTNLPPADVVIRTRGGGFIVVRANEKVVR ELYTGMDSCKYNLPDKARQAFLAMSTLLLMTSVIMFSNSTEKMQIAVGAAYFILNILY WGLALLVEPSDVWDTSRYKRKTPEPTFAKTYTQVLWLAVLETKSIDWVRRANIAPKTE AWDKWLQEACENALKENEDWPAERRKDEIIAEYLLKLQNQNGVLPQD AFLA_059540 MSVHEFKMPLPKRLSNLVSTRAVQEATTMEVREKAQPVLRTVAI CRLSKSALPGKLGEKLSGDKLAPTIICGVYCVISLMGQVFKV AFLA_059550 MMDYIDHDTDLGRALNTLTLNLEDRPILYPSIDIDKLEMLYGQL AESYSSCHSYHCLGSVFLPRLPTSHGKSDASRYRSV AFLA_059560 MKGYESALYCNYFLNYPFQPESYCPQCDGVPHELPKPRARALSG VKGEDETGRWARDLDIIP AFLA_059570 MASNLTFPGHASNISQIPSAFEIHDNRFFNIIGSAPKLEALLKN DDVPFAHEASVFIPSTDELFLTSNIFTDPVTNHSTIQISKVKVNALPVTGEVIKTTIP MANGAVNHGDGILFCGQGNQTEPSGLYQMSIDAPYEAELLVSGFYGRQFNSPNDVVVH SDGSIWFTDPIYGSKQGFRPRPQLPNQVYRFDPVTQNVRVVADGFGRPNGIAFSPDEK TVYITDTAYTLGDGTNDPTGPSTMYVNCLIHPFKLDLPLLIFCISYAFDVSSINGEQF LTNRRVFAMADTGVPDGIKLDMDGNVYAGCGDGINVWSPGGVLLGKILIKDGAANFSF GHSGQMFILNENTLWTAQLSRSVKGALLRI AFLA_059580 MQGSRPGHIHSNRFRTITIAPLSDSSVSESSDSENLSDPSDSGD ASDSPEGAEGYKASSAAEGRSKRTALPLLPEKTKVQPGRLAFRSPNSDSTGGRRQGLP KQVEQANEILAKLRDRRVKTLNRLKSLGKDNKIEGLPDIIRWENKEKRLKEENRDTVS EVEKVLQKALEDRNLGVTTEAKKVIEMVPGNNNTKTTTQANKVSGKAPEDHNGGPTIR AQERSQQLEVLLSGNEDKIQRAWTKIGKKLIKAEKIRKKILHAKAIRDVFARELHLER SIPRKTKVKVSSNGANPYNGKKQPQFSYEAIKPGQFRVLVLHPAKNTSFPLVCTLQAK SLNEDRNVNYAALSYCWGTDLDQQRLLIFPGSKQKALKWKYVARHAKEMPIRKSLYLA LLRLRRKDVPIALWADAVCINQEDQKEKTEQLQQMATIYRMASHVCVWLGEADTEGRS DRAMEFIPKLMDFAFLERLANDKEQAGNWYALAELMRDRWFSRRWVVQEISLARSAAV HCGTKTVQWAEFADAVSIFVATRDKIRELFDFETWKEGPQTLGEVQSFGASILLEATS RLFLRTPSGEILRPVKGIESLVTSLNTFDATDPRDIVYSLVSIASDTRDTSVYVDKKQ GKRPTPSSQLVIDYRLDEIEVYENFTRYCIETSKSLDIICRSWAMPPKKAKGSLPSWI RLLRDSEFGEPEEVYEGRKNGESLVGPVGNTRYNASGGRQFRSVTPNIDDHGSHRQNG SPLDAQQKTTSPEEEAMQGPSTLWVEGFKLAIVDDVSLVNAPGLIPRTSLRGIGGWPG ISKSPESVPDHIWRTLIADRDSEGQIPPAWYQRACLRCLEIADTFNHGDLNVGQLLQG PSDMLRGYLTRVRNITWNRKFFRAVMRTDRVDTTQEIQRSKNADKASTSGRPDDAEFA FQDGLFGLCPPGTKKGDFICILYGCSVPLVLREVSRKSTQHCFKVIGEAYVHGKMDGE GLVDCKSGKTLGPEEVFALT AFLA_059590 MAPHPLAILSEEETNIAREVVVAAHPNTVLHFREIYLSEPPKEQ LQEFLAIEHAGRLSPTTPRPPRLALCQYDVISGDRIPVYQEAEVDVNTRKRVQHKIIG KEHHAALTLAEFEVLVERCFASPLFQKAMEDFDLPKGFEVVIEPWPYGGRDHSDPNRR FFQALCFATNTTKNNEDANFYSYPLPIIPVMDATTQEIVRIDRPATGGKGEGLHEQTF SRDIIGHCKDSDYVPELLPNGSRKDLKPLNVVQPEGPSFKITNESLVEWQKWRFRVAF NPREGATIHDVWYDGRSVMYRLAISEMTVPYADPRPPYHRKQAFDFGDGGGGNMANNL SIGCDCLGVIKYFDAVITGADGKAQKLPNAICLHEQDNGIGWKHSNWRTGRAVVTRHR ELVVQFIITLANYEYIFAYKFDQAGGITVESRATGILNVVNIDPGKTSDYGNVVSGGV LAQNHQHIFCVRMDPAVDGANNSVVIEESHPVPMNEATNPNGNYYKVTNQTIERATYL DAAPQLNRVVKMVNPNKTNPISQKPVAYKFTPLATQTLLADPNSIQARRAQFAQHHVW VTKYRDAELYAGGRYTLQSQVEVDGVADAVKRGDAVDNTDVVVWSTFGITHNPRVEDW PVMPVEIFQLMIRPSDFFTENPAIDVPSGKNAASRVVQSECCRNSHI AFLA_059600 MLNGGQKKFDANSTTSLECGFLVETEWAAQSSKTDLFLERSLEF FMSRNQPNLGLMSQGDSLVQQVDKVAMPSPATGKMDSTIGIQ AFLA_059610 MKLLNIITLLSTTSLAVAGGLDTREANNADLATRAATICGSGYE LNKAIPLPKGTDPKQRLGTLYTYIGKDKGCAILDNNVGKAQYMYVGVCDLNGKHCDKD SGAFSQYAGPIYISNFACAPLVAKMGQSSKSLYIDYKDEYGWACE AFLA_059620 MGRFELDLFTYLNAYAAVVFESHSKSEKWTIDRSIAHISLLLAT LSAGAYYSDLDLPQQSYICHDLARRSFQALRLANFLFRPSLDAVQALLVLGNMFQNNS QSDVAWALLGTTVRLAQTIGLHTESIAYLPQYMQLKAKALWFILVWQDSWGSK AFLA_059630 MIIKEAYLQEKDHSKASTSYTPFAQVNSSNIQPVIRWIKAASQD PNSNGNVETDTLKRIRLGCSAAAWLRNHITHFGEQRSPRSAVVKKVEKAQMQRAQCVR ECAQELDEIVLVE AFLA_059640 MHYYLANSTSSVESTASKALATLAHDSPSLGRTILRMALTNDSA SSAAVREALLAFASVHRHGLQSQGIEFKVSAIRALGKASHTNIGVAEALQHVAACMLL CAFEIHTASCTSSEWWCFVNGVKQVLNASALLPFRNDSSFAALLDWVFHHETLGWFSF LHWRPEIQLQENCRSAFCTGRDYLLPTSSNKLLRLFKECCYILGMDSDLGPLLSRLHT LAENIANLHLPDTPHRTTLELFRLSMLIWLNRMTGATLEPQSTTDARVQRALHILSDL KTCPRQLPLFIIGCEARTDEDRCVILELMNRTEASASSRSMFIVKALTEAVWKQDDLA GERELGYREKITAIVSAIFPTEPSKPYA AFLA_059650 MALKSMLGLCGQDVHYKYLLDCSIDPHLQPTAAIQRTEPNRTST IGNMSSYAITGASRGIGWAFLTNLSSNPANTVIALVRNKAGTEERIAKELAGRTNVHV VQADLDNYKTLQFAADETARITGGGLDYLIANAAFVETWDLYDPIGVFHPQQTNRGKQ VPELEDNLLKNFKTNVIAQIHLFNLFTPLILKGTAKKVIALTSAHSDIELVRTLGMAN APSYAIGKAGLNMAIAKFGAQYASEGVLFLAICPGLVDTGHFEGMSEHQQAAAQAMFA KFKEYSPRFNGARPADDSVRDILSVIENATVEKNGGDFLSHKGSKTWI AFLA_059660 MDAETAPVQETYHRQSRGIPYGQNDMPLRPVIYPFAGRIGGNQG LVLDRDDPANAELLKKVPDAAPLMSISEGFDPRGFLSIDHWKFGFIECIGTMLNVFVT AWISIRHSSASQDAQAPSSASGVYSTATFLGPLFGGISNWLFLTLFIFSFSNVSGSHL NPTITMATFFARLISLPRLVIYLASQTLGGALAGFMLRAAYGSRDYTVGGCYMNSQLV PVNEGFLLEFVFTLLLIFLSFGVGLDPRQGRIYGAALSPFLVGLALGLVSWGSAFSRA GYAGACK AFLA_059670 MAPAKDMDSFISVNQESYDPDGKDYYLGYREADFKRPFAKYYNP VTPAISDEVQKGLSASPWASSIGHTPWEAKTHMLRSGYTLLENGYTTLPDGTLYIAVR TSIPQITGDAYNWWFGWHLTDTSRYKLWNPIAHQYAWRYPNTMDWSNKSLPERYINTY SFISEFIGNDCSKLTVAFIDPQELGIDKSKFEEQGIEAMVVGRIKVGGMILLTGPQIR ESKLADRLCTEHITSGFDNKSFLIHQVRRKPDGERELRSRFWIAGATPQVGHDLVVHC AIEMSRKSCIHSRGKAEYGQLIDMVE AFLA_059680 MAWPCSVPCTNLVGRSCLRHSWGHNAECSCSDSRVEAILLQLPA IKVKR AFLA_059690 MARIPLTDIATSTETEEHIYKRFPSNLVRGLLRTTPEIANGYLD LGKALSGSPLPPKLREMAILRVGVLTHSPYEWMQHIGIAKLVHVGDKEVIAVKSGEYG KLTDQEAAMLQFVDEVVAKPKATDTFDLALANLGEQGLATVTLLVGHYMMTARFLETL NIDLDKNATSWENT AFLA_059700 MTEVAPNVFCISVTDVNVTVLREGSDLTLIDGGWPGDVSTIENV IRSIGNRPEDVRAILLTHAHIDHLGAVQSFHDRLNVPIYSDPIEIRHAARYYLEQAAE ADIMKGPMPQTLDWWERVLKVGADTDITITDVQPVASGQLDVPGRPVAIATHGHTSGH SAFYVPAARTVITGDCLVTGHACSTIEGPQPCPWFFNHSIPEALSALKVLKSVDADII IPGHGQPLNMPISQAVDIALKVAEESGFDKH AFLA_059710 MSLLTLPNELLFCIATLLLPSVQDVDSVAKASKILYAIANPILY HHQIFHQDSAALIWAAQHGKADPCNRLLQEGANPNTHDLQHRTPLSWAARNGHTEVSA ILLCAGTIDPNAPDAHLQTPLAWAARHGQPSPFTAFDSLRPTTTTDAMHPKAGDYLAI VKLLLSAKGIPVRRDPTLGRREDRTGAHQPDQEPNFGSRALLTAVEAGYTDVVEALLT HEKVDPSLPSKHGITALASAAQLGRTHIVRLLLAKGSDPDRKDRKGMTPLMLAAEREH VEAVELLVSTGRVHTDFDLTKMNRSSIFAPSNLNEDVVRVFDDYKSRYRGGSSLDS AFLA_059720 MQTYFSTDFKTVLMCFISTIEGRNLPHCTWKYHYDSFSNSYVFE FGKSFLKLKRRDNYFEARIHAPCEDCNIVARRTKVELELIVMSGYPPWYQNSMKNEHG VAFTFPQLDNRSIARAG AFLA_059730 MLCNVIGLQQENNHPAHLSEMASTIYRHRDGGTIRLSSEVMVTG LVKRYSLRGSESYRERQEPALVGAAGRKL AFLA_059740 MVPIPSHPAILVEAETFDDFGGWTMDSQFDLEMGSPYLLAHGNG VPVADATTVIHTSPGLYYVWVRAKDWVPGHHPGRFSLMVNGKPLDREFGANDKDWSWE YGGAVSLEDKTRLTLHDLTGFCARCDAIFLSQEADAPPPEAGRAWRRALRGLPQEPVE IGHFDVVIVGGGIVGSAAALVSARLGDRVALLHNRPVLGGNGSVEIGLRPRGVTGPLI DEISQRHPNGDLYAENLLRAEPTASLFLEHTVYNTTTDAIHSGALSTVDNPTVSGSRI LSVDARDARTGREIRLAATSFIDCSGKCILGLLSGAETLFGQESKSEYGESLAPTVGD DYTHHGNTLFFRTRMADSPISFPKLPWATEVAKDFSNLSGQLVKPGIENGPGPVVAPS NGNADISVRGRMKGPLTHFWEYGQRLDPYTHGEHIRDHLLRAIYGTFSNVKTVSKYAN LELEWVAYVAAQGEFRRYKGDYILTETDIRSHKQFPDAVVKNDGAFCLHYPGDKDAKY DFRLKYWEWDERDKKPYDVPFRCLYSTNISNLMMAGKHISVTHIAGSNTKFMGNGAQH AIATAAAAHLCKRHNTTPRKMQDYMPELKQLCIQMTGLGDTNTSNGGVKSISKL AFLA_059750 MARIILSRKTTNLLVFILFVVIVASVFKISVPDTSLDPATLYHH ATGHDKNEPDYWEWETTTRFAPIKNQFTASSSADDDHLCDSFPTYVLSRIQVVLKIGA SEPADRVDAQISTVTRCIPNLLIVSDRESELKGHRVHDILATLPESFRFNVTDLEPYE ALRRGDEKAVGSDEGWYLDRFKFLPMVERAHETNPTAQWYVFLESDTYYVWDNLFRLL DQYDPSEPLYLGSPSPGREISQGKPMYFAYGGAGFVLSGGAMKKMVHRHHGSMGEYIE PSLSLQYEDIVKGDCCGDSVLGWVLYQKGVKLSGLWPMFNPHPLHSIPFDNAYWCQPV ISMHKTMLSDMKGLNEWENQRDRKKPLLYADLFEYTRMGTFESKPDWDNGDWGGFREP DESPAHMSMEACRTACHEHAECLSYTYDHSGHCILVRTMRLGHSKPPTNEVRLSAGWD VSKMRNWRASHQCEKPMWVKPSITRIF AFLA_059760 MPHPNEDTYSLPPPVANHSVTARLVYLEYLQRHQRRTPYNLLPG GEARLCNGYELPCRVQDGSDCVTTEQANEVFRAGDWEWNYWWRTNANATQYIQLVEGL FIGEIVRKLEAVQKGKSDLVYSHNFVHDGDIGPILGALGIRSLRWPGMASNIAIEIWY VGLLLFLSYEF AFLA_059770 MTDLWELIDVTPIEESAIRFHSKARLRQLLLWNDFYVFEGDQEW REWRNEDDNYFRQLEEQGNLRRPVVYRHDDPVTHCIALDRDDRLQFMLECGFSPDCWT KTGWSPLGVAVHYRANRCFDLLCAAQAEEDVLRQDTGILPSAPNWLMTFVGERAYAYG FERLLDHFDQYNRTQHNRIELPPISPQAIFEVVRTFPVPLVERAARAGLRLALAAHRT TQEGAWHIAPFRPDAMDLINVLCRECATSLNSYDANGRTPLFPAVESGKPDVVKLYID RGVDVGHIAGVAETALHLACRQRSVNLEILQQLLALIDVNSGAGSAQGTPLHTLLMST WGHTYGRIPWATIANPGGGIHGWKRKRVRPLSAEEEIIMEVACEACSMILGFYPEKEA VNANEQTALKLARALRLKRLSSRILGAPEKPEQRWDRRLRPRIRR AFLA_059780 MSNALLGFWTTLLVAVLSSAGISTNASIGADISTDISTQAGAQT SAEVGADACASITTKTSRSTKNTTDIGSSVGSSIASQVATNIGTNACISIAADARISS NTCTSITAKTSNSTKDASNIGSSITSQIGTSVSSNTCISTKVASKVSTGICSSTNVST SITTNTTSNIASNITTNVGTTRDIPSSTSANITTEIGTTGDISTSISSSGATSTSITT NISTSRGIPSNIASNITTDTSPPALRLPLPLTPASAPASPLAPTSPPALTPPPRVALP ETSPLPVALTPAPASPLASPPTSALPLASPLPLAPTSAPASPLVPTLAPPLTSPLPLA PISAPASPVAPAFAPPLTPAPALAPPPTLAPTLPPPLTLPLTPPLTLAPAEALGLVPK LTLTPTPWPFSHFSQTLVVTLTAPLLVALPEASQASQEVVEVAEPWWPSHSMRGRKVR DCTPARALGGLERGVPILPGTFGKVPL AFLA_059790 MRHVLNKEQLLMVKQAAIAWAAAEPLSVENVEVAPPKAHEVRIK ILHTGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTNVKVGDYVIALYTPE CGECKFCRSGKTNLCGKIRATQGRGVMPDGTTRFKARGKDLLHFMGCSTFSEYTVVAD ISVVAVTPSCPTDRSCLLGCGITTGYGAATVTANITEGSNVAVFGAGCVGLSIVQGAV KKKAGKIIVVDINDGKEAWAYKFGATHFLNPARLRKTVQDELIDMTDGGCDYTFDCTG NVSVMRAALEACHKGWGESIVIGVAAAGQEITTRPFQLVTGRVWRGCAFGGVKGRSQL PGLVEDYLNGDLKIDEFITHRETLANINTAFEQMKQGDCIRCVVDMVVS AFLA_059800 MPVSLHPLVDNGITKGDANFPGGNLYCLCPQNKVTVAIKGNVAH NHACGCSKCWKPAGALFSVVGVVPKENLSVAANADKLEILDKAAAIQRYACKECGTHL FGRIEIDHPFKGLDFVHAELSDKKGWQEPQFAGFVSSIIEQGFHPNGMDEVRSKFQSL GLQTYDTLSPPLMDLIATYTGKKNGKLSANL AFLA_059810 MIAYLQPHNSSSSIYSLDVKWIQGINSAEYEMGLVMPEPPSTMD QQLTPTQKANLHEVADLMLEIYQTLANMRFLNPAGIIKGPHNIDNVRELYEKLGLDPS ITYLHKILPYIDIFVAGQTDFFQGGIFADFRLPEDIEQGRDPFYIGPGDDDYEDEDGP YMRPWMTALSLVGNHQSVILYDARRHRIWIIDQESWDTTDPAIRGNADRAVDEDYESG ERSVNENSFEHVPSRPAGDVLRDIIRWYRSLDILPGGGENSGREWDGDELPLRELYIK HGWPDDFDGDAFQAERLSYAMSMEDTETQNGDDSM AFLA_059820 MRFQSLAAAILLSLSITIHATQTFKNTGTTSGWSSINQEHKGTV QQVTNVVYGGSTALKMTQVYDSSYSGRYHSEVVHNDMYKLGDEGFYGFTFRLQESWQF SPAQSYNIAQFIADFGDTGCDDYMPSSMVWLIGDQLFTRVKTGSVCAQKTTTFSNLAT VSAGVWHKIIIQAKWRADGTGYYKMWFDGKKVLEKYNIDTTVDDGRAFQFRVGLYANG WYDDGGMKGSQGTRQVWYDEIVAGTTFADADPDQQ AFLA_059830 MSRKNRNPLSNPLHYATPQAQVGPPATYSSAQRLLKGYDYVIIG AGAAGSVLASKLSEDPNVSVLLLEAGGDNTGVTESKMPLGFGKLLHTEHDWNYYTVEQ PGLASRRLYWPRGRLIGGSTSINAMMYHHCSKSDFDEWASHYGCQGWSYDDLAPYFKR MERFTPNPNRPRIDLQHRGNAGEWQTGYSWLTEIGEKGFLPACYDVGIPAVEDINTPG GTLGATRFQTFIDSNGQRSSLATAYLTPEVRKRPNLFIACHAHVTKLLFDRLSGDEPT AIGAEFQKQRGGELFEVHARREVILSGGAVNTPQLLLLSGIGPRDELEKHGIPVVRAN DAVGKNLKDHLVTTTVMCKAKAGTTLDYLGSPLRAFPSLARWMLLGGGPLTNNVGETA AFIRSWEHHPFPGSSSERNPPKDYTSGSIGPDVEIIGVPTGFIHHGEEPPMDGASVFT LAPISLRPQSKGTITLKSRDPFDHPIIDPKYFSDEEGNDRAVLLAGVRVCLRIMRSPV FQKYLERVPVNDDPWSYWWPYSSSDIDRITDDQLLRWMDEKAFTLYHPVGSARMGTSP ENSVVDVQCRVHGVKRLRVMDASVFPEQISGHPTAPIGAMAYKLSDMIKQDSATAGPP HARL AFLA_059840 MRTSLSTTVCLGLLLPAVPTLCNPLDAVNSNAESIRHDSAQLQP LKPLNTAHPLAVRSPDWGCAEDNKRCGIMCIPKDQECKPEDNDGCAKDNKRCGLNCIP NDQECNPDDNDWCSKDNKRCGFSCIDKDQECNSENAGWFWDWRRSLEHNPLALAARSP DWGCAEDNKRCGIMCIPKSEECNGETGGWDWWKRSLEARSNAVAVRSPDWGCAEDNKR CGIMCIPRSEECNGETGGWDWWKRSLEDNSHALAVRSPDWGCADDNKRCGIMCIPKTE ECNPNYGCSDNNKRCGIMCIDKDQECNSETGGWDWWKRSLEAKSPALAARSPDGFGCA DDNKRCGWMCISKDEQCCGNQGSCSANEECHKNDDGGYGCCAKGETCANTNGTWLNGV REVGNDIKDGVKSVVNGGAADLRPGMVAVGTMASLFVVAMML AFLA_059850 MAASFPRPPPTDAERERIRELSRYYCTLDRIPSLPQFDSGQQTT AAEEDVDKDSPRLSSDITLTALSQLAVLRFGCNRAFISIIDDGNQHIIAEATGSISLR DKDQHAPDDAIYLGVRTIDLAWGVCPHTISLFTGQDMSKAVETPNMIANSSRFVVHDF TQEDFFKDRPYVVGWPYFRFYAEVPLLSPSGVVLGSFCVVDNKPRGHFAEEEVNALKE IADAVALHLDKVRISIDHHRAEKLIKGLTNFVKDHGEFDPAEVPLPISRQSTLNTLNS PRDQSSTSSFLYNSSQSAAPTPAEETISLQEAAPERNEPPTVSVKRCVKNADQIAQVF TRASVSLRDSLDLDGVLFLDASRCNSGVVLSDDEARSWEPLPTTANPEFLADPFPSPL DLPGVGSLSKIAEKPCEVLGWAQNTPPPIGSDALSITERLLSQLIAAFPQGQFFNLHE WTEEDECELGGGIRRDGGAEANSKPLRDLVRQLQNHLPDAYSVLWSPLWCWRQSRWVA GTLVWSRGSDRALGVYDLPYLRVLGDSIVSELARIDWSTMQQSKSDFISSVSHELRSP LHGILASAEVLEGTPLQPNQLHWINMLKTCGLTLFDTLNHLLDFAKINNLTTEDNGRE DRIRASDGSLETTFDLANLIEEVTRVQYVGQRVPKATVPFVDALATPKNGAPYGETTV VVRIEERHAWKVQSLAGAWKRIVMNLLGNSLKFTSAGFVEVSLSKVVKQSDPESIYAH LCVTDTGRGIDRMFLRNKLFSPFAQENTLSEGLGLGFSIVRQLVDAMDGHVNVRSEVG VGTQVDIYIPVRRFASHYPSSTSMSSAPVKACLVGFEGYPDIKDTPTGILPVEAKRKL AIRSSLAPVLMAQCGWSLSIAESIENARGDVAIIEEEEFAKATCDGQLPRELCERTGI NFFIILSGMQPRLNDLPPNAIRVSQPFGPAKFQDVLQRTQELYLKSLENPRSPPPPPG KPVITKRSSSELVLPASPDAPQEVGVALPLRVPPQTPQNANAIHCLVVDDNDINLKPT APAWLGVEAMSVLLLA AFLA_059860 MVLIYVAARYNPIYKNTRTQWRSLHSHVKSGMFRLSWVPMTRIP EDVLCLIISMDGSWEGCDLGNIDLQEAVRRRALEEITAEYWGETGEECILRTMNEHCY PPSINLKRRPPPSIHSNPC AFLA_059870 MEILFDYLEEDSWKGFIDLTPRTPSSSPEACSTNYLPGFVRPIH TTISEAQYEHLLLQGALTLPSFPLQQALLQSFFECVLPSMPIINWQTFINIVSNKDGG QGRISLLLFQAIMFSATAFVNLDHLQKAGYSSREEAHEAFFEKAHLLYQSHYESDPLT NLQALLLMTHRAKATDGKDSRYWIEVAISLALMMGLFRDLPSGYAGHHSQKLHRRIAW TCYTADSLISFRLRCLPLIRSVDFNLSMLTEEDFDFNHIPMESRLLLPGCTFIRNLEV QKSLASICISQAQLCLCIRRVLNVQARCNSTELSSEPTGKTPDSPNKHHSDYLTSIWM SQKALADWEYSLPPICQRPPTVFGFSSDESPIVAVHRNVLHMVYHGVVCVLYQSQIFQ SSASRMQYAARQITEIANELDQMKTLHSLPIIGSTTILIAMIIHLAEVQTSSPVKQGV TMRDIQSCIELMKRLQDVHSCMDIVFPVIDWNGYEVVVQTKG AFLA_059880 MPFNTALTRKLGITGDLSSSVHSNSCSLSHSPDHSRGYAMGRCC GARVCSQQRRWTGYSTRFLSALTHSTPDDLRREIRKCQSMTKKPFGVNLTLLPSLNPP DYAAYARVIAEEGVKIVETAGHNPGPIIAQLKKANIVILHKCTTIRHAKSAIKLGVDF LSIDGFECAGHVGEHDLTSFILLGRARQELTVPFIASGGFAEGRGLAAALALGAEGIN MGTRFLCTAESPIHQKIKEAIVHAQETDTALVMRRWRNTSRYFANTVTEAVLKIEKES PSGEFSEIAPFVNGQRGRQVFLNGDIHHGVWTVGQAIGLIQDIPTCEELVRRIERDSV LAIEGIHKLYYDRPLQSKL AFLA_059890 MEPSQAVDQSPYARPSFKDMEGKPKHSIKTAEEDSIQIVNSDAP LHIWGALGMNFTITAAPISIGSFLALAIGLGGPPFFFYGFLFTGLGQLILCLAAAEIA SSRPHPVGQPYRVVCLGPQKYNRLAGYILGWLTNGAWLLIYNTTVLYTSEILMAVVEV SIHQHSSHSKPWHHFLVYVGVCINALVVNLPKVMDWSLSASLVFINGAALFVLITLPV RANPNQSATAVCVSVANNSGWGSAAVVFFLNVLPGLASLGTCDAATHMSEELELPIRD VPWVMVGSALLSYLVVIPTMTIFLFCIVNPEAMFSPVGGQPLIQLVSDGHASRMLTAI PSALIVVGFAIGSWEALISWSRLYWSFSRTNGFPFSNFTERTTDGVPVNALILGTALT IVIGAIQLGSTTALNAVLGGASLCSGFSWIIVLSFRVWRGNDALDPQRWLNLGKWGRS LSGFAILWNMWMITWASFPLLLPVTLSSMNWSSLVTVGVVVISFVYYILFYRYRSDEM E AFLA_059900 MRFFLAISALLAVATAAPASTAQNLQDLQNNAAAFTEAKKAAGC DWLACVSSLAGESAACAAAAAELGANPIADAACIASVGTSTASCKKCG AFLA_059910 MEDEKLQRFINTQSDLINDTLKPKNHLLELLLEDRKKKEIQITT LQQRLRAMEAPRLRPRALSSTAVVSVTQLFALLAQPTSQMARNFSYEVTFGHSNNHVE AILSADNTFDPQAQGLAQ AFLA_059920 MGYAGWYFDNYPEQCWNGRQIRNAFQIASSLAQYDMNKTSLDVW NDDKSNEGRSDRSAAYQILNWIQFDKVADDFEYPGTVYRPQYQPSEAGRGRPAGSSSQ PSSFHHDPRSSTPRPPAQGQQQAFYQIERRENLGTRRRQRQRRQYHPPPSTSATLSKQ PSRAQMDFHELDWDDETPVDVNEPRMLDEE AFLA_059930 MEVVLYQRDFKRLTELETKFGKDQKLTEEAEVRLYDRYITVRTS ILIQMRNVAWAYKFAVLDNEPIQIDPLKNVQDYRKDLSDLVMKLQRFKGQ AFLA_059940 MEVAAEPELQYVGDPFPLSAGFLGAEVPLSSVHNVLGNSQLDFN SLDFSHFETKDLSLKWHLDALSSTDNLSDDCAPSLITEDHSISTVPETTCSQEDRLCQ NPQECCISLATGVLRTMHAGSSSCILGMRGQDGSRQAQLSPAADEILSMNQSALKAVR SILKCSCYGNPQLLLIVTVLCSDIIAWYWNIMEIYSHRHNSTADSAVLPTNVSQAETR KREFFIGTHRLSKDVETALIRQVLSGMLRELQVVLGDMACHAGQSSAVNEPSSGLMLS DVRARMVAFLHTQLRALTSALNHSDSNLRTVVPPILHN AFLA_059950 MLVANRRPTKGHSTQNRHSLKHRPGATMYWAILHILAVALPTTA FATNHACKVVESKIPGRISYPGTTTYNSSIASYYGDQERALSPNCIFRPTTTAEVSEF VKLMTSNNSTSKFAVRGGGHTFWTGAANIESGITVDLRLINQVELSEDKTIARIGGGA VWDIAYSQLVPYNLTVMGGRIPGIGVGGFATGGGITFASREHGFSCDNIHGYEVVLGS GEVVYVDQRSHPDLWLALKGGSNNFGIVTRFDVTTIPQGKMWYSMLNYNYTNATLWAH AKAFSDFMKPENHDGAAMMGMFLDYVDGKLLLSDAMWYTREVEEPAVYDAFTEIPNLG GVAELNTTDNVVANFGENIPSKVDRGFQLTFSFNNPEPSVYMQLFKIWEKGLSKIAKV EGIFVEFLVQPHPVTNGTNMFGLTPGKTDDVMVDMTAAYTNTADDALVKAVITDIVNQ QRALLKAHGHLIDFIYLNYADISQKVLQSWGADNVAKLRAVSKRYDPKGVFQKQVPGG YKIPM AFLA_059960 METYNPQIPTRKLKDSCDVCSASKLRCDKQKPTCSRCVNLNRSC TYSPARRGGRPHRVRRKASESQSQDQIRAQSPQQFFKAPETNTSNSHLMEPTGIPSQG DNQVGCDNGWFPGTHTMSHYQDSQPQSAAMSSSSSSCNVMSMGLDADTAETDCTKVAL SIVEQLEMSKGRRRTAAPTYGSSGLTATEACQRLLTILMCPCSEQADVALLVASGCIS LMDVVHCSADADSDPGSVNYDGPYTNDGMSISQVSCNEDVLMWSRPQSSSRSLTGDGQ SQVGDLSKIARLILQFTDRYCQDNKGGARWEHTTWVVAPVSALLRCKLQSVTQGVARR MVL AFLA_059970 MATPPSYTKTTHTATYAGINPTQPGLSTAGKVVLITGASGGIGR ATASSFAASGPRALILLGRRADALAETATIVRTSHAEVTIQTHEAELCDAASVRNAMN KVAAEFGGIDILVHCAGVLAPVVPLLEADPATFLDGYKTTVVGTLVTAQAVVLANRTV SASEDKPVTFINLTTAGILFPPFPGMGAYVSSKMAAVKLLQSFATENSQVRLHNVHPG LLRTAMSAKLAETIQLPYAYDDISLPADFLVWIASPEAEFLKDKIVFAAWDVDELKAR QKEIVGGPPGTGELWLGYQGFPRFIAGQPLPGTQ AFLA_059980 MEEADYVIVGGGTAALVVACRLSENPETRIVVLERGATIGTRPY TATIDPVSGHRSSAANGYGAIIASRANVRIVTGASVTRILFDSSAPNGHLTATAVEVQ IEAQGTVLFKPTKEVIMAAGVFNNPQILELSGIGDQARLQTLGISPLVHLPGVGENLT NHAMSVLSAPVKAHADIQDIAPGMKANAFIHLAPMDMQEILDRAREGPNHAAIAGILN GPNEASACIHFSIYPGNLAIIGIFPSFPFSRGSVHIQSADPASSPQIDPKYLNHPSDL DSMVRHVQHLQEILHSARLQPFVDAPPPQDREALAQLVREAMAIPTAHACGTTAMLPR ERGGVVASDLKVYGVSNVRVVDASVFPVISQANPISTVYTVAERAADLIRAN AFLA_059990 MTNVPEKCQVLVIGGGPAGSYSASALAREGIDVVLLEAEKFPRY HIGESMLPSMRHFLKFIDAYDKWDAHGFNVKNGGAFRLNWSRPETYTDFIAAGGPGGY AWNVIRSEADELLFKHAAECGVKTFDDTKVASIEFAPSEDANPLGRPVSATWTRKDGT SGTLAMDYIVDASGRNGLISTKYLKNRTFNKGLRNVASWGYWKGGGVHGVGTHKEGAP YFEALKGTTQLGVSYCLQRGNHANSSLHNSTDASGWVWFIPLHNGTHSVGVVQNQEMA TEKKRKMAEPSSKGFYLESLEFVPGIKELLSNAELISEVKSASDWSYSASNYAFPGVR IAGDAGSFIDPFFSSGVHLALSGGLSAATTIAAAIRGDCDETVAASWHDKKTSESYTR FLLVVSSALKQIRSQDEPVISDFDEQSFERAFDLFRPIIQGQADADAKGKLTQAEMSK TVEFCFRAFAHVSFEEKEALVQKLKSLGHDADANDEANRKALDELEKHLTPEEQAILK TLKGRRMVRPEDSLNIDNFTLDSIDGLAPRLERGNLGLSPAKKAEVKYTTHDALSFLN GEARAAKKTLSNGESQTNGNHLCKDHDQTNGHTAINGHIENNSQGDTNGHTEANGHSQ TNGHSNGHAHTNGSHTTNAHDELNGHNNVEVRSVKSCMADLIAAEKISSQTSIEEGTR HRLISSLHQSAEDLETPFDTVVRLVDAGRQTAMVCIGGDLGIFKSLVESKRPLSAEEL AKATMADPLLVARIMRYMVASRLVGETGPDQYVASKKTYVFADPRIEHPIRFFHAFSN PAFHALPEFLKETGYQNEPKGSAFQKALNTDLEPYPWLKQHPDVLKNFQAAMRLTRDA NGVDMMPLDQSVSIGHDGAMFVDIGGNTGHQAAEVLSKYPELAGRVIVQDRGEVIKCA PDIKGIQWMEHDFFQTQPVKGAKYYYLRAILHNWDDKNTVQILSNIVPAMSADSLVAI DEVVVPEENAHVWPAGLDLQMYSLFSTTERTASQWDAILDKAGLRAVAVKKYAPVMQS SVIFAAAK AFLA_060000 MLSTTMPEGFYSSPFWAGYLETQRSKLPVLAEVDDGLSDRVVRF LGYNPGSMQLQGTNTYLVGTGSSRILIDTGEGSPQWALSVTRYLEDHDISISQVLLTH WHKDHTGGIADLLAHDSNITIYKHMPDHGQLDIVNGQIFQTRDATLRAVLTPGHTVDH MCFVLEEENAMFTGDNVLGHGYSVAEDLEAYTASLRQMASLKCAVGYPGHGDMISNLP QTIAKYIAQRVSREKQIYSVLARETSSSPSFRNGSSARSARKARSGYTGGVSDSSDSR IDQDINGTETVQGLSTADIGGLIYGEVSKDSATFDSAVGPLLDQVLYMLLERGEVGCR LVGPDRTTHWFATVQPL AFLA_060010 MIENTTITPSESRSPSPSHANVDMDANIPEEFILFSHELPSGEI QDLIRRLHRYSMLPGYPHLARFLQNCALVLRTEVQKLPRPLRDSVPPFHDVVTLASHW DDFKSSSLSGAWDGAFLCIYEIAMLIGHHETLNTSYRRPACLVGISVGLFSAAAVAVS ESLSDLVSYGAESVRTAFAFCVHVQRVSQTLEPTVTEQATSVSWATVVIGVPVEIIRE ELDRFNHQEELQRTGTNTMPLTGVSISHVDNTSVGITGPPTRLKQLFRESEILRSSRH SELPISGGLCHVPNVYDYDDVRSILATAEVWERWGTRATQVPLISPFTGSPFQCSDAY HLIEAICTEALTKPLFFDKVANGVVTQLSGGQRPDMQSCQILHYRASVMSDTIVADVA EDLSTRDVIRESLVDWAMRDAFDQPQHSPTSPQNAKLAVVGMSCRMPGGADTPERFWE LLVNGVDTHTTVPPDRFDLDAHFDPSGEKENTVGTRFGNFIDNPGYFDAGFFNMSPRE VR AFLA_060020 MLSKGHFLSKTGQCKVWDITADGYCRADGVGSVVIKRLDDALAD NDVILASIVAGATNHSAESISITQPHAAAQKENYRQVMDKAGVSPLDVSFVELHGTGT QVGDAVESESVLDFFAPSDRRLHPDKRLHLGAVKSNIGHGEAAAGIASLIKVLLMYQN NMIPRHIGIQTAMNPVVAKTLANRNAGVLSENTPWLPTSAFKRRYSIVNSFGAHGGNT TLLLEDAPLERMDRDKNHSQQVVPSSEVVCISAKSKASLRANVRALVNYLNNHKETGL RDIAYTTSARRIHHHIRIATSVSSTAQLHSFLQAAADDIDAHAKHVATATKKPVVFAF SGQGCLYHGAAAQLFERAPWFRDQVLQLDRIARRLGFPSILATVAGDAASIRSTRFPK RESTPGSEESHDVVALSDSDTSTTSTTPTVDSPVVTQLALVVIQIALVQYWGLLGIKP NVVIGHSLGEYAALVAAGVLSVADALFLVGKRAELMTAACEPQSHAMLSVRGASVDRI EELCREDEKHYSYEVSCVNGLTDIVVTGLREDMASLRDMLMGAGLKCVLLDIPFAFHS QQMSPILDDFERATQYVTFKNPTVPVVSPLLGRCVSEDHVLDGSYLSRATREPVNFVA ALDAAWSDGIVNDKTVWIDIGPHPVCTSFAKNHYAKGATQAFASLRRGDDTLSTFTGT LAALHCLGHAVAWNEYFDLRENPARLLHLDSYQWNYKNYWIQYEGSWTLDKANAGQRN KDNSSTPVSAFFTSSVQQIISEEYGESMGEMRGLTNLHHPDLRGAADGHKLNGRSVVT GSIWADITLTVGEHLYKQMVPNGGTPHMDVKNMEVLEAQVLHPEASQGSAPAQYIQIE GVLDMSQKQTTVRLYTASPDGTRNTDKAFATATVCYEDAQTWQEQWQMTSHLVAARAN SLWEMTTGDENSPDKSRVSKFSQSVAYQLFANVVDYGPRYRGMQRVAFSEDTLEATAD VLLDNDEHGTWHTPPHWIDSAFQLAGFVMNSFGVQGDGKIAGSSRDFFFITPGWRHFR LLERLEPGPSVTYRNFVRMFPVDGEPGTYAGDIYLHRGERLVGVCAGVKFKAVPRALM PVLFPRVDAGKKRNQSVDTHSTKGKTKENDTQSQPLPASALQRPKNLTTPTSKVTNSQ HEDQAGISPKFNSPAPVATVTQQVQPIQGGQDQSQISQATACLALIAEETGLDLDDLK GDAAFAELGVDSLMSLALSAKIRAELGVDVQASIFLQCPTVQDLVTWLSK AFLA_060030 MLRFVLYSSLFAATLGYRLFEYTGERCTGSNVGLHRLAGPSGCA QLNDGVASSLLVKIDNVHDDLYQVNVYENEDCTGSIVGAIANTNGCLNLHVFNTVGKS VEVVSVSKKRNTSDIGGFETDSLYNLDEGIDGEIQVPIMHGGFSTAEKSYHSEDGTYL DEAFDLWIPHEVDRHSMIKDLWFHKLDEEGPSNYLNDTSLHVHDARQLEWAYCNFQAA YPILPGLLPMEGNSALKRDIRSLISVALLANLQVLWVHIVITKPSRKFLFQQLPSLNC WLRVIPIALLEVILRRLAVPITLFLELYLIHALGMIDINQDLDNSQAAGHVYALAALA PRLVEFWLALPARIIFVRIAASMVPDDDELIVPLDPQLKVGAPVGMFDAWRRDSWARA CKIQTRGFMAGAAIFILGQMVLPEFRSYVPFLPMWFNS AFLA_060040 MADQKDAFQALSSENRGTLITLTSVSLLIVAIIFVAAKFGSAIY FKQRRTAVNTPIWAALILAIIQVVLLQKAVDHGLGRHQDLLSDDDIQTWSKFAYAAHI LLIGAMSLSKMSTILLIWRLTPSKILRRSCAVATGIVVGWSIFAVLGIAFQCEMPGPW LYSPERCAGEGAIFYPISVFNILTEVIIVVLPFLMMRNVQMAWHKRVKILCSFSARSS VVCLGIAHLALISSFSHSTDISWDIVNWEIIAQTMMLTGVITACVPTLYHIFAGLHSG LTTTQIPDGIGLELPRTKVSGYINQSSSGASQSARGRSMKNGRSMFDGRNTDGVVTEV STGGNLGRDEGDRQSSSSEGAESTRHLTQGNGGVLRTVDVTVSVEKQDHRDRL AFLA_060050 MQEEIEMKSESVVRSGQAGSRNGGYESATIRQKQQLELFSQRYL NFFSSVAFSACLLATWESAGGSLLSGLYNGGPAAIVYGMILSTVGNLTIACSLAELAS LHPTAGAQYHWSYFLAPRGRRFISFFQAGWVTVFSWSALVCIAPYFIGTQIQGLVVLA HPDYELVRWRGTLLMWAVAIIPILINIFARRVLGAIEVAAGIMHVIFLPVTIAVFVIL APRNPDSFVWETFVGGLSGWKDSGVVFSIGLLGVITPLAGLDGVIHMAEEVKNAKVVV PRSMILGTMINGTLAFAYLIAVLYCMGDYTEAVLSPTGYPIITIAYQATGSKAATYVL MAMGMLPGWIALFNGLASVTRLTWAFARDNGLPFSDFFARVDPTYKIPLRALFLVASC MFALSFIQIGSTAAFNAILSLSTLGLYISYLIPLVLLVFKRFTAPQDIPRGTFSLGKW GLPMNLLSILFATYFVIFLPFPSALPVTAENMNYAGPVLGFVMLFACGDWIVRGRHKW EGPTMRPYAREE AFLA_060060 MEKPTTHYENDDASSPVSGGKYDLECSVQLETKDENPLTEDHRQ YLLQRHGTVDLDPMPDMTDADPYNWPTWKKSLNLVMVAFHAMMATFTAAAIQSAFGDI AEDLGVSVHRASYLTSLVIAVLGAAPLIWMPLSNRYGRRPIFLLSLICSLVGNVGCAK SYSYATMGLCRAITGFFISPPAAIGSAVVAETFFKKDRARCMGVWAVMVTVGVPLAPL IFGFVAIRVGYRWIYWTLAITNGVQFLLYLAFGSESLYIRGDTAKAPDSLLHRFFSFK RIDPTPLKVWDFIQPLAMAARPCVMVPTAVYAMVFLLASIFPSLEIPQLYPEMFGLDT EQVGLQYIAMIVGSIIGDQIGGVISDRWMLYRAKRTNRPVAPEHRLWLSYPGLIMAIV GIIVFLVQLNNASSHWTITPLLGVAIAAVGNQIITTVNITYAVDCYRSEAASVGVFIT FVRQIWGFIGPFWFPEMLASVGFLGSTGILVGLIVAVSVIPTLFLQWKGHTWR AFLA_060070 MLEACRKHLREHDAYTRRLSQDSAFATPANVRYHKADQAFGIGA PRLLLDIAQSPRLARYVEELAPHQFDVQDRPNVPWELALRWAKRLLHQSPILNEEDEV CDWMHALSNGVGGATLGLLLSQLTELKRMQLNVCAQDPITPYVPRAVSRLAARSQKGL LDQALSVLAEVRIECTSHGDEDEYEDEGSSSGSSQDQRDQRPQAVLADDHYQQIIRLL AALARLPGLRTLSVMDYYSQPVWQEGTEDRNTLTLDWDDAMDPAYPLPLAKSELESLI VDRGNISGRALSRIIEGCSNFKQFKYMVFHTPEVFGRRFNGVLCQPHLPDMTVEATLP VSIQDVVILASRCPPEADQRDFENMFRDFKPQEFPSLRSISAWHRNSYSDLGHNGAQH LLTVYSQALDRAGIPPMQTVEYSLGSEYFNERQKAHRRQWQGIQMNEFGKDDYYLYAL PEKDLVGKVVFGVYHSKGEIRARDDVYSGVMIGKSWG AFLA_060080 MSSSSNTDRCGDDSFGPFVTTLCRGGFDFTVLFEASILTIVPAA CFLLLVPFRLVQLSRQSPKVLSSARRVVTIVLAVFLVVVQIALVALSTSGRESLAGAI IELIAALALVILIDLEHVRSIRPSFLVSSYLFTTLLFDLVRVRTTWLTSDSPAYAACL SSSIAIKLLLLLLENVEKRKWLKPSEKVRSGESLSGLFSRGLFGWLNGLLWNGYSMLL TGSSLPTIHEKLSSRDLSAQFADSWASSEQSGQNALLLAVISCLRWEIVRVAFPRICV VGFSIAQPFLVGKVVTILEQSDSFSLDKGYGLIAATGIVFTGVAVCTACYQHLGYRAT TMLRGGLMAIVFQHMLNQPLGSIDESSAISLMGTDIEMLAEYFQSTVCEIWANILQLG LATWLLQTQVGAVCIAPIVVVIIFTVASLGMGHAVSSRQKSWLQATEKRINFTTAILG SIQKIKFLGLTEIMGAMINALRDDELRVSKRFRRIQTVRVCMEVLVNLPTIVGQLATF AGYAIVAMVQGSSGLKVSQAITSLSLVNLLVTPLSNLLLAIPDTFASIGCLNRIQNFL KQPNRPEKRQLPQIAGGPSLLSPSASTIELSHLEQHVASPIFGEESDVVLSLNNVHFG WNPSLPDKSRISLTLKSSPNGTLVMIVGAVGSGKSTFLRGIAGETPVLEGELFIKNPD LAFCEQTSWLTNTSIRENIIGEDLSSVFDAQWYHTVVRACGLEPDLKRMPAGDETLVG SKGAKLSGGQKQRIAIARAVYARKHIACFDDVLSGLDNATAQLVFNNVFGPAGLLRQL GCTTFLATHNVHHSPQADLIIVLGDDGQILEQGSYTQLRSHAGGYIHKLGMQSRQMDE LAETVDLRYRQSQSRNITTGSMSASPTTDGSRQANDLAVYKYYFSSLGGLRVAILLLF LIVNAGIDGFRYVWVNIWTSSSDSASNSRLGYWLGLYAALAVIEASALIFSVFWTWVI IVPAASKNLHSIVLGACMSAPLSFLSNTETGVLVTRFSQDMRLVDMILPRGFISTGFQ FFGALAQVAVVIASLPYVAAALPVLIGVLVLVQRFYLRTSRQLRLLEIELKSPLYTHF IESLAGVITIRAFSWTHASTSRMLSKLDTAQKPFYLLLSIQRWLSLVLNLIVAALTVL IVGAALALRKHVDPGLLGVALVMMIDLGQVLSELIQNWTLLETSLGAIARVKDFAEST PSEEKDLGVQVQEPTPEWPRHGEIVFADTSIAYDCSEGTKPVLDGISLHVHAGEKVGL CGKSGSGKSSLALSLLRLNEILSGKILIDGQDISLISRSSIRQRISCLSQEPFLFPGT IRQNADPLKMLASQDIISALQCVGVWNALLAHHDGDGETVLDAKLNEKALSQGQKQLF CLARALLKKSKILLLDEPTSSLDTDTDARVQKVIRESFSDCTVIMVAHRIHTLLDFDR VVVLDSGRIIESGHPRDLLGRPDGAFAKLLKLES AFLA_060090 MDEETSPLLGSPQLRASGWTPSFLTYLVICNFFLAGSGAFISLP LIRLIEDNLCRRYIQQDSSLDESLCKTDQIQSELAYLNGSLLLVEAIVGLVVAFPFGV LADRIGRKPIILLSTVGSQLALAWELAVIALQGTISVKLILTGPLFNVVGGGSTVQVA SLYSIASDLVPETDRAAAFFLMVLASLAGASVGPAISSKLTEIFSPWIPAILGFFILP IGLSVLIFIPESFPPLKRDGFPENDDQPDSEEQTQCSNSFKSHLAQSLHLLKSSFATL KSTSIIVVLATFLTRMPEHLATSQFFAQYISKRFDWPLAKTGYLLTIRGIIHLVVLSL ALPWLSKLLLRKQRPASKDLTLVRFSAALAAVGALGMAASQIRLVLSGLVLQSLGAGI VETISMLFAGPALAWLFEMGMKLGGLSLGLPYFALAGSFLLCLVGLLFVRAPTEQETD LLGGDLEGDY AFLA_060100 MEEWRGEMQLWHTQLVFLQVDHIILCLTCSLVRTPDQEALDEWN TQTPVQDFDTGFEGKNDAELRKFFQDRLDKHTDTQTTSISDSWLAVLDDKSPSENAVV LHYTYDKSSWGPGPIPGPAEVMDDVIWWKWRVPFKSAWTFWNAIGSAGADAIEIYSRP EYTSSDGVLQTEIPEKIINGEIEDPHA AFLA_060110 MQLTKSLLVFALYMFGTQHVLAVPVNPEPDATSVENVALKTGSG DSQSDPIKADLEVKGQSALPFDVDCWAILCKGAPNVLQRVNEKTKNSNRDRSGANKGP FKDPQKWGIKALPPKNPSWSAQDFKSPEEYAFASSLQGGTNAILAPVNLASQNSQGGV LNGFYSANKVAQFDPSKPQQTKGTWFQITKFTGAAGPYCKALGSNDKSVCARNKNIAG DWGFDPAKWAYQYDEKNNKFNYVGK AFLA_060120 MRNNAAEELRGEDTTAGLPVINDDEKGKFRPCTVRTDSACTHDA KVTKKLMTSGMWQKTVLANLKERTEGPVHGSGNASQKEDVYAAFAIHVELFEIWKAIR YFNRVHSLEKLVAGVNVR AFLA_060130 MVKAQEILGYWEAFNEGMVQGISPDSTHTNRIEQQAPPVVSPTP GDNKRLSSIHEDLWNRAYKKLKEDPVKEKYMNSYEQLVSDIFLRQPTNGSARAEPVHE GKSGTDRTPWTEDQLQNIVQKGLERVNASQKRIDSASEVFGVVQNLKPIFNAVLSNTP HTALPWAIVSSTLDILANPVRSTKALYDGVSHVVGRMQWYSKITDNLLQTGSENGHQK LEEVRKQLETGMLDMYKCMLFYEIKSVCFYHKNQLAVFVRGLFNIDDWDGGLTKLNEQ ENALRSDLQQYKLEQIVAILDQMATNKKACDGADDEYRECLKSLGVANPMLRTKDIIA RKNEVLKDCYKWVFQTKSYQDFMDWQSEDTPNLLWIQGQAGTGKTMLLAGIMEELNTF SANSVSPTTLHFFFQDKDGQTDQSLIAVKSLLWLLLSQYPYLVQYVHEVQGSQGNLFD GDYAFTITSDLIKTMLQDDRIDPVILILDALDECEYKARTMLTNFLGSLLQLNSRDNG RVKVLISSRPLEEIKIAVKGIKSTIPRATIEVDNISLEAPITAFIEWNIDRLEESTED KTLLPTIRKGLRKRASNTFLWVSLVCQELNHYGLHMWEDILGEIPDGLVELYDNLLAK LEGSKYKRHVQTCKKALTISILARRPLSLSELALLAEIEESMIRSIVHDCRSFLTIQG DTVYLFHQSVQDYLQDNYNRLQVQRREYIHQSIFELSLKGMESQLERNMYKLPYAGSS FEDNSLPAPDPLRAIRYSCRFWVHHLKHGDSTYDASDMIYSFLRKHFLHWLETMSLMH MMPDSIEIIEELKPLTTTSASDPKIKIETHAEIGAVSFSPDAALLAHIRRDRTIKIWS TSTGALEQTLVDQLGSIEDVLVFSFGNDMLVSGSRNALRLWKTANQEPIFTLPHKGPV TAVEFSRDDSLAASGCSDYVIRLWNTRTGHLEQVLEGHVSTPICLSFSVDGQVLASGS EDHTLKLWDLMKGKLDPTQISQQTTSQDLDSPSDQIAVIDLSPDEQQVAAGSWGGVVT LWDVKTGNLQYTLKRTTSCSTLAFTPDSQQVVWGGFEGIIHVCNAKSGVYEGETVKRP ALLRLEREPSTRKPEVLFEDGWVVAVSSGQRILWLPPEHRPAYWVDWKCIENGNMLVC GTDKGHVHFYEFHLEGDLT AFLA_060140 MKLAIFLSVVLTFFVEVKNAAPLDSLRPTINLDYAQYQGLRLPA GVDQYLGMRYAAPPLAELRFRAPQEPARTSSVQDASAFGPVCVGTGQNVTEKTAEDCL FINVFTPSSATQGSKLPVWVYIQGGAYATNSNANYNGTQVIEESGHEIILVNFNYRVG ALGFLAGQKVQQDGDLNVGLLDQRKALQWVKKYIHLFGGDPNHVVIHGASAGAGSVAY HLAAYGGRNDGLFVGAVAESPFWPAQRNSSESEAQFSQFIEAVGCSTISCLRSANITA IQKAQATSIDPTDPTRATPSSWEFGPVVDGAFIQDRLYPLFAQGKFIRVPLIIGGDTN EGSGFAYNATSPADISEFLTSLYPGLSSSQLKSINRAYQGMQPVPLHAEYFPAASAAY GDAVFTCPGIHMSTKMTELYAVGGEKVWSYRYNVQDPENLSKGLGVPHTFETEAIFGP DYGGGLSSSITNINAGIVPIVMNYYISFVKSLDPNSFRAEGAPYWMPWGSGERLKIQT NQTAMEVIPGMQADRCALWEALAPVMNV AFLA_060150 MTVQNHSLASSRRKSRKAHFNAGSGERRVIMSAPLSKELREKYN VRSLPIRKDDEVTIVRGGQKGREGKITSVYRLKWVVHVERVVREKSNGQSVPLGIHPS KVVISKLHLDKDREQILERIGKGREAAKAKSA AFLA_060160 MVSITTEPQTLCASPDVDVVFVINSDEYHVDHAILALENNKFVF VEKPLALNVRDIERIKSAERNSNGKVMVGYMRRHAAAFVDGVKEIGGMDQVLAMLE AFLA_060170 MKFLQRLLPLAVAFLPMTCALSDPEMIQSVELNSDPKPPSLIYN DPVGGSGGQDFSVIAAPSETIDTLHFWVGDGAGQPKVLRGLQITWSDNQNSTVYGTTT DDYQSFKFAPGEIIKEMQIAKGIRADSISFTTTSRSFFAGGQGGKEVTMNVGSGILVG FQGRAARDIDRLGPIFAQVQPTTLKVG AFLA_060180 MAAATTNFSRFPRFTSLPPELRYLIWRCALPDNIGQVLFLYKKG CWGSRHLSESDEEYTELCNTALEFRHSLLDPVQFDIPLFFVNRESRAFALAWVREMGI EMCFHRDKQSFVFMRQLDLVRDVLYIPFDKVDEFIQEPLDRQFEPDLFARMVDWHPNV KHIAVPEALLQSDSSALREIFDLFYHPKTFFIVVDAQPHWHESNINVHQRWELDSMQG RGFFWNSKHGRFVCGTGLSIGDEALYQRIERAIDDLASLFTPSHPMDDFEIRPVFVIR K AFLA_060190 MLVLNAYGGGYHGWDVPKHDFVDFQKASYAITLIYVPTVFVVKL ALLSVMLRIFAPDRRKVLVIHISLIVLLLYYIPALFIKVFFCKPISAYWYGTGDGGTC IDQQKVIIADSAISIVSDLWILILPVPMLWSLHMSRMKKLRVIGILGAGGIATAFSIW RLVIMVEEAPTTDITWFWIHAVLTANAEAGIGLICACLPALSAYFVSVKNKSSNANSG SYLHSHELDNWKKISNSRKNRTDTNSFQTQQNDQAHLISTAECAEAPEGSLSSLPSQQ NNYSDRHVIHKDVAVSQSYEFVR AFLA_060200 MSTRPKQEKGKPDASFTWLVFIFIFIFGFLQLARLQFTPSRLER PPLPMLDSKKAEASMAYDDERTSPHGSVFEEKEVFKKTDTGVNFRKVGWFNAGVIFIK ILFATGVLSLPSALYSLGAVGGSISIVAWGCFNTYCFVILGNFRTKHPHCHSIADMAE VVGGTIAKEATGLLFIIAYVLVTGSGIIGVSTALNALSHHAACTVWWSFLATVVIIAT ASIRKLEHVGWLTYAGFISIYAAVLIVVIGVTTRDRPAAAPQEGPYDLGFVAINNPGF AAGMVASCTIFVSSAGTSAFLPVISEMHNPKDYKKPLYFCMALVTASYLAFSLVVYRW CGKWVASPSLGSAGQTIKMVSYGVALVGLIVSATLYLHVSLSTITFVAAKYVFVRILG NSRHLQANTVVHWGTWIASTVILGALAFILAEAIPIFNYLIALVGSVCFAPLAMSLPG WLWLYDHWHYKNGTMKEKAVFVLHCGLVLLGLFFLVGATYGVVIQIVDAYSSGTIGMC AFLA_060210 MANRTNPPESYETLPFKTISISHFPETLPTVTKVVILKLNRPRQ FNAVTAQMIEEIIAAYQHFDTDDRVKAVVVTGSGPAFCAGADLQVGFSTLIDDLRKDP SKIESHRDGGGRVALAIHNCSKPTVMAINGPAAGFGITLTLPAAIRVACASSKISFAF SRRGLAMEACSSFFLPKLVGMSRALHLVTTGATYTASDPLLSNLFSEILPTPEETVAS ALRIAGEIAASTSTVSTKVMRDLMYRAPGSAEEAHLLESKVFLGMLMSRDSTEGMKSF VQKRDPQFRGTMRRDAPVGWPWWVAVDVASKAKL AFLA_060220 MAILDNWKIIILCILVAAYKNLPFVWFIRFLRALITRLLITPIT HKDLSPECLFLPAIHRTRSPLTECDYNIHKSNSTYFTDLDVSRGNLSLLLFSQRLSFR PTPTTAVMILSGVQIVFRREIKPYQAYEVWSRVLSWDEKWIYIVSHFVKRGAFPHRRF LLQPNTPGDRTRPKVGSDQSPEKQVFASAVSRYVFKQGRKTFPPEQMLVECGLLPSKD IDKGLAMWSAIEERRKRDLEAAQLKVGWDAVHNTFDGDATKVLGRYTDLLWR AFLA_060230 MLSITNMANLSITPERLLIAAAVLLPYLLLVKRLRFQRARKIEA KFNGRPLSSMTVKEAHEIFRELRELEFPYTLHSAMKLSLLKTGSIPTMTKLFVATRQL NEKNASKRAADTEVVLNEVHDREPGSESHLLGIARMNYLHARYRKAGKILDEDMLHTL GSAVVDIVRGVDRNEWRRLTDVERCAIGVFHRALGDAMEIPFTFLPSHETGWKDGIHF AQELYDWTMAYEKVAAQPTDSTRYIGRRLMELAKCNMPAPLKPLIESVVVTKLEEHSR ISMGFEKPGILVSIFAKSVLVVRKFILRYLSLPRPDSKAVHVLNDSPDPSTGLYTWNL WIEHPWYIKPTVKHRWGPKALLVRLFGNGAIPSQTDEYKESGYDLRTIGPAAQEKRGR DEMEAIFQSLKGTNYAAGCPFHA AFLA_060240 MVVRNWSPASAGLILVPTNAGFGLGGLLVGWLHIRKTGSYYISN IIVFLSFALANLVLAILSTPSSPTIAYLAVTFFNGLAAGALMNYSLSHLLHLTRPDVH YVVSALIAMSRGFAGSFGSAIGGGFFQRELKTSLETSFAAHGLPEQDELIRKLLGSPA MVKSLTGIKRLVAIQSYEQAVKTLLLGSCVLALVATVAQAGTGWRPDRGDGRVRDDLE NIVERE AFLA_060250 MPLQNVDNVPQIFSAIITTISSLSRLATYSRVHITILIECDPSV KAIILKYDEERHDYIVEDLDDDRHLVIKESQLQNLKIRLGRELDEKVMQPEESESDE AFLA_060260 MSLFRTMPTAGDFSPLFRLLDDYDTHRQSRGQVSSVRSFAPRFD VRETDDAYHFDGELPGISQKDIDIQFSDPQTLVIKGRSEREYHSPEAGETKETEGESK EVVKKENNKPRFWVSERSVGEFHRTFTFPSRVDQENVKASLKNGILSLVVPKAAAYTG KKITIE AFLA_060270 MTTPTLTPKYFNITYPQEYVAHVEINRADKMNSFFEAMWLELHT IFTHLSHSPTVRAIILSGAGPKAFTAGLDVKAASQGLLSSDDSQSDPARKAFHLRRHI TSFQDCISAIERCEKPVIVAMHGFSLGLAVDIATAADVRLCAADTKFAVKEVDIGLAA DIGTLSRLPKVVGNFGWVKEVALSARVFGAEEALRVGFVSQVFGGKEEVLKGALEIAG LIASKSPVAVLGTKDILNWSRDRSVEDGLRYTSVWNSAALQTKDVSAALLSGIQKRKP TFEKL AFLA_060280 MRSVLLPLSLLPSVLGATIYLAGDSTMAASGGGSGTDGWGQYVD DVSITVSNKAIGGRSARSYTREGRFDAIADLLQEGDYVVIEFGHNDGGSLSTDNGRTD CPGTGSETCETTYDGQAETVLTFPAYLENAAKTFVDKGAKVLISSQTPNNVWESGEYS YSPSRFVEYAQLAAETAGVDYVDHGAYVAARYEALGADTVNSYYPNDHTHTSPEGAQV VADAFLKAVACSDVALKEVLTSTDFPGDCL AFLA_060290 MTYLSRLGNIPIIQTRKALLLLDFQNDFVRPSGALHVPNTAEFL EILPQLASAFRRTGEVIWVRTQFESCRPWIAPDEQEYVVLAPETANKRPRSDKPPGPT SVDPQAPVDEEAFLSSETSKCCRPQTPGAQFPAPILAAIDAESDTLVDKSDYSALQSQ GLILSLRTRFVTELYLCGSLCNASVYATALDAVRHGFSVTLIEDCLGFRSFPRYEEAM RRMADIFGANGITTEELYEELDWQETDAIARKGGNRPVRNATSSGIEGVMDDLDVKPA KPAKPAKPARLEEPEPIERIPPPRGLDVSPGEDPLEDHDDILASVARTRYSRAAEKAQ KARVKARRTQRVDSKTESTSRTESRRSTKSKPSRDIRKPGDAIGEGDSRIVYDLELPA NAFEQIRTEVAWQKMYHMSGEVPRLVAVQGQPLSDGSIPIYRHPADESPALQPFTATV DRVRMIVERILRHPLNHVLIQLYRDGQDRISEHSDKTLDIVRGSSICNVSLGAQRVMV LRSKAQSPDAEEGESSRATQRVPMPHESLFILGEKTNMRWLHGIRPDKRADTEKSMEE RAYGGERISLTFRHIGTFLNPAGDTIWGQGAVSKSQDQANAVIHGDPAETERLIRAFG EENHAIDFNWDAVYGAGFDVVNFVTASANKLVAGEDVVANLRVLVCLSEHGMRYNLET PSSRKDTGPVYVDTDGTEIAGDINILTHLTRRSTELNRPGVEPLGGGDRFAEIDEFLK SWREHQKEEKEGHLRALDTWERALVGKYYLNGPTFGLDDCALWPVLREIVQARGPFSM KAYRNLAQYYQRVENRGFVRKALEELR AFLA_060300 MAARGLPRALRLARVAAPRSVVSAALPRPALAKAAANALPRVTL SSTPVRGVKNISFAGHEETVYEREDWPREKLQEYFKNDTLALIGYGSQGHGQGLNLRD QGLNVIVGVRKDGASWKEAVQDGWVPGKNLFDVNTAIEKGTIVMNLLSDAAQSETWPT IKPLITKGKTLYFSHGFSPVFKELTKVDVPKDVDVILVAPKGSGRTVRTLFREGRGIN SSIAVYQDVTGQAKEKAIAMGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMFL AQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYAACSTTARRGAIDWSSR FKDNLKPLFNELYDSVRDGTETQRSLDYNSQKDYREKYEKEMQDIRDLEIWRAGKAVR ALRPENQK AFLA_060310 MPPYSSYLGAFSVFALATLGESQSSWTLGQGIEVNWQSSSQQLS IVQANKTLLATVPGQNFLSASTGKDQWVGANGNFNYTSVDLNRCQGQNVTRVTHSSRE NSVSGEDVSIQGYLQDCGDQSIGYTMSFWVPKTLPDRVAFDVNVEPGHKRNAPMNKLY VTFGSHASEDFYGLGAQASFASMKNQTIPIFSREQGVGRGDQPITALEDSQSWFSGGN EFTTYTAIPQFISSAARVFYLREEDTAFSEFDFTKPNAVTVRYDALTVGGHFMQADNM LNAITMLTDYVGKMPTLPEWVDNGAILGIQGGQEKVERIVKEGLKQDCPVAAVWLQDW SGTHSQEAPYGNMQISRLWWNWESDSKLYPTWAEFVQDLREEYGVKTLAYINPFVANV SSKADGYRRNLFLEATKGGYMVQNSTTNGTAIISSGKGIQAGILDLTNQKTRAWFADV LRKQVWSANISGVMWDFGEYTPITPDTKLANISSDAYFYHNQYPRDWAIFQRSVAKEM PLFDEMVTFHRSASLGSNRHLNLFWVGDQTTVWGVNDGIKSAVTIMGQMGISGYAQSH SDLGGYTTAFHPPTVANSSGAIGRSAEILGRWGELAAVSSAVFRSHEGNVPEVNAQFY TNSSTYSYYAYNARMFKSLGPYRRQVLNNESKTRGWPLLRMPVLYHPDDSKARQISYQ SFYLGADLYVAPVLDPQTTKLNVYLPGTDRNRTYTHVWSGQTYHAGQTVRVDAPYGKP AVFVVNHAHSPELNVFLDFVRKENGTVIRV AFLA_060320 MGVSYMGVSVNASAEYEYETTFQTDSYYGVCSMEQQAYSASPRP GAHTWNNIDPNLISKFFDVYGTHIIIETFYGNSYKMRVTSTETSSMQRERWKACIEAE FLGIVKSTVEAATEEEKKTYKKSREGSVSMEGGAPQQSARLVEDPANQAKYEEWTDTL IPGVNDNITNIKVKSLYNLLEESDHDSHRAAAARIKDALKYFLSLLYVEWEFKCGYGS SDTPIPAFDKAAVSFMLGSDDINTRIIHPNSEYQMKFWYDNPDKPRTLHMEQTQSPGN LGSIHVFFFVETPRRPIKIMVHVSRFYKEPAGYWARLKSKMTNTEITLYSQDAVFWTP ELQSIKQPGNWRSGM AFLA_060330 MAEDKFVEVDRESFPYIFMKNVDIPLKTHEKGLLRCNVYLPKDS APYGTQKYPVIATYGPYGKDVPYEVFFKKSWDQVNPDMKSTHASWETPDPGFWTRTQW RVAARQPKGLAAIIPWEGMSDYYRDRVRHGGILSDRFIDFWWKNAIQPNQYGKPGRAS RQWGDDTLEGDLDEQTLFSNRRDQTKDSAAHRFMDEDYYNTRNFDLSSIEVPLLSVAN WGGILLHLRGNVIGWMRASSSYKFLRFIVGRHDLPFYYPHSAQLQLSFLDAFLKDNDY DGWKSGQQPRVHLCLRKGDCGVDDPERELKFPSRAEVDWPIPDTQYTKFFLTTKQTLS REPDTSIDICTYEALTGTPITFLYKTSSSLEITGHILARLTVSCSRESPNSSPPTDID LFVTLRKLNADGKEVFYTGTMGDPVPIVKGWLRVSLRKVNTEHPFHRHYLPYRDYTSA DVQPVKENERYQVDVEVWPTNTVLDPNETLVLEIAGHDTQSVGKFSHEHPDNRD AFLA_060340 MPSTYSPPQATFLNLSEIIQLYPEEESWCAGYAPSQRRRCHMPT NARNRKTAMSLLDEGTKDLHAGRDINDLLEDLAPYVLCTRFHKNQAPELAAKWKWQVQ RFLASYMVLAPAQRAARERRQISSLARSRQSVEVEYSHPEKWLTVRIGEPERLRTTRL APAASQGHLVNERVTQTANSPDRPRELAMSSPAVSTQEISSRTRAVASNSQTRLQVAP TAASNRGLSNIPTPAIRATSNRVRPESGSSVALPSTISSRSGDTTRRAIEGDCTICFD PLKNARSEAGDGAHHGTGDDEEQRELSWCKAQCGVNYHATCIESWLKASPKATCPTCR SAWRK AFLA_060350 MRPLDLVLFSLAASAAPIDGTAPEFTCNGDVCYLPPPKPVEPTY FLENGEQVPDISHFPPPPCPTPTNNGEAKTPTNVGGSGGPGSSMGSIVGGVLGAGTAI GGVLGAAGSAGSAAGGALGGIGASGAAGAAGAVGAVGAVGGAGSPEAAGNGGSAGSTA SIGATGATGADGVSYGTLRAMSASFLHRRSPSKPILMRTYRKFQAPMVMRKRSS AFLA_060360 MNLTTSAIPLSAGGNNTLNTGFPSFSPDGTKIVFRVWGADAEDG DTSQLGLRILHLDQVTANGTYPVTVLTNWWDTLPSFSPDGTKIVFMRRVSGSNYEVCT IAPDGSDLQVLTSSEADDAHAVWSHDGRIMYSTGEYGFQSECALYDNTFQPYGQINIM DADGGNKRALTNSLWEDSMPAYVPGEFL AFLA_060370 MLSLLASPLLALAIGPSAAYAACPYAQQMGLDLNVRDIPHSPSP SAHQSGRRGLGKDGVMLMNRIAPGTSKLYISDIDGGNERPLLSDPVFEYHASFSPDGQ WVLFTSERNGDGNSDIWRVRTKGSDLQPLVTTPAVEDSVVLSTSGTHAAYASTEGMIA NIWVLDLVTGNRWNLTDTPAIAATTNKTSPDSQWIAFSSDRNTKWAGHGWETFMGLSG WEHTQELSIFAIRPDGTGFRKVIGKEGYALGSPSWSADGKRIVYYEMTRETTWDAHSS FDVNSANSSIVSVDFATGTDRVVEVNTSGVKIYPQYLGSTSSIGYLLKGTTKEGIYSG TTYYNTTARSPAWSPDGKRVVYEKVSWDIRPQNKLLYSWDANWTYRFTDVFPTINTAG RQPSPKNSSANPPSSPSTLPAQTAKPSSTL AFLA_060380 MKSAFTLAGLAASLALISPATATCDPSTLNTTTYYYPITEANTT VFDVARITKRGVCDIGRQNLMADVTIPPNVGETFIIPAEVCDPDNTSCLLSGNATNTC IVGGPRLYYTVNGDTYEKIAQRLNITVDALMGNTEEGMTATDELPVGYFIKVPLCEDT TCVIKPYSFTWGVYKDLAEEFGTTVGQIMMLSATYNYSSLAFSAGGTFPPINILMNCT QPGKNVIVLD AFLA_060390 MRIQALTLLALAAPALTHGTFEHDDLETQLLKRDFYTIGRRSLE SCAGSLNSDGTNKRALLQKRSMVEVLNTPHLYTGNGIDPDHPASAFAHEHHVLLAPYG DTGPYYVPGELIRDDAREDQEGIPIIVEAQFIDFITCKPIPGMWWDMWNANATGVYSG VINESNGDFTDHSNVNNTFLRAVQLADEDGVAQIKTIFPGHYTGRTNHIHIIAHTDVT VLKNGTITGGSIAHTGQFFFDQDLIDKVSQTYHYTQNPYQVTGNKLDDTFHQETAYSA SDPVFHYEYLGDSIEDGLFMWVRIGVNASANWDDGVGEHSFTYGADGGKYSCGTGRIG ANYTTADEDCTGSTNVGDLPGENGPGPAVYSAPPPIAWDNETDEAEQSAAKSWLAVAN GLAAATETPTA AFLA_060400 MQCNQLKPRCSQCARAGLPCSGYRNQLDILFRDQTDAITQKFHN STIQQYSSMTRSWDPLKDIPNLRGKVAVVTGGNSGIGLATIRLLCLREATVYFTTRTE TKAHETLEYLRINYPDIKRENIRWLLLDLSDLQSVVAAVEELKRKENKVDILINNAGA ATSSTEPLGPGWEFHMAINYVGPFVFVNKILPLLKNALGAEDADARIITLSSTAHRDM LPRNFKFQFGSPTCLTNPVPAYPWQWRYFGKFIFGFDMIRYAVSKAANALFAQELQRR LDKQGLPITSIAVHPGEVATEGLMSSNTAPVRMVARLLFLTADEGAVTPLFAATAVEV RQDPERYKGRFLVPIGRVEAPNPVANDDEQVKGLWGTTVKEVNKHLADNGLPPLEPW AFLA_060410 MSSTLSLPPGFAFTQTIHNAPYPTISPSRPELSQAGRAVLITGG HTGIGYAIARAFAKAGAERLIIVGRRNDMVSSAAKLLGSEFPSTQAIGRRCDVADLGS VNTLWKDLAKEGILVDVVVLNAAKLSAQPILDLGKEAVWEEYVMNVRAHLDFTERLYK QPGAEDRQKALINLTSLAIHETQLTGQYPSYGATKSAGTMLLQQIAKDVSADKLQIVS YHPGGVFTELAEQAGFKRDDPRWDDEDLPGQFAVWAASPEAKFLHGRFVWAKWDVTEL REGPLRMRIDKDNSFLKVGVMGIEEWESTRRAL AFLA_060420 MSRPSRWRSLSRVGKCVVLVAGTALAFALIQGIALVSQPISVRV NTPSINQNSPQSPFSVTPAGTTSPIVTESTKPSPKSKYAFATIITGEGDTETEVKDAY FTATRLLTYQLLYSPQTKSRSGNIPFLVLVTKDIPQGQRDILAMEGATVIPAETLERE WIHPKWSRWVDVLAKLNLWRLTEFEKIAFMDADSIILHPLDDIFNDPTTDIQQTVPPR EGVDGSMNSTVSLPETYLLSGIHDRWVEMALPPVPGKEFYVANNYMNAGFFVCSPSKL LFDYYVSLLDTPDRFDASYPEQNLLNFAHKTDGRMPWRELGPGWNHKPIAVSKADLDN FKSLHQKWWRPIADKDAAEYILNVVQEMEGFFQHWAI AFLA_060430 MNPNSEAVSFELEPSANASETPLVTRTSADRGRPSASSLLNDRH PSDTVGDTESASGSRSSISRDSRCSSFPTLENQAASTTDEPLSKKTGKFLTGKDSWLW EITSLIFSAACVVAMVGVLIGVQGTSLSAWHLLIAPNTVISALATASKTSLLLPVTES ISQLKWVHFNRAHRLSDMDLYNNASRGPLGALVFLFKVPLSLGALGAIITIVALGFDP FAQQLVFFPSRQAVMDNETASFKVSQAYESGASWNYQNTVVDYTDFAMQGAILNGLFG SPSPRAFTCPTSNCAWPQNPSYLSLGAVGECKNVTQSAVNTCETFQSTLSTDCTITTP GGFKLGSKREHESATLKYTQLNTTLAANDSNVNLINFAVWRALGIANLSEFEVLECRL SLAGFLYSNVSVTQNTLNIQDETHLSLEPVNGANSGLNLFRPAGGDFPEQAMFAVHGA DLTKIHKLLQQIFIAKAMLPFGDRTDLTGATTDVLIAGNLSRIVESIALGITERIRTG PNSTDSNGVAYQSETYINVNWPRLTLPVLVVIGAAALLACSIISNSQHRGALWKSSNL AVLFHIVNGVGDYGHLSSSNDAPLGSVEALAEKMRVFRGDNMEFTPSA AFLA_060440 MISDDAANDKTKPFPTTRIKRSTYVVWLVLLYATLDLFSWVVLC RLTYRPVTASHYGYWISNDQDNSGWGLHNVDYVYEERDRNERWYKAAQVVQSIVSLLT IPLTTTTCSSAVVVWLQHRLNSKTPSITLRQMTVLADKGWADIPTYFRLVTTKRSRYS SSFLIWALILHVVGGLISPLQQIFLSTETIKTPTYPMHIGLLMDIPEKLTVQSTGASQ VVALTRKSIESTSANDLSSQLWVGNDSCPNARTDMGDINTNGVMLCDFGGSRWGNMSL FSDPFLAELPKYFNTGLIQQFLPRFNTTARYENISFADFPTNCDTIPGALSIRHRDAD RPLGDGLDSWAVHACMPNDLRKSPWRKTRTRQDFTEELYLNISISQAMQHLMERPLAP NPQLFRVTLDTTAGYFELPNYMNGNKAGPLLLDSPSKECGNACLAQGMGNFSI AFLA_060450 MSSSPVDAPPHVLQLLSKLHKLSLEQEATIAKTGKVFSATVIGD FEDKLPEKDATAEFDKLMLDKFIALDEDKCQFVYQLINAMGATNVVEAGTSFGVSTIY LALAVAKTKAATGKPGVVIATEKEPEKAKIARVYWKQCGPAVENEIDLREGDLLETLK ENLPDVDLLLLDIWSKLALPTLKTVQPHLRHGAVVLTDNTISGAQGYADLLAYLREPG NGFRNMTLPFTNGLEMSVYLPENK AFLA_060460 MSVEAPVDSSQVLWDQSAPNFGDLTHIIDNMTVASQLAHDQPNL PLSFSLFDEMVPQPSSIHWQYPSTTPSHEATGFRQVPHLFEEFSSAFPSFEILPSMQT YQEPWKITQQLWDRLFAQVQFFSPLLPPEFVLQSRHTLTRYLGTYFSGFHRHLPFLHI PTFSLERCPVELILAMAVIGAQSNFEYDNARILFEASYVIVQERLRNRKAELCHRSFP IDDEASAVLQPGCQPWMESSFSVLEVPSDSTAGQAGIPQFHPLPAAQTLLLLMAMATW GNSKAIYNKAFGLQNTIVNLVREEEFLKVQTQTPEGIGWRQWVQIEGFKRTIAIIYCF LNFHTIVNDTPPPIRTSELIINLPSREADWAAQTEKEWQEIRGRSEPEPEFQSYFSNL FLERKEDNEIVKGYSSLGGYTLILALIQHIYFLRELSKYKPGSEQSLSPADAANVEQA LRNWESGWYTDPESSLSPGNPQGPISFNSTALLRMAYIRLVVDVGPWRALNTHNPYEI AMSMHQSPPLTPSTKLSHAVLYAAHALSIPVKMGVSIVTRSQAFTWSLQHSLCALECA FVVSKWLLAMQNRTSEVPVDKEETTLVAYLTDIVTEADPAMGSVRGGGEIPHLSKLCV RVIKLWAKLLSGEAHWDVVRMIGKVLEAYGYILEKELLV AFLA_060470 MSSQALPMPPRKKHRMSPVERIAEEQARRNTSSPRKKSRLFRCQ FCARQFQRNEHLQRHERLHTKEKPFGCTVCPQTFTRRPFFAHITTLRYILGSHFRRTF LWSLKDS AFLA_060480 MATHLNFVTLDVFTTTPFKGNPLGVVHLPPGAPLSQAQKQAIAR EFNLSESVFIHDVDPSNDPDPHTRRIDIFTTTEELPFAGHPTIGTASYLQAQGINKLI TKAGPIPIRSDAEEGLVSAAIPHDTHLNSKVLGHIESTLRADRLHSTPEIRSAELQAP IFSIVKGMTFALIPLPSLDLLSQVLPGAFPCAMRDLVDVEWSETFIGRYYYVISGTSV SDSGVRTVQLRTRMVEDQIEDPATGSAACALTSYLALQKYSETRIQFQVTQGVEMGRQ SDIAVEVKVDVGEDGVRRVKEVQLGGKARQIMSGSILRGIRKDGVRALFFIVEGSSEA LHYLAELVDRGTVQSFVVGTCPLLEAQEAHDHANGRYSGRGKFVLTG AFLA_060490 MWSALAAMRLIDLHGGKATGIEVTVVSPEPTLVIRPRLYESGAK NMSASLEGLFRVTGIHFAKGTVETIYVPQRLVKIVDQAGVCSTISYDRLVLAAGSRLR RPNIPGLREHSFSIDQLGEAADFEAHLHSLASLPPSPARNTVVVGGGGFTGVEIAAEL PERLRSILGQEAEVRVVIVEQATEIGPELGANPRPVITQALAEQGVETKLGAGVMSVD DKGVVTTSGERIESLTVLWTAGLEATPLTQQIPGAKDKCGRLHVDVDLRVPSAKDIFV AGDAALAETDGNGHYAMMSCQHAMPLGRFAGHNAAADLLNVPTKPYSQPTYGTSRCVS SRFLLGSRYAAAEPYSPVDIWAELNKVYDNIKSDKYAGEYEFQADLFKAFNLAHDGHF RFFPDLLTKVLTFHRNVGLVSVSLDGKETPQIYVHMKSINGEDAVKYLEYWSQLGSLN DPDALYNSLFFSKPFAASTPGFDGYFSGSARYGYIYPGNTTVIEFANGTSRSYRTTAQ IKADLTGVTDGQSLYQKFCTGPSSTESAASTTSSPAATATATPAPGYPDPEVISSDNV ISGYFLDSDKNSEVAVLSMLSFEPSTPAEFQAVLEKFLRHAKAAGKTKLVIDLSGNGG GYILQGYDTFRQLFPSIVQDGYTRFRYTEALAAMAEQFNAVLPEDFNPDSATDDEIQM FESPPNYRYDLNLENKHFTSLEEKFGPHEYNGDQYTSIIRWDLDDPLTTVNQTYGMGM EITGYGTRRNFTQPFAAEDIVMLYDGYCASTCTLFSEFMRLQGGVKSIAIGGRPSSDP MQGIGGIKGSNNFAFSYIYSLAQLAIDSAQPGQEHKANWTALTELSELPTNRSTDTSI NVRDNILPENLDDGLPSQFVYEKADCRLFYEPEMIVDVTAMWEAAADAAWGNKECVNG HLGLKKRTATRARRSRIFPPRKMTVNVPANPVEDRSPWWTQKHGTKVPL AFLA_060500 MDDYYSSPPAGFTLRRNGSCAANEKECDNPWGRWYDCCPEGTYC SSERSDNDRNVCCRTKSGCKALIEQDPHCANNETWDLYINNQDYFCCLQGKRGFVQTF SEGGAGIACADPGSGELDNPSQSLLNLVASESKSDTSSNNAGAIAGGVVGGCAGVALI IALVWFLLRRRKQVTPVISPNAGTPATELKGVSVAELDNNPVRSELSGGPKTMAHELP VDMR AFLA_060510 MEKGDRVCVLPGGRTPFILRRHRDGRILRRSPDGFELYVEFPTA CELLGDCYVRGLMDGEGMSRWERVRSSHSRSNIEVLGKPGIPGGPDVHFGDHEKKCAD LQEAGTRWHGQAMYWYHEAKQWQDAYSAFWEQLKESESLLRGDHSSRWRIASQDYDIV CQSWARVQLIWEKRLKACREFLYPTGAFDWQTIYREWHDNMQLLDSAILAWQSTQTCS QHSLQACEQETRRWMEIEKNLVDRSMENISRRYNFIERLLLGRLKSTASENDHTGERP LSCLTRHDRQCFAEECAEFADRSLDHVVPWKLWVDAQKKFWATDEGLRWFFQDLAEAT AHISEKDLYTYNTEWRCQLEEWELATKKLKEEGLQNCAEAVEAAQKALWTIYPSEEDR ARLKSLIAEVGREKFAMKHLNYKLGMESLVVVLV AFLA_060520 MESRNTRPYVWGRTKHSQPIYLNESPVYITRHLRRALRQLRSDS EAVCLWVDAVCINQSDDEEKTEQVKMMGKIYAQSQEVVVYLGHAFAPSFSQSFNHPSD TSDAIAHTITPHDCYSTAALDEKDTGLVWRRQMSYKDGSYLFCFIQLLADGVDMNSFM AGKGQGSLDEVMEALRLFLSAVKWWKRVWVIQEVVIATRIMILYGSMIAPWEMFVQAA NRVLGNSQMQISSLAVNDTKVLLEFSRRILSIESIRARWQSPEQITLLQLLRQFSGRE ATDPRDKVYALLGLAKDKPSVEPNYAASERDVFVGTALDIISRSKSLGVLTGDFTMKN NHALPSWIPDWSSPFEASIQSRAESVKHYTACKNSTIYVQLEESEEVSSAYQNCYIAP SRYREVVEKSYGPCGGHMCLRVHEQGRISLPALIVDPIMVVGETMWSDAVLTSTISSW LAVIAKYSFLLENMMTRDDFERTMCADLVFDAVMANLNGYLHPTEILSDAGWREKYLT IERDTCYAPIDLWQIPRILVPKP AFLA_060530 MDPQSLAQFLTPSKVHAAHALIKPYIHRTPLLTNKTLNGIASTP QTPDALKGTPYEGQTPANPTIRFFFKCENLQRIGAFKARGAFHALLRLIEERGEEEVR RRGVVTHSSGNHAQALALASSTLNIPSYIVMPSISTTSKIEGTKAYATKVVFSGSTSS EREAVVAEVQSQTDAILVPPYDDFNIICGQGTTGLEIEEQYLELLAQNPQLSVHGSSG SGLDAVITPIGGGGLNAGVATFFSDKKTRVFGAEPSFEGADDCRRGT AFLA_060540 MGGNESDPMPMGCISFHLPSSYITLARWIVGGTLTTNAVTMAAS FGEKLLAEVHEETLDQLLHDLNCLYESSNNTPRQRLGIKALDDLLGVFMPIPAVSAWA QAQHQPPEPTTTDQDEQEQVYHEGPPVQSEPEHDLNIYAGEQILPPARIKGTDPVLEI SSTSSGAGKTQTLYYLAAIAILPSEFHGVKLGRGSAVVFIDTDGRFDAERLLTVAREI VHKKFKSQNEKTDSTTTEGVPAYTEEDLHTLLVTSLQHVHVFRPQSSAALLSTLQSMD KYLFDLTRHFSSARPLHTIIIDSASAFFWQDKLHDEVARTEDIGRPYEEIESERRKKK SFYLFDMYADLVAELKRLQRLFSCAVVYTTIAWSGRSMPGQISASGPAGPFPLFDPSD VPFSRTSSFRSSLPGPWGVFPTLRLVVQRDVVRPFPPAMTVHEAQREAPVRQEVVLKG RFVGWVNGWGREDWPRRVVEGLDEMDGGMFGFYVGTDGVNFE AFLA_060550 MAPLVPIFSAEALPDHVSIVRKNFQERRRKGGPVELEKCKLMEM VQYSCNPPQDGIPAPGVVVCKPVVRLFRRCANGLTVETTAWEPIRLEEEAKRKAAESS SKVNSK AFLA_060560 MLAAEPKVKRSSSSLPEQPNAPDAKRIKRPYHHHHRLQTPVNVA LPEPAITDDAYVDHLMNRSIGQTLRETGFDLADPAALESFRIATEEYLLKFASYVRQS MLSSRRTQPVPHDFEHALKKHRVRVDDLLPHVKTLPNVDPVPTLLPSPPPEEDDSFKT LPSLGPQLSGEDDRARSAYIPKHFPEFPSKHTYRHTPVFTEREQDPRKIRERATEDGR HGEEALRKLARAAFKDNQLGSSGRDKKPWGRRTETMDSMFEKTIKGIAKKMQKNTTAP GAPAPMEIDSGALDPDMKARNKVSLNIELPPIINCERDLWRRTTTGNRRPEERPPNNK EAPDISRVDSWVST AFLA_060570 MAAIAPITGVLVLPSATSGGTVRIIILHQVNPQKDPQTNEEKKG YHLPRVRARDNYYVRLEQERAAAQE AFLA_060580 MLKIWSMKQQQQQAENAEGAAGKKKKKVTAAQLRVQRDLQELTL GSTMKMSFPNPDDILNFTLTIEPDEGMYKGGAFHFSFNVNQNFPHDPPKVKCTQKIYH PNIDLEGNVCLNILREDWKPVLNLNAVIVGMQFLFLEPNASDPLNKEAADDLRQNREA FKRNVRTSMTGGTVRNVQYERVMK AFLA_060590 MAYQPPGKDNGAQSPNYNDSGHRLEDLPHGATIVATANRSGQAD IAYEEEASTGLLSHQQGGPFGGPFDDPHQRGTSPVRPTSGYSLTETYAPDAGFHDPYS TTGSVYSGNSAENPAAAFGVPGRVASPYARSETSSTEAWRQRQAPGGGGGGGLRRYAT RKVKLVQGSVLSVDYPVPSAIQNAIQAKYRNDLEGGSEEFTHMRYTAATCDPNDFTLH NGYNLRPAMYNRHTELLIAITYYNEDKTLTARTLHGVMQNIRDIVNLKKSEFWNKGGP AWQKIVVALVFDGIDPCDKDTLDVLATIGIYQDGVMKRDVDGKETVAHIFEYTTQLSV TPNQQLIRPTDDGPTTLPPVQMMFCLKQKNSKKINSHRWLFNAFGRILNPEVCILLDA GTKPGQKSLLALWEGFYNDKDLGGACGEIHAMLGKGWKNLINPLVAAQNFEYKISNIL DKPLESSFGYVSVLPGAFSAYRFRAIMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKK NMFLAEDRILCFELVAKAGSKWHLSYIKASKGETDVPEGVAEFISQRRRWLNGSFAAG LYSLMHFGRMYKSGHNIIRMFFLHIQMLYNVFNTILTWFSLASYWLTTTVIMDLVGTP SESNGNKGFPFGKSATPIINTIVKYVYLGLLLLQFILALGNRPKGSRFSYLTSFVVFG IIQIYVVVDALYLVVRAFTNSDAIDFVTDQGVGEFLKSFFSSSGAGIIIIALAATFGL YFVASFMYLDPWHMFTSFPAYMFVQSSYINVLNVYAFSNWHDVSWGTKGSDKADALPS ATTTKEDGGKEAVIEEIDKPQADIDSQFEATVKRALTPYVPPVEKDEKSLDDSYKSFR TRLVTLWIFSNAFLAVCITSDGMDKFGFTNTATDRTSRFFQALLWSNAAVALVRFIGA CWFLGKTGLMCCFARR AFLA_060600 MFMQRNERSQVHRQMRKMDHGGKAVFSYPIFFLICSWLCSFIHA CFLFLWTLRGASAIGSCTVILSSLNPAPF AFLA_060610 MTVGVPTYILYHAVESVSICTMYTYTARSTVPPSPAAADSAIRG SVKASIIHRRDALKALKSPHRRFLELSTDEYLQ AFLA_060620 MSLYPTDPSFSSNVLSGRSIFKRCVSCDSTAPTCPSCSSGEICT MISQSCDQCATTKCIKTSSGSSSQGSSSGGSNVGAIAGGVVGGVAAVALITFLVWWFF VRKKRQELAQQQGTSDGEKSSTFTDARQARKSTNSIASTVLTRASNVIQIAYIPGVTN RSPPETPLVPPVPPLPGAAPDQHFFMPGDLRDSAWSEASRQHRSIAPSLRSSVATTIY RDNAIVSPMPAQQAMRTRAAVVSIHNGGNPPGSGNTLAPPDAPAVPAITQAQLARAAI TESNSNSSIVARTVTAKPVMVKGSIKKKNKNPNNSNTATPSATSPAVQTIEEQSESST SAASSTKPPPGPTSGFDANSSDEEEAHAKDIQPRKGGSPAPKSPTVIEDSPAVEQSPF QDQPNPQTNRRASARLSSRLEADEGTRSNRSSRIPPERTESPFSDANEVK AFLA_060630 MPPDRQNETSRSDSPISSVHSHEHISQSQDHILYADGLRLTFHP PVPPTQKPSPEIREKSNQRRNSRRSIWTLNSDWYVWEVPAVILSAATLIAIIVILAKF DQQPQPTWKHVSLNSVISWLSTISKGCVLYAISEALGQLKWVWFAQEARPMPNLRTFD SASRRFYGSGELIWTLRFRHFAVWGSLAVILALAFEPFTQNLIHYFPNSVVDSSQRAF LANSTYYNTTGPPLQNHAIVWVDPSLKANVYNSLFNNDQSKPWATPKYICNTSNCTWG PTAAIEVRARCSNVTDFLNTTCTTVTNNPSGYDGTTNCTATLPLANTTAWFLSGMDVL QPLSIATVHASTALIYKNATLPPIQMVSPTNLSMNTMFTENITKWHATECSIQPIIHS FNATVTNNIYNETTIATWETSWATWDQDLNISDSELQKIPAGMYFNPPWGLEQGITPN TSFMFSDLAASSLDTFFQDLFTGVWFMRSMYSSSFIPSSLNMYAAPDFIQAMAIGNIT GCDVGSMDKLQCAMENVAAAVTKSFRDSVFIADSDPVSASMAVGRAMASVIYVEVRWQ WIVLPGLVWVLGAVTLVGSMWKSRGVRAPKWRNDPVPLLFLFRGRGEDAVGVAEREVE EKAEGLRVRLYESNGRMALG AFLA_060640 MDNDDFVLVEKQDGESAHSATSQDIGQIKSWLSPTEFETEGSEY QKHLNAHASGTGDWLFQTEQYQAWHDANINTLWLQGIPGSGKSVMAAKLIRTLKEEGI PVTYFFARQIIKANSEPRQLVQDCLYQLLDHSVLLQARLKTLLAQHQDVDNIPFHELW DLFLFGLDTVPKVYVLFDALDELAIENDGFLQLLQKLGQQNPESAKLIITSRPEVHLQ TALRGSFRNRIRLTGAMVDQDIATYIAHRVANQRDNLAVSENRSTIQDRLSQKAQGLF LYARLMLDQILLQSELPVEKHLQHLPSSLEEMYVSILREHSSRSGASQHFQCWLLSWV THACRPLRVTELAALVNSCFDRSGLSSSQDAKIMIRTSCGPLLEILDNETVQVIHHTF TEFLLDSNRSTATTPIETSDSFPVITPTSTHRSLTLLCVDYLLSGCFRSWSLPSRPTK PADHEADRKQLMVHFPFLQYASQNLLYHAAKCDAKDLEFILQLDSLFQHDRHSFESWK DFLFAKENQTVPDRLHPLHLAAQAGLPAYTQHLLKEHRDPDLADSCNRTAATYACMNG HSETLAVLLGYKASVTINDIDGLAPIHHAARGNHVKVVQLLLEAGADPMSPKSCEDQG HWWWIPSTIGKTPVQYACELGHTACVLELLQNLDLPSKSTVLPHWASATGQWKTLEAL LRHPVILKNLNKRDGYGNTALFLAARRASSEAVRILLHYGADVDGRSVKLDSSEAPSD LDIAMNGRGQTPIHAWTAAGYRIWSRGDVCSMEEWKRTGILLIEAGCDLEAKNDAGQT ALFAWTKQHMCTPRGSDRTERFVEILLEHGANPCATDNKGDTPLHNLTRDNMNSRVIK MFVKGGADINYGRQGDLVTPLISAAICRGGDVTPFIENGADPNLQDSDGNTALHHICT SWSLRYSDVQKWLEFADPTVKNKAGETCIYKLAFGSDGYERVNAISLFTEKGLDLESR DRRGRTALLAACANAEPHFIIGLLENGANAKAIDFQHKSCLHLVAQVQLTLHGNGEQD LNTTLRVMKLLIEKGVDINGIDLDGNTPFHDAAVSSGDFLPVRTRLEVILGLGGLANT TDHRGQTVLHKVASLPNPSTCDQIDFLLQPSLGLDVNARDNKGLAPIHCASSNSGIIT WRLIQAGADMQALSHDGRTPLHFAAAAAQSNVVGLLCKLYKESSLSLNQRDEHGYTPL HYAANSGNSECVYHLLQVGANLNITDCHGRTPLHMAAEHKVDVAALRKQRKHSGEEWW TRIIDGSNTLGPFIHPLLQSRRGDAYWNLSFAIERESEAYMMQDIVQLLLSAGADFNM RDSSGQTACDVALHLGHEEVWNVLSCRREQRENRALMGQLCSLKSMHAEEIVQTLSLE RSDAYSLLQTAISLRNQTILDALLGAGVDLMIKGPDGLTSVHYVVHWGLLTTMKTMAP YVKDINAFSPPLLHTAATQELSNLQMIDLLIELGIDVNASYQDPFDEDANASTDRPGP PAPEYTATHILAAGGQWWNIAALETLCNAGADLEITDSKGNTVLQCALAGESQGPGRH GFWRDETFEVVLRHGANINKLSPSNESTPLLVALKTKRGCKLVQRLLDHGADINLGPV PALFVAIESRDCEAIAMILDAGADVNAVYYPKRRYGATPEVVTPLLAAAMEPREVGEA IMVLLLQRGADPLLELEDSNSTVFHQIAHYHGRIGPILRSMPDVLDVTNRSGLTPLLS VCSSVDGYYTGEESTSIELIHAGANINVTDKDGSTPLHLACRSGKCATVALLLEKGAS CSATNNTGLLPLYYALSYANGDNERFEMTRALLDAGANPLITGPNGETALHILAPLLV YISSSYDHRSKEEIYRQAVFEDLYNRFVESGCDVNARDAHGNTPLFPFVRTVQKRDEY GMGSPPAEDDVRQMFQAHDIFVVNDNGDTLLHAIAAREDTPESESEPDGVWLFEELMA RGLDAKKENKQGLTALDVAAARGMQGIMRLFEKEG AFLA_060650 MADAVAEGVAKLQLDPETGEMVSKGELKKRMQKRAKKASKAAAK ENQPSKPETKAAAPPKSAEPTLDPDAMFKQGFLAEVYNLRPSPNVRTRFPPEPNGYLH LGHAKAIAINFGFARYHGGVTMLRFDDTNPDAEEEKYFHAIEDIVRWLGFTPHEVTYS SDNFQRLYDLAEKLIQQGNAYVCHCGEADLKLQRGGEDGKSPRFHCAHASQDVETNLT KFRDMRDGKYEPQTAFLRMRQELLTSGNPQMWDIVAYRIPKNRTPHIRTGTKWCIYPS YDFAHCLCDSFEGITHSLCTTEFVTARESYEWLNKTLGVYEPMQREYGRLNVSGTVMS KRVLRELVEKGHVRGWDDPRLYTLIGIRRRGVPPGAILSFINELGVTTSLSTIQITRF EQSVRRYLETSVPRLMLVLDPVRVVIQDLGDLDGQELVLPFSPKQPEFGSYKLKMTST VYIDQSDFREVSSKDFFRLSPGQTVGLLQVPHPIKAVSFSKDPATGKVTEIQAVLVKD APKPKAYIQWVPEGSRKVTVRIHNPLFKSENPMAAEGGFLNDINPDSETVYSEALVNS GFDEVRRRAPWPQAEGEKTQSGPEGVRFQAMRVAYFAMDSDSTEDSVVLNRIVALKED AKKEAAS AFLA_060660 MVSATFPLPGVTHGKRILATVIENRAQDGTNTNPWISLPINDQD LSAGYRDITFQQLNNAANHAAKWLSQALPAASDPFQCFAYAGPKDLRYAILAVAVAKL QKVMILPSPLITPEAQLRILEKKNCKLYLRPVEMAGPVDAILQKAPHIECITVPGVEE FLRDDAALPVLYGKTWDEGKDDPWLVFHTSGTTGNPKPITYTHRMMAGADIAASLPDI EETHIHQYAQRRWYTPLPSLHFVGMLMSLAMTGFVNMTSVIGPPAPPTPKLLIDIFRY GRIDGALLMPALIDQLCLLPEGIEALRELKYIHYAGAPLSAKSGNLLAPYTQVVPCVG STEAGGYFTTIHQNKDAWDYLSFQKHSGAEFQHRMNDLHELVFVRRPECAMQQIFQVY PDRESFGTDDLWIEHPVHKGLWKIIGRSDDYVYLAHGDGLHASLLEPEIIAHPSVKSA IIGGHGQISPVLLVDLNPGVELNNEALRESLKPYIEKVNAHCHDCVKLSSERLIFATK DKPFILTVKGSVARLQTLALYEREIASLFA AFLA_060670 MPGTSLPREQISSQYLEEDVSNRLVAASSVILVVTTILLALRLY VRSLPNVKTGLEDILLLPAYLLSLASCICGYLAVTHGGAGRHVKALMVKDPNIVIIRG KLLYSLSWVSAYSNCFSRLSVLVLLYTIFTPGVARKCTVLLMVYMVLFLISQTIAGAM ECRPLAYFWDRTGDGTCIDLFLFFKLSGILNIVGDVAIMVLPAHTVWNLQASIAKRVA ISFVFLSGSIGLIASCFRTASFFTSQEQSTTDPTWADVELMSWTIVESGMYMAAACTM RLRPLLRKLPGWFKQFKTTHESGVTVERTFTIEDGKGYEMNFYAKQGHIPLKSFDRDL NRASIQGLQRPVVNR AFLA_060680 MAPVLGGRRIIASSSTRLFQIRRRLSPYIGLKNHISTAIATEPK DTTFFADESYVDSQFWENAVGTALKTLMGGAEYEAATLQKHLRFVSQSVAPALGARPI QGQTPRWKSFMTDDYSPLEYSWSWDGERPTIRYSFEPIGPLAGTEWDPYNHQAPIAFV DHLRRQLPAADWQWFTHFARAFYQDTSAKVAAENYGSSPSSVFIGFDLGRDGRQMCKM YLVPVKAEQTDQSRLAVLDDAVRTLPQFSDLSAYPWLQGFLRHQEEIGTPAHLIGVAV DCVDPSASKLKIYFRSSSTSFASVKNTLTASGSVSSWDDSALEQLRELWSLVLGLPAD FPCSQELPSKSHETSGVLYNFDIKLGNQQPETKVYIPVRHYGQSDHAIAVGLVEFLQR RGGHSPYHDRFLKALEQYASFRSLDQGCGVQTYIACAFKRGQLSLTSYLSAEIYHPGR WEGQGAETI AFLA_060690 MTAETGFVPTVFSLPSESAYSWVWAVSAGLVAIFVLYLTQGIAR RRFAYAHGCQAPPRYAHRDPILGLDSLRDSMQARKSDRYFRREQQLHQAYGNTFMSLL LGSWMVNTIEPKNLEVLFSTKFADYEVGFRRRNAFAPLFGKSIFQSDGARWQTLRSQL QLCFSRVQTSQLGLLESHCQRLLAALPPDNQKFDLALFLHRFAADVSTDFLFGESINS LENPQNLDSGALKAFADTHSTCELRWLLGSMSWIWPQRTFMKNVRLTHRFIQRYVDAA LQREVTPPGKASDQQNEQRILFIDQLRQRTQDPIALRDELTTLYFAGTDAPAALLINL FFVFSKRPDVWDRVRSEVQSLGGKAPDLQQLKGLRYVQDCIRECLRLYPPQPSNSRVA VRDTVLPTGGGPDGGSPVLVPKGMMVHLSVYALHHRKDLWGEDADEFRPERWSYEKQT WKYIPFLGGPRNCVGMDFGLNEVAYAVVRMAQNFQTITSVDPDEWVEGSSIALESKNG VKVVMCRDA AFLA_060700 MGKSFDFHTSLVLQQWSLLNELLRGAADDLVLNGTGLDIPHVVY IARYAGNVSIDPASYESINQSQAAIAKSLSKGDVIYGVNTGFGGSADIRANDVLALQR VLTRELSYGVLPPGARDPRPPSDRQKSAYQAFDLSLEHAAESQHLPLPWVRAAVLLRL NSLVKGHSGVRPVIVDRLRDLLVKNIIPMVPMRGSISASGDLSPIAYISGVLQGKPTI RVFRPGIGRDVYADEALAEAGVAPISLTAKEGLAIVNGTAMSAACATLVLHDTHQLAM LSQILTAMTVEALLGSPESFDPFFAEVRPHPGQIESSRNIRVFLRGSRLALQKDGKDG SLRQDRYSIRTAAQWIGPVLEDLLLAHQQISIECNSATDNPLVNGDGQFLHGGNFQAK AVISSMEKSRQAIQAIGRMLFTQCQEMINPATSWGLPPNLVADEPSQSGIFKAIDIYI SALTSELGFLAGPVNHVYNAELGNQSLNSLALISGRYTATAVRVLTELVAAHLLSACQ ALDLRAMQLQFLDGVKTEFFARVVSMVEKQSPNTTDASKNLPATLWAHLQKGLEQTVS MDSIDRFPHIVKTMRVPILDCLPFQNVVLQEMQSFIDQLGPWLHEAWCTNREAYLVHG DASPVLGDGSKKMYHFVRKTLGVPMLCTKRILTPTAEAMAAGQVEEAPTVGGYTSLIY RAIQRGEFLPVIQELLEECLAKEELER AFLA_060710 MSFPFRIIEHTIPGQHIRESPRSIRGRQETPIKIAIKQYIPNDA DRLDPTPDNAITIIGVPGNGSPKEIYEPLWEDLYRQLKKLSVPVRGIWVADTSNQGAS AVLNEEVQGDQTNWYDHSRDLLHMVNHFRDEMPRPIIGVGHSMGCAQLVNLSIIHPRL LSTLVLIEPVILEVAFGGPNPAMMASRRRDLWESPEKAVASLTKGLAKWDPRARDRYL RHALRPVPTRLYNPATDPKVPPTAVTLTTTKHQESWNFFTPNLEREELDRLLLPDWDV EKERPYLFSRPECWSAMRNLPYVRPSVLWVFGGKSFLSLPDAQESKMRTTGTGTGGSG GVAKGMVEKAVLPKGGHTLVFEQVDWCAERVADWTQRWFRGWLEDEKFWEEYQSRGSD KEGVRMSEEAFQIAQMPVGTKRGEKPKAKL AFLA_060720 MAQSLGYPEYCLASGHGPSPKLRDLNAKETMADSQLVSLEAFLL AHPSIKYTAPSSSEFSAVCKVWNMARPDTPLAVVHPQSAEDVSVLVQFAKANGLKFTI RVGGHNMEGRAIVDGTLVIDLRALTGVRIAEDRQSATVEGGILQGELGTKLWAEGLAT PTGSIPGVGYVGWAMYGGYGPFSSHWGLGVDQILGAMIVNHDGEIIEADKKLLKGIRG AGGVFGVIVDLTIKVYPLKNFLAGAILFDSQHISKTFADFNTGYQKLLHNGIPKQLTV QQAVFNAPPGRVFAVLVAWSGEDIEEGKHWSTQIASLASLLMSTVAETTIPEWFTGNA ALVPSSVYGSSRTLNLHNVTPEVAEAIGRNLTTLPSDPGTMISVHQLRGPSAAPKGNS VFATREPHYMLEILGFAAVEDRKGEAEKWASDIAEAVSKTDPGNILPTTYISLYFAPD HGVDPLSQFYGSHAEEILSLKKEYDPKAFFHWTVPQLE AFLA_060730 MQGTDPAQDHYDSRQTYQDPNRTYYDVASVLAQYPSLSPRTEVY TYENGFSALLLQLTGTVPVTFRGTVYKFPISLWVPNTYPREPPIVYVTPTQDMAVRVG QHVTLEGRVYHHYLAHWAEAWERSTLVDLVSILREVFAKEPPVRYKRQHVPPRPQQPE PTQTPPPLPPLPAELGLSSSHSPLNQSVPSPAPTAQVPPPPPPKPGQLASAEQRQPIP AAQNNSSSPLPPLPPKEQDPRWPPRPRPSTSTPTGLPSQYPPEQSGSMGGPTPPHRYP QQQHSMAHPMPAYAQGHLPSQVDNRAPPGAMPQQFPRGPQPQPPFGIPQQASPHHPTH HQTNGRYQQMPQPQTPQQASHHSFQRPASATQPAMKPKAETPDLLTSPFEVELPSFAS GPAPPIPPNPEKDALLHAVSKVLAETLQTNVSQTESAARSLLSQSDSLHAAIATLQGE ISSLNTLNSSLQSNTSILQQSLHRADAVIADAQSRISSSAAQSSSDPVPSGLPPIDEV LVAPTVVGKQLYDLVAEERGIQQAIYALQTAHVKGVIGVETWSRHTRGLAREAFLKRA LIRKIGKGMGLEEHQI AFLA_060740 MTMAIMDSKFDPYSQNLTFHAADGTPFQVPVMTLNDFYQYCIQI CINYGAQFGASVIIFIILLLLTRPDKRASSVFFLNGGALLLNMGRLLCHMIYFTTDFV KAYQYFSSDYSRAPTSAYANSILGVVLTTLLLVCIETSLVLQVQVVCANLRRRYRTVL LCVSILVALIPVGLRLGYMVENCKTIVQTDTPLSLVWLESATNIVITISICFFCSIFI IKLGFAIHQRRRLGVRDFGPMKVIFVMGCQTLTVPALLSILQYAVSVPELNSNIMTLV TISLPLSSIWAGVSLTRSSSTENSPSRGALWNRLTDSTGTRSNQTSSTDTAVAMTYPS NKSSTVCYADQSSVKRQYDPEQGHGISVEHDVSVHSCQRL AFLA_060750 MASHSMSLRDRQVASIQKILNLNHEPQTEDSHHDTSAQGIISTP ILNEDGDPIWKVLVFDNMGRDVISSVLRVNDLRTWGVTIHLNINSNRYPIPDVPVVYL VEPTPANLQMITNDLARGLYTPAYVNFLSSVPRPLLEDFASQIATTGTSEHIAQVYDQ YLNFIVAEPDLFSLGLGHDAYWKINSAQTSDEDLDAIIDKIVSGLFSVSVTMGAIPII RCPKGGAAELIATKLDRKLRDHILNSKDNLFSTNKKSTPGVPTSRPVLVIVDRNVDLV PMLSHSWTYQSLVQDVLQMRLNRITIETTDEANPGKVTKKAYDVNSNDFFWKRNAGAP FPQVAEDIDAELTKYKEDANEITKKTGASSIEDLQNDTSTSAQHLKAAITLLPELRER KSILDMHMNIATALLQGIKDRQLDNFFELEENITKQSKAQILELINDPAKGSEPTDKL RLFLIWFLSTETELNRADMGQFEEALTRVGVQDVSPIAYVKQVREVTRMTMMTTAAPQ QQSSDLFRGFSSLSNRLTDRITSGALGANFDSLISGVKNFLPANKDLTLTKITESLMD PASASSSAIAKTESYLYFDPRSANARGAMPPASASRNAQSPATSGTPGPGTGASFGQR RQAFNEAIVFTVGGGSMDEYGNLQDWVRQTSGQPGSDGAGAGRGTASHGAPRRRVVYG STDLMNANEFLTESLAKLGHES AFLA_060760 MNGSMEQSPPQNLMRGLPHAPVDPGSLPPEYWESLSCRLTHKDS RCLSNIAKYPSIHRGRYLSSRSHSMRQIARLISHIHSLETTTLLSTSRCPTPFLKRQH RKLLSLSRHNQPLISLIRLKPPSFHTPCPRGSRRRLRTFDYFRNDLQMPSILNRPTAN SPSVPATQTPGVEVVIPANRQQPLLPNTSGKPGKKQTTSKKVTKKSASKASTKKPDGR SASSGSETDSSDSELEIEAPEEPSPIPLVRPNEPEAAARYDALRAVWSPRNRRPHADK VKGALVAFKDVVKAVRDTWKEKSQAMKMAENKGENDKAAQIKKDVVLQRRLMDVVVST TLDQGHPTIVEKLGEHPMAVAAMYSFLLDRHQASDIDGAFTLNLLKLLARFVTMDEDV LQKTNVAKLLPRFVKKGGQVAKGLAQKILDNAATSTKRKQEGNKVAPKEASPAINTIS NITLADGQRTELAGSKRPRDADSNGQPATKRMVVTSNLKSNQKPTNGPVTSAAKRPQE IGPEAKPAAAATSRPKANIIAPKPTNLFGSLSSASKRPGTSNAERAAAAAAAKSSTPT EKKESQPAPSRPAFSFGDIMADLNKQKESPPSEPAENMPPETEEERTKRLRKEARRKL RVTWKPDDSLTEVRLFTHDPDEELGPGDHAQREAGDVKGEGSVLKLHRDLDEFDEEED GGVREESFRDYYEPSEIDFADITSEDRSRNNIKRAGTQQPTSAEKEAQEHREATTLMV FYTSAADVPPSPKEPPPPSEDEPATEAVALGELPDHVKNAPQQQQSTPPPPPPPPVTQ APMSDLERTISMFRQQQQPQQPQQPQPQAQQFQMPQFPTAPQAPTAQGLDFQKILAVL NAQKQMQQPPPVVAPVQPSQPAIAPNLAAFISQFAGANQQSGPSPAQIPGQFDDLERK RMRDGPGFDGSEDDRYSYFKRNKPNGPNKSHPKVGLVPCRYWPEGKCRKGNDCTFRHD PLN AFLA_060770 MEGLQSPEFLNTYGTSPSSSPFLSTTHRLTKEQNKPAFLFIAMA ILVTIYMICATRTNLVYVLIFAALILVFVCLAAAYWRLADGDTVVGNRLIMGAGAALL VASLLGFYLLVVQLFEALGFPVNLPVGDLSRFWSRVEGRDSERDVEMVGDVQAKS AFLA_060780 MEPPTYWIPVIVVVVSARITQAAAHSFSKGKGRTITLRNPLAFK MHSTNIFNFFMLAVAAASAATISKAGDSKALQKVAEGKCDIGNTACCNNVHEEKDERL FNLVKQGLIDILAGNEDYACAKSGVIDEWNLFSLVKQTNDGPVCKNVTACCSSGKCVA IDGSAEKKKESDKEDDKEDDKEDDREDDKEGDNEGDNEGDDKEGDNEDDNEDDNQKDK RGDHDDYHHKGHKVDKDDKENKHEKDEEDHGRY AFLA_060790 MISNIALQSNWMSYGRLHIVYYVQSASDRVDLADYPTAQIQSVH RMKNVSDYLTLMS AFLA_060800 MHQFKVYTRWRPLTPSESTTPEIQRAHSQQDNGRVSISLTPSSR SATERPWKSEAAFTRVFEATDNNKSVFEEAVVPTLPHVLSGRSCNFFAYGHSGSGKSH TIIGYDFENPDEFGLCLAAARQLSETLAGLNQDTENPAEELAIGIRMFELRKNIAFDL LNGRCQCYVREGPDGKTHIRGETEVLEEGKVRVRPIVTKACWRFEDLRQELLEGLKLR ATGTSTVHDQSSRTHAVLELEIVTRALLDARDAVVQRQSELVPVGKRATDIYIEENTK GYIQNADGKYVPNPDYQIDQARIDAAEAKKAEFESYVQQAEDKVSGILKSSRHSCLGG KLVFVDLAGSEYYHDKITSTVPRPKQTPQEQQEGRQINTDLLALKEVIRARASKQAHV PFRSSPLTMVLRDHFLGTNTTDSYSAMILTVSPSSEQFAATMNTLKYGNLVGVAGGEK KRVTRSRVNQ AFLA_060810 MSKETAATAALYSTSTGVLAEAHGIPHADRARLALMLQERYGGE LPPREMDFKKSLRSLLTPEEIWWTRYLGKLGLVISRVYPTGVIDPSRPRAMPRARWAN DLGKKKNKQGIELKVSLQKVGFDPTRLKQELEADLKKIRKVGKRKHWIGGRDGWGMKV EVLLVEEDLL AFLA_060820 MKFRRTASTLPIIARHRNLRVVIVKKNSIAMKRVLRLEDIVVHG HSVTEGVVKFPPGIGTMGLMPHP AFLA_060830 MPPGCSLQADNNFGPAIDPGCRDGFDFTLLFEQAILGLVPAVVF LLVCPLRLQILVKRDVRTQPHIMRLAKLITALVFAAIQLALLISWAKNTRPNTKLSVA SSAINLAVAMEIVVLSWVEDERSVRPSSLLAIYLLFTLLFDVVQTRTLWLSPGNLLVP SLFTASVAAKTVMVLFESLGKQKYLTGPYQGLPPESTSGIVNRSFMWWLNRLFFRGFR SLLTTEDLDHLDKPLKSAATAPKALRAWALRRRPERRFEFPWQMIQAFKGPLALTILP RLFLIGFTFSQPFLITSILNWLDNSHSASNHGYGLIGATLLIYLGMALSTLIYDQLLC EFVTMFRGAASSMIYNHALHIPDGTLVDRSATITLMTTDVDRIINCLITLNESWARTF EVGIGIALLALRLGWVCLIPLVVVLSKNSNLTTYAISR AFLA_060840 MAKYRWSVVWKNMIQNLPWALAPALTFVVYAAQGNELDVTKAFS SLSIITLLTNPASKLLSAIPSITAATGCFDRIQAFLLLLTGPQYIGEGFVRTRETEVD ASPHIGGVKYMTSKGSPETPDLPKPVIFMEKLSIRPSSSAKIVLRDVNLEVPLGALVM IRGPVGSGKSTLLRAILGQAVCGTGSMAVIIQQPAFCAQTPWVPSGTIRDAICGTFSE SPIREGAFDQKWYAAVLHACALNLDLDLLRDGDATRIGHGSGHVLSGGQMHRIALARA VYSRRKLLLLDDIFSALDRKTKTTIIARLLGVDGLLRKVKSTIVLVTHESKTPSKISL SPLTG AFLA_060850 MISEANEVDDLRRAAGDSAVYMYYWHYLGWTKAAVFVSFVTMNV FSSTYSQIWLERWAARSGAQKALYVTVAILILISPSTARRLHYVVLKTVLIQDMTLIE SDLPIGILIMVSNLFSSIASAALIATGSKYMAISVPFLIIFVFLLQHFYLKTSRQLRL LDLESRSPLYSHLLDTVEGLATIQAFGWEVDFGKANSTLLDVTQRTYYMLNCIQRWLT LVLDLVVAAEAVIVVSLAVSLRHTTSVGLLGVSLNSILAFNGSLSSLISGWTQLEISL GSILRVREFERAVPRELSTEQEIPVDWPCQGAIEISAMTAQYSSETTVLSDVSLKCLP GQKIGICGRTGSGKSSLLSTLLGMLTVTRGSIVIDNIDLATLPQDIVRERLVTISQTP LIMVGCTVRFNVDPTERLPDTDIITALIRVGIWDGVLLERGGLDAEINDTLSLSRGEQ QLLQFARAMLKIQARKSRILLIDEGTSSVDVETDARVQDLLRQDPFRSCTVLTVAHRV HSLLSYDLIVGLYRGRVVEIDEPMVLRNREDSIFRNLLNSGGY AFLA_060860 MPGDSPGLDLSAESSTRSVWFRQLFEKMANQSTLRLREVSPEDI PKISEVWFRAFSTTPHNLELFPDTPAVHAWWNEANYHDLVNKPYQKYLKVVDAAHPGD ILAYGKWDLQPDRCGERYPPWHPESNAELCDQFFGGIEKQRRRLMQGREHYCTTPSPR LTYVGFNHVIDLDMLATNPDHQRRGAASLLVQWGCDQADRNGVAIYIASSKQGVGLYR KFGFELLGGLDDTPEGANPMVREPRRLN AFLA_060870 MASVSHGFGRPLQEMPASEARSARKALYAADLLFLAGHSAAKVS VCLLLRRLGRVTKYLNGCNIALIVIGLWTVASIIGIAISGQPSNHWNLSRSVIDRNTG WKVLTTVDIMIEAFLVGLSILLVWDIQMPRKRKVAVICAFSTRTA AFLA_060880 MRTEKAVGSVAYATAPEDNPSDKHGTVQDMMDMWRVGRDQELNV SDCRYILRVAYTEMINGLQRNFRFLSVLGFSAVLMCTWEAVLFGSSNGLTNGGKGGMI YTYLGGLIGFSFVILSMAEMASMAPTSGGQYHWVSEFAPRSCQKPLSYITGWVCVLGW HTGIAGCSYTVANMLVGVIAINYPDSYTYEPWHVTLLVIAVAVVALMFNTFLAQKLPL IEGVILIVHCFGFFGILIPLWVLSPSVAPSEVFGSIEDRGDWGSNGLSCLVGLVGPIY ALIDKWSNQQLYRIKAPTQLSTCTLSSQNTAEEIQDASRVLPQGMIWTLILNGATGFV MIVTYAFCVGNIDEVLESQTGFPFIQVFLNSTGSVKAATGMTVVIMVMQFCAAISNVA TTSRQVYAFARDQGLPFSGILCQISPTFTVPLNALFLSLIIVSLLSLINIGSSVAFNA IMSLGTAALLSSYIISISCVRLRRWRDQPLPPARWSMGKFTPVIDSISILVLMVIFVF SFFPVTRHVTAQSMNWSIVIFSGVTLFAMAYYHAYARKVYRGPVERVRLVE AFLA_060890 MSDQYLSSTPRDQDSEAREAILAQHLNPQFTASLQDETFAPSET THEGAEDSPEPQSSLLLQGGDIHRDLYRIEAKSKQAKIDKRAASFSVPPREAEDAIEE GVAAMEPGSFRRHFVQQHKNGNFDSPGVTTSFLDFLDLYGNFAGEDLAETEEDSVVSE DRETRTLPWEQTPLIQRARRRSRVGRPGDASNMKTFFTLLKAFVGTGIIFLPKAFRNG GILFSSITLVTVALITTVCFHLLLQCRRQYGGGYGEIGERIAGPRLRSLILSSITISQ IGFVCTCFIFTAENIQAFLKAMATNISTGSLILLQLLVLIPLAWIRNISKLGPAALLS DVFILLGLGYIYWYDVATLVTRPGADPTVELFNPHSFTLTIGSSIFTFEGIGLVLPIQ SSMRKPQHFDRLLYIVMAIITTLFTAVGALSYATFGNRTQTEIFSNFPQTDRLVNTIQ FLYSLAILVGAPIQLFPATRIMEGKLFGHKSGKGDTSIKWKKNIFRMVLVLCCAFISS VGAGDLDKFVSIIGSFACVPLVYIYPAYLHWKGVAELPWEKRGDIAMMVLGFVFMIYT TIATLAVWVQGSP AFLA_060900 MGRTVCLDGQPASNIESPIGLMLQESIFVSLILQQTYQYDLWLA GVSSIDRYTRIARIRKVKCGEEKPNCVRCTSTGRKCEYAGTSSGTFSSTPSTTSIQDY PLSSVPNTVWRERRAFAYYFQQAALSIGGGLDVYFWRTVVPQVCRSEPGVWDAIIALS DLFESPEEHSRSPTAKRRDALGWYSRSVSAIRQRIERGGIDIFVGLISCILFISIEAI QGNEQEALQLYGQGVHLIRMLRSQIACGVISATHASLLEETIVPIFIRLGVVALTIAH LPVSGLLLDNDPVPVQEFVTLKSAREAIARLSMEIQLFERTCIEHRHESRASYMHPDF VARQKVLSAKLRNWHTAFTVLIDGLRTKDARSDGTQPPFTFEINVGFPLWFTCLRCRE PKIRRMALALFRRGPQAQAFYNSAGAAVLGERVMLLEEALAIRANQGKTKPLKLDSTK TAIDSHAYSAGHSSDASSVDSSYPPVTQDTKLEAHSYPVPADRAATTPTTLFVPEEAR LGPIGIFRSQDGFPPGTKEEEVEKWNKRRDQRFLRFSRNEHDQASDTWHVVHEYVPID V AFLA_060910 MSTGPPQGKINDSGFTVLQDAVHNPEVDIIFVHGLQGHPKKTWS AIKKADQDTPASKETKGGIRTWFSRLVRRRSGAKEAQADCKKNPRAYPKDLLSQERSC ASARIMTCGYDSDIIKLVNTANFSTISGQAETLLNAVARVRQECQRRPLIFITHSLGR LMIRAVRNIHTALNSSRRKRARDLRAVAESVFAVIFFGTPHRGSGMAGFGEMVAHATS VLTMKPYNKNIVRALAENSEILTNIPSDYLNTEEDMAKLNRFESSTFQEGKGMTGVPG SQGKMDMCKFYGADDPEYEKVLGEIKRHLSRVRGQIDEQSYNVLMSLEEHGVSSNRRM ELRQLLIKLLPLARSVIPLARRKMTKRFPANTVPCDQNQDIEVYEWSRRDVERV AFLA_060920 MGTQRNHRWAIVSLCGHRTSLSKSAVFEVCDRGVACSDKCGERD SPRGRTSSKPSTKWMDAGLRLAFRIPKPTVEEVSWWEVMSCSWCEEGARS AFLA_060930 MGINCQTPISNEEDPLYRGTTCLQMQHVGQAYHNYIAYLNGWSS MITNGQHASAVLEERPPPSGSIHDNTTVTGSWIDRSNMTELSLHYNRMVLNVTAAMPH AGVLAAAKDPVNGLKQPQNSSEGQFDMMVAAPCPAVNVLCVGMNASELKPLIYDMWPG KKFDVEKWSEQEKEVPKWPNSWLNRTVVDDIFGWGPKYGWRPPIFGMLPFENQTILNH SAPFGNTMYVLGKPPNATKIDYALCSMKAKKTPRCSVRYTASASGARFSTDCEKSDNP WQFDRFFPDAVDGNWSLDWKNIASEWGNSLSLNAGVNDGRASNARLLMQLTPSGYSLE PDLPSLAEALAVMAGNTLIMGTENATFLPYWNHSDASLSEPETQYFNATVSVVDYQSS GTEKWQNVFFPVLFLTFVTSVLCLGYLLSEKGRQLTDFTEPQNLFALAINSPATSRLE GACGAGPQGPQFKEKWFVGMEEDDEHYYIRTKAEEHTPLISAAKVSTESERPKSVSPA LEEYRRLSTGQSVLGRLY AFLA_060940 MWDTSLLNGKNMSAAQKDLETIANASFIVYDSAFYNLLGIAGPF EQKQVEEIFTFPDPPPYAQRQIHDGSVYVPEENALFVAELFSPKEGHSMSAIPYVWRV DITDPASPNTTKVYPNPPLTIANGAYLFNGSVYWAQEGNFTTPSSIVRMDPKTLKTEV VKNNFYGHRFNSLNDLVISDEGVAFFTDGYYGWDNFNDTLFPELANGIYRWDMRTGNI KMVAGAAEGAFFNPNGLAFSQDQTKLFITNRGNSSSDPHGGHTIYVHDVTSSGLSNRN VFSYSDAGFPDGIKTDKDNRVYGAVTGSVDVYDSRGTLLGRIKIADGDVAVNMAWVEN WLYIFSRSKIYRVELMTSGR AFLA_060950 MCHTLHESQCLQYFETNVKPTWRLVSFSGQEHQDHAHSGTKKSS SRHNAFVSSSPLHVLSGDAAGIANCPTLFHMVEVSSTRDVFVHECRRNK AFLA_060960 MALGGPYFSRFLLNVVFAHACRHMPEDDPRFSQFERGETFLREA MLLLIDEMQQSKPQIPTIQGLLILGGRQCAVGKSSEGWLYTGMASLLKEFEPDDLEVR KRLYLSVYAWDKVRLMVGPLERSISLCLGRPPSLKDTPYLPSTLFDLSDEGELWQPPH LLETDQLYPETKCHSTLTFVHFCNLAQIINESYKTVYIHPSRNINPDDIFRLEEKLVS FHKNLPSSLRFEEGANVQFCVPPHILCLNILCHTVLILLYRPFFIWCWDAELQRHPLA LRAQIVCTEQAAGVNDLFRAYGQLFNFQYQSYLVSYCVYTAATIDVRLARHEDKAIAE MATGRLAITLRMLETEVKQTPGIRRSIEIIRSQIGAPLASNLQRQARRSSEVPDRGPS NRGKATGIPLQSVIDTLPQMVSPPPTMSNTSIYGSSTECVATGPSQLPLLEMSGIVVN PNLSLESGWPDWYMNDFGGGFVPDVA AFLA_060970 MESASRSKGRRYGFACLVCRRRKVKCDGRWPTCVNCARLKETCS YKEKTAYTVRLTEALRSEQARVQELQNNIRELAAMDNARRDLRLADLVSQLDLNRPEP VELAQSTDVRPSSTDREAQDRYPADDISNDVDTQFSIDTEGRGMTIGTIPRRKSMSQR RKKWKTTTVDGFARMLVSRARGKEWHMQI AFLA_060980 MLSYIDRGNVGNAYTAGMGKEWGITSNQYSWIITAYYLAYIAFH WFILLWKLVSLPLWVALMAFGWGVASILQAATVNLAGMIVLRCLIGASEAGFAPGVAL FLSFFYHRSEMGFRYGLFISFSPIANCFASALAYGIVHAKASVTQWQLLFIIEGIPTI IIAPLAFFFLPKGPGECRFLTQRENEIVHLRALSARGHEEKGKLNMKQVFAAFYDYKN YFQAVIIFCLNVGFPFAALPAFLTTIIEDIGYSSIKAQGLSAPPYLASYFICLASSFL SDRVRNRSYLLSALSTIGAIGYLVQALVKTSSVRYFAVYLICGGVFPAVALTFTWVTD NQGSASKRGAGLVIFGMVGQTGSIAGSRFFPKEEGPFYTKGMAISAGLLFFAAIVAQV LRALMSRENKQRDRIHGPVHSSDMSNDVLNAGDEHPSYRFML AFLA_060990 MPTMMRWLMSTSSISTRKVRVTGMGYGISRTPNPNNSTMELSRT RSRLVIRSVSKAIPLEELLEVAREQQVTFRRGDILVIRTGWTAAYSRLSDGEKKRLGG RDDRASCGVEATEAAIRWHWEQEFSAVASDTVAYEAWPSPKPWGVCMHEVFLSGWGMP IGECWDLEGLSETCRELGRWTFMLTSQPLNLPGGVASPPNATAIF AFLA_061000 MSFTKLLLSTRWFSKKLSPVEPLQTSAGSDIVSASVISRPRFDE LPLKQGHPKGSAWGLWGDHDERGTLNLITEDIVRAASAELIQGRVVNLK AFLA_061010 MKFFTSLQLGLTSPVMSSEFNILTPNAMLGYGYRAEHFWYGIEK FTPKAIIVDSGSTDGGPYKLGLNKMTCGRDSYIRDLTPILQACFHKKIQVLIGSVGGD GSDKHVQEMFEIVQEISAKQGFSFNVATISAGFHRDLLRQRIVSKKVGPCGPVEELTV ESADRAIDVVAQIGAEPYVDMDRLRAVT AFLA_061020 MYNGVRPGVAWHMGKIMECGGICALPKGRSMIATMREDSFDLTP LSPRERCTPLSVAAHTLYEKTRPDRLPGPGGVLILDNASYEQLTERTVRVSGAVFEPT PIYQVKLEGVEKLGYRTIFIGGIRDPILIGQIDTFLADVRAYTQGLFPELDKSPECQL LFHFYGRNGTMGPIEPTPVAGHDLGILGEVVAPSQELSYTIANNARASILHMPYKGQV ATTGNFASPLSPHETAAGPVFRFNIYHLVDLEAGEEIKLFPITTKTIANNPPSSDDGA PVGLSDSERQRLRSETLEPLSLKPIPRGECRMMDIAKVIRSKNSGPFEMTFDIMFDTV EAYERVKNSNVLTNERIVSLYHLQPSDILVNMFFEPALAWKCTIRRPWEQGTVGERDT LGTQQHGPLLTIAIPAAPSSAVVTNAIGKPHVSYTPPERSHFSAKDSVDYLWTKLGLP ATSLEKLQLPGQGLGLPSSFKIAHIAQASIGLSALLAAQVYAYRTNSALPTVTVPLQH AAIEFKSERLYTLAGKPAPSPWGPIGGLHKTSDGYVRVHDSFPNHRNGALALVGCEPN ATRAELGSKIEKWRSVDLEAAAFDSNLVISALRSYSQWDVLPQARMITDFPITLRKLC DGPVGLPSTMQSPPDKALRGLRVLEVSRVIAAPLSGRTLSAHGADVLWVTSPNLPDLP TMDRDFGRGKRTIQLDLDTPTDQNTFSQLLEGAHVFVQGFRPGSLSHRGYSPSALSKR FQHRNIICANMSAYGPDGPWSDKRGFDSLIQTCAGMNVSEAEHFGAGEAARPTPCQAL DHAGGYFLAAGITAALYKQATEGGSWQVDVSLAGVMKYLRSLGQYDGKSGFETQDFTC TKDVPEQYLETRDTGFGVMTAIRHSASIEGVDVGWDIMPNPLGSDEKKWL AFLA_061030 MTNMVSWKQTFIKVLVLGSAFKGGSASPLSLSNILQTSEAVSYQ LGDTTYLANAKEPRDALTIINPKVDNHYATGTIITLTVIPANETIVTAHHLNATISSY LANDDVFSTEFLGAVYLTSSAGNTSVTADALEYLSSAGAETIYLDSIVFKSQGRRAIS IHHKSAKTLAPGPYTAVMSKDKVSLLDTYRLYPDMYRDFVTGMYPSNDGSGSFVPLQS MSSRLWAPLVPVPSRIHSWGDPRPLAGKRVAVKDIFDIKGVQTSAGSQAWVQITPVAN RTAPAIQRLVDLGAILVGKQKLAQFASGANAWDWTDGQAPFNPRGDGYLTCAASSSGG ACSIAAYDWLDAAIGSDTGVSIRRPAAVTGTFGNRPSQGMITLQGMLAQNWAEDTAGV LCRNPAEWARFAKAWYTPELHQPASITGLSPLSVPDTMAFPTQILYPEEQFPLVNPAA QKILEPFLSTIAKELNMSIKYTNLSATLIEAPIFSDNNDTMDRLLTATAALTYWSSHV AVADPLMTEWARRYEGRFPPVDPLWRKEWSQFNASVINQAAYDQALQDKRKGVDWFER NVLPETPQSCSESLLICDIGTGGLPSFREKALNEGPNATFLGRMPDWAAIPCSMICPI FGYELIFCCSCADFTIPLGQVPYHSPVTNVTEQFPVSINMIVRRGCDFVLFNMVEKLA RAGIIQAVKTGKQAF AFLA_061040 MLILALGAVKFAGVGHHIPWLMVKDPASLRIWAKYLLALSFLYL GSVNLPKFSILLLYHRLFPTKKMGAIIKLMMVVLCVITISTIVGASLVCRPFSANWDG PIPGNCGNKKVLYIWASFPNIVTDVILLLLPMPVLWSLNVSPRLKVGLTITFAVGSIG LVTSVMRFQIFFRNNAFLDGTWVAVELIIWTQVETGVYLISACLPTYRPLIEHGFNPK MLSKMYRWLVALTVCATQLVQATPIQTRESDYFLPNSTGFRMQHGFETILVQPFGFDG FRVRAWPFRPPTGHEISFIYDPPLEGFENGQAHGLTFDTAFNGNHTVAIRNGNTIVRT SGWGGNPGGYRLAFYRIEQDGSESLLTNEYAPLKSINPRYYSWNGPGSEFSAEFSFST DPDEQFYGTGTQQDHLVNKKGTVIDLINFNTHIPTPVFMSNKGYAFIWNMPAQGRMEF GQLRTKLTAESTTVVDYVIVATTPGDYDTLQKRLSALTGRAPTPPDFSLGYIQSKLRY ENQTELELLAQKFKDNNVPVGMFVIDYQSWRNQGDWGLDPALWPDVAAMAKKVKDLTG AEIMASLWPSVSDASDNYLELQANGYLSATRDGPGTTDSWNGSYIRNVDSTNPGARKF IWSTLKRNYYDKGIKNFWIDQADGGALGEAYENNGQSTYIQSVPFALPNVLYAAGTQQ SAGKYYPWAHQLAIEEGFRNVTDSKEGEACEHISLSRSGYIGSQRFCSMIWSGDTTSA WETLGLQVASGLSAAATGWGWWTMDAGGFQPDPTVPWSSNIDTPEYRELYVRWLQWAT FVPFMRTHGQRVCDNQDAYTCNNEPWSYGEKNTPIILSYIHLRYQLASYLRALFDQFH KTGRMIMRPLYMDFEKTDPKVSQWTQANNNVTTQQYMFGPRLLVSPITTPNVTEWSVY LPQTGQNGTKPWTYWWTNQTYAGGQTVTVPAPVEHIPVFHLGKREDILSGNVF AFLA_061050 MVSVIFLLSLLGAVFANSVLSRDTPSGSITSPVHSSAPITLSVP SSETTCYTSSQWLVISNTSVFWPTSTDYIYGPTTGPGASDVICAAQWMQYDERSRGLS SLGPTKTTTVTLYTPTSTGACRTSIHPEQYSDTHTGPVTTLCDGVARALGPRETATEY YPGTGPCSTFSESRIATTTVNREPSASPTCRAELEGCIGVWQTYSSLSSEYAASITSP IPGDTRSPLRPAHCPNTAREYPEENPCSECHFIPGTATMFYWPVTTANGDLCAQNGTT IPATQTGDGPNTAILDTYTLVSPSVYISFTSINAWSNRRHGHQCGERYSNQLISIHPT AVTSLREHRNARYPYEGTPYPFNFAEFLPQTIGNFTQSLIPWPQYRGGSQCPLYDPSC TMVRDDYMPFIEVPREAAEIDSHWERCSRRWLVPAVTMVPIVDGTAVAPTPTGEMEVM AIEADAVPEGMVEAPTPMPTAELGW AFLA_061060 MYAARNNFAFDTIYWKKIDQRFFGPTTHEDNDICDIWRKRLYLL KLEEKSIMEEYVNLKLKDRNTWRLVWDPDEYTMGWVKRLKIKKEEKEEKRLQDRQV AFLA_061070 MATKPFQHLLHDKKHVIHAPEETSKVKVENCIGFVKVPVGIAGP LLVDGPNATNEQVYATLATTEATLVASCARGCKAFNACGGLQFDILGEGMSRAPVFKF PTPRHAIKFAKKVPELQSQFTTVAESTSRHLRLQKMVPHIVGSSVHLIISYYCGDASG QNMVTIATQKACQMLVSSQWVSEYGIVGFQLDGNMSSDKKPSWGNVKMTRGIEVMAWG TLTNSACEKILGTSSYNLYSTLLTGKEGGIRNGQFGCNANTSNIIAAIFIATGQDAAC VAEGCWSHLVPEYDFDTKDLKISLYLPSLPVGVVGGGTAYETQNECLQIMKCLGPGMK GRFAGLIASFALALDVSTCSAVANHTFSQSHQRLARTSQQRTPKSNL AFLA_061080 MGKMPPPSGSHSLPILEQNFRWDPILGRPSGCSTWWSIPLLTCF PGGALNSIDEPLTESLGSQKLMSLATVISKYFALTDVVYIPYIEVADR AFLA_061090 MAEFNRSEVLGSVFDTTNRRLTVCLDMLTYGRWAWAPLALFGTS PILSPSAYDLVRGQAVAIKKLLNPFATTANAKQTYREIKLLKQLRHENLIGLCDVFIS PRTDVYLVTELLSTDLARLLEAGPLEPQFVQYFAYQILRGLKYLHSAGVVHRDIKPSN LVIDENCDLKICDFGLSRPQDHRMTGYVSTRYYRAPEVMLTWQRYGVEVDIWSAGCVI AEMFNGKPLFPGQDPINQFYLILDVLGNPSDKFISRICTTNTVEIIRSLERREPRPLQ SVIQNLDDSARSLLERMLTLDPQERISAEEALQHPYMKMYHDPTDEPIAEERFDWMFN GGEFDKEMLKEMMYVHQYLPLNVLLLTRKLVDSWRCSTFIKVRAWQCRRWVSKNPLSH NSRKPSHS AFLA_061100 MHWTQGTWLWISHNSARYQEDTGQRSSKQHHASEQQKPRPRMYV RSVQSSGSETQPSIKTTRQEYGINELRCQRCNGRRSPAYHRKNRADPVIYPPVGICSR EWTGCAVAKESGGLGHWAHPIHELPGS AFLA_061110 MRFLSGFVSVLSSVALLGYAYPTAIDVRDIPTTQLEDFKFWVQY AAATYCPNNYVAKDGEKLNCSVGNCPDVEAAGSTVKLSFSDDTITDTAGFVAVDNTNK AIVVAFRGSYSIRNWVTDATFPQTDPGLCDGCKAELGFWTAWKVVRDRIIKTLDELKP EHSDYKIVVVGHSLGAAIASLAAADLRTKNYDAILYAYAAPRVANKPLAEFITNQGNN YRFTHNDDPVPKLPLLTMGYVHISPEYYITAPDNTTVTDNQVTVLDGYVNFKGNTGTS GGLPDLLAFHSHVWYFIHADACKGPGLPLR AFLA_061120 MSHGLVEKASKETVSATSGGYLAPFPPRTVDLESQRRPSTLHDS VELERINTSRLQQQLTVGSNRSRIPREQWLPMGAGKDYPPPLPDAEEYVVEFEGSDDP MHPQNWPMRRRVLIGSLLTFCALITAYVSAIFATASEGVMKEFGFGKEVAALGTTLYV LGFSAGPTIWAPASELIGRRWPMLVGMFGFDIFTIACATAKDTQTIMLTRFFAGFCAA SVIALVPASLSDLFNNHHRGVAIAVYTMSVFTGPFTAPFIGGFTAESYLGWRWTFYIP AFVGFFSLILMALFAQETYAPVVLMQKAAILRRQTRNWGIHARQDEHEIDFRELVTKN LARPFLILFTEPIAFLLTLYMSFIYGLAYALLSAYPIVFQGTYGMTGGVSGLPFIGLI IGEIAGSSFVLSLQKSYSRKLEANSNVPVPEWRLPPCIVGGVAFAGGLFWFGWTGWNP SIHWMAPTAAGVLVGFGITSIFMQGFNYLLDSYLNFAASAFAANTMMRSMVGACFPLF TRQMFNNLGIQWAGTLLGCIAVVMIPIPVLFLVFGPRLRQRSRLAPAMGVKQA AFLA_061130 MAQMLDEYLMPISLENGCQEPQPCDGPKEALKMGSAETDLSFRK DMSNTGTTTGAHLAPPKRKRHAD AFLA_061140 MDSGFIRDNIPAIASIAVLVYVLSVVYRSQRSHLSYLPGPWYTK FTDLPLRYKVVTGQRPRYVHALHDKYGPVVRIGPDEVDVSDISGAREIHRIGSGFLKS PWYSLLNRKDTQSIFTTTDPKFHNAHRRLLSSPMSELSLKSMEPLIDARVRLTIQKMQ EEMKTRGVADVYKWWFFMATDIIGEITFGESFRMLEKGKVGDSLLRPDAKNQYVKDIE MISVIGGIRASFPILVKLATLLPLPIFKEVNASGNRVFGYATESINRYKRLLAENPEN PKPTVFTKLYNAGEEGLPDNEIRDDAQSFIVAGSDTTANTLTYLVWAVCRDPQIKKKL VDELAEIRDDFTDEDLRSLPYLNQVINEALRLYPAVPSALPRSVPPKGTTMGGHWIPG GSTVSTQLYSLHRDPVAYPDPEKFEPSRWASPTKLMKDAFMPFGAGSRNCIGLHLAKI ELRLATGYFFRYFPNAKLSSKYDFNDNDMEQMLFFLMSPKGKRCLLEV AFLA_061150 MSPSIPKTMWAAQITEFNKPYTISTVNVPPLRPNELLVKIHAAG FCHSDIQVLRGELNSPLPLIPSHEPVGTVVQVGKESALNWKVGDRVGILNFKNACGNC AGCRGSRKRYGKLDPRFCDHRETGGFKNDGCFAAYMVADSATTILLPDSVSFEQGAPL LCAGATAWGAINKARPFLRTGDMIGVVGIGGLGQLGVQFAKALGYRTVAIDNRDASLQ LTDDMPPELRPDFIINSTHDYASEKILERTGGEGLAAVINCADSIAVNAWSLGLLRIG GVAVLLGLPPEQWRFDTHPIVFCELVLRGSYVASRGEVEEMMAIVDQHGVRSQLTVVT KDDILRVPEMYLSRSFRGRLVVKFD AFLA_061160 MGLEPQPFAPSITIIRLTSLTTNNHTTDTPLLLPSILNPYSCPH RCLGNFPTAPGS AFLA_061170 MAHYQNGQPPYGQSGNSAQPSRYDLYTSASSNSQHNPTLRRMPS YSIGDDAGLFAPSHSHNPRPTDASARYSEWGAGDSQGGYSNDGQEYAEHPRYAHLPSA TSSQIPRSRASSQSSYQYQYTSSIASPTQTAYNPQQYAVPSTPSQLNYNPLAYSSASS SSAPGYQPYNPAAYQTTTVAGYSSAGVQRHPSVGYAQAPPTPLSYAPPVPSLPPPPPP RGPDHPYGSRLSPQYSSSTSPGTPYGLAPTASSYNLASPSTPSATYVSSFTGMGSAQS RPYSSGSYGPSSPRRSESAAAASHEDQPPEPPAHASSGDDPYGKRLSLTRPGSGRSLP TPPIYPPQPPVSPQRTDTLTRHPQSRPLPGPPVDAEDDSAPLTNGGSLHGRPDGRAGY DDLLREVEAAVLDAGDRSSLRSLRLEAQNSNNGGDGLRLSPDEKHTHTNGNMATGTGQ YVNYDAYSDDSDAEAAAGLAMLQMADEEDRMRAERLQERERRETNASIISAYASQQQA EAGDEAVRYGGNLRGQSQYEETDVSADEYADAVDHGRLAPTSGSLRSSNMSAEDRGQY SDEYEYPPMTQDAEYPFELVPSYARVDAAGTGGLSEPSAYGRRMSFDYGDEGTLSPVR RSHQSGSDDSDRGEEPGDLFFHPGMRPLPPAPVEPANRADLIPHLMPAGTYRHQEQED WQSATQYTPSYYPVSADSYGPTVPSPSSQVPRSTSLSSHPIGPRTDPPIRSKTDADRA KYKQQHELHRQSGSPKVASPQAAAAMTLDLPTIPAGRRKKFNPTKLSTEQFRRCAEPW ALSAILEWIRELSEEETDLKEHTIVDAIVALFTHKVPTMNIADAETLAARVVQNMLAE EALIKEEEWVKFGNGSLSGVLFQITGTGCYSSRLHEQETEVFGRCYSHHCMRTLKKVN LRTQVMAQQKKAEDWVTFYKVPKELWETYPKKEIDRQNNLHEIVTTEDSFIGQLDILR ELYRDQLASMQPSIIPPKRANKFLKDVFGKVDLVKKVNEDHLLAQLKYRQKEQGPFIA GFSDIFRQWIRKAKAVYIDYAATFPHANYLVRSEAERNIQFRQFLEQAQSHKLSNRLS WDTYLKAPITRIQRYTLLLATVHKNMLKDSEEKANLAQAIEEIKLVALECDNKVGETS KKVSLMELSAKLQLRPEMKREVELNLEHLGREIVHQGILQRPGTRTRFLQETHAILFD HYLVLAKPVPKYKVFDVSKLPIPMDLLVVESTNEDPVVKSSVRGVATVTQPQAVATRA VDGNGANAAGAAAGKTIVPTTVLESSTDNKILYPFKIKHLGKNGTYTLYASSAQSRQD WCEKIIEAKTKHAAALFAQNAEPFRLRVLADTAFASSDYSPPPKSVIIEGTPLDRAIK DVEHRFGDLKRPAPVCRASVHSATVFQQPPGRMMCAIGTDNGVYISEYNDPRGWVRAI QIPRVTQIAVFEEFNVFLLIADKSLIAYHLDVVCPPSGVPTPTTSDSARRAPQKISGS REVGFFAAGHMKDRTLVMYKKRDGLSSTFKIMEPVLQKSSTSKSRFFSRRSQTEFFRD YDEFYIPAESYGINMFHSSLAISTQRGIEILTLDKKQTWSVPDFRSEAPEAQAQLTSI AARITNLRPLGMFRLSDSEFLVVYAECAVYVNKHGDVSRSVVMEFVGRAHTACLYGKF LILFNEDFVEVRNAMNGRLRQVIPGHGVVCLDDGSSMPGSGANSIPTTTGGTVNLSSG LSNGVALANNGRTVKICMQHPEYERNQIILELIENEGQKD AFLA_061180 MSEAQAFFLLSVLCDRLLPGYYSTTMYGTLLDQKVFESLVEKTM PVLWDHLTKSDVQLSVVSLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAIL RINGEELLDIQDDGSFISVLKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFS GITHQTITEQREKHKDAVLENIESFAKRTSIRNLGPDSKKLGMDDLGVIYDRFYEVLY EHQQRQRLLDEEKKRQQRKRVERTSILGPPVDREVGRVGLGPSPTHMDYDAFRDFLAA TAKWAVGDTPGSSRKESNVEQSANSFRGFGKSLAWNNKSEPADHEFMQRLFRKWSTEP SEGLSLQNVVNGLARLKGPRDIMNNINYFFDLYDDNGNGTVDREGILRMSEALLFLSR RGFDGAITPSEPLEEVGERDRFEQDKLSTDERFLGSVSSFIRRCFEYADPSNPEGKAT QERKDTDTEEATEKLDAFAIGDDDEEEDLIDIADEAKPEPSDSKKQNSDTQHNRGASE AANPALDPNNPLHITLPTFRMVILADELLEQFFDTFFPQSFRLSDQSLPAAKLTSLSS NLTTFSNINTPKPHTNAAAGATVAGASGGIVPPGKGLRGVLDNIVSDGIRMAAEVKKR MDEAQRELERNALSRPQEDDEEDDEEDYDPRSGTSAPPTIVGGISSWGAGAYGADPER RSVRDTDRDLLEGAEVVSIHKKEDTSLLDEKEEHQHAAEGSSSRQQGQSSSHSQNDGN VVSKVEFES AFLA_061190 MMQWTSFVQKAQSLIDPANFTLPTLTSTDRNPSKASLFRQQFRL PDSQNPLQEIAAELILPIPHTSSSTSSGDQPRNLDRAGNRYAGRLHLSERFICFTTQP TSFVPSATHSVSTHWAGQTNGTGPFGNGFTLPLCCVRRVERLNSLSHVFSLALTTWNG ALGKQQSPGFIPQRFTIQLVGSRQACERFCDGLKKGLRECMKEIESLRVVVNDCYSEY LLSGAKSKGQDGDNTEVRQPPDAGLGMIFRYPGDARKLRDRSKMRLWGEYFRENGRNA TLIRQPTFHKLIRVGLPNRLRGEIWELSSGSLYLRLRSPNLYTETLSKFSGRESLAID EIEKDLNRSLPEYAGFQSEEGIGRLRRVLTAYSWTNAEIGYCQAMNIVVAALLMYTTS SSPP AFLA_061200 MQLRSFLLGSAAVLGANAFLVVPEVEVEAIASEPEFAGLHPLES HSSQQQNVDLLCTECPFREVTENGKVSWTDGFQTALSLNFSIEDGTLLANGRQIFPPP PPTVITAVQRRLSDDQESEPIPLGYAVEMLPLPSPPEEPIDLVAVRFTVLDLDSHPVP LNTVAISLLHDPEGNLYMVKTDIEDNTPNRVSWRQCRGKPMCLKRLLFNRIRALFAAA KARMLGVMGPKPQCGRPRPPHPHHDGMPFPPQAEGHVGRPGHPHHHHMHHGPHGNWER TASRVVRFIVIPAALGVLAGLAASAVGMLVGQVVVFLWQRYRRSDREESLEQGTTSEK QGLMTESTDDLPPAYSDEEEPAEAAADHKN AFLA_061210 MQNATPAEEPSIASMRYKSSRLYMNAKGELVQIRDPATDVKQEI SPVMEQSSSQESDMERQNLQSTSSSRVGAKWWLVLLCFVFLWYMRPSGETDRQKLLET NQAPKDLRTRIQRNRDSEFAFMQMLAYEMTKRSNIDPMALG AFLA_061220 MSFHGGFSQWTWQQAVNYTQTTYLHHHQQQYSDNIDPQQTRRDG YNLQAKNQELKQTISVLLCEKHKYREDLKASEQAYQLAQHVSYIAQSNSETQKHYFHS AEVAYNKAQEQTRRYSNLLTDAWVKTRALEAQLRFRGYTTNHLNAMLEDKQAMIDEYW RRMGQRDNMCWAADTCAWRYNLVEEGEILGEILFRISRAADEMECLFRGYRKGLTDRM DVVSEGGDGGDAMWRAAPRAAAGNVDLASLERDLDALINGVWPACGLGSVRERNFSSI TTEVQASGAVNGTNAQMQEESESEYEPPETIHQPSTPGKMFASSTQPLQVTVVGEWMA RYVTRWVVLSKSDAGRNNWFHMYGTGTQDVFMFNGPQDPVGQPIDLHAFIQDVELTQA SQETFDCGMFCALPTQASLRTSLRQTQFLSPGQQPLRVSRAIWRTRTRNTKGNRTK AFLA_061230 MPLAKSEYLSDTWKDGLFTNKVVFCTGGAGTICSAQVRALVHLG ANACIVGRNVEKTEKAAQDIATARPGAKVIGIGAVDVRKFDSLKDAVDRCVKDLGGID YVIAGAAGNFLASINQLSVNAFKSVIDIDVLGSYNTLKATIPHLVESANKHKVDSKSL KPSPLGTGGRIIFVSATIHYRTMPFQTHVSVAKAGVDALSHSVAVEFGPLGVTSNIIA PGPIASTEGVDRLVPADAMEGYIKTQPLGRFGSVRDISDATVYLFADTGSYVSGQTLV VDGASWRMSAGGAASGSLAYPDFLLSGDAVPNVKGQKSKL AFLA_061240 MNHSQLSQGISDHFDLYYHTHRAFSFSIALSLPIMACPLTVSKF VGTVSLGLLTGLSYSASTVTIPSLSLLPTSANASRALHEVKRLNRKHGLRLTNVANGC LLFAYCASPKHRKHPYLIWMIATSITGTYVADYFFHRSMGFKAWIQSFVQDAGCASFS RKNAQKKEEDLVVVEAEENVNGESVQREMATERRFQRVRAVFSGLALAMGIVGLWGDR K AFLA_061250 MYILLLLPVLIVSYHVLHLIYITLTTKSLLSVPGPFIARLTKLW YFDRVRRGHFEEDNVRLHERYGPVVRIAPDHYSISDRAAVKLVYGTGTKFTKSAWYEG WKHPSPERWTLFPDRDVRRHAETRKRFSGLYSMSSLVHYEEFVDHCADIFSQRLTEYA QREGSLNLGHWFQCYAFDVIGEITFGKRFGFLDQGDDIEGTIAALQKTMVYSTLIGIY PEWHPRLFELLSHFSWSGAGGRTYIMRYVQEKIRRHSEPAKRDPEQGTLQTQDFLEKM ILARDKDPEKVTDYHLFMMGLSNVIAGSDTTAISLSSIMYHLLHYPAVLEKLRREVDD FTAQGRCSARVTFKESQEMPYFQA AFLA_061260 MYDQHTCFLTIRPCSLVSLSALQWVLCGIFMSASARFPEAAGDG WNIRPSLNKAQRLKGLGLLFPGWNKSNLSTYSKANALLPDNWLKLWDGH AFLA_061270 MSSNKALIFKQVPTGYPVPGKDLTIQPALYDKDIAPAENGIVVQ SLYASFDPYMRGRMRSADTKSYIPGFELDKPIDSLGIAKVIRSNNAAYKEGDIVIGQI PIQEVVSLDEGSIAKVRLLQNPLGIDLSVFLGALGMPGLTAFSSFYKIGKPKQGETIF VSAASGAVGQVVGQLAKHEGLKVIGSVGSDEKLDFILNELGFDGGFNYKKEKPADALA RLAPQGLDIYYENVGGEHLEAAIDALNQFGRIVACGMISEYNSAPYPITNLHKVVGKS LEMRGFIVMNPGFADAYMEEHQMKVQKWISEGTFKALTHETVGIENAAEGLVGIFYGR NKGKAVLKF AFLA_061280 MHSINIPSYSDPSGYILSELPEPEITDSKDVIIKVYAASVNPVD LKKAEGVLKAALKDTFPYKIGYDCAGIVTKTGQSVTRVKPGDEVYVRLPESHRGAWSE YAKCPEEFIALKPPSLSFESAAAIPLAATTAFQALQKYNGDLAGKTVFVPAGLGGTGL YACQLAKHVFHAGKVITTVSTSKIPKVDELLGKGTVDQIIDYTKSEPKDAIPHGSVDF LFDTVGLAMEYLCLMRPKTSRIISISTLPSGNLLQDSSVMRLPHRPTLPFVYKQALNL LDGVRKLRARRYGVEYSYMFLEPSGKDLDLLREYVEKGKLKPVVGTTANMWDIEDVRK ACQVVYSNRGGLGKVVIQVVKPENAQ AFLA_061290 MQAKWPGKLTLFEADLLKPGSFDEPAKGCSVVYHIASPFFIESR IRDGQKEVVEPALKGTQHVLDAVQKCETIKLVVVTSSVAAIFGDNADVQSMKDNTLSS EYFNTTSTVTHNSYSYSKVIAEKEAWKYYEAQPSPQRWRLVTINPGLVLGPSLSPTSE SGSLSLLDQLLRGELFLGVPDLWFATVDVREVATAHLRVAQNPDSHGRYILADKETHS FVEFARILRSLAHSSRIPEHKLPNSLVIA AFLA_061300 MATIINGQVFTPGLAIIDSPQPYTPLGGDSLQVAIDVSGNGQLT SSPSDATKFKDITLFLTSNELSKNFTISNGTEPKGNAYVGPVLEINPSTNVQQVNWNW PECLVGDEHSGSGSARGSYNISIHQSFKWDGKDYYTIFDLPVNITNAISKSDDRVDCK LLENDLLKPDEIAASNDTLPGQPWVDADASDGGNSSSSSGGGETNSGGRVRGTMKQWG LFAMVVGGLLLV AFLA_061310 MPEDKSSAATRRLDQVSAHLSPQRLPPDYADVRSQISTLRKIAA TPDINRRGYIRQKQAGKLWVRERLEELLDRDSFQEIGSLSGTVTWEKTGPMREKPVSF VPSNNVQGMGKLRGRRVLLTADDFSLRSGHADGATAGKTNYLEKLALALKLPVVKLVD GSSGGGSVTTITKAGWSYLPYVTMYKHVVDQLNQGIPNLGAVVGPAIGLGAARVVSCH FSVMAADIGSLFNAGPEVVKGATFEEGLDFQSLGGPLIHCTNGTIDNLAANEAECYEQ IRTVLGFLPNCGRETPPVIASNDPEDREDEALRRIIPRRQTRMYNPRTIITSVVDHDS WFEIGALWGRTAIGGLARLGGRPIGVISLNCEVNSGALDAAGSQKLTRLLKLCDVMNL PVLQFIDVPGYAIGTVAERTATMRWGVELAKAYYSTTMPIFNVITRRAYGVAGGIMLG ARDPVMQVAWPSGQWGSLPLEGGIEVAHRHELREAEKEGRKAELYQELEEEYRRLMNP VRTANAFGVEEIIDPKDTRKVCCAWARHVYKALIPERLADRAAGRIQPVFS AFLA_061320 MCAQSIQRLLVANRGEIAVRILQAARELSPSIETFALYTDDDRS HCDIGRPHHAVRIPSAAAYLDIPLLVNLSREHNIDSVHPGYGFLSESAEFASRMHDAG ITVIGPGPETLSCTGDKLKAKQLATQCKVPVLPAMPRSTSDLKEIRTFARQVGYPIMI KAVDGGGGRGIRLVRQEGELEHAVRAATNESPSQTVFVEKAAVDGFHHVEVQVVGDGI DVCHLWERDCSLQRRFQKVVEFAPSLMQNREVVEQVLNAALRMAKAIRYRSLGTVEFL VHNHRREFYFLEINPRLQVEHTITENITSVDLVQTQLKLAMGLSLAQVGLLKDRPVQA QGHSIQLRLCAEDPTRDFHLSIGKITEFIVPSGHGVRIDTHVDTSGSSPVTVGANFDN MLAKVIVTAPSWEATVSKARRVLTDIKISGVQTNLNLLRGVLSHDDILTGQVDTQWLE RHLGHVIQSGETIAQSVRHEASAQSFHSTMMPSISSTNVLFRPGDAWSITLEPLGTVQ QHHLQLTRVLQNDFPSSMAAEIKYTTPSSSMAYRLQLNSTSTTASALVSSSHRRGDVH NPRHIVLPLSGKLIEVLVATGDQVSENQVVAFVKQMKMELEVRSPRGGRVRWVYQMDE EEEDVAEGMLLVELEEEVRGKL AFLA_061330 MESLTLYMLTFNCARNPVDVDRFAHHFFDALPLTDSSSAPTPPD LIVLSLQEIAPIAYAFLGGSFLAPYFASLSQVVERAVSNRWETHYVNMVTDNSGMTGL MVYARSDVAERISSIDTTRVGFGFQQMGNKGAVGARLAYATQNTLDDTVDLTFIAAHL APMEYAVRQRNEDWRSMVERLVFDRATPRARENAETGDDSESTALLNSSTRSSGDDYR GIFIPTSYLFLAGDLNYRTSDASPRSEDCARFPQAEVDPADPRHFSHLLKEDQLTREM RQSRSFHGLSEAPITFPPTYKYTNAARQAAARGTGSEEWLWTSTRWPSWCDRVLYLET LPGLGEEARVRPLKYNSLPLFPTSDHRAVALSASVPVSSRPPADATQSAIPFRIDPEW ASKRDAARRKELVVGCLAYLGLTWEGNGLLVASVIGVLGAWLVLRSLFNA AFLA_061340 MDQSEDMNPRTQAIHLDGRTLEGGGQLVRIAVSLSALTGRPVII DHIRGNRSGKQGLKGSHLAAITYLARVSGSSVVGAELGSTSLSFYPRAVQMPRDRDHE RGGAIESHINIALRTAGSVFLVFQALYPYMLHAGANSPIPERQITLSIVGGTNVSFSP SYDYVAQVLVPNFARVGLPHLSVQLIKRGWGSGPFSLGKVTLIADPLTLCEDGNKAGS SPQFPPIDVAQYQRGTISQIEITILAPDDPFPRSTERGVHGKGRNRRPGGTQSRKHMS RAGGNSDGLPNATLTIRQFVEDEIFRSLCKGLGKLPSSVFTSGLSSSSAAVQHPHTES DDNDIPINIHTTEATHHYSHVYILIVAHTSTGFRVGHDALFGVNGDPGARQARHKSRN GPEEAAMVVKNLVEQCVDGFLEELYDPRLQQGSTPVDREEYPPCVDKYSRDQLAVFEA LGRSASQPSDDGGGGKEDERYWSLHMQTARWVCEEMLSNQAHDMKQLHQAPRSSTLEG NDNAKHPVI AFLA_061350 MSLSTSDHLRRSKSARSIRRSHQMSVSNEPFDPEIAKQHATVAA SLAMRRSTERSSTDSQRSYDRLGGPGSMAVPQRRNRPNGDTENSSTALTATLAVSRPL AVSDSEGNNNSQLSPAALPPIREFGGLDGRNSSLPSSYRRLRKSRSMFAGGQRYSRMS YGAPSRLHAMSQGRTRSLSSSIKRGIKKVLGLSKPLAENSQAQVPPVDQTQSQVLSTA SEDDDHSARNDRNSACVDRLPSSHRSQSVRSIHSSESLATSRSRVTSWADSTVANTIV TRKTGEQSHLSIIDEQSHSGPNISLLTPSNSPRQEFSSSSEPTIQEHSIDSQHLYAAL MRRIGQNNAQDTEEEIVLGKVREHRAIPTRASSLYPGSSRQTIRRAASNESFTTPRSY ATAPGGTVTPQKRSRMLGSQSAPEDDPRSINAAESPALDTPDSPSVYSRTTSGNSPTT ETYSNERRSSGSPDEPGVATIFESQRSAYRSPKRTPDLLESHTQPRPSADWQQWMQSQ MARFENLTPTKEHYKEDAQICDDAANMPRRLLSRELGAGNGTLASRQENSDYDRLFVS GEPMTAYKIGAGSNFSRPFSRSPSVRTIVTASREHGLDATPPFPIPTSVSPIGGGSSF TVSRIRARLDQQGSLPMQSRPMNRPWMPESPTPKREARELSQRLTLSRKYGGSSAKWS QAQDTGPVPFRLSRHRDSTRFTNENIRAGSRHVDGSERYPLSQGTYSPMSSKRMVELF LNSRRRQMGMEMSDDSAPDGAFL AFLA_061360 MRKGGRCWPQAETPRWCLKVAGSLDFGIDHTRMVLWHADTLHLL DYSSLNGVTGLDSGSTGTPIVQETSRRKDHAHYYTVVQNYCEQEHFPSPSPPMEQIIE DWEQAHLPTQQEVEALGCIANGKAVRLSPGSPDDQRNGHSSRLPNGLNFRRPSSSNAS TVGATSTLTSTTNLQPPSSAGRRLSAPSVHDTKPTPVMDTKPRLNSLASSPSSTLTVP TTHLSPQTPASASASSPAESYVSTRTDYFSRNQHPSDSAATSPGYSVLAAAKKKPPPP PPKRIGSNQGLFVTALYDFGGQSAGDLAFREGDRIRVLKKTDSTDDWWEGELRGVKGS FPANYVE AFLA_061370 MSTPLSSAPRATPGMSVPATQNTAPVIKFRCLYTHDMRRKAKRW QDGYLRYHTFNKRIMAYDITGNFIGDLHWRQGDAIQDGDELELDRGVLIQVCEPMEKT ETDISGLYSNKKSQGSPSRPGEPPMPSLHTSTPLRSSIGSQSSRSLNDLLGIKKTPIG RMVSPYEERHPPEQSKGHAQPSERAVKRQRLASENVPRAHGSSRPHPVTIDLSEEPPA DKPTAVATDTEPVVQPKKTPSLVKAASVTVSPSDKKPSGRAQPIITKTQNPVNNAPPP SKESTRIPVDTPVNTLRLSTERPRRKLMYSALLPGQTAAKVSLPSPFSEKTNVPVRET PQEIDLTSPDPVPTNADFIPSASTLAALDEMPSGSIHRPDRTAANHRAQDTLPKSGST GLRKSYSDPTTLTAATGARSRSLPFKSPLNRCMEEDEPREQGPWTSEALDLFDFWPPG RPKPN AFLA_061380 MAHAMQLGEVPPVFKIDLSLPPSKRYTHVARQYHNHLVSITGLF DQLITDAFPKSLLPWLKRLSRLFLRRLYTHEETEEIKGISRATGIELYLLVSFNVLLD LLMGCTSGAALTKLDNPTGEQSQPRLLHFRTLDWGMDELRKLLVCFEYVRGPDYDTVL ATNITYIGFVGVLTGVRRGLSVSLNFRPNHDTSSWLRNYRYYGSHLLVLFGLRRSISS MLRGYILPPDGSSPPPALDKIWPTVMRTPSTAAYLIFCDGVDVVVLEKDHRTAHVERQ SSFIVATNSDHAAPSVRENGHQGDHAGAALGTGVAVSVVDLIEDSEERRKFMQAHWDK KVRQARKATSIPECTSSTGRQDPLRRTRASQRWGGGSSHEFLPNGSSLPTPSSGKLVD FQVTATLKEVIKWTTTYPTTNEMTHFAAVMDPTEGKVVWIRRYLEPLVFYTRY AFLA_061390 MIRSTLMKMMKTWTPWTLHALWPTTSSADWATLSLTLIVRILMA PHLLWLDESSPNAVTVGFVTDSVVTMLFKSYLNYASGLENGTRKKLHLPLRRCVRRLG ITGSA AFLA_061400 MPMNYGPSFTGLEQLRENIANLYSDESSITISSDKILTTPGASL ANFIVLFALVGPGDHVIIQYPTYQQLYSLPASLGAEVSLWKAKENDNWNLDMEELKGL IKPNTKMIVLNNPQNPTGAIIPRSRLEEAIEIAKSHSITVFSDEVYRPSFHSIAPEDP DYPPSALSFGYENTVVTSSLSKAYALAGIRIGWIASHSKSILDLCINARSYALITASQ VDEQIASLALSPSCVHNLVKRNTTLAKNNLHIVQRFIDEFSSSCQWVKPVAGPIGFIR FTRSGHPVDDVEFCTRLLEKKGVLLVPGRKCFGDGKNFSGYVRLGFGGDTEVLKAAFD ALREFMLEDYESLPLAD AFLA_061410 MASINEPTTKMWLASLLEPSLLLLWAMSYHVKVNLEAVFKRGQI LAPLLQPGRLRGEAFGRFWVSFSSESPRHQVNMIIKLTSYAANLEDAVESQPPPTQTG VQRSSDLIPPVLAHASGLVLDVGPGTGTQMPLLRSSAIKAIYGAEPCHGLHAKLRSRA DAEGVGEKYHILPCSVAASELVPALQEQGLLPAGTTALDQTTGGAFDTILCVRVLCSV PDLEQSARDLYGLLKPGGKILVVEHVVNPWRTAKGSIVARVVQAVYGFLGWSWFIGDC RMNRDTEKALRGAAEADGGWESVEVEKWFGRTCMPYIAGVFVKRG AFLA_061420 MAIWGPPHLTRSPALFAGQATNSPSKVSVPSRKQRNQPSSRVHD IEFATEISTSLLAQVRQLQALLAEREEALKTVNLEKSRLELEAEGYTQRIRALDESEE RYKDENWALETQTRELMSAVKDAADRESRLNSSLGAVTTEKNTLERELEDLKQTNTKL IEEQTAAQKANDAEIHLLRRNLSSGDAERLALQKKVEELNSQNEELARAVAMRLRQQE AETVREVHRDDDSDEDGRGTPENSPPPSPNKFTPRHNQLETETLRSSLGHAHRMIQNL KSTIHREKTEKIELKRMLQEARDEIEQKRREAIAPSGPSNKRQKTKPDNSRKAARPNL LGAGRRGTTEIEDVHETDTDWEDHATDTSPSHKAALRSYRSRPELQSSDEPSDAYHTA TEADDAFETANEREAATESEAFQTGVESMADDSTDTDELTETEDRIQLTPRARVSSLT LAKARDRKSYQSTASTSGEEDELSDGMFPSPSRTSTPRHRLRNKRSVSRRIRPSGEAP MASGSRPSSSRESPATSFTQTLGDSEGQSLFAELAELDGEEDEEAEFGPPIEHDADST PRILSMPDSRRSSQATLNTRPKAVMVDSGVMTDPWEPSVPVVVNDENVSDVLVTPKKV TSDAETAAGTREQPELVHAATQGASPQTSSEVNGDQLSSVLATSKMISDVDAEREINV PAAVLPQLDISPISTQDTVPVAPKLPELSMSYVVGGSTEPVAGPISDPPEMALSAISL QYTEPISAKLPEPEPKYVPEVVVSSIFSEGTQPVAATLPEPVPEPSISVAEQATSTDL PQLACSAIFSEDTQPVMATLPEPVPVPVPMPEAPVSVTERDISTVVPALAVSTIFSEQ TVPVMATHSEPVPEPSVSVAERAINTEIPGLAFSTIFTEHTEPIAAMLPEPVPEPEST VAVGQASAEHEVPDLTVSFIPPESTEPIAPREREIPVPASPQLSVSTIRSVETLPVED TPATAIIPAALPSDENAPLMQTRGVMVDKPTSESLPIVVVEDEANDSSDYDTAGHQKD VPSPLKAISGNAVPRHARRRSSNQADQGAQTILSSKQIDQILMDRVTARPLSPPDSDK AKEQGNSPAATPRARPRPQHQSSAASLNWRPGSAASQASTVHSHPPLPSEHKEAIIAA EKKSLDQQPATPGLMAPPLAPASAFRAPRPRTPNDSAPQVGSVRSSTSRARVRRESQV SRRSSVSSFASEIEERFNTHSTPAGGPYGYGSGTDPRMIQAITQTMIGEFLWKYTRKT VSGEISNTRHRRYFWVHPYTRTLYWSEQDPQSAGKSERKTKSVPIEAVRVVADDNPYP PGLHCRSLEVVSPGRRIRFTATTSQRHETWFNALSYLLLRSENGEEENNDLKISMNST LGSGQDLGRARDHVVNLFIAEPPDPKLAETASGFCSLSTPHRDPRSRLSGSKHAVSLF RSKE AFLA_061430 MTARDTEKASFVTSHVGRTESGKQERHHREARSLYISTPLSDFL SFNSPEHIPILVAKGQLCALPLTRHSGTIYQRTATMTDETQVADEVPQFSFKKRSNKA KANFRKKPEAPPPASDSDFTSSDDEEGRRIKRRRKNAAVTASSTTSAPRRDEEQPVTA TPIPLPSSNDATKHSNWYDDELDAKNLLGNTRAQPTSNAPSASDGTYKGAANYQSFIQ KNPDSLAKQFGPIKAPTNIRTVTFMDYTPNVCKDYKQTGWCGFGDGCIYAHIRENVLQ GWELDKEWDKNTQGKKLDGKVVSQRGGDKPKDDDDEDEELLESIPFACIICKKSYQNP IVTKCGHYFCESCALQRYRKNPSCAACGAGTGGVFNTAKKLNQLLEKKRERARKRREQ AIADGEEVSSEEEEEAESA AFLA_061440 MIAYRPSTTPCVHHRGPRFLRTILSLQSTPAIRRCFTGWSCSEI PTDDFHVEVWKWKEHDICSDTIFTAAPSARDVYLYSSVNTAVLRGWASESGLCKLTKD GNDEKDCQEFAVEFIKTVSSRCKTLDPSNIKMIYPSDHPNQESNNLEIQRNRPKDDSI PSHGQ AFLA_061450 MDKGPEAEVKDYAPVGIDGVIKIGSATLYGQQAERNLYAQTDFL LPGEDVQMRNGNKASGSSFSTAYAAGLAALVLSCLRAHMGMNDPEPYTSDSKDPEERA RHLFQAKSSDGMKSIFEVLSQRPSNDKCAFITPSRIFGDKYSDSKEERLKAHKEYRRQ PVAAKSSD AFLA_061460 MRCGFRLALCACLLLIVPIYLLALHLEEICNQYRAGAYLIDWLN SKKPHHEGLSHYHAKLGDKVIVMARLEEEPAEWVEQELPDWQRAIYIVNPSNKTKADK HKLNTALNKGHESMAYLTYLIDHYDNLPSTIAFLHAHRAGFFMAWHVDAPLHDNVAAM RALQLDFVQQNGYVNLRCNWNPGCKADHRINRHVTEQVWEEVFEGTSTPPLNSTTSPA ITEDPSTENRQTQKFLQAPKLVGAACCAQFAVSREQVLKRPREDYIKFRQWVIDTEKD DASSGRVMEFLWHVIFGKESVYCPDEELCYCQVYGKC AFLA_061470 MADEDQYRGDDQIDEEEEETDESGYKTVKDAVLFAIEVSDSMLT PRPSSDSKKPAEESPTTAALKCAYYLMQQRIISNPRDMIGVLLYGTQASKFYDEDENS RGDLSYPHCYLFTDLDVPSAQEVKNLRALAQDGDESKDVLKASGERVSMANVLFCANQ IFTSKAPNFFSRRLFIVTDNDDPHGDNKSLRSASTVRAKDLYDLGVTIELFPISRPGH EFDTARFYDDIIYKASPSDPDAPAYLQTDSKASPATGDGISLLNTLLSNINSRSVPRR AQFSNIPLELGPNLKISVSGYLLFKRQAPARNSFIWLGGEQPQIVKGVTTQIADDTAR TIEKWEIKKAYKFGGDQVAFTPEEMKSLRNFGDPVIRIIGFKPLSALPFWANIKHPSF IYPSEEDFVGSTRVFSALHQTLLRDKKAALVWFIARKNASPVLGAMVAGEEKLDESGV QKFPPGMWIIPLPFADDVRQNPETTLHVAPEPLIDQMRYIVQQLQLPKASYDPFKYPN PSLQWHYRILQALALDEDLPEKPEDKTLPRYRQIDKRTGDYVLSWADELEKQYAKISA HGPKSTLVKRSAKDRTSEVEDAAQKPYKKVKVETDEQGVEDVVRAHYQKGSLSKLTVP VLKDFLNAHGRSAAGKKADLVERVEEYLEQK AFLA_061480 MALRRTFALPRQLLRPVARSSLGYNTEQRNGFATAVPPVTQDAT GSKGPTAMVFLNMGGPSTTNEVEDFLSRLFADGDLIPLGRLQTYLGPLIAKRRTPKIQ KQYADIGGGSPIRKWSEYQCQEMCKLLDKLNPESAPHKPYVAFRYAAPLTEEMYTQLL DDGFGRGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRNRLEGRRANESVDPSGSIQWS VIDRWPTHPGLVEAFAKNIEDQLKTYPEDKRNSVVLLFSAHSLPMSVVNRGLLSALIS PQLGHLSDRYGRTSMIAVSAIGTVLAEIITVIVAANAERVSIYLLLLGATFDGLGGSF TAIMALSTSYASDCTIPGKRSVAFGYLHGAIFTGVAAGPFLVAILMKKTHDIMSVFCS ALALHVLFFFVVLLVIPESLSKEQKQRAQEKHQVKLAHQEDVGWLSMTFWNPKKIIAP LAILFPPAGRPSTLFPNHGGASPALRRNIILLSVIDTLHLGMALGMSQIIIIYAGYMF GWGNVESSIFVSIISSVRVLNFFIVLPIITRIFREEPREDLVISGSGALDVVLIRVSI LLDMIGFAGFALANHGSLLILSGVVTSLGGMAAPVLQSSLTKHVPRDRIGQILGAKGL LHAIARVIAPSICSFIYSVTVGKFSQAVFVCLSLVFGIAFCCTLYIKAHGK AFLA_061490 MITKPAHGTSAVPSRNALRVLRRLALAGSTVGSFCTVAAITYDV HRRVRVAERIIENKRALQTSAPNYDATSAARRLARMMEVAEAGEFTSMDAFKEEDRKS RQAQTLHVEGEEGRRPDEFGSNVGIGSFENSAQVDAFLDAARLNMAGVMNTKQDIGKL PGCCDFLPPEYTRPTENPNRSSPAIGKTGAFANASESMDSTGILPKGPNSIPKQMQDL LDRGRPIDAAQLFLDAHPASLNGLSSDRRELAVQTFFVNCKQGNVFIARSIFERLEEV DKVSPRLWKVLMFALARKGCIESVATIYTRYMHKFQLSPDMVDVVLRCLLESHRLTTA KWFLFRHLQFDRDCGLCGAYLSGLWKKTRSIELLNGQLKKILTTLPRLGKDPSDKLFN PVVKAYVEFGRLADAEALVEDMTTTYELPLRCRTKGLLVYGKALACDWQGVEADLQEM HELNLTSRRRDFTPIFDRIFLEYWVSHSGHEIQDFVTRYVDKLNIVPDRILYKHILEA FVEKGDKHMITEFTRMARERGWKVHVNEQEFLEMLRFRRLALEGAPVGFWQMLQAARV KYGQAAASQQILGYDQRSFPIPEVNTMPFTQSPLPWYQRALQDVTPSKPVDQYQKLHK QMTHYMHVGKMTEALKCFQNAKNARFQFKQLHVELAAIATLLEHGLGEARMLVEAEWR GIRHLIRFFPQFFRQIMEVDSASEGELIKMAVLRFYQLCWSNKRMNVKHHITVATSRR LIVHNKPEVAIDLLAAIYMSRYTRTLPFDGVCMKMFLRAFAAMDNLPGIRWCILSGLA RGSALNHDLVVEVSRVMGVLNRKFNPDGLSKREASKRAEQLEYLGHIADMLEKKSGGD PLLWELKSNSNVKRSFRRMLKRPLDERRIYKVSDIPETIERWDEEYELEVLLGRIDIN PKSIAARWNERVALGQIRAEDLP AFLA_061500 MATAVPNGHANATQSADTAEERRRVHHDADVVIVGAGVLGCALA VTLGKQGRSVLLLEASMKEPDRIVGELLQPGGVQALERLGLAECLEGIDSIPSYGFYV SYFDKAVTMPYPKETPSSLPPRGRSFHHGRFIMKLREAALACPNVTVVETKATDLITC SHTKQVLGVECQTKGKKDCYFGHLTVVADGYASKFRKQYHTNTPTVKSRFWALELIDT KLPAPNHGHVLLSANPPILLYQIGTHETRILVDIPENLPSASVKNGGVKNHLWNVTLP SLPESVQPAFRAALEKGPLRSMPNSFLPAAQNKTPGLVILGDALNMRHPLTGGGMTVA FNDVLVFRDLLSPEKVPDFADTERVLKQLKSFHWKRKNGSSVINILAMALYALFSAND ENLRVLQRGCFHYFDMGMYSEPMGLLGGLIKKPFVLFYHFFTVAFISLWVLLREAPLY QLPWSLIRCVTVFWTACVVIFPYMLIEAFC AFLA_061510 MISDVHGNAKLASGPGGKSPIASEKASTMPWNLSTDTSSEAPTR PPLNSQQSNSLPSTPYQHARNLSFHSRSPSPPHGSTSPRSTHSESTHLPPSLRKPFSG CKYETAMAFFRRRIPYSLGADLLPEEREGLKERLEPEEEKRLTDNMLEVYDRLLPSAE SDDRRRQLVRKLERLFNEQWPGRDIKVHVFGSSGNKLCSSDSDVDICITTTYKELEQV CLLAEVLARHGMERVVCVSHAKVPIVKIWDPELQLACDMNVNNTLALDNTRMVRTYVE IDERVRPLAMIIKHWTKRRILCDAGLGGTLSSYTWICLIINFLQTRNPPILPSLQARP HEKKISPEGLVCSFDDDLGNLTGYGRKNKQSLGDLFFQFFKYYGHELDYEKYVVSVRE GKLISKEAKGWHLLQNNRLCVEEPFNTSRNLGNTADDTSFRGVHLELRRAFKAASQGD LELCCEQYEYPPEEERSWERPPPQPRPVLTAPPSSRGGRGGGRGGRNSHQYPRGGHNG SRRSSNTPHKSNNFRQASNGMSASELSLQAQQAQYLLHDHLYQQIQILQAQEQELRLQ LQNQALLTGRPPPVLIRQPFIQFPMPQQQESTGDDNSRSRSGTANHAPHGTQLRQHVY YGTPYLPVAITGLQGSSTTNPPSPSAPTAMPELRRNPRRSSVANGSPGGSLRAQSQPA RSVHSLPSFAPIYSMTQDPPQGSKQRNTPVSPDGAPNEEDNNFMPSSMPNVSRSPYLD ESRPTEYMGYYLATSPQLQTYHQNAMLSPLQTPVGLALPNGGIMPFVANPQEYLSTFG PQDAFASSPDNGSTQASKAGSTHQRATARPMASGGPLIVDGSVPLSEPRNTAPSAENY DPYGAMSHCTSTSDDHNTDTPASVSDSFSQDYQDTSSVETDQTPYFGRQGIDTRKPAD ALTNGHGGKPSLLSSRLQSLHLSNSEKMAEPPSKLGPEKHKAAFSHQETSEKDSSRLR HTTAEKVMSGPELQGASNGKRRTNGVDVPEKVNGNSHKSKPKNRSDTSHPTGGPGDKE RNGGHPRRTNGAGSTTHDSHGNHTSSGWQTTKKKQKKNARSNAESRHGFHGGAEPLPA DESLRKGG AFLA_061520 MSYYEPQGWQAPAARQVSWEQPVPPSRSGSSSVSQRDEVPAFSS QFDEVDRAIDNLVKSGKLWSAPRRDSMPMMMGRPFPEYDPRVSNTMSQRHHSISDFDG ARMHPNPNLQGFYASQRFQGRPNEVEHMMQAKRRMAAQRERELRNYHQEQQYNRSLLA EMSGNKSDRSLSPAAMSEESRRELLARQHRALYGNESPAFFPPGSFADDNPRSESQGG GTPTSATGVRGPSPRGVDPFGMAQTPVQGSTDNVAQAAASLQSPSRATSTSSPSSGIN PVFGKYDNAEQPMTSTSSPGRADSPSARQAHSKPTGGPIGSVGPIGSRPVQPAGQAQA ANPALNKRSTTPLPSPLGFGFTPGDAGATNDRSASSASNPSTSASAGTPGMKESTGGV ALGWGNGGGVWGSKNGLGVQASVWG AFLA_061530 MSDVKDQITVQASAATPHDNSSLAQFPKDDTSAGAGANAREVDS ASEEAPADLIDKKKKGFLAYFTTKEFYIILILGIFLTFYYRQILAITNTATSTFSTLL SNEGTSIPAFQTFFNYVLLNIMFTPYTMYRYGIKGWAQMVWKTGWKYIILAFCDVEGN YFIVLAYRYTTMLSAQLINFWAIAVVVIISFLFLRVRYHITQVLGILVCIGGMGVLIA SDHITGTNGGDVSSGNQLKGDLFALLGATFYGLANTGEEYFVSTAPVYEVLGQMAFWG MIINGAQAGIFDRASFRTATWNSQVGGYLAGYTLCLTFFYCMAPLLFRLSSAAFFNIS MLTMNFWGVIIGIKVFHYTIHFMYPIAFVLIIVGQLIYFLGRRVLGEARKPWLGKNQE RGVSGLFTAKRMIDTEAVAPNHNPNSTTHDSATANNDSLTSHTSPV AFLA_061540 MALEVQPPSDRKRVKVYELRDNDWFDRGTGFCTGQILDVSFIAW GIVQRDIGRRAQTLQVALFSNIHPGR AFLA_061550 MDAAEEEYFNTSDDEEEWQHETAANATMAPQMQNGSASPVVKPL VDYPDDDEDDDAMDTKPEGSEEQKQQQLVRQEGTPTPDAATESTADAPSTPGSSTVQT PPERLSEKRRREEEDDDELVKLSSGPKRRTSTSGSPGGAGMLRKKRSVSIGSLSATAE KGTTQSILGTVTGSTAPKRIAINLSSKPLSETDSIDPAASTSSSEKENRDENHGESG AFLA_061560 MSAMSSTGQPHISADSFFHTSSACDPSLSPITIYMISGNPGLIG YYHTFLSVLSDRLNTQSAQRTRKNHAFQIYGHSLGGFELTKTPGPKPRYYDLEEQICF VQNKLNDFLTSSSNASNGVPSPKPKVILIGHSVGSYIAMEILRRHRERSTSGTSPSVD FDIIGGVMLFPTVVDIARSPSGQKLTRMLFFIPQLAVVVGFLVRILTVLLPGSLLRSL IRFYMGSPRDNMVETTAAFLESGYGVQQALHMAADEMQTITSDKWSDDVWGMSDVKDP VTRLFFYFGRNDHWVAEQTRDEIIELRGRTESGPKMVVCEEGLPHAFVLKHSDVVAKK VADMVLDIVKD AFLA_061570 MTGRRPRRQNNNNNNHRHNQPQPSDYDSDYQNYFSDTQQQVISM PPPPVRSNEELNISVLRRHNPAITSILSLAPYAVIYIFSPTTRQWEKSGVEGSLFVCQ LSQGSLGEERYNAFVLNRRGLQNFDVPLTDGDNVEITEEYVILKVDDDSGLGVDNNNG MNGKSADLRIYGLWIYSEPPPNSTAETRSINAQVIRECAIHAGQSLKLARERLEATRQ NGLHAAAAAAASTADPVEEVQSSVAMGRQVSLRDLFGQQRAQDDGWSTTAHHAGPQGW PQPGMGVPMAQPQPQPQPQPQQDVLGDLFRRAGLAYQGGP AFLA_061580 MVSETEQRASQSPVGTGAGDSHSDGSSPDTSIDPSSLPQAVKAR KSEYTSQQTIRVKVGTWNVAAIPGTEEDIGKWFVQREGICEQLAGLRVSGLEEAPGKT DNDTPNETGSESDQVGLYVLGLQEIVDISSPAEALRPYVDPAPANRWKAAMQNALPSG YQLVAESQLVGLLLLIYASPSVAETVSSVSCTNVGTGLFGYMGNKGAAVTRLLLGDTT CFVFVNCHLAAGSDKNSLERRNWDASQILQRAKFDPIDTESALRDEPTESIGKEDFAF WFGDLNYRLEDIPGDDVRQVLARHTENEYDKTHNSTHVADEDDSEESSKPTDETSQAP PPVSDEDVDPHTDPASLQTTISSLLPHDQLRLQQSKQKAFHEGWREGSISFLPTYKYD VGSVAKFDSSEKQRGPSWCDRILYRTRRDMLRHEQLVKEAAEARKRDEEMKARGLDKA AADDNVLFDYDPDVDGADSADEYDPDKDDASDSASFNSQSDPDQSLRLDYYISHQGIL SSDHKPLAAGFTLTYESVDPQLKAKVHQEVVRELDKAENESRPGLTVVVDSHGHEPSK DKTKDPNALDFGDVPFDISVTRSLTVANTSGVPATFSFEKPEQAEGCHYHPSWLEYQI EPPHRDNPEDQVSTLPLQECTLLPGELTTIEVTACVKDIQLARLLNDGKLKLEEVLVL RVTNGRDHFIPVYGEWLPTCFGRSLEELTVMPEAGARTLPVTEIMRRQKDEVGIPLSA PRELFRLTESILELSERAIAEWSMIRGESEDSPPWVREPHGFGWPFEPESWTLTDKEE RSSLLASVREALDTNKPFNHVISPEVSSLHRLEILSETLLVFLRSLKDGIVTAPVWSD LDQQILAREKTKAPPLSWEESQAWVLESLAYSPAHSVSFTFVTFMLARIANEVAPVTS MPPRQSSEKPSDEQVNPNKQPTSPTATAAAAAVAAAGNIRRRTLTFTSNVPEPTANPL AIRRQAVETALAGIFSTVLISANVPVPAKDKERRAQEDRKRSIIEPFLKTIGVDNKGP SGGWS AFLA_061590 MRPSTSPFQNNQTSNPRAHSPGSMNLRHRGPARSATFAEGCSSN LKNERRNSTFSDSVSEARNSIRSSTDDLFFPRAAKGSYDAADAPNEESHWHSAPLGLA LLPAIAGVFFQNGSAVVTDVTLLVLAAIFLNWSVRLPWDWYRSAQAIRQDKFYDANEI PVDIEIDHSQDAKEDSVPAKKSITDTASAASRELQIHEILALASCFIFPLIGTWLLHA IRSKLSRPSEGLVSNYNLTIFLLASEIRPFAHLLRMVQARTLHLQRVVASSTEAPKDR IDASKIIDLAKRLEELEAHVAETAAARLASSQDQQSQPQAHDSLVSQSTAEVRKSVQP DIDALNRAVRRYEKRTALTSFQTDSRLEALEGQVRDAISLAAAAQRSSIRKPRSSVFV FLEWLYALAMLPAQVFMSLAVLPFHVARRCLRFFQGVLFSKPHPQPKPASGKMPQDRK ARSPKRPRRVPQQDQAETKGLKSIREYT AFLA_061600 MTVDQSSSEAKVECADGSIISGDLVVGADGTHSVSRGEILRWNR SLQAPQDLRKVAVSE AFLA_061610 MDLHNATFHDDKKPFYIYHGSTNSTRPSKKSTSNTIDTSSLNRV ISIDRTRKVALVEPNVPMDMLVSAILPHGFIPPVVMEYPGITVGCGFAGTSGESSSYR HGFFDRTISWIEIVVGNGEILHASPNENSDLFFGAACSFGTLGITTLLELQLIELPAS PVVELTYFPISGIDEAIRKIEELTPNPTYQYLDGIMFTKTKGCICAGLITSLVEEDQV QTFNRPTDPWFYMHAEDMVSSRSSHEKGTASKELIPLPDYLFRYDRGGFWVGKYAFEY FLFPQTKFMRWMLDGISHTRVMYHAVHKSGLFKEYTIQDVAVPYNGAKELINFLDDSF GKYPLWLCPVRTTTTHVSGLMAQQRDQPDPDRHDMMLSVGVWGPGPKGKKNFVDFNRK LEKVVHMVGGQKWLYARTYYTEEEFWSIYDRDTMDGLRQKYHTSYLPSLYQKVKIQPE EVVRQRPWTSKLAGDWIWNRWPISGVYGLMHTFWHKDYLLVDCKKLLN AFLA_061620 MSAPSTTPYAQAVIIPSFSILCMLLTIPPLILHWKNRNLPVVSL ICWLLCLNLFNIINAFIWPSDDMDNWWNGAGLCDVEVKVMIASYVAVPGNLLCIFRTL ASMIDTRRAMLVPSKQQRWWNIGIDMLFCVIVPVVAIATHIVYQASRYILVGISGCVN SFDESWVSLILAWIWPLVICLIAGYYCSLVVYRLHRYRNQFGDILQASNSNLNKSRFM RLFLLSFIVLLAILPVQTYVVYKNIELSLPWHAYSWRVAHGPHWNKIQKIPSGGDAFF DRWFPIASGFMLFILFGCGRDASRMYGSYLRLLRLDRCFVRTQDSSSDASRSNTSGFS NSRFGLLFHKAWTSTAETCAENPATANSIDRSSDDIEKGRASSPKPQRGQNMSWLKHP WSFLHRPLHRSSTSSGERILSRPNLSTPSNTVSANAWAGSSQSRGSSDLTYMPGREAF IRVKRDISQESELRK AFLA_061630 MSAFASSLRPMMRTATGGALSARSFSSSSSRSVARMIITGRLAA APELQATSSGQDVIRYTVATSTGARENRQTSWFKVASFDQGAQRDYVLGLQKGTLVYL EGSASLRDWEDSEGKKQTTLNIVQRNLEVLKRPHNSNETESA AFLA_061640 MIMGDHWVSPWVPSFHRLFTLTTSSSTSCYVHGCLLVLIHGMPL RFSPTQETTIFDLKEKLDKSDTMTLCNTEIGDRDTPLPPIRSWETLDKLSSF AFLA_061650 MRLLPLLALLAYLVPCLFVLAVRIPHNEGNKYGRIAIIEARATD QGTATTADATTATTASATSHATATANSTSASNTTTSATTATATLATPVPTGSE AFLA_061660 MANDSQLQISSRTHKRAASGPAVFPETKIAHAARPETQGQPVQG VPDAVNMYDAVPPTVDPGVQQREEVEVARPQWKGPPPLIAVREGMMRNRLSSISLSAN PWPSRFAQGAEVSPRQSTFPIPEEADDMPLSRRRTMLHQQMAVQSTVSQAPTRRSTPS PLNTPSSLAAWRESVRENVHDRRSRAAQKPPMTSQGTGERNPTSSRKLRGRASSVKVE NAIAEGMQRGDMTDLHREALRRMQAMANRNVNGK AFLA_061670 MSRFDRVVTLALPLSASRPPISIPPRLLINSVLLFFSLQSSPRR PFLSPCLLLLLVSHIFSTRRPCRKRRLSRLGILLLYPRPRLKSLPCPRVAIEARAVRG SPTSVHRHRPIIPDQRAPPDPYRDTRVSGLPPPSYGRPRFDSRTLSTTSRELRRSPSL IPSRAPKRISVSRRTATHTLSCRFPSNVATDSPHPRTV AFLA_061680 MPESAGNHGSPEAQSIRPPDRAHLSQDPVVDRSNPLDERPRHIQ SQASLRSQAQIASIPSHTGQHPGGENDVAEELAWGPAHPCYPHINPHVSVRSQEYHTT RIIRIRRDWMVRGDLAPTFSNLYPEILDPLLPEQEFRRIIATVNDKLVKAFDPFSLRN WIDGALALLTGWIWEDIGATGVKSQLKQIEDWVDNWNREVGAKDGVYIWSLRRTAYMS LDIQIPDPKVGIIPSERGPSLPGTRPSSGVV AFLA_061690 MLSGILIFNQKGENLIFRAFRNDCRPRLADIFRIQVISNPQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYRLVMLGKSYFGKFDEEAVKN NFVLVYELLDEILDFGYPQNTETDTLKMYITTEGVKSAIVNNPTDSSRITMQATGALS WRRSDIKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIVMRAYLSGTPECKFGLND RLLLDTDAAGGSESGQRGMTTKGTRAAAGSVTLEDCQFHQCVKLGRFDADRIISFVPP DGEFELMRYRATENVNLPFKVHPIVREVGTTKVEYSVAIKANYSSKLFATNVVIRIPT PLNTAKTTERTSQGRAKYEPEHNNIVWKIARFSGGSEYVLTAEATLTSMTHQKAWSRP PLSLSFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRCVLCQPSSLIS SHYHCVQY AFLA_061700 MLLPSALPCDMRRPATQARLDPSRQFTTPPPSDDDFPCSNGLLG TCRALQSLLNASPAPSPRCAKPERLQSPLHIRPTPSVRSRWQKDPKPSPKPSRGVNKR KRDRSEVIEDTSDTEIITRGMRFSTPKRTRHAPYELPLGLSQSDFYALHSPPISQSPP SPAHCRQLELSPEQSAQLFNPDAVLPSIEETQETLSTETWNADDDQRLVELVLQNFQL SQRDLEDCARRMGKDDAIVGRRWQALVGEGNVGLRSRRVTRPRWL AFLA_061710 MAFKKSTGQQLACKIVDLRALKNKVIREAEDQQSRHFKESTFPH SKNRLLVIRAFKESLQRKIQGRLDVYSREARILESLCHPNIIRIEKVIESSNTIYLFQ ELVTAGDLFSYIQYKGGKLDDIEAAVIVRQVLMALDYLHQRGIVHRDLKPDNILMTSL ADGCRVVLTDFGSHPTDLSRERNLEKLEADMDWNRIGQRARDFVRKLLVFDETKRIDV KQALNHNWFTNPAHRVEFEALYRRAIRGWQPRTHKGPLITDLGSLMRIPEQRSEAMQT LCSDGYSRDHSGQSYATEDMLCQIRDSPTPSGSQEYELRRGHSASMSTTLSDPELPPH CWVGYADTSPPRYPHKNQDRDFPCPDPMKFILQEQVPHMQAFSAGYGMT AFLA_061720 MRGLRSLASLTILGLVNAQDNIVFGPAFSLGPTKSWIREATTTL VLPEAPSPQEDRLALWPGMGTSGGDLIQALAVSFSDPASNCGASSGQWCTWASTLQGK GCE AFLA_061730 MHYKYNDSTGKYDQTVSINGEVVSSLSTSSGQAQGWGTAVEAQD NASKSTVAAHQYLDTTIVLDSADLTFRDTLGLTDADSSGLTTSDNGKTWKVTTINIHE HSF AFLA_061740 MATEASIAEGILKRPLYVYDLPQELLATLSTKSEDDAISTPDNA VNTPERSEDTVQEHAVATSTSCALCNVSFLNVQEQRGHVRSDHHRYNLKAQLRGNPTL NEVEFNKAVGELDESISGSESSSEEDEDDGTQLTALLKRQAKISQTGEEEDAPSNKRT GKNPLFWLSSSLLPSNTSLGVYRALFSDAEQEEPMYLVDSLRKKQLAPINTPRNNDQT QGPSASSSPNVFLCMIGGGHFAAMIVSLAPEIHRKQGGVVERQARVIAHKTFHRYTTR RKQGGSQSASDAARGAAHSAGSSLRRYNEAALEKEIRELLSDWRKMIDDAQLLFVRAT GSTNRRILFGQYDGQVLRQNDPRLRGFPFSTRRATQGELMRCFKELTRVKVSQIDEAA LAAAETKQREETSKPSTPRPQQQKPKVSKEDEAAMLHTTQIQALIRRSKIPALMSYLS KNSIPSSFTFQPSDSQQNFRCPTALHLASNLNSPAMVSALLTKAEADPTAINGEGRTP FELAGDRATRDAFRVARHELGESKWNWDVAKVPSPISKADVDSRAERERKAAEEEEKN RRKAELHRLKMEDAAKEALQAKRSGGRALGSVEKTASEKREEEMRGMTPEMRMRLERE RRARAAEERIKRMQGK AFLA_061750 MLEGNSQAILGDSAPGSSTLKSALQSPKLEPFCGNFEGWGPLSK FRFDLTPCFLDLGVAIVAAWGLIMGAGAIWFLLKKRIPQPVSKNWHFYAKLIVLSALI FTTALQAAIQVETFADNWLADVRFWSSVVVFASLCVIFTVQYYEHWRSRQPNGVVLFY WLFFTIAYGIKLRSLVAQKTYEDNLPYFVCFNISLGLALLEFGLEYLVPKKQSAYDAL GDEDECPYEYADIFSVLTFSWMTPMMKFGYKNFLTQDDLWNLRRRDTTRVTGETLAEN WEQELQKDKPSLWIALFKSFGSSYTRGAIIKCGSDILAFVQPQLLRVLIDFIGSYETD NPQPIIRGVAIALAMFFVSVSQTMCLHQYFQRAFDTGMRVKSSLTAMIYAKSLRLSSE GRTSKTTGDIVNHMAVDQQRLSDLTQFGTQLWSAPFQITLCMISLYQLVGVSMFAGIG VMILMIPLNGVIARMMKKLQLVQMKNKDSRSRLMTEILNNIKSIKLYAWNTAFMNKLS HIRNDLELNTLRKIGATQSIANFTWQSTPFLVSCSTFTVYALTDPRPLTTSVVFPALT LFNLLTFPLSILPMVITSIIEASVAVKRLTDYFTAEELQTNAVKHEDPVSHVGDESVR IRDASFTWNRYDGTHVVENINFSARKGELSCIVGRVGAGKSSLLQSLLGDLWRTEGEV IIRGRIAYVAQSPWVMNASVRENIVFGHRWDPDFYELTVEACALLDDFKNLPDGDQTE VGERGISLSGGQKARLTLARAVYARADIYLLDDVLSAVDQHVGRHLINKVLGPNGVLS SKTRILATNAIPVLKEADFIGLLRDKTLVEKGTYEQLLAMKGEIANLIRTTMNDSDDD TSSDNGLASPESSDSTTIIDNADSDELSDTDEAEQQIGSLLPIRSGANRRTSTVTLRR ASTVSWKGPRRKLGDEENILKSKQTQETSQQGKVKWSVYGEYAKNSNIVAVCFYLAAL LGAQTAQVAGSYWLEYWSEAAETQKNPNVGRFIGVYLAFGLGSSVLVIVQNLILWIFC SIEASRKLHERMAFAIFRSPMSFFETTPSGRILNRFSSDVYRIDEVLARTFNMLFGNS AKALFTMGVIASSTPAFLILVVPLGYIYLSYQKYYLRTSRELKRLDSVTRSPIYAHFQ ESLGGISTIRAYKQQNRFTLENEWRMDENLRAYFPSISANRWLAVRLEFIGSIIILAS ATLSIISVATGSRISPGRVGLAMSYALQITQSLNWIVRQTVEVETNIVSVERVLEYAS LPSEAPEVIFKHRPAIGWPAQGAVSFKNYSTRYRPGLDLVLKDINLDIKPHEKIGVVG RTGAGKSSLTLALFRIIEPDGGSISIDGLNVSTIGLFDLRGRLAIIPQDPAMFEGTVR DNLDPRHVHDDTELWSVLEHARLKDHVVSMDGQLDARIQEGGSNLSQGQRQLVSLARA LLTPSNILVLDEATAAVDVETDALLQRTLRSSIFQDRTIITIAHRINTIIDSDRIVVL DKGRVAEFDTPANLIKSGGKFYELVKEAGLLDNEGHALVQ AFLA_061760 MVVSASVSGTGAVKRPASSMHDDYTGWETAPAMDVTMRTSFNLP YTHYAMIYLDNLGRLKVQESSSIRETNGTVFTPDVQDKFLEILGAKIGYRRPTMRKFS GMGASLYGYPRPEDYSRHVKRRKASFQEHAIEPHFPEPVEEVPASTSMVGIEIGDTEK ILEYYENALKHFQQLNCRQIAKAFIKFIEPRKQVKHPYNGGKPRAGAASGEKGDPEKT KPEWWPAGVVHKEPDHLRKEQRIRLLIHIVRKLGKFGITPDKLQEVAHDSKRQLRPTS KIEVLDEVFKVRRMEERYELGEVDANCLVYVQNRDASSKDKDSDTISEPEQKFEPEDL EEADDEFLTPPSSAEQASSFTSSVDMTMGGHGRPMHMGGDRGQLFPLPESLSFGEQST HERSYYGNSEYPDEYSHPILKTPVTSGLVTPNEQPNAFDYLQAPFSASTTGEPIISHQ RPTALPLQQSVSQFDSWTPSYRQSMFNPMEYSSAPAQNIPQHMPYHMPVATPSHAAEL AHTPHGLPKGNPFRTGSLSHPHMIPHHA AFLA_061770 MAGPPNPFAGLSQKDGTTGAAGRGRGGTLGRSAPYQAKNGNAPH NPRARGRGRGAMTAPRATRGHGRGSGAVGNTWRKPDSNDGPSANSASPFAQLKHNVNQ PSTSPFAGKTAPQKPSFSGFGKAPSFGAPSTFDGTQVDSSRDPRKRPSSVRPNGATMS SVPVEDATASNNYNDRYEQLKLDRAKQREKAIREGQMADPNQPTSLNQAITPVGTCTS MCPEFERVERIVQKMVDKSEKVFMSISITLLHPSTNSLQNLETKMLKRFRRSAAGYDE QLPSDIRTPKTLLQTMNYLIRHVIGGPEPLGLIHKFVWDRTRSIRNDFSVQQLTQEDH VKMAVTCLERIARFHIVSLHLLSSPANEEPFDHHQEREQLNNTMLSLMYYYDDNRGRI SFPNEDEFRAYYIIFSILDQRPDLEARVQKWPAELRNSPRVQLALELLAAAGNGWEYQ GTLDSKRQNAIAQGFYERFFSLVDSPAVSYLMACVAEIYFNNVRLTAIRSIWKGYCRY PSSQQHKNEEWTVDELTKVLYFDDAEQTIKFCEEQDLEFAENANGDLYLNWGSRNIDS VEFRRTSDHTFSETYVESKRAGRTLVAIILGLNIKQASQLGMVDSSVLQERPLALPAP TTGSTADNDALFVSDDDNETPQPRGDFAQDGLVQDLPTSADSFRGVFEESSQTSTSAE PTQSAGFSQMPSVFQPSEGAKPLSSLFATGPSAGAPTASAPSNPFAGLSSLASSKTPG TNNSSSPFSFGLNTSQSASIFSAAPSPAEQDKPDASNTISTAPTFKFPSIFQPSTTPT TSPFSFPTTSSDAKPHQAVGLTAGQPSGILDTTNALTPSGAPSAMFNPAAPSTVTGDT GSPLQTSSPKAPQGPFAQPPAPFLSPFASGNNEISKPSLPESTLGQPNSVLEEPTDSN AGQEHSAKYDAPTFTKVTTEEPASNDGLSSLHSNDATQQQQEMNLSSLEETKPAEPTA PTTSVQPDNLNPSLPLPTEPIEPTVDEATPVAPDVNDRRLAWIETLREAAAKRRRTSS TSRKRGHEDPEEDLPIETGSKAAKLPKAEPPALHKKSMALSSIKPLPKLPILEQIESM TRKPAAEPKPEPPKPSQVDEDELLLSAARIAAESLRSGPRLIDSWSTRPSEPRRSSFS PRGSFSSSYSFSRSQSPQSLVNGHDLALAPDTDLGLGRTLSRTEQRLRMTGGKGLAYK PLDFTPKKKRKSK AFLA_061780 MTGPPPNPTAGVLSLAKPIRTNNITCRLSFNRRYPSVCYYLHKT KKLPVYFVIIAVPKQIYSLLTGFGQQGRPFVFTIRSPAIHSAAFSTQRRRPSKYHSAP FPVLRIDDQQHREMRIATLQFAPILGDVEGNIRRANELLQNGKVLGGVGIGVDVLKPE ILILPELALTGYNFPSLEAIKPYLESAGKGPSATWARDTARRYQCKVCVGYPEVEEAG TSQADGSNSQQETYYNSLLVVDENGEVLHNYRKTFLYYTDETWAAEGDVKRGFRELTL RNSQEQNSPSTNVATSFGICMDINPYKFQTPFTAWEFANRVLDSKSQLVVISMAWLTS LGREELDALAGEPEMDTFNYWIQRFWPLIKKRLRHEVNLDGDDAESGKKTVIVFANRA GEEPGPEGTNPVLYAGTSAIIAVTQRPRRPSADGETSAADKGSGNGSDEDESGDKDAS PFDVKILCWDMMAATAEGICFADTTMDPKMVFGLVKASR AFLA_061790 MSDPSLGATDGMNNKRKRESTDSTGPDAQRLNRSSNGSNGSIPA PDTQPNFGHASLGSYDTHGLPGAASELNIDQQILQHVAAHQPQNKYPPPPDATFDSSL PHGLTFGDEMGQAIGGAHGHNSTAAAVYAAREAQSMNQKPSVGSPEWHQIRKNNHKEV ERRRREAINEGINQIARLVPNCDKNKGAILQRAIEYICQLHDEKKAMSERWEQIT AFLA_061800 MPLLDGITADLEKFVQGREDNRDVKRKGDVEKEWLCQDSQPSKR EQREVNRMSNLALINLQRFQLLGLLIIVKLEARIATALQPLERNIPTAINFDF AFLA_061810 MKYQRLASLGLAALSVTGSVSASPLIRHEGESVCPSGYTQSVYY VTVTASSTPASTSSVEPTTTIESTSTVTETTVITPEIPAQSPTSTPVEIPAPVETPAP VETPSPAETPAPVETNTPVEPTTSSSTTETPVVAPTIATPSTADVQPTEVVAEPSTSS SSTEEPTATPIAAETPSTTVDAQPTTAAAAPTTKQLKLSTTSTAAPAASVTSSSTGSS SSSSSSSSSESNSGEATFYGGNLSGGACSFTGYTLPSNLFGTALGSPRWDNAAECGAC VAVTGPNGNTIKAMIVDKCPECDSNHLDLFQSAFTELADISKGVIDITWNYVSCDIDT PLKLKNKEGTSAYWFSMQVVNANEAVTSLEVSTDGGSTWQSTTRSDYNYFENSSGFGT ATVDVRVTGKSGKVVTVNNVSVSSGVEVTAGGNV AFLA_061820 MRDYPAWLKKPGSSYCNWGGWWIDGCARRVLIVRLCEHNVKVAA VGLRSFAH AFLA_061830 MSGRGGYANGYGYPDTSRYDRTDGGYGNSSNLAVNSYGGGRERR PGGYGGFYPETPQQPGLSPSQSPDRRRERPDWDRDHEYSSSRSRTRERDGNPERRLQS SSRDGRPRGDDSRLPDSSREKDPNIPSNNSAGSQAVEEVLQSIQQEWGLVASDECVPV QVALQLMDTSTLGKADREPEFLDVHNRIQKTLKSVVNEHHQGFNSSIGTYHKIQSSIQ SSQGRVRNLKHALEQAKSGLLSTKPELKDLATSSQKYDDIIQLFSQIQEIQSLPEKLE SRISDKRFLAAVEVLHDAFRLLRRSELDNIGALADIRAYFTNQEISLTDILVEELHDH LYLKSPYCSNRWKPPTPEGENNSASQSGWAGASWDRPVYTFLAKLDASAPMVEDASRN PEADTFYYIRLLIEALNKMGHLDIAVDRIEQRLPVELFAVVDKTNAEVDTRYPNLTRG FAAKESKTHLPTETIENRGHVLSEFLWTLYAKFESIAEGHRVVHDVIAAIVEREGIPK SSALAGGFKELWKLYQSEIRSLMHDYLATDGESSLRPGGEDDSKRHLYSGYRDKSKKM FKLSETDGSTEMKTEQNELDEILRSSVPGLVSKTRQKTTPNGNPDSKQGNSGTGHKIL IEPSVFNMSILLPPSLSFIQRLKEIVPVDSDIAMSTLTSFLDDFMVNVFLPQLDETVT DLCMLSYITPDAFTEDPQWLAVSPRPVFKGTVKFMSIIREFSKMLSSIPHDQAFTQLL ITQIVNYYDKCCGWYRAIVTKISPRDNGEFRLKAAAQYAKSGDIHDVVSELWKTEANN KEELVDKETELLLEHTSKVPLEPYDIISDPKTVMALSLLYNSTQWLASHLWKLRQVTQ PSSESRQPQESGPPNRRWTLISAMAPKREGSNQPVYLPLNQETATAFDTTIESLRDLS STALFALHIDIRCGIIHMLTKTMAGPNPRNNRDSEPATPSPNSNIAWWHIVLNQPTSA SPTVLELNNDLISFDTNISSYLGSAERCYITSGLARFIDHVFIARAHLIGAMNENGAL RLQLDVLVLQQNLKNIIVDPAVDTDEEESARRAYEQHREIVALPRSAKFLDWFLEGAE KALDYAKDEKELFATHPEKALAAGNGEPFTYDELRILVDLCFSDILKGPRGAENREEF MAAKKASADALLRLNEIMWDSR AFLA_061840 MLFSRPPIRSPWISAFRSASQLPLSRPRFFSISLSRYSVDMETV NTSERLSRLRELMQEHKVDVYIVPSEDSHQSEYIAPCDGRREFISGFSGSAGTAIVSL SKAALSTDGRYFNQASKQLDNNWQLLKRGVEGFPTWQEWTTEQAEGGKVVGVDPALIT ASGARSLSETLKKNGSTLVGVQQNLVDLVWGKDRPAPPREKVRVHPEKYAGKSFQEKI SELRKELESRKSAGFIVSMLDEIAWLFNLRGSDIPYNPVFFSFATITPTTTELYVDAD KLTPEVTAHLGQDVVIKPYDAIYADAKALSETRKQEAGETASKFLLSNKASWALSLSL GGEGQVEEVRSPIGDAKAVKNDVELAGMRACHIRDGAALTEYFAWLENELVNKKSTLD EVDAADKLEQIRSKHDLFVGLSFDTISSTGPNGAVIHYKPEKGSCSIIDPNAIYLCDS GAQYLDGTTDVTRTFHFGQPTELEKKAFTLVLKGVIGLDTAVFPKGTSGFALDVLARQ YLWKEGLDYLHGTGHGIGSYLNVHEGPIGVGTRVQYTEVPIAPGNVISDEPGFYEDGK FGIRIENVIMAREVQTTHKFGDKPWLGFEHVTMAPIGRNLIEPSLLSDAELKWVNDYH REIWEKTHHFFENDEYTRSWLQRETQPISK AFLA_061850 MSVDVPGQSSDVQVLINEARTLVSQLYDPANTGNPAKIKAIQEH LQILQKGPQAWLIANNLLSDESTDLRFFGALTFTVKINQDWQQLNEDEARELLGRLID HYVLLVNGGERPLVVRKLASSLATIFLKPNAPWNQALWNLAASLANGKHLSEEQCQSF DLQDAVLPAMSERQVVSLLYFSNILAEEINRWSPESRRNGDSNRASENIKHAFLLVEF VLRHMLQQESSGHSISDGAPGVEAINSYQVSMPIPIQGSSSNSWALVRNALQLRDTIR ATQLAPATGYVIQSLKVPSLSKTAMQVLVELIDWRDSIFSQDHLYSILEYIISDLGTA HIASIMDADFEDENMTFLELLLAYATLKQRELLIQPLNSEHEKVLALLHTLFQAPGYA AVDDSASPLVLEWWTEVADDLQEIYLDTEEEEEEGLDPAKRNLARAAMDCFEKLKYPS PEELQEWGDDDRSEFGAFRRDVCDFLLAIYPMLGLELVQVFQERAKSSLVQQDWRTFE AAIFCMAQLSEAVDENQHADACLNAIFFCDEFAQLCTGDVAMIPDKPRQTLVDMLGKY QSYFERTHALLPRVLTFLFASLDVASCASVASKSISHLCKSCRNALTFELPAFMDQFE RFRFKPTATASTMEKVLEGIAAIVQTLPTDNEKAQFLERILKFFQEQAELARDEASRG LVEPARCRGQLVLRCVASIGKGLRTDGEIILDTLDGGNGDPYPPTFWNTGNGAVSQNL IMQCMQLLMTDFPLDVTIIEAACDILKAGFTENSGPYVFPPMVTVNFVKSIPLGSAGT DMVMGTASAFLASHSAHPQRIREETVALIVNVYETFCWMHEKPEFYDPEVANSGIDFL TRLLPKYHPFLFALTAVPQDSNQAGAGHVDGARQRPPVLQAILNFTLLSLQGPEPLPL RSASQFWVGVLNLPYEEEAVQRALRDSIPALCRILASQVAGRCARSDLEHLCEVLRRL IFKQQGLARPHLATALADLGIDQTNQNQGPAVSPEERQRFLASLLAARGAKAQTSQLT RSFWVKCRGSGFDYIG AFLA_061860 MPLFANSPEALANVTRTDSLDPATTCKGVTGGGKPCRRALSDTK MMYCWQHKDQAVAVSNGAGNKPNTSQLHPRSSIDTLMERLNIRDSLDNSNRYRPFKTK KKTKRTLCCCFEIIEENEPLPPRPVRPSSQNRPQSMQQVPSSAQRPQKGGWIPPTLSP QASSALTEELAKPLSEKDEPGYIYIFWITPADHSSRSMPPPTDVGSSLFSKHDDRGNN AIQKARDLNALTTKPTEFSPGAIRLKIGRANNVQRRMNEWTKQCNHHITLIRYYPYTP SSPGPSSGPALEVGRKVPYVHRVERLIHLELDDYRVRDMGKCSECGREHQEWFEIKAQ KEAIRSVDECIRRWVRWAESQ AFLA_061870 MTEHHSLTLPQFKPDNASETTTAQNLLTPPPEEQPDQEGDQEPP LSQTENQYQYQDQDQNQDQDHDPQPTTFILEDVKTYLTTNLFRASVRASSHISTSLDF LHAHPVIATLLLAQFVCSCVPIGLFVAGAVIAASLAVALYSCVAVLVLAPVVIGTSIL GFCVWGWGWVVFVVGRWVLGVVVDGERGGSLNGDLDEDGGRD AFLA_061880 MASQQNGTNGASASNDFTVKAGLAQMLKGGVIMDVVNAEQARIA EEAGAAAVMALERVPADIRAQGGVARMSDPSMIKEIMEAVTIPVMAKARIGHFVECQI LEAIGIDYIDESEVLTPADDIYHVTKHNFKAPFVCGCRNLGEALRRISEGAAMIRTKG EAGTGDVVEAVKHMRTVNAQIARARAILQSSPDYEPELRAFARELEVPYELLRETAEK GRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAKKRAKAIVQAVTHYKD PKVLAEVSEGLGEAMVGINVSQMAESDKLAKRGW AFLA_061890 MSFLLTRTSAVLGVGLGLSLSPLSPFRSAPMQCQYSAPYYRPES QASPDSGWTVDRNDPVLLKQGATKSGWLTASNMRQVSLGSVLGLVVGVGLRAFSRVLV VLLGMGVVFVEWAAAKGYNVIPVDRLQRLVKGVNLQKAVSQHKPFKISFGATMALAAF AQF AFLA_061900 MKRKQTDSGDERPVSTPKRQRTAAFNGSTNGHEDTETPVGASPS KRIKSTPQKPSTATPAALKESGLKTPTQKSKAKALFSTPTKSTAVSTPSRARNADRSA KKKSARLLLEQNDDEEDWDGADRLAEEILQDENDTTAAENVNGVVGTVEGEDEANKTA QTPKRRAGRPKGAKNKRSPTPEGELPAHERYFFQNRAGPPRTSNNTLNKISLLTHEEY FEKMAQYADPCKDEKAFLLDVHHRSFPQWNFEFEQGYNICLYGYGSKRPLLQNFAEWL YQKNSSAPPSIVVVNGHTPNISIRSIFATIVTAVLGADIPSKMGSQPIEVLELLQSVL KSRSSQRPITVLINSIDAPPLRRAANQALLARLAATPKIHLLVTADTPNYLLMWDISL REQFNFVFHDCTTFAPFDTEFDVVEEVHNLLGRKGRRVGGKEGVEFVLKSLPENARNL YRLLLTEIISMFDEGHNSDDEMDGGAGRDGDGKDEVGIEFRALYQKATEEFIASSEMM FRTLLKEFHDHQMITSRLDPSGMEILGVPLPRDEMEGVLEDLVLS AFLA_061910 MASPAQPAATMAAFARMVKGQVRSYSAPVDMAIPASKRKFIPSS GSYPKGFVVSGTHVGVKASNTKFPDLALISSETPCSAAAVFTTNKFQAAPVQVSRDII KTRQGQGIRSVVINSGCANAVTGKGGLEDAVSMGKKVDECDGLNEPSTLVMSTGVIGQ RLPISKILKKVPVAHANLSSTHDAWLTTARAICTTDTFPKLLSRTFTLPSSPGRTYSL AGMTKGAGMIHPNMATLLGVLCTDAPIEPSALQSLLKHSVNRSFNSISVDGDTSTNDT IAILANGAAGGAPISSSSSDDYAAMQDILTSFAQSLSQLVVRDGEGATKFVTVRVQNS PDYESGRLIASTIARSPLVKTALYGKDANWGRILCAIGYTQGVAPGTVVPEHTSVSFK PVDGSPVLNLLVNGEPEQVDEERASVILQEEDLEIVVDLGGGEKGEQGLGGEEAVYWF CDFSHEYVTINGDYRT AFLA_061920 MSGLRFLDLIKPFTPLLPEVAAPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKTDRELYQTAQKLFAIILSFGQACVYVLTGLYGQPSDLGAGICVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIAL FHLLLTWSDKQRALREAFYRQNLPNVMNLLATLLVFAAVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALCSNIFLISQMLYSRFSDNLLVKLLGVWEPREGSAQ LYASSGIAYYMSPPLNFKEALLDPIHTAVYITFMLVACALFSKTWIEVSGSAPRDVAK QLKDQGLVMAGHREQSMYKELKRVIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAREGDIGSGLKGLVPGN AFLA_061930 MLQNADHTLTTATSVINAPGSPSIISPTERNGSSQPCNDPQVSH QEVSPTSLVQNSHAALSEKQFLPHQSFHRRANTEVFVRRQSHLPARQLFADQSKTLED LDNRGRPSNPPTENLTRRKLEEGAKLFTGWFQGKSEPVNLGVMSQPESAGTSTMDARD FYGSPRVPTSRAQKRMTAPSPLKQVTSTGPFSFFGLKRQEPKLELPEPADDELLNLDV AAALFPTTTTSLSEQEAFDTLRNNAENVIKRLQAAYKQRTFALHEALADKNEKQEELE ETRTRVGNLKIQLDGMAEKVDQQEKAMNAMAEELDQERQLRQREDEARLRSIRLIRSS DDESISDLGADLQTPTRSMKRASNATYASDSGFDSGDESLAESVFSRREGLESPASTV APSPSISHITLPAPVSTPLKEKELKPLQQTPTPRQSAYDRVLKGITSSWTSNSKCGIC HGVPSSEAWSVLGILKEENKGLKERLGELEIVIDDCLLLVGP AFLA_061940 MTAKLSFQPTSPRSVLNVYNFLVSKDASPLWFINPKGVSEKPSP ETYCLSEGGYQSQRMVLLRTESIILRTLGFNTHVALPHTIALTYLQTLGVSSSAVAQR VFEHLNAALLSPQLLYVTHQPNALAVSSIYLAAREVGVKLVDGEWWEVFDVDREELGF LVVGMRSMEGFARAEIEKWKGRAIPIVVDEVEAEIERRRMMAEGE AFLA_061950 MNILHSTLSTWRDRLAPVSRTSTFRNTGQITPEEFVLAGDYLVY KFPSWSWADASNPAKRVSYLPPGKQFLVTRGVPCHRRLNDNFAGDAGHDDELVRDMLS GGTGGVDDDGWLRTGGGQDSADRQENRIKDVRTVDESGNMGEREEEEDEIPDMEDEDD DEEAIIRDPASGTTQPTRTYNLYITYSNFYRTPRLYMSGYLSPSEPLPPHLMMEDVVG DYKDKTVTLEDFPWYDGNVKMASVHPCRHASVMKTLLDRADAALKLRREKLKQAQSDP SKAPSVGESGLEGLVDDIKALSLSDQQQHGSDKSGGDEWEVLQHDEEEQVAIRVDQYL VVFLKFIASVTPGIEHDFTMGV AFLA_061960 MYDAKSLSALLVLLLAAGPASVVSVPVAADQNIVARQINSEAEA GSNLVASIPEGPYYQSGSYASSDYHEGPGKNGGSSFSSGADASDDTIVSIPDGPSVNL GSFAHSSYEQTEPEPEPEPTPTPTPTPQEPQPSPPSTQVPVQPILPPSPTPFVPTPPL TSAPAPEPPAPSPPAPAPETPAPAPPSPAPPAPVEEPEQPVEECPAPEPEPQPEPKPQ PVVVPEPAYTCKCAA AFLA_061970 MKSSIAAKVPNGHGSVYKEVRFSPTATPIRRTQSVPNAHAVHHP VTLTTKRDASALSASYMEQAQSLLVRQRASFENERLLFAEERLLWEKERELLRLRIAE LESLLKSNGHAITSSRASTDYSSKSILTFKYPFDPQPPAGLSEENYQHCGAQVWEGSS PGSRPTRVFPELEKPDSHGQHSEQGGVLSISGLSLDAALSPRAHAADSAITSVPVPIE KLDSKLDGITLKSSALPPEIVARVMTPPSPSPQDASPASVSEKTLERRNSLKLRLSEL GSLERRLTRDAGHTPMVVIDGDADADVEIEQPSPSEGRSKEGESLVPAAPRQPAENSD SYFSDLPEDPALKGPLSLLNDEEHDSGFLKELDQKLLDQAKQALGYSEESKAPQVEVE AASRASQEPELKFKNSTNFGTAFGISNLGGV AFLA_061980 MSHAPLRLVRAEPISSVALLGSLLKDSNNLSTIMSILPDRSYRS LLLIVGSWKALLLLLVVFSPGPAYDTSTALRELGRNAANTDRHGLLTPVLGLLATNLT RWDAIYFTEIARRGCLFEQEWAFNLGFASLIRAFADGEDTWPRRALDIPTNYKSVLRR TAGINHALIESIIGIAVAHAAHGMSVFVLYSLARAVFPGRKGRNLAFIAACLHILSPA GLFLSAPYGESTHALLSFMGSLLFVLSFNHAGASTSLRDALIPLSGILYGLATAARSN GLLNGMILLEEAVRLLYSMTEGVTFAKTRRLIAVGMAGICTGLGFVIPQYIAYKQFCM NNKDPRIWCLRTIPSIYSFVQDHYWNNGFLRYWTLSNMPLFALAGPMLAIMTYSAIWT LGVGSGGQERGNGKSFSNTSKTQVHSEAPLTGRLLRSLAAPQIILAILTFLKHHVQII TRMSSGYPMWYLWLAHALVEGHSLASSEKVDVCRGEKETHILKQYRYARMTIFYMIVY SLVQGVLFASFLPPA AFLA_061990 MAIEPGARTERGKMAKISQSPLLRLPLEIRLMIYEYALDVPNEY MDRPLIVVNDRGNSFTARGRYRALSMCPSWVGENGKVRSLLSVNRQIHDEVEDFLYSH NTLFFLNAFSLDRLGAFLDTLSETARRRIRSVGFEIFFFVHSQTGVPKRTLKEYERAG KILSEKLPNWSSVVFYLDPRFYFPSAAVGGRELSARGVWYLATKFGAMRKEMQFFALP SIHRHVMDEAKRKIQMGRRGSQERAFL AFLA_062000 MSQESWTEVCAQCAELLSSVPPSILLTALIATLLGAFVLDGSIT EPQQLPCWQDSLSNRPNRTPDQSTIEKADLFMSVVIPAYNEEKRLGGMLEEAVNYLER SYGTLHNTTGENGIAKEGKAARQRKPANGHMNGHAATGPGNEKGWEIIIVSDGSRDKT EEMALNFARDHQLSLHPKGYAGPWTPGTQEGVHIPPGTIRVVTLSENRGKGGAVTHGM RHVRGQYVVFADADGASDFQDLGKLVTACQDIEDAEGRGVAVGSRAHMVGSEAVVKRS KLRNFLMHSFHLILWLLTPAKTATIKDTQCGFKLFSRTSLPYIIPYMHSEGWIFDVEM LMLAEFSGIPVAEVPVGWREVTGSKLNVLRDSIGMAWGLAVLRAAWSLGVYRRV AFLA_062010 MGAPHNNVALPLVGAVLFLFSPAAEITQGSTALGRFPAAPLRLQ PLSSTPFVGSLRVSMHRVPS AFLA_062020 MAKARFNGTDTHSRSASIKSPGDLGGEFSQTHHQSDSNQILNES PQIPNANLTQGAMASGPVSNESRESLSHEQKMVGGHSAVAGGIESTGIILQPETHPIT EDQLANEVRGIYAGLVMVEKKCIDIVKQQFVQESELSHQQWQALIALHRTLLQEHHDF FMASQHPSANLALRKSSEKYNVPARMWRYGIQTFLELLHRRLPDSLEHMLTFIYLAYS MLTLLLETVPAFEETWIECLGDLSRYGVVIEERGLLDHEIWVGIARYWYNKAVDKNPD VGRIQHHLGVLARPDIVQQLFYYTKSLVSVRPFPRTRVSILRFFSPLLQGPRVIGYPH VTTAFVSAHGFLFTQATAVHFIKSADEFLSSLEKYIPRVGVTFRMQGVYLTSSNFAAM LEYSNSDSLLCAEYHQVATENPKPFESLSAEDPVTSARQAVTSFLTLGAQKDPSQLAY GSCLAFETFSVMLEHVNNMNLFPALHTSLAFLWCLSYTASGMKCVEAVVPWNRIVIFL NMIIQPSFLQRLVQGWLTSLDEFDFNLIEGTGFPISNETSWLPEDFLIRGQLWSQGYY PSSFFENCPSDEDGRNVERESLSISRMYRCLWLGVRLAKFNRWITYDPDSRQFSVTPF ALELETALKSETISEFEKMDETKSGSTLYLVRHRFQLPGLQDGVPCPPSTG AFLA_062030 MLPCLSQKNLGSPMLNTLRLSTKVTSSFLLNDPARALGLEEGDT HVIRNAGGRVADALRSIIISQQLLGTREIVIVHHTDCGMLTFTDEVIRGKIRSDLGQD ADHIAFLPLGDLKQSVLDDIKVLRASPLVLDVPITGFLYEVETGKVARVEENL AFLA_062040 MSYNNRDNDFGSSQSGGYGGNDSYGSRQGNDSYGSSGVGSDSYG SKNDNYGSSSRSGNSGLGGSDSYNSSSRSGGNDSYGSSGNNNSSYGSSDRDNYGSSND SYGSSNRKDNDSYGSSHNTSSSKQHGNDSYGSKKDSYGSSTDSYGSGSKNDSYGSSRD NDNDSYGSKKDNYGSSSGSYGSKNDNSYGSSGDSYGSKNDNSYGSKNESSYGSKSDSY GSSRRDNDNDNSYSSNKDSYGSSNDSYGSKNDSYGSKNDNSYGSKNESSYGSNNDSYG SSRRDNDNSYGSSGSRGSNRDNDNSYGSSGDSYGSKNDSYGSSRRDNDSSSYGSSGNN YGSKNDNYGSSGSNNRSDSYESSGRSGYGNSGY AFLA_062050 MSNKGEEKTTTRRAAKTVEEKYADVTLRIIEDHGDEFGPLSPEK EKKLRRKLYLNIMVLLSAINIVLFIDKSTLGYAAILGLFEETGISKKQYNDLNTVFYI GYLAAQWPGHYLMQRLPFGKFVSVIVFLWAAVIFLHCVATKFAGLVVLRLALGAVEAV IVPAMEMTIGMFFNRQEQSFLQPILWVTCQGAPIVAGFIAYGLLYSHSAVLPWKLFMI VTGGVTFFLSIWVWFCYPSNPAEARFLTLEEKVHVIRRVHDSSQSSIEQKRFKRSQFV ETLRDPVSWLFALQAFTLMYSNNLTYGQQNLLTTSLGVSQLGSTLVAVAGGGFGVVLC IVATFVLKWFPKYLAIHGLFWCIPAIAGGIGMVAIPWDNKLALLACMLLAGHTYGITY IIALGWTTSSAAGYTKKLTRNVMFMLGYSVGNLVSPQIWVPSAAPRYYGAWVSMIVIS WAGTPAILCIIWFILARRNEERRKWIAELSDSEREEGCVEQLDENGQIVRRKVDLAML DLTDLENKFFIYPL AFLA_062060 MAGTSHVLPNTGSETQLPPPLTQQEQVELARRLQMRELLRSARS NETHALQLYEENYLRRHRRQQEEAASHAKGLDDQKDGRPEPKDDEELTVNLECKICMS QLVDTVLIPCGHAILCRWCAEQHARPDRSRPKAAVLCPLCRTPVKQKLRIYLS AFLA_062070 MGVQSGAVGLFALLQKFIHNVIRAPELHLSYLHFHFIRRAGSTT MLIHFFFLEPDPALTR AFLA_062080 MSTFTIPCDHGQQSLSVDCTPDLNKDDLLRFPAFQIWLSTLQQS LKRQQDPSHDFHKDPYVLRKIDIQAVDFFKGGRLGFVKFKADVSNGNGESLPGSVFLR GGSVGMLLLLQPDDVHPSVEDEKRAILTIQPRIPAGSLTFAEIPAGMLDDAGSFAGAA AKEIQEETGLTIPQDELIDMTSLALQSAASPEDVETLQKAVYPSAGGSDEFIPLFLCQ KRMPRKDIESLQGRLTGLREHGEKITLKLIPLKELWKEGLRDGKTLASWALYKGLKDE GKI AFLA_062090 MSDPKALRSEIIARGPLDPKEARWTRLVKTTYRDPTGVERTWES AERQTRPANCAIDGVGIVTILNKSTGPELLLQKQYRPPIDKVVIEVPAGLIDAGETVE ECAVRELKEETGYVGVAEQTSTVMYNDPGFCNTNLNMVHVRVDMSLPENQNPQPQLEE NEFIESFTVPLASLFEEMKKLEAEGYAIDARVGTIAEGIELAQKWKL AFLA_062100 MPKITEIFFDCDNTLVLSEELAFEACADLTNEILESRGIPDRYT GEQLIQDFVGQNFRGMMVSLQAKYGFEMPQEELEAFVKKEEDKVIAKLEAKAQPCVGA NEELEKLYKAKKYHLAVVSSSALRRVQASIKKVGQDKFFDEDMVFSAATSLPKPTSKP DPAIYLHALEKCNKKPEETVTIEDSKSGALSAIRAGIHVIGYVGSYPGDEKKVEMAKL LKELGAEVIMKDWSEFQDCLSQIERLEQASQPTRHRLS AFLA_062110 MGGDSDPFPVSVSSLHFPSKEQTISQTLSSLRRSALSITNRLQS IESDANFVREVADYYDLPLVANERCGSWYIPPEAKAGSAYFKSTDGHTGQWDFSFRRL NLQILPISRKHGGCIIVDSTRRGKLMPDALSKTVPIWCAVINRALFPSDTAYHPVQFP PNFLGASEESQIEHRIDDFVKSLKDLKLDLDDLKQKLGKPIRVAWANRSYFHPTDLRK GDAYNLFVLCSASKRVHGAEMSEGGYIQGAGDDSEGWAHGLTPPVFWEHKSTLAATGE EDLPELIVELVEEHRKQDGRQQATLITPTRNLYISPTDPQLNGGGTYDLVIDCNGNPE ASEGNSKRLNLGCGVSKLGSRDLRKHLHQVLAFTSSQLESNPSQSMLVTCESGKDLSA GALLAILCLCYDDEGKYPYVQSQSGHANHATGNFAGSHAKNKIDKQFIRQRLAWIVSS KHDVNPSRATLQSVNAFLMERPDY AFLA_062120 MGYSSEDISDLDKANNKAMEAGAEKLGVPPHYDKDLVGEMDERE RDIVEDGIHKFSRLGWKRLTVVLIVEAVALGSLSIPSSFAKLGMVAGVICTVGLGLVA VYTSHIIGQVKLKFPHVAHYPDAGQLMFGRFGKELINIMLILELLFLTGSHCLTGTIA FVNITSSDVCSVVWGVVSAVILLLLAVPPSFTEMAILGYVDFVSIIAAIGITIIGTGI KSTKEIGLSNVNWSAWPQEGLTFTDGFIAISNIIFAYSFALCQFSFMDEMHTPKDYVK SIWALGITEIIIYTLTGALIYAFVGVDVGSPALLSAGNLLSKVAFGIALPVIFISGSI NTVVLGRLVHGRIFKNSPIRFINTKMGWITWLAVITVATVVAFVIAEVIPFFNDLLSI CSALFVSGFTFYFPALMWFILIREGKWNEPKNLALGAINVAVLLIGLVTLVGGTYSSV DDIINNYREGSVRGVFSCSPPA AFLA_062130 MLTVEKSWVNVQQKTFTKWLNDKLKVRRLFIEDLVSDLSNGIIL IHLLEILGGEPLGKYASNPRLRVQKFENVNKSLDTIKGRGIQMTNIGAEDVVDGNRKI ILGLIWTLILRFTISDINEEGMTAKEGLLLWCQRKTACYEEVEVRDFSTSWNDGLAFC ALLDIHRPDLIDFDALDKKDHRGNMKLAFEIAANEIGIPDLLDVDDVCDVPRPDERSL MTYIAYWFHAFSQLERVENAGRRVEKFINNMHGAWEMQNSYERRMKELLRLIRAQREE WKNASFEGTYKDAKDQAFQFSLYKKKQKRQWVAEKSDLAALLGNIKTKLSTYRLRPYD PPAELSLEVCDQEWECLTRDEHERSQLINETIRDIKNALRRSFADKANDFALTLKTLS LAISGLDGDVEDQLAHVKRLNDNLPPLDAFLDTIAEIDEQCEEANIEENDYTTYTLDE LSYELSLVKSSISKKLAFLDNQLVARNMTNLTPIQLEEFESVFRHFDRDSSNTLHELE FSAALASLGLVYDEDEMHEVYVETCGPARLAQNAGVSFEQFIRFMVSVTEDQNTAEQV LQSFREVADGKPYVTELDLRHSLIPDEVIDHLVQTMPRHEVFDRGEDQNEPKYDYYSF MQKMMESGNRGQSDAASNGGA AFLA_062140 MSTMDRSLDEIIAERPQKQNRGGRRPPQGRRRDGVRKSYREERP DLDLDWVHDKYEDDRDSRPSRAPRRPRGDRYSPPPDHAPTGAKLRIENLHYDITESDL EDLFTRIGPISNLSLVYDRAGRSEGVAFVTYERPSDARTAISEFDGANAKGQPIRVTL VSTGGGRRDRNPFDNVERPKGSLFDRVERPRDRDSRSLSPGSGHESADDGARRRRGRR GGGGRYRRSDVSKPAPEHIDRYVPGQRSPARRPANGRRQGQGESRRAPAARPKKTQEE LDQEMDDYWGTANTGADKETVPVEPQQVAPATAAATAGDDDVDMIE AFLA_062150 MASDLTKVVPLTCHGHSRPVPHIDFSSTVEDDQYYLISACKDNN PMLRDGITGDWIGTFLGHKGAVWQARLSTDATIAATAAADFSAKVWDTHTGECLHTLQ HSHIVRAVAFPMQTSPQVLATGGYEKKLRIFDLSRSNSGSNSSSPTFPSSMGENGTGV TSYEIGPGVHGGTIKSIVWNQDYNILTTAAEDRKIRWWDLRSRHPVIEYTVEGTIGSC ELNTLAVRPNDPGILTVAAGKSVYLFDGSSPGRLIKKSDFRYEVASAAVNNETGRLVT GSADDTWARVYDLRTDEELAELIPQQKSKKATTARSGPSVSHLTANSTAQEVRTARSN CGRHAESHTVYGGESNTISVKRPFKSLYPTFYYADTEVWILEFI AFLA_062160 MPDFKISASLEGHGDDVRAVAFPNPNAVFSASRDATVRLWKLVS TPPPTYDYTITSSGSGFINSIAYYPPTPEFPEGLVFSGGQDTIIEARQPGKASDDNAD AMLLGHAHNICALDVSPEGGWVVSGSWDSTAKLWKFGKWECDVTFEGHQGSVWAVLAY DKDTIITGCADKAIRIFNSSGTLLKTIQDSRDVVRALCKVPASNPTGAQFASAGNDGV IRLYTIQGQVVAELQGHESFIYSLDALPSGELVSSGEDRTVRVWSGTQCVQTITHPAI SVWGVAACKENGDIVTGASDRVTRIFTRSEERVASAEVVEQFEKSVKESSIPEQQVGK INKEKLPGPEFLQQKSGTKDGQVQMIRQNDGSVTAHTWSVASQEWVAVGTVVDSAASS GRKTDYLGQDYDYVFDVDVEDGKPPLKLPYNVAQNPYEAATKFIQDNELSMNYLDQVA QFIVQNTQGATIGQSTQGSTPAGADPWGQENRYRPGEASAQPTQPPAQPESRPKVLPQ KTYLSIKTANLKVIAKKLQEINEQLVSSGSKELSLSPPEVDTVVSLCNHLETPESLKQ FPTLETGLGLLFKVATGWPVPNRLPGLDLLRLSAAATPVTATANYNGQDLVTGILSSG TFDTSSNVNNAMLSVRMLANLFETDPGRQLVMSRFDQIIPAVKSALSSSGATPNRNLT IAVATLYINVAVYLTSEGRGSAPESSERGLVLLAELVQMIVGEKDSEAVYRSLVALGT LIKGLSEEVKNAATDVYDINQVIRDVLSSGPGKEPRVKGVVGEIRESLQ AFLA_062170 MFRSSLTALKRYPLPASIRYYSRTARMSLKAISSKDAASLDKDL MEIGGWSLDQLMELAGLSVSQAVHRVHPPSAGKNILVVCGPGNNGGDGLVAARHLAQY GYEPSVYYPKEGKNELYQRLKTQLDNLSVPFITDFPVAIKSADLLVDAIFGFSFGGPL RDPFPTIISQIEAASVPVLSVDAPSSWDIEGGPPKEGPGSKFMPEYLISLTAPKPCVK YYQGRHFLGGRFLTKSITEKYGLDLPNYPGIDQIVEVGVDAEGRL AFLA_062180 MVVRIRLARFGNKHQPFYNIVVAQARSARNSKPLEVIGTYNPIP QRPTNLSDEEARSARPYKEVSLDRSRAKYWIGVGAQPSDGVWRLLSLAGIGDGRTKTK N AFLA_062190 MSGSSNQSTRTSPGSLSTTHRTPSVSSGASPPHQSLQSSQAPLT AQNAMSTDVYTSSTSQASTSLGPTFTYPFTPLGPPSAFDNMPSATMRLSDADRSAQGL NGFIRPGAGGAILMRKLPKSTSLELLRSMLLFAKDLVDIEFEHNIPPEDNGYVSAVAR FSTVAAAEEARALLDGKPNSNNDANMIVEMYNGPVGSALGGPRRNTIDHTATRSLLGG VTSNGHLSRHSSRFNGTFQSLEKLTVANASNSSASDALPPESSSRMHSIFSPQSPIGN GVHDLPRVSGKSMIDQDLDEDTGELLKDPVGYAENGHSGSVSIPRRSTNPQFPTNQFA NMSLATNLSSPPLSNYTPGGSAHMGIPPPSTAYPQSINNMSGNHGLPYANPHTPRHSL PAANPNDMNPPCNTLYVGNLPPDASEEELKALFMKQRGYKRLCFRNKQNGPMCFVEFE DVGTAGKSLNELYGYKLSNSIKTGIRLSFSKNPLGVRSGQPGSMSASNNIASQGAVSG GSSLGGMHNHMFSTVSGPPPGLSAPPGLVPPLQLRNNGAVHPSGHPHAPVPNNGSFHP NTGLGIRTNHMNSMMSPTTPLSGGVPGGGSGPNMGGFNSYYPDYMMGR AFLA_062200 MPPRVQNQLVTNTLLPYLSSSSTSTSTISKIPTQCSSSSITSTS RRTCRPFSSTVASQTKLRNEMFTWLNGEGAALKNHIPGSTNYLTQIKERDEQPVGRTR PFPLNQNFVSESILSEELRNEIYDRVVNQKKSVRAVSVDLGVDMRRVGAVVRLVELEK RQKQQGKSLALPYARAIHEMVPTTPLYEDTRDNRNRPHESINDLPVHKLTDPQIFYPV SESRQFNRVDAGRVFSAAPALENKQVNDAADPAEAVSRITQNPSHIEKVGKGEAEQQV LQPADARIPHPHLVAHERFRQSRPTEYREIFKAYNERLRQEETAEKERKRLAKERQDQ QLTKVQPESSRFEFRFKDVVVSKETTGTDGRGSKAPGLRYGVPSYERKKGQVKIPTRV EV AFLA_062210 MSTTNSWSKFKAKVLRRSSTVKAATGAEPFLVQNSSTANTNSLS PVPLRSPLTSDKGFAQPRSYNSVSSLSTAQRSISDDTSAPPASPSAERGRYYGSVDEG SVGRSQSPREEEPSRLRKKTRAPGPLNQNLIASTPGSQERQLSDSNLDCVRAVADRHS LPPDFLKSPPRLLGSNELLQQLPFAPTATPPLPTSGSGASQTAYNQGRDRTGSTVGEP QLPTPLTAVAENPSAAPHNQAPVSSAVSIPKRPSLGSRRQSLFAPSHQHLISDLLEQP RTSLENDGSGRLATGTAGMLQRKIWVRRPGGSATLVPTAHDALVDELRDQVIMKYANS LGKTFDAPDIVVRIAAREGSNKQATPDRMLSPEEPLVTVVDSYFPGGQTIEEALIIEI PPRRTPKPSPRHSIYYEQTEPGEHNEYFPLMPIHPNIPTPPAHASNSSGSASAHPAPS ISILTTGMAPPLPSPGSRGNRHTRRPPLTRHTTNSPTVLGQSTSSKEAGAQQTAIPPQ PAPQVPTPPVPPPESPQNKSMTPPARGASPRPRQSVKPKKSPAQSLSAAFGGLIEGTV PPINVLIVEDNVINQRLLEAFMKRLSVRWKCAANGEEAVKKWREGGFHLVLMDIQLPV MNGLDATKEIRRLERLNGIGVFPKTASGRSSAASATAMTPPEIDPETHDSLEEEDVLH DLSLFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPVRFEWLEQKVTEWGCMQALI DFEGWRKWRGYANDNQSSATPTHSQLENGRVTKKYSPALPDSSFPDTASQFLHLPKPS DLLPEDSSGGEAPDSPASPLTTVPVEETSTHHDASADAI AFLA_062220 MVAYSTPSSSLHKPSPYNESRRMARFRPSNILGDPFALATISIS ILAWVIAFISSIVSAINARGYPTYSWWGVAYSLCIILGMTAVFGTDTGSVYGVAIVGY LSAGMVITTLGVNSLVYRSDSASQAAGAGFILMSMVIVIWIFYFGSTPQASHRGFIDS FALQKEHPGAYGNGRPMSTAFGNRPETTSSQAPQMYTSAQLNGFETSSPVSGYPGGAP GSENRSSSQPRFGNPSNANLTANGNENEVPQPTEYPYRAKAIYSYDANPEDANEISFT KHEILEVSDVSGRWWQARKSNGDTGIAPSNYLILL AFLA_062230 MTLENEAVAGATIELLESRLRRLTYLLTGDANWTGIPTAPAKPA SLDESVSRRLLSLERELERLSRNIPAVRDVLLLHDRFPDLFRPTPPQSLPESLTTQNL ASIVLSYASAFPETASRLTSLNDLPIPDTQTSASLIQLQPRLDQLAQVQEEQAKQISK LRVRTARALQRWYEIALVGGGECWAEWEGRLEDVEREVKREEVVRERRAKEL AFLA_062240 MADPNKGLSLAEQEERELQQAVAMSLNQNLGQQETGVTTSNKSN FGRATRDFYDEGAWAMTLFNSSAREIIISPNPGDRKRVEGEPAFLRPSEDSLYLGGLL TILHSIPLAREALLQRNKILSNYGHDPQWWNGQPINLPKIVTIQDAHDGDTDWDDIIY ETQRLVAFLDITERSFGSVDALASLKSMSTCDSEGSIGRYLETWQDAAVRADPGNQLA TVFSSKAYKRPLTVYDTPIHKDFFILESFVDPEHGQTLYDVLDSAIWSDRPGEELDDV WLEHVGEIFTVRLESTDNTKPIDVKIPAVFYPDRYLADSRDFSREFRAQRLQEYDGIF KLDNLINRFSASKSKGMTLKETLEKAASAVSVTLPKSLANGANGLTLSPEAANVEAKR LADELREVSNKIDDKLKELVQRRERAIETLRGYTKILTEPSDVSGKTPHYKYTLRGVC TEPHVTYVLRPSGHTEMADTEPTAVDEWQWWRISFSTDDAKARQAELQQGDASTSKNA DVIGYTARKVREIEVLKAAREESKNVLLIYANGNAMNFREEPIPPPLQEFINADNAAF GTEFKQQESAEDAAGRQNEDSSSASRQDENIEMNELTQDGQRETTSAAANVNVFDYQV SSFDDAADSSPEMQEREGRALLGQSSTAGPVQYSPSTNAAWNQ AFLA_062250 MAAAFDDEDLSVPLPSYDHDRRRERASGATSPNSNFAGMTMPPP SRPIGRGGDPSMQSPATTRDMQRLDQYQTVKVLGEGSFGKVKLAIHQPSGRQVALKII SRRKLLSRDMVGRVEREIQYLQLLRHPHIIKLYTVIATKTDIVMVLEYAERELFDYLV KRGRCNDAEARKFFQQIICAVEYCHRHKIVHRDLKPENLLIDSEKNVKIADFGLSNIM TDGNFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLPFDDDYIPAL FKKIAAGNFHMPPYISSGAARLIRSMLQVHPVHRLTIPEIRQDPWFQKDLPKYLQPPP EEFIATGVDPNKAIDPRKIAPGKPISVQHKIHQVAIAKLERNMGYGREDIEDALKNPE PSAIKDAFFIIVENEMMQTNSPTDENLMPPTPLPPSDRTSLSSAAAGRTTAAHSQGTR AGPPTRTRSGSQRQPFQLHQPSESDDLETSRVSHVRILPTSLPYVHDQLMEQRERERR ARAADRLAEEQARSGSDALEDPHQSGLDGRSLEEQEATAKALKPHSRSIVDLDKLRLE PPEARNAPHQPRKTRKWQFGIRSRNQPYEAMLYLYKAIAAQRGRWEILPAESGSQSPD EPTPLQSKYPDLPSDYYIPKDPWFIRARLLKEGVKAPGSSGSVHSSRSDISELRRRFN LTGGASSVDEKSHSPSEHWSTSGPSSASQHHSIPRVAYGVWVFVDIQLYQLEENNYMV DFKCDGYQNVIRAESDTEWHPISKRFRNKEKEITSPYPFLDVASDLVAQLAVAS AFLA_062260 MFPVQDPVDHDDVPILIIGSGPCGLLLAFMLARLGVRSLIVERY PTRLDAPKAHALSPRSLELCRQFGLDVNKIRNIGAAREDAHWVNFVTSLSGKLVGRLP YERMDAEVLNDTPTRNDCVLASIEDRSTQRVYTVRCTYLVGCDGAKSAVRRFLGIESE GEDSYETMMTIHINANMSPVIKERVGMLHWVIDPEVSGFIIGYDLSGNQVLICNFDVC PKDIPDPYLVESWNEALCRKVVSAAIGTNVPYDVLSYRPWILSRKVAKSYRVNRVFLA GDAAHSFPPTGGLGLNSGLGDVHNLAYKLAAVLRGLGGDSLLDSYEFDRRNVAMVNSQ QSVKNGKQIFGLLKAFGTTDSNMEVARRNLYRNIEDRHAMKDINQGIENQREHFDNLG LHIGYIYGDHRIPENASIYRPVCIRGGRLPHAWIRLYSQEETALPPIDSSYVAELSPE EVEMKRYSTLDLCTFDAFTLIADQGTALHWKQALKEMCECLPAGMSYKLKIRLAIRGS DFDLQPGKNGEDWVQLTKLYDGNAILVRPDQHILECFKFPAGYSELLRVLREHLGWDT VVPDWHKVDSVL AFLA_062270 MLLLFTRHVFSAEDPEKPLQDIVTWDEYSILVRGERILFFSGEF HPFRLPSPGLWLDVFQKIRALGYSGVSFYLMWGLLEGEPGHVRTEGVFALDGFFNAAS QAGIYLLARPGPYINAEVSGGGFPSWVQRIEGSVRTTDPTFLNATKNYISTIGEIISK VQITNGGPVILFQLENEYSICEGAPSHEELNFCLEKDYMAAIEQQFRDAEIVVPFVNN DAVALGDWAPGTGQGAIDIYGFDNYPFGWGNGCMLSI AFLA_062280 MVSNIFARVFYKINYDFRITIFNLYMMLGGTNWGNLGYSSGYTS YDVGAAIIEDRQITREKYSEIKLEAQFLQVSPAYITSKPHSPCSGCYTNASALMTTRL QGESTNFYIIRHSNYIVTQSTSYEWRANTSQGSITVPQPGGSSTLHGRDSKFHVTDYD LGGINLIYPTAETFTWRRHGSKSVLVLYGGEDEIHEFAVDSNLGNATTIEGSNVRLGK RGATFVVQWDVIHSR AFLA_062290 MADPLAITGLILQVIETAGQVYRYCKEVQNADNEIRELFGELFA LKAVLEQMSREKQGPLDIQDPKSAQAISSESFRHALLSANGVLKDILDDLMKRHIKGK SFIKQLGWPGKKEKLQDSIVQLERLKSYFILVMVNENSAMDKEVLSSINYLTDLGTLM RQDSQKDLRQKIREWICPFDVEVSHRKARSVCQAGTGSWFIDGPFQRWFRGQEESRLL YLEGKSGSGKTVLCSTAIEEARKATQSTDYSLALFTYCSFQLSASQKLIHVLGALLAQ LSDRFPQTLDVLEPDFRKKSLPSPNTLLQILRSHAQSEYQIHVMVTSTAASVTLSKDD LLRAQMKPSSNQNDIQDFVNAQLETRPSLRYLPPHTKRDITSVLITKANGMFRYVQCQ IDLLSAQATGRDVRKALSTLPESLNGTYEVILGQIPPYNRELARDVLLWLTFSRQPMT LSALSEAVVIVKGDKFLDDECRLYSPTVILKICQGLVAYDEVTSIITLAHASVKAFLT SDAIRQGPAAYYSLQEIEATRCIFQKCLTYLMFDAFQKPCRSRHSLRRRLKEFPLLNY ASMTWGQYCGLQAPAGFVLGNSELDEIMDFFATCALHSGGNFRSWVQVLIPEAATEEA WSTEPLYYAASFGMTLVVERLIKSGINLDSPGGRHDATALTVASYRGQLAVVKMLLEA GADPNLKDCHGITSLGWAKRKGHREVETLLLASGAQAHLGHSKVVVSRNEQQAGNEYS DSEGHEE AFLA_062300 MTRAPSDKPTGEYRQYLPDLSLKRFQVMRTQDAHEYAHDFKTIH NPPWLHALYMHWLDLLQEPFKGVTTDGNVRPGLFTLQDEDIPIGKIVSAVESLLSIVD NKQRQALSYHIDSPEWRTWSNPEFLLSHKGLRLDEVNTETRDAILNVLKATLSPEGYD KAIKAMRINHFLGELVESPKVMNEFSYNFVLFGRPSTTRPWGWSFYGHHLCLNVFLYK GQIVASPWFTGAEPNEIDSGPYAGTRIMQVEEELGLRLMQSLSPDLQREARVYAEMHD PAMPPGRWNKDDQRHVCGAYRDNREVPYEGILASSLNEEQKKLLYGILEQYLLYLPER SRKMKLDHIRQFESETYFSWIGGFGDEDPFYYRIQSPVILVEFDHHSGVFLNNEEPKK FHIHTLLRTPNGGDYGYALRPLIPAVEGIVGEDIKWEKSAL AFLA_062310 MFDYIFRLLTRLSGGKSRREETAMVGAGLQITPNASRLFHHWRL PSSLWKSAAEPTALTVHKYTGQVLAHEDQFHTNIRSKYQAPFIDLHRVDLQQALFARA QDLGVKFHLNERVDRLDFDSTTVYTLSGKTYSGDLIVAADGLWSKCRECFEGKKDDPL PTGDLAYRIVLRAEEITDPKLRAWVENPECHFWVGPGAHAVAYSLRGGNMFNIVLLVP DNLPPGVSRQEGSVEEMRALFEGWDPVWRV AFLA_062320 MESWINDKSNLVFIGDSCHPMLPYLAQGANSSLEDGAVLGGLLG HMKSKSQLPKILRLYESLRKSRGEAIVKETFKQITVEKRFPHAQWTRAGEER AFLA_062330 MMQRQTQRSSSIRTTVDDSGPPRAKRPRAAQACDRCRLKKYKCD ESYPCSHCKKSGINCVYQGNYRQRENDRSASYVSDLEKKVDELSAKLRVAESEIASQR SPQSQREATAPETRQMPHSIIEATPCSMPRHGSTPREEIPLSYDNHDEAGDSVEDEIS ELNHHTNGIEFHGSTSSTALIGHLQKRHEPRRTQERHSLAGVPEYSIVSTLHNSSFSP SYTAGPAQPAALHEHNYYFEQAHAFMNGYFENIHFIHPLIDKEDFCLRAHDLWFNRTT QPEPSFVALYLSILSFGALVRVWDEERLGGLTRFEWSRKLFGEAQVYLNYLQFSNNLD TVQCLYLMAKICQNELNPNSAGFNREVRNSTEQRAGWISKTWWGLFSLEIEMSFSVGR PDTLGMDEYHNRALPERDDSEYAIIPWMVDFAQMIRKVSVQIYHSRITLQDKLQVALQ IEAELDRWMLRLPERIKPDILRQGASGGALRDPKWARRQRLVLGIRYYNVKMLLFRPF LSHFTRKLRHPPIELEQTIGKCLDAAMKTIEVIYDIYRIHTFFRCWWYNTTYVMFATS TLLLPMIKLGMCPETIPLRRSVEMALEILEAMEESVVARKSVDLIKRYLREFSLSDAQ SSMVNIEGSVPAYAAESPSQGTFDIPEWAHGFGFPDCSFEGIARLFDDIGGLPMLDN AFLA_062340 MAISWTKLPPPSRVPYAIPQLEGERITIPGSKGVFRILASSKQT NGLMAVFQSGAVLSDAPGFHYHNHAHDVFLVAKGYLKLWNGDKCRIMGPGDFAYVPPT VIHNPEMLGPHTEIYGLITPGDWVDFFRHVSEPYEGLLVPESDDRDLKSLLIPKVMAA KEKFDVVFQPNYQPPDVGDWKKDDERLPDSSQPFYLRANTGPRWMLGGVMSRPFITTT QSNGVCAISSIESSCEYGPSLLSKNMTFYDVDHCLCIQEGTLVVRLKDLADAVFREGE TVVIPAGQTFALAFDSRYVRVWSFTDGNGIESLVHRLGKPFEGVVLPDRELEWDSAHV ESVAKELNVDISL AFLA_062350 MLGGSVQQMRHSYLDRARETRRRGKHHEANESIEEDSYDTALFF FCLSHSLISISSPIGGSTSSDIAAPAVDLSVTARLGMGRDCIAWFCIGSSQTYHSLVI AFLA_062360 MPGTKAIVTLYPLNVSDVLPYRSLTFTSDYDHIEVGRASKRERK NLIPTNHNGLFDSRVMSRNHATLRVCLNNKTVYLSDGNSMHGTWVNGEKIRAGEDTIV RSGDEVVFGTEVVRGHETFPPLKVRCEHQWIDSGDEVVLDVSNNHQPKRATNTFCVPD DDDDYGNEVIYDSIPAPVVTAVESSSESADSDPGLDSDDDSVMEISSPITSPPKGGDI VGSQQSPIDIDREQAEQPLATPRMTPPSAVDVAEETSNKVQEQTSYILHNPESTIVLD SGEQSVAEPSDWESEDDDQPGSMDDSMSESQSAYDEEESDPERFSVRLSSAPYMDISQ GIQEDTACGDYDTSSREFYSKGKEAEGRTPDLTRQTAASCGIGMPLFDTTSRSLNEPS TSWPVTARDDANPSLPLHPSGKLFDHSLAGFNCTAFTQAMEPWQAHPADGSAYPFSDH CSPRPPYKDGPFVNSLSQFVESNNRDDAIIPAATAPGNENMQSRSTDIEIRGTEAGGD INPSNVGVQSSSLLEKTRAPECDTFASSHNNVDPTSSKATAIPRQGLKRKAMDAEINS QDDDSVVIQDTYPCTENNRSVDERPTEEENDSCFPDAQPQITVPELGNVPSQLTELPA THASQKPDSHCLSIPDNGRPSKRLKTTATANLKSHAATAALGAIVGAVGTVAILASLP ADYFA AFLA_062370 MSNESPLPQPVKNVVVDTASLPASSSASVWDRVSKWVSENKALV YTIAGVAVVVTSAGVVYYLSDSSRPAQAPQPTEKKKSKNQRRKEKEKEKKKAEEKEKA KAAAVQDEKKKPKKLLKNSPKLTKLLSDSYRKRWVNGAVTRKAYAAKLKAAGNKAYGS KDYNKAIELYGKAILCKPDPVFYSNRAACYNVLSEWEKVVEDTTAALAMDSEYVKALN RRAIAYEHLEKFSEALLDFTASCIIDGFSNEVSRVALERLLKKVAEQKGKAILEAKGK KLPSPTFVSNYLQSFRPKPLPEGLAESVDLSEDSGKGQLRKGLLAMALKTGDGYDEAA TAFEKALELGDLGEFEALALNLRATFTYLEGNAQAALADLNKSVELQPSLVQSYIKRA SLHLELGNKDAAADDFELAISHNKDDADIYYHRAQLHFILGEFAEAAKDYQKSIDLDR TFIYSHIQLGVTQYKMGSVASAMATFRRSVKNFEDVPDVYNYYGELLLDQQNFSEAIE KFDKAVEMEKQSKPMGINVLPLINKALALFQWKHDFQEAENLCQKALIIDPECDIAVG TMAQLLLQQGKVSQALKYFERAAELARTEAEIINAISYAEATRTQLEVQEKYPQLAAR LQTMGAGLGGPPGL AFLA_062380 MQRKPITPTTKGYSVPCFLHNISVDGEYQEPACNARYRMKFYHV HLYPMLSSLFLYDRSCALFAPDPWPVEHCNPDITPFNVGYQPIRPMAMASTPSTASSD SFQIISSLRYDPSIPRAIGGRTAEPRLLATPYYLLPYHQDRLLNAAICFNWTKAIEFL RQDLGQFTQILDTFIPDKSESWRLRIVIDSSGACKVEANPTASMNPLNLLYPSQEMSQ PDTWRVYVDSEPTTPSDFTMHKTTARGDYTAARHRSGILSPQEQAEVLVVNPKGEVME GSITTPYFRRRRPSSEKDGASKDAGPEWVTPPLLSGGNAGTTRRYALTEGFCTEEVVT VADLVDGEECWLSNGVRGFIPGRIVLMDPKGPGRVPPPR AFLA_062390 MADEPGQPPALTTLSLAEKAPDSKAVGQKITPFDVSGGVDESGK LLPVDYDKLVREFGATPITPALLERFEKVTGHRPHRFMRRGIVFSHRELTTILDRYEK GQPFYLYTGRGPSSDSMHVGHTIPFEFTKWLQDVFDCPLVIMLTDDEKFMHSQKIDVE DAKRYTKANAMDIIAVGFDMKKTFIFSDFDFVGGAFYENMCRMAKRITINSIKGTFGF NDSNNIGEFHFCATQSASAFATSFPHIFGTDRKKVSSIPCLIPCAIDQDPYFRQCREN AEKMKYKKPSLIHAIFLPALQGPGSKMSASVDTSAIFMNDTPARIKNKINKYAFSGGQ DTAELQRQLGGNSKVDVPFQYLTFFLEDDEELERIRTAYEKGEMLTGEMKQRCIAELQ TYVKGFQERRAQVTEEMLKEYMSTRPLEWKGNPNPIVVEKK AFLA_062400 MKFFENVFTYDYSFPAVSLAYFLRYPNPYSRHVLTTDVIDRYVD PKTQRLHTIRLHLKKSKVPAGILKLLPKGMGGSDNSGQSYILETTVVDPKEGWMESES RNMEWTGILSVVEKQVYQRQPIEGALDSLEGLALDDKRGEQTTVKTTVTFRSRFGQGK LLGRRKSDPIGDHNVEAEEDAPKRGFFSSLSTAGIQRTIELIGVKRTRDAVLKSKQGM NVVLERLRSGGIVGVLEGMRQDREAAFGPEGPWKRVWARGHAHIEDDD AFLA_062410 METVMEELLLNDSGLNVALDEARISVQVLYPNFLLYQMFTPEEV ARTEV AFLA_062420 MESRLPTRALKRESDSHEQSSSSKRPHGATMDPLALKDLGENLK MLPVPTDTDDLETTSRKMQDLARVVERGNSLALWTGLKLASHSKRRDLESSARAQMTE SEWELYERWKGMQDTRNYGDEHNNQTEQQKPLNIPEFNWDENKAPVPTGANSVRKFTQ RAAVMDMIWGHQGATPEHASWLTFNMPAMLPLIKAITKVSNIQKHIQDTDPLAGKLTD MEAAEIETVRRIVAIAEGNRLRELERIRKLAKSICESAAIIKSRAEGLEKLER AFLA_062430 MADPWGMRSTFRPCLVLLAMLSTILQPGLAQICSFWDGGCVDPY AQTAVSFDFSPLFLDDLTLYYAYDANSRGKGNEPMTKASFWMGYAAHHVDSSAITTNR TLEVGLRVGNLTGTPSGGTNGCDGVWGPQCSMNLKETFKEAIYQLSAKGEYYTFPLDT VLHEMLVNPPSLPNCPPPFFDVQRIPVKRT AFLA_062440 MPVLPSLQGSTFDEDIRDRHLIYDYAAQDTEGNPEKWRYEMWFY NEDRINYAIHGGPMAGRSAFQSATYQCIRPGELWQCNWLEETGTVCSLVFDISRKHIT TLIAFSKGHWEKNTTARGDKRNPEDLARMRSLAQIGTQVDRILLSEQAEILEDFRGPG NLKPIQMDWPTL AFLA_062450 MQAVLAGVSTNLADALAASSLPGAPSYEAPAGFPTSAFSSYYYL PAEPTQEPQPIIHDPILNITFPYDLTNPDTIPKENNDPVFFPEPSVNLSEPQQQALVH GVVANVTRIIAANNTNSNCTTCKAALAAAKPAALFAPTIVPETLISLCKKFKFASDDA CEEKYTASAFGAIWTQVLAYADVQGLDGDYICNSLSSTFCSQPVTNPLNVSDWFPKPK PANPRVPSPSGERIKVLHLSDFHLDPRYSVNSEANCSSGMCCRSNLFNSYSENQVLLP ASVYGSYKCDTPYDLGLAALEAVGPLTGTDKDKNPLAFTLYTGDLVSHDDPATQVDRA YTQYTETSVYGMLKSYLSGPVFAALGNHDTSPENIESPHSLPGPLGQQQSWNYDHVAG LWRHEGWIDEAAVQEAKLHYGAYSIKTHHGLRIITFNTDFWYKSNYLNFINITNPDNS GIFAWMISELQEAEDRGERVWLVGHVLSGWDGSNPLPDPTNLFYQIVDRYSPHVIANI FFGHTHEDQFMVYYANNGTVQNAENALTTGWIGPSVTPLTNLNSGFRLYEVDTGDFNI YEAYTFFSNVSDFSSLTETGPVYQFEYSTRETYGSAAGWDKEAPLNATFWHQVTEAME RDGDLVTQQNELQGKMSVKSPKCTTAECWKAKICYMRSGSVALGKQCTQG AFLA_062460 MAMNAIQLGLRLWEFLWTLLVMALVGNMIADSFSGNPATVNYAM FVSAFSMFSLFYLVPASFNLDWAIHPIIMIVLDTLNAIFFLTAGIALAARLEAHSCSN NEYTLNNEITNGSVHREKRCREAQASTAFLWLAWAGYTASIALSSLAARRSVNLRPRT GPARGARPSMAQV AFLA_062470 MEIPRLSDELILPMGKNARRDAHVSVRLPNMFVLFLSEKPATNT YYERVKEESELWIARICAFGDRTRRVITNCDFSYFCSIVVPDASRLEFQTICDWGNWV FPFDDMFDNGGLKDDPIQAQQLVNSLLNGMQDDRTVSDDSEEHPLVQVHNSVWRRLAK ATPIGVRRRFAKAMRDYCNGAIEQVHSCSRGEYPTLDEMLALRRQSAGVSPLFALVEY GHKLHIPDSVFETRSIKEIERIGIDLVLLQNDILSYCKEEKEGVAHNMVAICRRAGMP AQMAFDHIGGMLLSCYHDWYLALADLPSWGQSVDSEVQQYIRGVQNVVKANLHWSFRS GRYFGEANEEVRKTGIVTVQPQSADVELSIL AFLA_062480 MNTTLPPNSSPGDHVRKWGYSFTWTDSHLAREKTEPLRQQFDTL GAAALERLQFIRSSLLEDSKAKGTSPPSNDLYTILRDHHRKDAVLTRFWNETHTVPDW VNWEQLERGQRFLHRYIIANIVGFALQGFVAENSAASSVVEVLVRTGSFSTRMLLKRL LETFQWLIQVTHNLATIQPGGEGHIATVRVRLLHSSVRQRILHLCRTKPHYFDIDHYG APVNTLDSIHSISTFCCNPMWLQLPRFKINPSPDEVKDYIALFRYLGYLLGTPTSYFE TVEKSKHTMESMVAHELHTTETSRVVAYNFVECVSNLPAPFHVSRKFIEAGSRWINGD EICDELELGKPGFLYYFMFAGYSVLVLGLAWLQRTIPMFDGFMIKVYFTSLAS AFLA_062490 MAIHYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVTQLVLSRR TKMCNFLEYKDSKVVYRRYASLFFIAGCASTDNELITLEVVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRCISQQDSLEDIEVEEDVVTKIM AFLA_062500 MKFLPLPEIEDVTSSLNFDTGDCHILGGCDLYTTKAARADRKLY KNIEQSLEAQYESTLRLSASLSPPNASDAAASLNLSRSSPFGPLSDHSSRRTFAYLIA TLNASHPDYDFSHVLRPSDFHRERNIKRVMNTIDSTLFNLRPREAIDLAPPSPVTISG SYNAGASATWGPRMWQIIDEQLSLNECSIYSYSPEEDPSDADDGAIWSLHYFFFNRTR KRVCYLYLRAIPILSHTPSDGVATPTAKRTYDDGYLTPDLGSSKRARYWLGDVVHLED ESESDEEHASKPARPVVDEYDNYVLSDEEFRSRSGSKGTVRAMSEEIADSMEV AFLA_062510 MADDEERTKAEKLAAAKKRVAQLQKQKKKANKKTSGSEAFTAKE TKESSPSTEDKQQVEEGQPQATEESKEEPKPTDDAQEKPEDQQQTQDEPKQLPTEQPT SPSPSTEPQPAVKADTPSRHGRQPSLSIQSKMRSSSFRKGSVSQGSASPSPSAMLKSP SLPPLTADGESVHEVYRKQAGRIEEVEKENRRLEKELEEATGRWKKTEEQLEEFREAS VDVAELKEKLAKAEEKVGEVEELKAEIASLQRQNSHLQKTHRSTASPASSESPPADLV QQLESKSATIEAMELEISNLRAQLTDQSSSSSAHEAQIKALEQKLSSTEATLESTQSE LTETKNALTRASEKAVKEGMDKTSTETQIKNLERELSEAKESKFESEKKIETLEKKIE AMGNLHKESETRHQARLRESEKTEQEVAQLRSRLASAENENLRLKEEQETLRKRNAVG ADDDGIDELEDEERSRLERRIRDLEGEIFDLRRGVWKEKREEIAHGREPSVAYASNAN QAANAFDDVDLVGGAPEHARRRSMQQHSSFSTVLSSGLAAFTGGNTYGNRARAPSNKQ HPPAARGSLELLSEENFDDEFDEAEFARAQAEEEARKRVEWVREIKKKLKDWHGWRLD LVDSRAGAEGAGVGMGEIFEI AFLA_062520 MNGFASHDLDEGAFGEKSGLQGGLKTFDAFPKTKPDYTAPSRRG GQWTVLILLICSVFSISEFKTWFKGSENHHFSVEKGVSHDLQLNLDIVVQMPCDALHV NIQDASGDRILAGELLKKDPTSWKLWTDKRNYDHEYQTLSREEPSRLEAQEEDAHVRH VLGEVRHNPRRKFPKGPKLRRGDAVDSCRIYGSLEGNKVQGDFHITARGHGYRDMGGH LDHSTFNFSHMITELSFGPHYPTLLNPLDKTIAATESHYYKYQYFLSVVPTIYSKGHQ AALDSTLYTSKPSHSKNVIFTNQYAATSQGAELPENPYYIPGIFFKYNIEPILLMISE ERSSFLSLLIRLVNTVSGVMVTGGWLYQIAGWGGELLRRGRKKRSEGVLDGKLTDE AFLA_062530 MSRRLRSNSIRRKSVTISNPPSDSVPSRPPTLATATRSRRQSLA QPSNASSAAPRTSRKSIGQGSIPSARRQSLSSRKASADASHNLLHPRTQNLESMPNDA TRLPTSARNIKAKSLQPPSREPREAFLTTSGSADHSRSSSTNAVRTPVKNAQAPLTTP SSTSKRVSVMPPHATGLGARTISPTDARRLKRMSTAPQAPPLPYTPPTQTDSLPVRPR SCAQSPSYIPRKSVTPSSNRTTPDPSRKSYSSGLSLSSTTSHNSARNSGGSLQTRLAQ AASSSRLPTPKPRVEHTVPADEEVPPVPAIPKAYESPKGEPEQPNCTAPRKSHIPVDI AVLKGKSDTESDTNPTASATEDDTMDLPAKRALKTPEAKHKPTASLGRRNLQPLKLPP LNLLPLGTPMTNKIQAMKDREEDAKSHTPTGQVVAKTPSTPLTASKANFFAVEDDAVP RTQARSSTAHFVVSTSSGATLRTASSSSALASFENTSVGARTNSPYVSYSLPKSNSDV NNLRQKASADYSSRVSQSHKLTGPRPQTQSSAFSSNAETISQISTPSDPDNNSTSGSS FMNKITITRHRSNSRPQQVTDANTDPTKLEPMPPPKLPASATWNNLSTAKNTSPTLKP SYFKSKRQVSVSNAAASPIRKPSFSSEQSLSLEHSISNESRHSDAPSHRSASSILSPV HRILNSAKSNAAMNSTSSDPNVDPDIQIADDEMRKLNGRRKDFENAAKELDELRRKAG PKERVSPAQALKMANLNIFERGEIIDFKDIFFCGTQHAKKHVGDLNAQAANFGYDDDR GDYNIVIGDHLAYRYEVIDVLGKGSFGQVLRCVDHKTGGLVAVKIIRNKKRFHQQALI EVNLLQKLKEWDPHRRHSVVNFTQSFYFRGHLCISTELLGMNLYEFIKAHDFKGFSVK LIRRFTKQILSTLVLLHTKKVIHCDLKPENILLVHPMSSEIRVIDFGSSCFENEKVYT YIQSRFYRSPEVILGMSYGMPIDMWSLGCILAELYTGYPIFPGENEQEQLACIMEVFG PPEKHLIEKSTRKKLFFDSLGKPRLTVSSKGRRRRPSSKELRQVLKCDDEAFLDFISR CLRWDPSRRLSPHDALRHEFLTGLKMPSRSRTYTASLNSPAKRGNTLSTPSSGRPLPE PPGTSLKHGTFLRSRDVSGSSPVKPIAGKRHSTVSGVQPSTPKRSTTTSTTTPGSALP RVAGRSISGKPDLATAAAATSLVS AFLA_062540 MEEYTPDAIVNRDEPVPVISVGKQRDEARDSKPSAGHQRSTSGA GRSLQDKLFAKLLQQVIPAEDVNDDSVVVGDKRPIDPKRPAFSLPLMANNFRRFNARI GIVFSFQTRVERLLTWRKSSHTFSFLFVYSFVCLDPHLLVIIPIASILLFVMVPAFLA RHPPPPSTSTSSITPYYSYQGPALAPAKTIKPAPETSKDFFRNMRDLQNCMADFSDIH DATVSAFAPLTNFSNEKVSSVVFLVCTIITALLFLTAHLLPWRYILLVGGNAAILSSH PSLQELFQNIAGDLTNEPARKAPINPNNGKKNTMDVLGVSLPSSPSATMSSLRSLADI SLDTYPEEREVEIFEIQYRSLAPYSDSQWDHFIFSPMPYDPLSPLRIAGDRPKGCRFF EDVQPPSGWAWKSKKWELDLDCREWVVERMITGVGFEVSESVSEESMANDEIGGWVWD LPSVTSYRDSSGVNAALGYEEFDSDLKSSVRGEIKKVKRKGKGRASQDYEEKGGTGPS VMGEWRRRRWVRIVHRTSMPTESDKAYTASEDRD AFLA_062550 MATSAVHVPLRDSFHSKDFKAIDRYRLNIIRKAAACPPGKLDPL IQEFRQLIESNETLKNLAENMLQEVPPTPTYDFDPSGQFARINNIDDMLKCLNAVLYR APHWNDDAHKAGLIGVPFNAILNWPMATPSGYNFFRYDNVNRCLEKILNAHGEFLKSP QSRDALPSWFEPGPLSILTEKASPYWPDGKKKNFDQIYITDAGDRCRGFQSWDAFFSR KFRDGIRPVEYPDRNHDMADRNKNVIANACESVAYHCASKVPRSAEFSLKEQPYSLQD MLDGNFVEDFVGGTVYQAWLAAECYHRWHSPVSGEIKKAVRIPGTYFSELRAYGFPEV EGHREIPDPSSPNLSQRYITAVATRALIFIEADNPVIGLMCFIAVGMDEIASCTFSVK EGQKVMKGQELGSFHLGGSSHCLVFGPHVSLSWTRDAQGPFSDTEFDKHTIIPVNKWL AYASPASK AFLA_062560 MEKQPNKMSTHSHTHVSKPYSLLQYCVIAPCLLLAGICFSSFSL ADAKQTGYTYGSSYDATRKVPFEVHIESRCPDARDCLQKLVAPAYWQVKDKVDFRISY VGEVWEKPRRDVTCQHGMNECKGNKLLVCSEKHAESISDALDFNTCVLSDYERVPDEG LIEECAQEHNIDYQKISDCANSEEGLELLISSVERSVAVNANASCTVRVDDKEWCFRD NYEWKCPSGHGVVENLVQEIEKLSGDGEDGTEYL AFLA_062570 MFDEIPRFDTDQSKFYNVRSFDEALIIFDAILFQSPSVMTLNSG ISGITVPFNAVLNWPANTPSGNEFFLNKEVNEKIKNIVNQWGAFLQSPASREYLNADS DNGWFSPAYVTKMKEYAQWDGPIEDLYVCDPTKDYWGFGSWDAFFIRRFRPGLRPVRE DDEPDIYIVSPCEAGPQVEEGKQYPKDVRKKVQARDTFWLKGQPYSIFNMLNYDGLSR RFVFGTVYQGWLSSACYHRWHAPISGTIKKVALVPGTYYSTVMSHNYPEPDPSGPNLS QLYLASVATRALIFIESNYKPLGLVCFIAIGMNEISSCEVTVKAGDSVTKGEEIGMFH MGGSAFCLLFENGVDLKFEDLPTGSTLFKLNSRLAEVLV AFLA_062580 MLYSPGNYCVNQTMIEEGAILGCSTYKMPNTEEYASLMSEPRKA SLRDQKKHTASQISQLSQFSTASSSVSDSLEQKVKALSSQRELLVI AFLA_062590 MYFMTNDPVYRKWGWEIFEAFKKHTVVKDAKGYTSLHDVTKLPT PQCDNMESLWLAETLKYLYFLFSPREFLPLTQVVFNTEAHVLPRFNQTKFQTGWNRRE L AFLA_062600 MTDEARVSYSQWRHSFAWAIDPDWTRSGADEDGYDGRLKISATQ IYFRFYEFISTKKYTLKDIWRDFHQVNKTQTYVPGPLPAWPSTDLDKPVWPDS AFLA_062610 MASNPVYIQRNTETLLDIATDVIHMLNQAGQTLGVAESLTAGGV MAALTSVPGSSAVFRGGVVSYATPLKQQLLGVDPDLIATMGVIDPDVATQMAEGARKV TTYDNSPTVWGIGTTGVAGPASQDGKPVGTVYIGIASPTGTRAWGPFNFPGTREHIRE ATVLEALSRLREELIAYQGGGRK AFLA_062620 MSQLAAGEGISSIPKQGNLCQSAGWVKTIGGYSHILYSSTAALK RVLDGIRLTWSNPLCSLLLCTGTNLLSRIGNGNKTE AFLA_062630 MKPQSFIFFALATGVLAQTSPENDYKRICFALDGQEEDILPGYR VKYTCDFYGQHNGSPVEGVESAKDCAQLCQTAGSSCAGSSWFMKGRKCLLSGSNSEAK RKFTVYMEKVGEASDPFGEDEDDPFGGDEPEEDDPFGADEPEEDDPFGADEPEVDDPF GADEPEEDDPFGADEPEVDDPFGADEPEEDDPFGADEPEEDDPFGADEPEDNDTACGC DNCEVDVACGYPDEASPALKGKAYKVFCQKLDDVGGKNIRPPFKVNSVKECIEACSDV PNSQCKRAIWTNSRDANGQRSCWLREWNGVKKVPTKVGSWSSAHVQE AFLA_062640 MKFQAPLAMLSLAGVAYANAPHARPEYKRICDTPSSGEVEVQPG LHATFSCGKYTTDGSRTGLNVANPEACIGECASSDGCVGAIWTAKTTLPYPCYLVQHG SEPSIKTGSDNMWITYRKDEEEAGEDPFGGSGCEADLETMEAELKKCKGLAARTCTNG LQVQTYSTGSKKYKIACGHTVSAAVGSGANMNIVKDVDSFQECADLCASTAGCARATV DTKANKNTCHLYRNPSSQIRASNVDRSVIYLV AFLA_062650 MIQTVAIVLIAAERPRSCPVSMLFFFAVELAVEMAVILNRDAYA VSRVYAHCIAASAAAAACATILFMPFRGPSLPRVDIGVVGQPPSSKFRSPEDNLRLWQ FLTVSWMSPLMTAGKHKQLNEDDVWFLGFEFQHRRLHEKFRQLRGSVISRLLQANGID VLIITGISTVQMLCDFSTPVLLQQLLSAMGNPYAPKRVALTYAMLSLVLRLVAAQSQV LNLWYGRRCYERSRGEMIMMVYEKALSRKNIFDQQIPERGSQNESQESEDNNGEVSPS AKQRKLCGLFPQRQATSKGKVKTAASMGKIFNLLRGDVYEVAQRFWEVDTLVDKPLGL VIATVLVWKLLGPSCFLGISAILVAQVLNVFITRMLLRWERVRRLATDTRLQISSQFV EALRHLRWYGWQNHWLRQVMEARQSELNLRIVTSLFNVLIRFVNTFASGLFPVVALYA YTLLAGNPLRIDIIFPALQLFTMLELRLREIPGLITVLINASIAMERIEDFMAEPDKE KQEALASNAGASLVLDSCYFAWPVHLHDGRATIEDKQNISSTLSIDDTDESSSSHTTD DGAQDEATDQDDKAAVPDKFIEEEHRAEWGVKAKVYWNYIRAGKYKWWLVLAVFITMY RLAAVGQSWFIKEWGEAYDHDQTVVLFGFSPSYKKASSGGLGEPLVLPQVLSWKPGNP FDKFPAPVEDVRPWLVAFFAITVFQSLTVLVSQLMMIVIVYCAGQTLFQEVMLRVSHA TFRFFDVTPIGRLMNRLTSDIGVVDGNISEQFQRIIFQAIVWVSSLLVIASVTPTFLA FSFLLTAAFIFTFLRFLPTSQSLRRLEMVSLSPLISNFGELLHGLTTVRAFHAESRFQ DRVIAVVDKFQGMDHFYWSLQSWLMYRFEGLSALSTFCLAAIALYTNASPGLAAFVLI AGNNFVDSTHALCKQYGQLQMDFVSVERVDELLHIEQESPGTLEPPASWPKFGSDIVF EDVTIRYAPHLDPSLNNISLRIPGGSTTAVIGRTGSGKSTLAVSLLNVIRPDGGRILV DDHDIAQVSTQALRTRVTFVAQDPVLFPGSIRRNLDPTGEYTDAECSDVLKRICSRHG WNLETHVEAGGRNLSQGERQLIGLSRAVLRRSSIVILDEATASIDHESSLEIQQVLRE EMKESTVITIAHRLEAIKDADYYVVLDQGRVSKQGYVKDM AFLA_062660 MPYMHTSSISPTPILPNTSTSQHVPRKTKSSLPSLFYDTTSSLP LILHLNLLPPQTTTNSKMSTILLIIYLYTITTLLNPGWAQTNQYSPSHPHLNQPQITL TMKHQKNTPKPLLNPRYPLLPARLPPKPGNALLCLTHQSRASLLQYRVRFLPVYIQAF LEREALIHLQIGAGNGGIGYESSPAAGDAICTFNGTGYTRMRSTVEVPGARIYDVESG SIDGLERVDIVSLEKGVGSMMGSSQSYGLEFGSSHAVTSSLSAVWGSFQPVTVSVAGE MGEPVQRKRELWFCFDRGRSRSMDPGDRVVVTVFGSGSSWMSSVVRSQETTMDSEGVV VSRLGLGMGSTRALSSTYVSTSTGSFAALNGTSTVGFPVATGSVGGGGALVVEETWVG FF AFLA_062670 MGNPRQKRKLKSSLPKQKPKRSGILKNGNKKINVLGNAIIAENW DRNLTLTQNYRRLGLSHRLNAPTGGSEKRVTKNGIETVPEDSLHIKSSAQAATKNITL GETKVERDPETGKIIRVIQPDEHEMIVVAGRKVRKSNPLNDPLNDSSDDDMEDAGSQK KTPASAIVEQLERQADKESSAVKAKKPRHMSEREVEWITRLIERHGDNVAAMVRDRKL NPMQQTEGDIKRRIRKFKESQQ AFLA_062680 MARLFSTPHRLAFALAAGLVLFSVLAVAKDAIPVGELSVSQIED ELQNCPLVESLNEHKRATNPQTTSLTSKIFAVLFPGSPAVNAILATAYISGPPNFLLA LCPPNIDPSSLSVMVAFAVGGLMGDTLFHLLPEIFLGEDSPDHVRFVMVEPNRNLLLG LGIMVGFFTFVAMDKTLRIATGGEGHDHSHGHSHSHEPSTAVTTSTSPDNKSSGDLKQ RKPTKEQAVADPSPEKEINPSVKLGGYLNLIADFTHNITDGLAMSSSFYASPTIGATT TVAVFFHEIPHEVGDFALLVQSGFSKKKAMGAQFVTAIGAFLGTFIGIAVQEFGGSHG AAAADAAEGVVSGLWGTSLQWGDMLLPFTAGTFLYVGTVSVIPELLETGKDKKVEIKK TIVQFLAVALGAGIMLAYVSLSLFSLFFSKHTIISEWGLLT AFLA_062690 MAVARPMRRTSPITFFLAAFLAFGFLFFLLSPSSSATTAGDTSQ QRREDAADHPLSPPTKPFLKSQPVRNDGLKAPPPVVHYDLNKLTTTSDSADKGERVLI LTPLARFYPEFWDNLVHLDYPHELISLGFIIPNTKEGSAALSALESAISKTISILRQD FEPPLQSQDEKERHKLENQKARRESMSRARNSLLFTTLGPATSWVLWLDADIVETPAT LIQDLTGHNYPVIVPNCYQRYYNNDHKQMEVRPYDFNSWIDSSNAQSLAESMGPDEIL LEGYAEMPTYRTLMAYLADTESPNPRRVIELDGVGGTALMVKADVHRDGAMFPAFPFY HLVETEGFAKMAKRLGYQVFGLPDYFVYHYNE AFLA_062700 MSTASTAFETSTTPSIHEHQTSKKMGGSAAGGGNAPPVTSSKRD YKGFVAGVFSGIAKLSVGHPFDTVKVRLQTSKDGHFKGPLDCVLQTVRKEGVSGLYKG ATPPLVGWMVMDSVMLGSLTLYRRLLLENVFSRPEIRRITPFAKYQDQATLPSFGHGI AGIMAGTTVSFIAAPVEHVKARLQIQYAADKSKRMYSGPIDCIRKILRTHGLGGLYRG LCATIFFRSFFFFWWGSYDVLSRWMRERTNLSTPAINFWAGGISAQIFWITSYPSDVV KQRLMTDPMGGALGDGQRQFRWWKDAAVAVYRERGWRGYWRGFVPCFLRAFPANAMAL VAFEGVMRWLP AFLA_062710 MTPNLSSSSWSPPSHRPSTVCAQDQLESLIARHGHPTRVSLSSL EELTATSSTYNPPSSRSPSPLSDTTDSLETLSLSTSASRPIPIPKPSFHTHQDDLPVT PLTGRFDKGYYFAHRDQADNKTREKPTHHRSRPHPHRAPRSSARMRSDSSTFYSPVVS SSMSPSARPSSPQPPRSRSQNPTKSVPAFHLSNLPRFHPAVYSAAGSQGQPTSPRQPR PSAYRTTSGSRDAMWQYQELVEGVTLSKTPSRPLSPSPSAPRLDPLRSPGPVTPLALE EASGYLISGTSNASAFASRDAPSGPAPDLIDRLIVRETERARQNAKKGTKTRY AFLA_062720 MGDAARPGDDIFSQVLQESLKTWSSYLDAGSEGHLLPPHAIELK SLVSQTPAFLGAGLSSSGEKISFACEEKQSAQGKGSLFINGQSTWKTSRGICDLEPRV LPKQLQLAVGSRVTVKLSEDSPFSDWPGIHGLSGYDNGNYLSVLYLAWAYILSARWVE LLSRSADHECYMGYTAQEGEDSQQSDNHTLIQIDLSDDACEEEALWWRAILYSENGWN ATTKYNGHEYLSPWSVSAKGTGLTVATKASGGKSDPPSSMTALQYLTNFCVHHRLYAQ CSVALAGALYIPFLRGRTKWGSSMANRYAALTSAVSNLLQLAKTMTDLQSGPGSPLGL QNFAMQSYWYENMLNVLLIA AFLA_062730 MATQKAVVITTPKHAQVVCDRAIPKLRDDSILVKTVSVALNPTD WKHIDFLAPAGVLVGCDYAGIVEAVGKGVKKPFTKGDRICGFVHGSNAVQPEDGAFAE YIIVDGDLQYKIPEGMGFEEAATLGLGVTTAALGLYQSLKLALPIAPIETKTPILIYG GSSATGTLAIQFAKLSGYEVLTTCSPRHFDRVKNLGVDAVFDYNDPASASAIREQTKD SLTLAFDTISVESSATYCDHALSTKGGDYSSLLPIKTERENIRDRSTMAYTAFGRSFK FGPRDIPAQPGDRAFMEQFTGIFQDLLASGKIKTHSPRVSNAGLDGILDGLQLLRNGK VRGEKLVYNIVDSS AFLA_062740 MAPKLSSTSTQNYSFGVYHSGSGIRMYVRKHCGTIRSGANDIRP GAIAEDVTEKTLKAGFRHIDSAAVYGNERECVSAVEKAGLKRSEVFLTAKILPQATGY EAAKQSIEGSLKKAKTDYFDLILLHAPFGGKEGRRGAWRALAEAQKAGKARSIGVSNF GLHHLEDLEEYIKGGDVGRIEVDQYELHPWLGRADLVTGCISVVWLLRHTPLWPEELE WMNPFYAQSARNTRKAQLKF AFLA_062750 MTETPRTSQLVDPSLLLSLQRMPQQFMAYSPDEDWSGVTNPAAR RRLQNRLNQRAYRLRRQGQDKRATQTDGAHIESAGHHHPTPVPTPAKALAHHDSITCG LSELQHLECTFAPPNIHDLMAQFERRAMARYAEGSPKTDLLLNLSRLNVLRAAYQNVV AIGMTVEWMCQDTNRATPPMARYLPNSTNAG AFLA_062760 MLFCGNIHRFNYPSFRLTARENMATHPAIQITGIKQPLKLVQVP TPEPQQNEVRVRIEWVPSAPLDVYQVDAGLMVQFPQGLGDSGVGTVVAIGPGVEHLRV GDQVFGFFFHNEKEKGQQIYVTAPEHLFGKVPPNMPLAAVATVPTNFCTAFLTLSDKL GLELPWPRSTDFLPQNQHTPILIWGAATSVGQFAVQILKHWGYTNIIATASSKHHEKI KKHGAKHVLDYQEPNAVDSILNILNTESPAIPIRAFDCVTSKSGSLQHIAKIATLPGS IVAAVLPVVIRPPSHKEGVQLSADVNGEASWVPGVQVHSVVSYTFEANAFLRDHLFPE IVPALLESGAIEPNQYREIEGESLLQRASTALDTLRSGAVSGERLVWRVWKKEEYPQY K AFLA_062770 MTNQAAILTQTHGPLKIKDLPIPQCHPAEVLVKVKAVATNAADW KIYEGHFPQDLPILLGCDIVGEISEVGSSVTGFSIGDRVYAIVAGYTQQQLTGMQSML KTGRVASDLRHGGYQKYVPMLPRMIFKVPECISDEIAATFGCSFFTATAAVFKSLGFP YPILASAPPGELEKVLVWGGASAVGAFAIQLLKASHAEVTAVCSAKSFDYVRSLGASH VIDYGAGDVVNQVKEQGLGFRIAFDAISSKETCNACLDIVGEGGKVANVQFLEALRRP NIDLVHTNVVDILGESVSHLFCVDVVGLC AFLA_062780 MEWPKDPANPRNFSKGRKWSILGFVSLMSFLSPLTSSIFTPGVQ FMNASFHNESNVLSSLVVSIYLLGYVIGSAKAPSLAALIIFRFLAGIGGPGCLTIGGG IISDLFAPNERGATMAAFSIGPLIGPTISPIAGVFFISAGQLEMGMSNDFILLSYEDT KELASILGITEQFNFSELIGKAGLVSIAEEIKDDHGKPQFVDLISRMLRWSPED AFLA_062790 MASTTLSSRCRVCGKRRIFVECLTCKAMLQNAENDWDNLDFLSF DEQSMSSSDTIFPHESRYQTHTFVPESPVVARSIISIDEENLQQMVKKLNRKLDRHYT AITREQRRILQSSPGPSRCLATMPPVYSTESKK AFLA_062800 MKYVRLGTSGLKVSPICVGCMSFGSPGKPLEWTIPEEEALPVLD HCYRSGLNFYDTANVYSNGESEVILGKAIKQYNWSRESIVIATKVWAPVGRNSERPLG MSQEERDNNGYLNQYGLSRKHIFDSIDASLKRLDLPYVDLLQIHRFDPNTSPKETMEA LHDVVKSGKVRYIGASSMFAHQLLEYQYTARMNGWTEFITMQNLYNAAYREEEREMFP ALEKFGMGCIPWSPIAMGLLARPWNSNDTARGESMKGGLLGNPLTDADKKINEKIGEI AASRGVSMATVALAWVLSKPFITSPIVGMSKKERVDEAIQAIEFKLSEEEIKSIDDLY EPRRIMGHS AFLA_062810 MALSIAELAPQDEKLQLLLEAIDSASRGTLQVVLKAIYQDDQSI RDRVSNSLLITEDQVRIPSKDDEESDEEGSEDEKDEDDEDDEQSESEDENPRSERRRS NQQATGSKRLRHRYAYCENCDKGFDVTKNTKPAEDIIQDTNTVPTSTRYKSPATSTVY AFLA_062820 MPISPSTSCKMGSEPIAIIGLSCKFAGDATSPAKFWSLLEEGRS AWSEVPSSRFNPKGAYHPSREKLSTSHIRGGHFLEEDLGLFDAAFFGFSTETASTLDP QFRLQLESVYEALENGALSPFFYRSGHRASSGLSLSQVAGSNTSVFAGTFFHDYRDAL VRDEDNLPRSFITGIGSAMASNRISHFFDLRGASMTIDTGCSTTLVALCQAVENLRSR GSDMSIVGGANVLLNPDNFKALGSFGFLSPDGKCFAFDERANGYGRGEGVATIVIKRL EDAVAAGDPIRAIIRESVLNQDGKTESLTSPSQDAQEALMRHCYAKAGIDPGQTQYFE AHGTGTATGDPIEARAITSVFQSHRRREDEALRIGSVKTNIGHTEATSGLASVIKVVM AMEKGVLPPSINFEKPNPQLALDDWRLKVVTELEKWPVAPGQTMRASVNNFGYGGSNA HIIMEDANGLSRNRSVNGHINGHVNGHANGNINGVNAHANGATGDKYRLLVISAKDEH VHQNMVARLAEFLRQKERDGSKDTEDFLQNLVYTLGQRRTVFPWVAAYPVPITQGLDG VAKVLETPKFRPSRPSQRPRIGMVFTGQGAQWYAMGRELITTYPVFKASLEEADGHLR DLGADWSLMEELGRDAKASRVNQTAFSIPICAAVQIALVRLLETWGVTPAAVTSHSSG EIAAAYTVGAISLRLAMAIAYYRSKLAAEMTSSGPIKGGMLAVGLGHVDVEQYLERLT CDARAVVACVNSPSSTTMAGDVEAIEELETLLKAEDVFARRLRVDTAYHSHHMEPVAE NYRQALRKMPKEEPKTKRLESIAFASPVTGYRMTSTRAIANPEHWVGSLMQPVQFVDA FIEMVQGDLSAEAGSNSVDIIVEVGPHTALGGPIQEILTMEEFEGVRLPYYGTLVRHA HAVESLQTLASNLLKEGYPINIESVNFPQGRSQNVRVLTDLPSYPWNHQTRHWLEPRL NLGYRQRDQRPHELLGSLVPGTNPEAPVWRHILRASESPWVQDHVIQSMMLYPGCGFI CLAIEAATQQLLIAEEQEDREISGYKIQDVNVQQALVIPDTAEGIEIQTALRPVSDKA IGLQGWKEFEVFSITSENRWMRHAQGLIMVEFNNVQKDVCSTEQEIRHARLIDANDMW STLEPLGIKYGPTFRNIGDIHQSKTELRSTSTITVPDTSVPNDLPRNHIIHPATLDAV AQAAFTALPGVAFHQESSRVFQSIERLWVSSKISRETGQVFKCHTKLDYADVQGIRAG VVLFEQDRPVVEVHGLQLRSLGGNGTQSLQGGLCAKVVWERDLDLNFESDGASHTGEG ADLRRLSLYFMEDALADISPLEADKLQGHYRDAYAWMKDKLQSTTLDSIRPDRATLTR QVAEASPRGEMLCRVGPCLAAILRGQKALQDLTNEGGLLEKWSSDNGDGVAQGAALLR QIVHKRPRARVLEIGARLDGTTRAMLEALGQLGSLYHFSNATDDEFKHAGQELAAWSN ILMFDTLDVAKEPSLQGFELGSYDVVIVSGIVQPLAQSLANLQALIKPGGKLLMVQTP HYELDRELLLGLLPEWWDAKSNGPNASLDAVSWAELLKDAGFSGVDVAVSDSKGETAH QTSTIISTVPPMDVAPLPRSEDIILITSNRAGVPPPQWLEGLQSLISRLDPDTAPTPL PDICVLESTSAESYTGKICLFLGEINQPILRDMDATAFEAIKAMTTTCKGLLWVTRGG SVDCERPDLGLAAGYLRTARSEYLGRSYVTLDLDPSATPWSDNDVKAIVQVLRVSFDS SSPGEFEYAMRDGVLKIPRVLDDKPRNRLVSPTDTGGADAITLGPLRQADRELSLHIA VPGRLDTLSFTEDASLSDSGDLSPEIVEIEPHAYGINARDVRVATGQLRGEFMGLECA GIIGRVGAEAAAQGYAIGDKVFGLLPQGQFGSIARTPWTSIMHMPPSISFEEAASLPV AYCTAYICLTGLAHLQPDQTVLIHAAAGSVGQAAIMIARHLGAEVFVTVGTPEKRELM TQKYGIPEDHLFNSRDTSFVEGVLSATHGRGVDIVLNSLSGSLSQESFNLLAPFGHLV DIGQGDLEANSHLAMRPSNHPTTFSAFSLLALAQHNPRQLNRAMVEITKLIGEQQLSP VQPLTSYSMRDVSEAFRHVQTESHAGKTVLSIESDMKVPLLQRSLSPKFSLDASYLLV GGVGGIGCSIARWMADQGAKNIIILSRSAGRSEQAVALIDELSEVGCRVKAASCDVSS ESDLADALRQCQEDGLPPVRGVIQGAMVLKDTLLERMTLTDYETAIHPKVHGTWNLHT QFAQKDSLDFFVMLSSAVAVAGNASQANYAAGGSYQDALARWRVSQGLPGVSIDLGAV KGIGVAANTGVLGHLQRVGFAPINEEQVLSILGTAILQPYDPQVVVGLDSRPGSHWDA KGESQLGRDMRFAALKPREADGAGGANPTGGANSLASKLAATKSLEQAVECVGAAIAE KISDIFMISLDEIDLAHKPAQYGIDSLVAVELRNMLVQQAAAEVSIFDVMQSVTLSAL AATVAAKSAYISQSN AFLA_062830 MNQMTQPDIVHAVIIVDSDTIASAICPLQALAMPHSDSPPTSNS TGGKAPNVAIVGGGLIGVMLGLGLSHRNIPFTIYERASDWHEIGAGVALTSVAQSSMQ RLHPEVLPALHRVAKANHFGFWDGFTPSTKEAAQASEALHFLLDVPGTDYWCCMRSQF LRELVALLPKGSTRFNKELESYVDDPSREQVLLRFTDGTTAEADTLLGADGIHSRTRQ LLLGDDNPAAHASFTHQVGYRTVLPISESIEVLGEAKGGSDFCIHTGPNAYVPSYPML DGTEKVLNLTTVIYTPEPWSHGEKMVAPATHDEVAKVFQDWSPPIRDLIAKFPEQLMK WGIFDMADHPAPTYASGRVAILGDAAHASTPFLGAGGAMGIEDALAMASAMQIVRDAE TSAATIPAALQAFSAVRLERSQWLVQSSREMGAIFQCRDPSAGSDGNRWRVEAEQRTK KIWEFDVDGMVEQIRLEFEQRMAKAA AFLA_062840 MDARNQEYHKNTLHLPRILCLHGGGSNARIFKTQCRVISQRLEP YFRFAYAEAPFDSGPGPDVLSVYAEYGPFVRWFPDPEHNIDDRAAIKAINNSVKTAMD EDDRSGATGPWVGLLGFSQGAKLAASLMFRQQVRAEKLGRAQAGSDWKFAVVMAGRAP IVNLDPDVFRSSMLSNTSQIDLSGLPDVDDLMGEEHILKLPTIHVHGLADPGLYFHRE LLDDYCSIDSVRVLEWDGAHRVPVKSANVNPLIDQILRLAKEIGAL AFLA_062850 MVPAMSRKNRVWSRREIEGLIADGRKIIILGDQVLKVDTWIPYH PGGNKSILHMVGRDATDEITALHSKEAQAHMQKYVIGTINGRWENFLPPIQGGIFRPL ASKGVDDETLDAEEDISSSGKSTPPSPVFDPVEKGDGVRRRRAGTETPISRASSVFSS EPELAPFGSAEASIAHAISSDLSKYPRLDQKSQDEVVTKYRQLDAKLQSEGLYDCPYS SYAIEFIRYSVLCVLFLTSLHYSYYALSGLFLGLLWHLLAFTVHDAGHLSITHGFHTD SCIGIFVADFLGGLSVGWWKRNHNVHHIATNSPEHDPDIQHMPFFAISSRFFTSLRST YYDRDMNLDAAARFFIKYQHYLYYPILLFGRFNLYRLAWSYLLDPAQAPRKGSAWWHR YLEMAGQVFFWYWYGYRTLYLSIPTWSSRITFLLISHMVTAPLHVQLTLSHFAMSSAD VGVHESFAQKMVRTTMDVDCPSWLDFIHGGLNFQVVHHLFPRLPRHNLRRAQPYVKEF CQDVGMPYVIFGFTRGNKEVISRLGEVAEQLRVLEECRKVAARDLVEGRHGH AFLA_062860 MANITESLFIFGSQIAYSEKAVSDIRTTLRNKLSRQWVLNTVAE LPRYWDALTKELPEITDSIGSEGRQLLENLGPWLKSNRSKALGVQGDALPGVVFVPLI VLGQLAEYQQYLQACNNGGNSDAQAALVASKTPALGFCMGLLSAYAVASAQNLEELNR YSAVAVRLAMLAGAWSDAQETWNPHKTYAVGWTSEKQLQDLRRVIESISPEAYISVLF DEARATVTVSERAAARLMRKARAAGVVLSDTGFRGELHSPKVQAKEKVQDLIAFCNKR HDLQFAKTDHLPLTTFTNAGDGKPLASGDGSLQGHALETLFARQCDWYGTFKAVKAAY LNGSETSVITFGPDRCLPPTLVRHMGSRAVHFADVYEQPSSADDDLVNDNNPVDDKNP INDNNTINGNTPVNSDKPVNNDEPVNNDDPVNDDDAIAIVGMSIKVAGADDLDEFSQL LRKGTSQHEKVTRERLNFDSLFREPDTRDYFCNFVRDVDAFDHKFFKRSPRESAAMDP QHRLLLQAAYQAVEQAGMFTEATRAGQEDKDRNHVGVYIGTPSVDYEHNVATHPLNAF MATGNLQSYLPGRVANYFGWTGPAIAFDTACSSSAVAIHSACNSIRNGECYAALAGGV CILTNPHWFQNLTAASFLSPTGQCKPFDEKGDGYCRAEGIACVFLKRMADARADGNPI LGRLASSAVYQNQNHTPIFVPNSPSLAQLFGDVVKKAHLSPRDIALVEAHGTGTAVGD PAEWAALRKAVGGPIRPQPLPVGSVKGHVGHTEGASGIISLIKVLMMIHEGFIPPQAS FNKLNPTIKATAASDMMEIVTSLRSWDSRNKVVLINNYGASGSNAAMVVAAPRTPNER QDAASIKKLEGRFPFCISGSDARAVKEYAAKLATIVNRQSKSTLADLSFNINRQTNPD LPQRLLLRCRSVDELKDRLASVSEEELTNTQAERPVVLCFGGQVSTFVGLDRKLYDNI AVFRHHLDECDNVLQTELGLPSIFPDIFSRTALPDPVRLQVALFAMQYASARSWIDCG LSGKVVSVIGHSFGELTALCISGVLSLKDALTLVARRAQLVRDAWGDEKGSMMAVEAD ESLVHSLLEHAGRQLGGLDSPASIACYNGPRSFTLAGSVKAIDAVAEAISNGDKFSAV RSKRLNVTNAFHSSLVDPLIDRLEDVGKQLEFHEPSIPLERATETAFAGPLTPKFVPN HMRNPVFFSHAIQRLAKKYPSAIFLEAGSSSTITVMARRALAGQVSKSQHFESVSVTN EKGLDGLTDATVSLWEQGLRVAFWAHHTLQTKDYTHILLPPYQFEKARHWMDYKSASD LVAGMTQRAPVSDSKSMPLWEFVGYQNNDTKHPRFRVNIISDKFKKLVSGHIFAHTAP VLSGTVQSDMAVEALFSIHPDWKEQGMIPTMIEANIPTPICVDSSRKVWIDYEALDAE HTLWQLNISSTSAEGTLPRRHLHGRLHMRSPNDPAFISQFARFERLVPYEQCARVLAE QGGPDVDVLQGRQVYKAWSEFIEYSDQYNAVHAVVGKKNECAGRVHRRHAGESYLDIA LHDSFKQVSGLFLNCMSDSKPGEIHIGSDVELVMRSPRGILQPGQTQDIWHVYARHSQ VSARVYMADAFVFNPANGELVEVILGLQYTRMSIDSMKRLLMNLTVDEWALNLNKSGA AVAKPTPRAPQVAVSSSPKKAQEKQKETKGPSSQPAKSNLTVEVKRVLASVVGAEVDS ITLDSETADIGIDSLMGIELLREINSAFHCKVDLPELLAATTVGHIVTLVAGALSEGG AIELTDDDDDMSESSQDDRSPTPTTASEIDTATSEDELPPIKDVHSEELTKRDAAVRE AEAEKYVAKYTAGWTPAQPAYTSEAIADSNDAVVIVTGATGSLGVHVVAALASHPSVA TVVCINRPRPTPVETRQDEAFSSRGITLTPEARSKLRILSTDTSQPQLGLEASEYEWL TQHGSHIVHSAWPLSGSRPISAFAPQFQTMRNLLDLARDMAVHRRVGFQMVSSLSVVG HAKEPLVSEDRVHMDSVLPPGYGEAKWVCERMLDETLHKHPTLFRAMAVRPAQIAGSS RSGVWNSVEQVPFIVKSAQSLGVWPNFRGTVRWIPVDAVAAILVDLLYIGDEETAPDP YPIYHIDDPVGRPWEEISSVLTDALDIPASQSVPFKEWLGIVAHSSKPEIENPAGRLA DFLEQNFERLSCGGLVLDTTKAQEHSATMRALGPVSDEVARGYIRAWKEMGFLSS AFLA_062870 MTETFVNKLARAMSIIFGCQYFSTDHRTSVHWSFFGIAENTVTA AGAFKMAHNKILDWACSYKGGSSTFSYRIGVADGLVAMANREKKIELAMAIKKELDMI AAKEREEAMERERELERLRNMPSLAVDPAESDDESQDEGPGFLDINDMSDQPFSSLDS REHGNLNSGEGMADFDEYDENVIDLTGDVDDNIDKIIKREPLETLGFNSTPAALIKQE SSIENPSSVKSELLSGFPWVSEM AFLA_062880 MATLSGKTCLVTGGAGGLGKAIATKFLEAGANVVICDINDDRLQ ETSAELSVKGTLKAVNADITSASAVQDLFDTIVSEFGKVDILINNAGIMDRFDPVGDL DEELWDRVMAVNLTAPFLLSRLAVRNMLEQPNPNGYIMNVVSLAGKAGWTAGAAYTAS KHGLVGLTKNTAAFYGNKGIRCNALMIGGMDTNITEAFMRGVNEEGKDKVVGIMGAVR SPLCDIDEVAEVCVSMTCGKGSRLINGACIPIDHGFSGTVG AFLA_062890 MQLLPAFIAALTLSSGVLAQGWHGCAGGFECHGDEECRNQPDCQ QLAHHNPDKIHCGQANHPYACWAYTN AFLA_062900 MARRYMIPWLYDLGIWIFTLCLDIFFREVYSRGAWRIPRRGPVI IVAAPHANQFVDSILLMRILKHAANRRSSFLIAEKSMREPYIGTMAGCMGALPVVRAQ DNVKPAEGKIYLPDPDNDPTLVRGKGTDFTNKLFMEGGTIILPRVGKTSPEQQAIAQI IGPEELRLRKPFKQFDQDHPLYDALRTGTTFKVAPHIDQREMFDAVYSELIAGGCIGI FPEGGSHDRPSLLPLKAGVAIIALGALAQDPNCGLSIIPCGMNYFHPNKFRSRAVVEF GNPVHVHPDQVEAFRAGGTSKRNAVGSLLETINEALTAVTQQAPDHETLMLVQATRRL YRPLRMKLPLPIVIEVNRRLLKGYTQFKDDPKVIQLKKAISDYNRRLRALGIRDHQVE WGDVKHRPWWIVFCTLLYRVGELLTLAVGTLPSVALFWPVFVTAKVISVKKQRAALAG SVVKLEGRDVVGTWKILVAMGLAPALYTWYTLVVTTWLHYCRHEGHYCAVSPWWTNPR TYVPDSIPLWLFSVFFFGLMISVSFAGLRIGEIGMDVLKSLPPLLVALNPISANSLAK LREERQALSTKVVDTIDTFAPEIFPDFEHEKLVPHGYPHDDTYQSRLKSMPPSEPESR NTSKTRGSRSRSRSAGFLQDTLLKPLTIGSKDDLGEVNRRIRDSMKERGRERVRAQYD SDADAVLVDGSGGSDSGDAVEEKKTR AFLA_062910 MASAAPANIQKGGQSDPLNGDDPNGVGETEVLSGSQKLHRKLRG REVQLFAVGGAIGTSLFVQMGAALPKGGPAGLFIGFMAYGTIALAVNECFAEIVCYMP IPSPFVRLAGHWVDDALSFAMGWNYFLAMALNIPYEIVAINVLLTYWTDKVPAAAVVV IAMAIFGILNVLTVRYFGVAEFYLSFFKIFLMLGLFLYTIVTMVGGNPHRDAIGFRYW NDPGAFVSHLVPGDTGRFLGILSCMIQGAFTITMGVLMVMRAGRIVGPEFISMAAGES ERPRRVMRKAFASFGWRLMFFFCMGALCVGIVIPSNDPTLAAMLDGTKQGRGTGAASP YVISMNHFGIQVLPDIVNVLIMTSVLSAGNNVVFSASRTLYGMSLENKAPKWVSKTNR AGLPYNAVAIAMAFCLLGFLQVSNSSATVLNWLVSCIAGSYLLNYFGTCITYLHFYAS LRRQGISRDNFPYRGRFQPYTAWYALCGTGVMVLVLGYNVFLSGGWDLKSFFLSYVII GVLRAHFRVLGGYSDARDTWGIGKADLQLGSIKREIDEYEEVEYARRRGKPVALLDRL FD AFLA_062920 MTTSNEQPSSLPYHGSCHCGFIRYIAIIPMPPAVALGADATKGP HLRFYKCNCTTCQKMGLFHMRLPDAPNQFFLLCPQDRDSLANYKCQNGHINWFFCPTC GVRCFATVPHWKQDQIDIEKISAAVPKLDLPGVEESTKTVTVWRMDPDTFQEDVTGYL TINALTIDQDQAHGKNIDLRQLVDNKWVQYSDWNMRKHESRYDYPQERGTW AFLA_062930 MLLRLLQAFLGLWAIFPIASAYTNPIRNPGGSDPFMVYTGGYYY LLTTTWNDVQVSRATTVDGLKTAEKKVVYTTTEESHCCNVWAPEVHYLGDKWYIYYTA GNSADLDGQRMHVLEGKLVSCGATPWDDYTYAGQLTTTWGIDGTIVRFNDFGNFMVWS CFDGVTYQSLCIQQLGSDYTSLTGDISVISEPTEDFETHGTPVNEGPAALYLNGKTYI GYSASYCWTAYYCVGLLTWDGSTNPTDKNAWSKHDGCLLSSANGNYGTGHNSFFQSPD ASQTWIAYHATTNASGACDDSRYTMVQEISSGSNGIPDLGEALAWTVEISEPSS AFLA_062940 MHTIGVCFGPSGALIGMVQLFATAGAVMHPNWVYERWQLMLGYQ GANLLVALLIVYMSRALSIMNRIAVVIMFLSLFVVIGFLVGRAATTASNSFVWSQFEN RSGFSSNGYCTLLGIGIALYAYGNPHWICSLSDDVENAARAVPIAFVAQQIGNLVTVF AFLVTAGYVITDYDALVESHFPSAIGATFEQALGSQVGAMVLLLLIAVPGLVGLMSYY NVCMMVADAFLRTHSMPGWEWLTRRHPGPNVPLNILYCLTVINLLLGFIYLASAEGFS ILLGAPGVLYFAGYLVPLVAHVVTKGKNLGHGGWFKMPRKLSVGLAAFNTLTMLFIVI CLCLPRSSPITAANMNWSVLFLVFGIASSIVLWTSYGRSNYEGVDLAVADAIEIEATD TTDAESGQIHKSTKVCQHNVPCPKYEDLLCGRGRTSRMS AFLA_062950 MTKLARLFTSPGVRKSRKRYDTLSLCAYAVVLAGSWTYAAASFG TSVYAGGMPVLIYGAIGVSVLESLFLVSIAEFASAHPSAGGIPYYSRILAGPDWGRFA VCCPRMKPSKCLEP AFLA_062960 MTQTRGACSACHKNKRKCNRQLPKCGQCERNQVSCEPRIFKYWK TPRRDSAILKPPKRPRRKHNHPARESGAAEVEAVARRVEGEVISTAAPQPQLPSGANA WNPPDESAHAATLAPTTPVARGEDCSAYQAWEGRQDVAPSAPGFLPPSPASRDTTSPE TILDDDFLMNVFNDLPALLVTEDPREVAQAANSTDADTTLQQPSPTLVLDAWTRPASS PNLLILPGSSLPPVSVPQSLPIMPAELLDSTERGFLWHHYINRTAFDLFCYDYDNPNN PPLDKNLYLSKVPALAFSNRAVMGACLALSGAHYNQRLSTSAFGQLLMQLRSEAKAEI REVLGKGEDNSSERLLAASLIMQMICTSQVPYATKSQWCGWLGSMRLVIALLLSRKWP GRQGDQELVVLAFKAFNYLNVMSGLSIGPSHRRIPKVCRTSTSQGHSQDLLLQWEDVI GIGSSDLVVDPILAFSPRLVTPLRKLGALLDEVRDEAGQQASEGVDEGSDLQSRIDGL EVELLMAYDLDMTRQGSKDAPELLQCNVAFHAASHILFYARLRQMPATAPIVRMKVRD VRQATEQIPVKSRTSAALAFPLFTAGCEAVDSADRQAISARLLALAGSCFVDVLRLME MLHCVWETRDMAPGAPWMTWISQGPAAVRGNRQL AFLA_062970 MPATSIPHPFDPLTEEEIKCASALIRQSYTAGTKLDFRSVSLFE PDWSEMEIFLEVEHAGKDLTKAVRPAREAVIVYYLGSEMHLYEAIVDITNSKLVENVS LPAGTFANMSTGDVTRAVEACMGLPAVQKEIERLKIPREGVVCEPWPYGSDKHHDGKR KYQCYMFTFDPKNPSNKDGNYYAFPLDFSPVLDAATLELLEIMRLPLAPNLWDFSVGP EFGDRAPSEYLPELTQLRQDLKPLYLTQPEGASFDTNGNLVEWQKWRFRVGFNPREGL VLYDIRLDGRSAFYRLALSDMFVPYGDPRPPFHRKSAFDFGEAGAGATANSLSLGCDC LGLIRYFDGVLADGQGQPVKNPNVVCMHEQDDLLAWKHTQRSGRAVSTRSRVLILQTM STLANYEYIFLWTFDQAGGITLEIRATGIMSTQPIALDSIEDYKYGTIVHPGVVAPSH QHIFCMRIDPAVDGPDNEVVQTQNVTMPVDDETNPYGVGFLTEQTPLRCAGFYDTDAN RGRAWIITNPAKTHPVTRRPTSWKLIPHNSQMLLADQSSLHRARAGFSEHQLFLTKYN RRERYASSDFVNQSRTDTGVASWARREEDLDGQVVMWHSFGTTHVPRTEDFPIMPIAK LHVKLEPYNFFDRNPTIDVPPSRILEFLSGVVIAQTKQITETSKLSSLLFSPI AFLA_062980 MSNDAGWACASSPYLTGRVDGAVLSSPLLSSPEDQIVDPFAGTM DSKANHILRVAPQALIDNEFLTLQNARNVFTITLRHQGENPLSLGLLLAIQHAYQAAR IAIGDEYRANGACLVLTGEGTGIFSTSLPSFYILKGVPMTEETRGSNILRDAFAPLLV TILTFPFPTICVINGLCEGGACPLSLCHDYRILNTDSGIISFDAIHLGAHFVGIAAIV RQKLSPLAARKMVLEGHRFSAREALDFGLVDEIVAPDRLTEASTRLAASISPLGRQGS YGLMRKELLGDAISKLVDQCM AFLA_062990 MAPPATTTTTTTVTEAPAFNSQDFQRYKKYGKFGVRETQPVGSI VGDFDSIPVIDVSGIYSDELSDRKAVAEKIRDACMRVGFFYVEGHGIPQDIVDGVFDV GKRFFALDFDDKMECFINNTPHYRGYTPLYGAGKANAEGLGNANEAFDWGHDSKLNDD PNETFIDPHMRGENVWPTKLPELEERLSDYYRRLRAFCRTLTRNLALSLGLKEDWFDP LLTHPGCSAVVAHYPPQPKDKIHFGIDPHTDSEFCTVLATDEVRALEVLNKDGIWVSA PPRKGCFIVNIGDQLQSYTNGLYVSTFHRVLNYSGEERYSIPFFMSTNFETVIRVRMR QAILGNDQTKLTYNPAYWEVCPARLTGRI AFLA_063000 MRLEYISISFGLSSLAYSLPQVLWGGRSYPETQQVIQTGQANKG KSDFADFRELISEQRYISQAHPKGYEKPEFMVSDTFSGDGVPYSGIASFGHLDWTDCY SEVNDGTFDIGIVGMPFDLGVTYRPGARFGPGAVRMASRRILPEASWDMDHRNNPFRD WATVVDCGDVANTPFNKVEAVAQLTTGAKEILSRTAKSQANSSAVRMITIGGDHTITL PLLRATYPKWGPVAVLHFDSHLDTWDPRKMNGGEADKYSEITHGTMLHLAHEEGIISN NSNMHLGSRSMLFDKLGDLNNDARCGFDSIRAREIDSLGIDGIVQRVVDRVANQYVYV SIDIDVLDPAFAPATGTIEPGGWTTRELLLILQGLANAGVKIIGADIVELTPVYDNKA ETSALLVVELVYELLQWMVSVPVQPHEDNKMC AFLA_063010 MEEEGKMTGSDSIKADACERRTEAGFPEQEVSSPDKDSDSRDGG LKGWTVLIGCCTAMLATYGITGSIGILQVFWIQHQLNDRSENAVSWITGLNLFLNLFL PACIGPAFDRYGTRLILGLGSICYVLSFFLLGECKQYWHFMLDYGLLNGISSACISTM ALSTPAQWFHKRRGLALGIVCAASSVGGICIPFLLDYTLAELPFPWAMRILALMTLVL LAIANVCMIERIRSQGPWRAVRLRWLTNARFMWTTLGTAFVLVFEFVLFNSISLIPSY AAGQGFTTSQASTAIAMLNAGSACGRLFAGWASDRLGRFNIMVCVLAYTTIITACIWM FVYHSLAKLHVSILLFGAGSGSIISLAGVCFGQLCTTEEYGECWGTAYLFVSIAVLIS VPTGDALLSRLGGQGYVACSAGVLGAAFIAFLTARWLVLGRRWTIKVKV AFLA_063020 MTTSNNAGWARDEYEETTPLLERQGNTCSSTSWPEAISEFKCLL KAAATITCGYFLQQSLQIVSILIIGRVSPQDLSVAAFSYMIANTTAWLVAIGGTTAID SLGSAVFGQGEDIARIGVLLQKYFITVSVMFIPVATLWCFCGPILVLLNVPVELAYGS QRFLRALCPFAVGYIVFEALKKFLQCQEIDTPPTVILIGTAILNAPISYLLVHVWGWG AVGGAIATGSMYWLSAILAFLYIWRIDGNQAWNGWSKECLDGLWKFSKVVLLGIVNIG AEWWAFEIITVGAAAMGEIPAAAQSTIMTTDSLLALFPFGAGVATTNHIAKLQGLGKA NLARTIARMASGLAVCSGCIIMLLLLVFKREIAQIYTNDRSVIDLAVKVFPWAAAFQV SDGLQAVNAGALRAVGKVNTAATINLMAYYVIAIPLCYLLAFKVDLGLSGLWISLALS LTMAGLSEFFIVASLDWQRLAIKEER AFLA_063030 MEVCVDDNQFGPRVNPRCRSFDFTLLFEDVFFIALPAAVLLVLL PLRLRWLHRTSVKVKTYRLAIWKLSLLVVLFVLQIIFVALRLRAPAIRTNASLAAGIL NIAATFAAACASFLEDQRSIRPSDLLVIYLTMAAMCAIAPLRSLWSISSTNACTGLWT ALFVITVACLCMESVHKTGLLRLQAYNPTKEQICGFWSRSLFIWILSLFRVGYSHILR MEDIPEVDHDLQGEVTGEKLQKAWEKSRGKHRLVRATFAAYRFSCLSAILPRLALSAF TFAQPFLITATVNYINIPSSPESQKYGQAIVGAYVLVYAGYAISTAVYWRQTYRFITM LRSGLISIIYDQTLGLRPEDLSDTAAITMMGTDVERIESSLRALHEAWASILEVAIAI WLLSRQIWIACLIPLIIAVVSVIAMIPISTKTGEAQKQWIDRVQKRLSVVSGTLNDIK GVHMLGLTDRLFFLISELRKLEVETSKRFRKLLIWQIAISNVPMEFSPFATFAIYAII SVVKQDTTLLSAQAFTSLALISLLTGPLLNFCQAMPALAQAVSCFDRIDEYLTVDPQS PNRSMSTNSIARPSDDGVELQQKPSGALPEGLLASFHAATISKSPDAEAVFDRLTLNV RRGVTMITGPVGCGKTTLLESILGASFVKTGSVVASLSRAAYCSQVPWIQNQTIRQNI VGPNGFDEKWFKYTCWACGLENDLQTISDGDSHMAGSNGISLSGGQKQRIALARVLYS RPKVIILDDPFSGLDLKTIALISKRLFGIEGYFRAEGVSVVLSTNSYYLLAHADEVIL LDNGHVVEQGTYQYILERSPEITAELQEHASVSDIADSKVDDFEHRSELPSSSGPDTT THQDVDWSRQRGTWSVYQYYFHRAGYVSLFIFLIFAIIYSFSSVFSSILWFNIHLVFR WHYCSMLDDFRSDDDRYLIKLAFGLAQGRTRVRISNVVLALKLTMSFTVLFSAPFGFF QTTDTGSTTNRFGQDMELIDMNLPLYAVNFVESMLIVLCIIGRYLASSIPVLGLVLFC VQVYYLRTSRQIRLLDIEAKAPLYSHFLETIQGITTIRAFGWETHFQKETQLRVNSSQ KPVYMLFCVQQWLTLVLDLVVGGIAVLLAAVVTSLKGNFSAASIGVALNLLLTFNQTI TRTIKMWTMVEISIGAVSRVRDFVQDTPSTKRDFNPESGRLPDVACNGAIDFEDVSAG HSFNEAPILKDITLSIKPGQKIAVCGPSGSGKTSLIMALLGMIEIKQGRVLLDGNDLS SEQQALRGNINVIPQDPLFLPGTARFNLDPHQHASDEKIADAVKAVGLWSRFCAKGGL DADFVPSDWSVGQKQLLALARTLVHKAPILLLDEATSSVDWETETTMQDIIDKEFASQ TIIAVCHRFRFIDRFDRVAVIQQGELVEYDEPTALLERDTQFRRLFRALQESSASGA AFLA_063040 MKVLSPLASIALAQGTLCAAQGYTNESEVPYYGRSPAVYPSPVG DGATSSVWESAYQRAKALVSQLTVEEKSNLTRGFDGQCVGNTGAIPRLSIPSLCFSDA PDGVRGQEFVSAFPAGIHVAATWDRSLMYRYGHALGQEYQGKGINVALGPVAGPLGRL ARGGRNWEGLGADPYLAGGGMGAITKGIQDAGVIASAKHWLLNEEEWRRNPGDMGESL SSNADDRTIHELYVFPFMDSLREGVGSVMCSYQRLNHSYGCQNSKLLNGILKTELGFE GFVVSDWAAQHSGVASANAGLDVVMPDGGFWGRNLTDAVANGSVSSERLDDMATRVLA TWFYTGQDEGYPAPGVYSESEKHDPIDVQADHATLIREIGSAGTVLVKNVNNALPFTN ATRYLSVYGYDATVSAAPWANPSRYGGGYEVNFGWTTFNGTLITGGGSGGSTPPYVVT PFQALQERASKNKGILRWDFYSENPTPAYVNSDACLVFINAYASEAFDRTSLTDEFSD NLVRNVAANCTNTIVVIHSTGIRTVDAWIDHPNVTAVLFAGLPGQENGHSLVDILYGD VSPSGRLPFTVAKNESDYGNLLNSTVSFDAFPEVNFTEGLYIDYRAFDHDDIEPRFEF GFGLSYTTFEYSDLAITATGNSTSPLVDANIAIVQGGHPQLWDVLFEVTCSITNTGDV SSSEVAQLYVSIPDAPVRQLRGFERVPLAPGETKQISFPLTRRDLSIWDVAAQQWRLQ AATYTASVGASSRILHLNGTIEIE AFLA_063050 MSDTREANPTAMDTAESGSSQFHLQKERQSTFHAENGAVASLPQ REAHIHTVSQVPLPPYQKLANPGPLGLLAFALTTFVLGLYECGAGLPHSNPQGKVGPN QAAFGLAIFFGGGAQFVAGIFEFRVGNTFGCTVHCSYSAFWLSYAMFLIPSLDIKGQY NGDERAYTFAIGIYLIVWCFLTVLFLLAALRTNLSIILVFFFLIIAYLLLSIANFIAT EHPAQSVKVNKAGGAFTVICAFVAFYAGASGLMVPETTWVRFPLGEIP AFLA_063060 MAPPSSPEERITALRTLVNGKRQPASGSNYRNESYLLGVGLHAI VRKNKGQSLTSIEKVLYDAITTGSGTSEINEYGNVFKEAKENHRTGGVAFFPQQIVDA SEDKAYTMEAMVSDIVTMLPDIQDQPNNKVQEFNNFLGGRVDSDDYTAALGMAGGGTA VHFDTSNPSNMTPPRAAFASDDTVDGLNDTLALSENRVEPAANGTKRIRLVMTRFKCH KRSSEWGKDEIYWTRSAVSDTGDKFSGDPITREYGSIRSGDLRQMDAGTVLFDGQVKD ALAIFIQCWEADNSSTKWYEDLRKAMDAISKGFKAWLEQYGQVIAEFQKQLPIVGNAY KILGYISTATQIFAWLLDKFRNHDDLVAERTIAFSQQALTWFLEFPNCEASFMFDGGK GGKHELWIRREYGFDPNDTSIGSLKTMTGSTGDYSMQSSVPGPGRSFWGMSLVDYKGE LWSFFSRSHNSLLCYSIWNSETGWGPIIEITGNYTNAKPAVATLGDTVHVLYKGGDGR LLHVEYLPRNRKWTGAVPVGSVTATAYSGALAGFDDMLVSVHRGNDQRLHCTVKRSGQ NWQDWTRMYSPAGTDYKLAPALCSHRGSLYVWACINRNYQLHCYRVNMDTNPWTLVDE RLEDTAAHNAKSAPAVMVYPEYIYGNVMWAFYRYDNANATMFYDPISRKESLFTPANP KSVGDPSVCNYDGKVWYGYSDRLS AFLA_063070 MHIITPPRLCTALTVFTSLIISSFAIDTPATKDSTIFRSTVSCP ACPDRNCYKCTLGHETTLQANTGGLAYIRSLIAFQLPVPAASITACTVQFPAFTKPLE APVNVTAAQALSSGWDEGTVTGENAPDSGDVFTEIEVPAYANMGPIDVTPACQGADED GNFSIFLGTRFGRIEVWSKDSGNPAILHITSSA AFLA_063080 MNIKTILALCAMTSMVTAAPAEELEKRACTGNRFCCETFFPVNI FFIRAVGSNCIAQKTGNCPTGTTEHCCTSNLPLRNGDLACTQL AFLA_063090 MKEIINLHDCRTKLIESAIPEINDDQVLIRVVVSGSNPKDWKVP DLAHSEEKPYFERYEKVREGVNQGDDIAGVVEKVGKNVVEFQVSLSLKSQC AFLA_063100 MMSCCLLQPGDRVGAFHEMLMPGGSYAEYAVAWSHTTFHLPEKI SFEEAATIPLAGLTAVVSLYHHLGFQFPWSPAAKGKSPNTTTPFIVYGGSTAVGSYAI KFARLSNIHPIIAIAGKGAHYIRKFLDESKGDVVVDYREGPEKTITGIRNALRSSTGS NDLPANHALDTIVTEDSTAVLRSVMNPGGNINYVLMSPPDVSPAVASNTWVSSAHQIG GVDDCRDLCFVFCRWFTRALQSGEFEGHPFEVRKDGLLGVEGAMRDLRDGKASAVKYV FRISETPGQ AFLA_063110 MGKGWILFLTTLGGPISFALGVSCPPGYAFDGNACRSFVPPQCP PGTRQLGAVCIATGPLECPPGLRFNGVECVLPQTRQPLCPSGYTLHGNRCVEDSLLLP APGVCTLMEGCAERIVPVRNPRNSLISRPMGRAPRPLHLLFVLPERSLTAHIVLGKRL SQMTSNVLPLVALLIKHVSYAVTPIELQGKILRLLKRCLVQLYDISVCLFHIMVDFLR PGYWCL AFLA_063120 MISSSHGKMAPSTFKNTLVSLAVHLLGVSAGTCDLDSFNATVGG RVQPLKPFSLPCFSNYNGTEVAVNDAACSTIQSNYSDPYLRANSPNGYMNNQVEMCAS EPSDQCLLDSSDPTDPLAFTNTVCQQGNVPSYYLEVQQASDAIEAFKFASCSGTRLSI KNSGHDYLGRSSGKGTLALWTRNLQSKVYHADFKPEGCDACSAPGTAVEAITIGAGVN FNEVYSFANSHNVTFLGGYAPTVGASGGFLQTAGHSILSPVYGLAIDRVIQFKVVTPD GQYRIANECQNQDLFWALRGGGGGTFGVVIESTHRVEPQLSFVAAVIKFTSNSSNLLP FMDIVVNNTLKWASEGWGGHISGSSLINVTPLLSVAQAEDSLADAIAYAKSQGGSATV EQFSSWYAFYEKYVTSNAVSVGVTHFAGSRLVPKAVFETAEGRKNLMDFFSLLQSQGQ SPYIPIVGPVLYNYTTNSTSATPAWRSAIWELGSGTAWAWNSTLETREQKIAGLQNMT ATLEEITPGSGAYSCEANPFTKDWREAWWGENYEPLLNIKNKYDPNRLLNCWKCIGWE ESDAESSCFSAFS AFLA_063130 MAIENTYWKNVLTVVPIVGAAIATLTYLLRLVSCRISTAGLRLE DLLMGIGLILSYCATAFVIYTAFNGVGVRTTLLPPDERHRIQFVTTPYSLPATWLTAT PLIHH AFLA_063140 MHGQTKFFQAMGSIALVEDVIILLMPVPIVWRLKITLRQKIAVT IVFSLGGLVCIFSLMRLIEFRNFVVTDLACKFPATLEKWKEEEGESMD AFLA_063150 MPKRPRTRKFSQRQTSDDDAVSSREESANTRSSRVSANPSQSSE IEKALKELKKTIAGQRSAATFVAVEEMNAKKIVLPLNHASADSSPAALQQLASDCSPA TFGRGSQDILDPSFRQAGKMEPANFATTFHPADLRIITLIERILLPSVINGKENSMWG RAILLSSTN AFLA_063160 MGRPMAENLQRHLANNHERPLIYSNRTLSAGNTLKSLGAVPTTE FTDLVRRCDIVFTMIPNDDVLQRLMKLAFAGGSDLSNKIFVDCSTVHPDTIKKLSSDL AKHEAVLLSAPVFGGPSVAATGGLVFAISGPESACLTVEKYIADVMGRKLINCGENAS NASLLKIGGNIITLSLMEAVGEAQVFAEKTGLGTEAMEQLITESFGPIAGGYSKRLTS GIYAPPLDTRPGFGISLAIKDAKHALSLAGEHDFKLPGLELVDQHMKAAREYGGECLD SSAMYGILRQQANLSFWNENSRQGGE AFLA_063170 MAAQRPLYAVDTFVSSTKNTDTQLNVFVSDKLFKIDLFATNFKS SPALLAEYLRQIQRQDPEFIPDPVDDEWGDPLEEMSEWILQPFLPIFRKVTPLDTSRQ YTLDDCFFAEEFSYTVQVVEETLVPVYLGKGLKLQDIGACLPSVQHLDYSMFPVYRPS EVQVPIDPDSTALSGRPRKVFIPGRSNPSFLKLVYTGHTRSVLKELIAYSKIHMAKLD NTVRTCRLDGLVQDGHGQVMGLLLSYINRLGSTLECFHPQYDGSRQKWFDQIAHTVKQ LHTHNIIWGDAKAANVLIDPNGDAYLIDFGGSYTKGWVPKELADTIDGDLHGLENIRR FLLE AFLA_063180 MPTPPPAYDFQPHERQASYSGPVPPPLFSNRPPSKQRRSPTTQC RQEDLPSASASIDCNTVRSSYPDPEHRDQTRSDVPVSRPSMQYNPPAKFTLKKCPSTN YNLMCSGRWYILPEAPEFRICTYCYEKNIRGSSLQASFHPWVSPAGAGIHCLFSSPRI ENHLWPRALQSGSVKELLWFFRHRAAIRNCDGTKGVGRSENVKWYSPKGNSRLPSFIA CEACYEDVVTGTALQGQFEQHRETQPQGQIWACDIAIDFIRRFLTNTPAWPQFSAEAA RHLALPECEKNGGVMSGSSRQWYELRDRALGIAVCERCYRDFASKTDFESHFQPLRQP PRQQQCILGFWQARVIWHEALERKDFSLWRRTIIEYVQAPPCSSQTKPGAQMYQLNQG IDNFDVCQSCYVGFLKPHGIDIFFRRVQHPRTVETSCDLNPGSLRFLSYAPRLDEALI TCTFSGFVDFTSRLCNLPLCPGIELVTNQKWYGTDDCRICLACYEEVVRDSELAQQLP LSPQIIPGESHCDLYSPRMRRKWAEACDKRDLASFMAFAAYRRTIYEQTVPEMRNIVS MARFNLDMQKMYNVSSSFYYNMNGMTASMYNPHISYGAAGIPHRFETPWGVEGAQLGQ RAQGYAQGINADTARVAQLQATWSLVE AFLA_063190 MNHPERRRKDPDSREQGRNDAGTQFEFVLEKDQPGIRSHAMRQF WRQKQAIAKEDPSDVTSAGRRLYPRTLMPNDGIQTYSQFEGLKEFRQQMSALQPKDHG AGSANAGKGNAETLGIPAQILSGIRHALEFVNCDPFHTFPVTLTAQHRKLLYHWLSTH TSGMSVALPHAAFDPIREVWLPLDLSNSASFNATMAHAAAHLAYLHGELASPEALRYK TEAISIITKWLDDPEQALRNETLVSVVRLLMFEVRVRAEYGGDYILYDSRHEESFAL AFLA_063200 MASYEMKNRVELGHSLSQDRDEQDLARLGKNAFLLPMANGGPAG AVYGYIFAWIGTGSCFVVIAELSSMAPTSGGQYHWCAMLAPPSCMKFYSYITGWITVF AWQSAFASIALLSGTEIQGAAILTFPNYQSEPYHGTLILWACVLVALAVNVIGGKLLP RLENLVFVLHIVGFLTILITLTYMADHKSAKEVFTTWTNEGGWSSNGIVFFIGMQGSV FAFSGGDAAVHMAEEVQHASVVIPRALILTVLINGVLGFGMLIGVLFCMGDLAAATST PTGYPYMEIFLQATNSLSGTVTMICISLVIGICSAIGMIAATSRQFWSFARDRGVPGW RLWSKVSPTTNIPIYSVCFTMVVSCLFGLINIGSDVALKDILSMAVSGLYLSYLAVGS LLLYRRCEDTTVNVPNAPLVWGPFRVPGILGMVNNGFAVCYMIIVIFFSFWPTKAAVN YKSMNYSVVGTFGTVLIAVIYYVVRARHVYHGPVVEV AFLA_063210 MEKSTLAEDAIQPTGYNEYDAPPKDELQLNVGGRGATQRRLRNY HVTMIGFCSGIGTGLFVGTGAAYAKAGPAGLLLAYIVVGLVLWCVMQSIGELATLLPT AGSFPHWATRFIDPAVGFSLAISYGYCYTIAIASEVSAAAVIVSYWTDLTPAVVITVG LVLILASNLMSVRFYGETEVFGGAVKVLCFLGLVIVSIVITAGGANNDSIGFRYWNDP GPWTNYNGITGPTGHFLGFLSSFVNASFSFIGVETVVITAAESVNPHHAIPKAARRVT YRIAFFYILGALLIGIIVDPRNPALVSGSDNANSSPFVIAIKEAGINALPSIVNACIL VAAWSAGNSYCWVGSRMIVAMTTDHQLPQVFGRVTKKGVPYVAVITAWLFGPLAYLSL GSGGAAQAFTWLLNLSTVAGLIAWATLSFCYIRFHSAMKAQGVSRDTLPWKAPLQPYA AWVGFIGSTIIVLVAGFPVFLKGNWSSSDFVASYIGIPIFIVPIIGWKLFKRTKFVRS AEIDLWSGRLQEGEILVQQRVPQNLWERFVDWLV AFLA_063220 MHWYISVLVWLAFGPLAILCISIHDFSPEQVDQGIALRQVVSEA TENIKRERTGGCRPEDAQIRKEWRELTQEERQQFTDAVRCLQSIPTTLADDLRQIYPG VQTRYDEFLATHINLTSVIHQTADFLAWHRYFIHTFEQDLKSKCQYTGSLPYWDWGLD AENPHLSVLFNGDEYSMGSNGAFIPNRDPAYWPAIHEYIPVGTGGGCVYEGPFSNYTI NMGPIDGAGQKAVNYRFEHHPHCLKRDINPTVTRASVTFRHITELILSYDTIEWFQGV MQKDPRFSVPSVPYGVHRGGHVGVGMVMGDAAGSPGDPMFYLHHAQIDRVWTIWQGLD PDTRRHAIWGTHTIVDTPPTANMTLDDMIDFGFVSEPVQFRDLMDTLDGPFCYYYT AFLA_063230 MATSERGKLFVSSGVEINHPAVGVSEPRRPVDDFEVEDNRVVQE GPTADADSFPDGGYRSWLVVLGSFLLLMSSYGLMNSVGVLQSYLESHQLANYSSQNVG WISGLFVFVSLGLGVFVGPLFDTYGPRELVSAGSAFYVLSLFLTAECTQYWHFIVCFG IMAGIGGAFTSTIGMSCVPHWFQARAGMAIGTAMAGAGLGGVVFPFILKGAFANLGFQ CSCIGRLIAGRIADRYGRLNVLIILIFSAVLTMFAILYPFSGHLSALYVFSALYGLCS GSFISLAPVCIRQVSNAKEIGMRFGTCYCLVSFATLICIPIGGEMLEKVGSRIVVIWL GCVLLFSMFLFMTARWACLDYKWHWRIRI AFLA_063240 MPGSDDSYGRKVQNPLLVEAFPFAFDTATDGRVPEYRNGRSSQN ARRPPHCSSLSFWPHNSVLAYLKCQRFITWWGRDASDRSIVNHLESLENFVVDADILI SSSSSQGCCEVPAVRKEDQTLDA AFLA_063250 MRILEVGILAMSILCFVDAHGNLSNADLEALLHPDGDTNIQTIL APLLRPRVPGTPGSELVRNYIIQFFNSALPLWTTELQVSSSKTPVSGSQRIPFVNIIA YRSPPGLNETDVGWLTLVAHYDSLKDPEGFIGAIDSAAPCSIIMSAVRSIDAALTRKW DNMSSIPGDTHEQYGIQVIFTDGEESFGNTLTANDGLYGSRSLAAHWAVDKYPSTAKY ETRLSSISLLVLLDLLGAKNPQIASYYPVTHFDYQRLAALESRLRELGQLKSSGIHGK SWFVDRTTDVRSLKRQPVEDDQVPFSGLGVKVLHVIDADPTTGEFPSVWHTPDDDENH LDFDTIRDWSLLITAFAAEWLGLQGFMDN AFLA_063260 MKLSIVISVLATAVAAAPTPHGGHPKRNDAGTTVDDISEQLYGA WGGIKRDQEGTTVDDISEALYGAWGGIKRDQKGTTVDDISEQLYGAWGGIKRDQGGTT VDDISEALYGAWGGISKRDEEATQGTTVDDISEALYGAWGGISKRDEEATQGTTVDDI SEALYGAWGGISKRDEEATQGTTVDDISEALYGAWGGISKRDEEATQGTTVDDISEAL YGAWGGISKRDDDATQGTTVDDISEQLYGAWGAI AFLA_063270 MPPSTESDFESSPRPSIEIEKQNLLEQGLLKRESKRRPVFSHIG TLYIVNGFLIFMVIVLARELWKKPVDPSLQVYYHCIDSIRQALMCHADVSPIPFHINV PARKGIFPRLATTHTCRNFTKIQEWARERFAGEWRVELEPEEAQYVIDTAGFSQDPEE DIQFLYELFPGDKFFKYWREHPYNGGDGV AFLA_063280 MQSPRIYYPIILLVFLLCLGMLVSYLPTIERPICPQQTSEKYES LSSETNRGIPRTFFPTEPAPGQTLLDEAHLTTNGGFFMVRRGISDKPVGYGISMLHQA HCIDMLRAALLGGMHDHSLNFKRDGLRKVDSLDDEHLEHCLDYIAQIGSSEWGRLWAV YFLADFTTSQ AFLA_063290 MNSDRKRNPIYFTLTSVFLVVSTLILLDAVRFHPTDAQCVQRMF TWSPVKDIIEYEWTMFPEFGFLVHSKWFDAALPEREAAWEEFLPRSPISVPISHIDRL NLLPDTDWIRSPLNADNILALPEVFVQLECLNLLRLHAQKDETDNRHLPSFRGSEDKV YRRVEQCFDRLRTSVLCWSDIVPVLQEYADDDLHTHVVKYDFATKHNCRNFAGIRDWT LRNGVKEVEMNNAWWGGFAGV AFLA_063300 MAIWTTIRSRCKSFTDRAVAYHKLDDGETKEVDRQKWESVSLSE SIAISQHQVQSAKSLPVVLLFTSTVFFAMCLIFRALMRDYVSIDMACTKKLSAWAPMM AAVDYQWTQYNSDQLPADYAGPPTVTRETNWLNQYDHGFIPYPYDQIEKLNKSTDRDW WVQDGNLVTLTEWAHQVHCLGLIRQWIYRDQFDYSMFTNTSSTRFHIHKDHCFMILKT MIECQADVTPVLFARDTSGIGAWKTRDAPRKCRRNDALVRFIEENKLCGLECSPGDIV QTV AFLA_063310 MAYFGKIKAIFARRTDIKYAEVDIDDGEDKITLKEATRGLRRPD GRLKSITTSYRRLSQHLGDRSTLLLWLNIILFTVSSFLFWSSIQDNRDSLREQTAMPS PVLKGEDLSFSIRNMDATLFPDDNPSIFRQGPSPEVDRAWTVISDTRPIALSREDVLS IGKDPAMAVKLSAEFGLGDDMYAGRIDALHQLHCLNALRMEIYFDYYYEKKYPGGFNQ TDEKHRHHVSHCIYMLLQNVLCHANTDVYTHFWTDAVDHPWPDFNIPHKCTNFRAILE WQREHAVNEHMFVDMRRPADQEAHVMSSKFKKIYNPERFGHLGDNRYDGENA AFLA_063320 MSSQSWKPVRPDGAFWESLDPVISSTVAECLSPAVLDDINNHST LSNPAKFELLEQALTRKLLSLEESANPSSLHDTDYPTWQRLNFALFHVLRGAGDAARQ KETLLKLVNNPGPSGQDVAALQNLATLYEETGEHAQAEKLAKETLPLLRQHPALGQDS PQSLGSLRILIKALWKQGKEKEAEQVIQEASASIDRLAGGKFSDVQQEEKEALETVVA DLKKSD AFLA_063330 MTSNATRRHSSAIPSHHSGSSLDQSISFYGDAKYSEGKTTLHIC AEKGHTNVLRFLLDHGAELDATDFAGRTALHYATTRGHTDSVSVLLEQGADTELADEF GRTPLHVAVELGYEAVVRLLVREGADPNARIAGSTQPQS AFLA_063340 MGSTQLAQTLLQSARVAPEIFQLRPDYRALLMVVEGIPPGPSDE ASEAFLQEAEATVKELLSKSAVTELPHIAAWREAYKAFGAKPQKTRNSLEALTRRAAT GLPRVNRLTDIYNAISVKHQIPFGGEDLDKYDGAPFLVRATGEEPFQTFSGGEPQTEL AAHGEPIWCDNTGITCRRWNWRQGPRTALTDDTTRVLFILDALQPLSDDTLQQAADEL ASALKGLSPEVQTSQRIIDASSV AFLA_063350 MSLMHGAGWMLNTFKVFYGFPDCLGPWFFNHLTSAEEFSFDPQE SAPLGDAGQRHAFDISLRKANMPRLRKLSLGNIYLCLELKDFLLRHLDPLESISLHEC YSCKDLDGVEGMSWSELFCALADKSPTRLTCFSLHWDDDQEDLPDLDDDMGDPMLVAQ VRRKLEIESDAMAFPFGYCDVSEKYGNATHDWDANRAAFVQGDDYRSYQDFMAIVESN ATGNH AFLA_063360 MSGMFRSLASLTQTVGQAMALAGILVLCIVVYTGFTLPQPYMHP WLSWIRWINPVYYAFEALVSNEFHGRNFECTSYIPSYGTGTSFICSVVGAVAGERFVS GDAFIQQNYQYSYSHLWRNFGILIAFLIFFHVLYLTATEFISADKSTAEALVFRPGHA PSHLQDGDGIEAEKTNPTTEVRADADSIRLPEQKDILSWKSVCYDIPVKDGTRRLLDN VNGWVKPGTLTALMGVSGAGKTTLLDVLAQRVSIGVVTGDIFVNGKGLAANFPRRTGY VQQQDLHLETTTVREALRFSAMLRQPRSVSQQEKYDYVEQVIQVLGMEDYAEAVVGRL GEGLNVEQRKLLSIGVELAAKPTLLVFLDEPTSGLDSQSSWTICAFLKKLTNQGQAVL ATIHQPSAMLFQTFDRLLFLAKGGKTVYFGDIGKDSRTLLDYFERNGGRICKEHENPA EYILETVSGDVDNNAPDWVKVWDESPEHKDVLAELDRLHSSRENDSASSNENAESKDE FAMPLHTLPGFWIFMYRVSPFTYYIGGIGSTALHGRPVQCSSTELSVFDPPDGQTCGE YMAKYLNSAGGQLYNMNATSGCEYCAMDSADQYLAQRWIYWEDRWRNYGIFWAYFVFN IVGAITLYYLFRPAIMIPILALLPLYIQMYTVWCFLRCVASEDLQPTRSPFLVVEVWY MITDILCDAENEEQDEGRTRAKCSSPLRDLISLSSTCTWLRTILVPRIFAVIYLRNTT KSALSIKAIADSNFSGFVKEVQYVASCESGVLKSNC AFLA_063370 MAFGHILCPDAVPAEGSRAKCEDRALLCHPVTQCRQPLFSLLHG DHRLSFQQFMRQVTCIFFVELGFPVGRAHQEPTDDDQSMISGRDSKET AFLA_063380 MLMLEGQGGGKGKLTSHVQEGTIAAVLDIAEAPGNTSEPRAPRT AEHKYWELGQHKPRRGFKFVRM AFLA_063390 MPALGASQEFFQIAEDETTRHSQSVKKLITQLDPLSLHTMIEDF SDFQNRFFNGSEYSMKASRWLYTKINQTVTASNATGVAVEVFDHAGWDQPSIIVSIPG MSTKTIVVGAHQDSIIRPCYQVPRDYAPGADDNASGVATLIEALRAILRDPDFAQGHV PNTLEFHFYAAEEVGLQGSKQIFDSYSSQGREVKAMLERIGLLTDYVDLGLTEFVRMI ITTVSDPTLVTNHASAQLHGYPAAMTFESKFGDHSRFIHTSLDRADTININHVLQHVT LVIGFAYELGFAPLGNEDITEEL AFLA_063400 MPRMQGRIHLVRHAEGLHNLRNDPKIPNAPLSERGFDFAEELGH RFVGEYSNCVGAIISSPLRRTIQTSLTAFRRILDSTHGRSNNRIPRTQVRNTKIAQGL AYQGRASFTPTTVSDSEKR AFLA_063410 MSFDRDPNVEVVRKYDGAVQSLDHAQQPWSPEAGLQGPSDPISA AHQYLLKVADDYEIPQGVLGEIPTRLSEPASPTDAPFGLILAQERPRFRSTAVVYQQT LGGLPVWDAKLSVTVNEENQIVNSSSSIDTEASKPETPPDDAKYMQNDVTAEDLGQLL RLPVSRGGIYFKQEQEQKQQRLLVYRYRKDDRQEIAGDSAPTLVLPEVPETIREGHYY VVREVLFSLPISGYGDLNWRALIEVKTGSIVYLQALTAGVTGWVFARDPATKLGHKAP TTSGSIADLNLLRDKVFLPETVVTTPQALTGRYAEIKDTELPTSLPPTTSTGEFNDSV ETDNFAAANAYYHITKLFRLLAELGFPTKTFFDNTKFPVSVDHRGFNNKVNAQAPGNA TGTGSGGFIFGRADPNPNSHIGIAADFRVAAHEFGHALLWDAIHWPGFGFAHSPGDSL AAIYCDPGSDAQDRFDTFPWNVLVRRRHDREVKDGWAWDGPQYKGDGWGPMYLREQIL STTLFRLYRAIGGDAIGDFHKQNWASRYTLYLIIGGIATIATTASMPYQYVSAMISAD RDTVLGYPGGAVRKIIRWSFEKQGLYHPLNTPSPGVMTRGPPPAVDAYIDDGRDGEYD YAPMSGYPPGIWNRQAPDGGVVNQAPVVGVTNYCYVAVKNRGTENAQNVQVTVYESPI PEPTVWPAHFQTPGTVVPISGPISGNRQQTAIAGPFPWTPRADASFDRYSLLAAVRAD GDLSNIDASSGLACASGPTDIDNLIPFDNNLAMRHFDR AFLA_063420 MASQVHQSGSLPSFKPYVLSPLDHAMACFYLTSTITFSLQDPTR GIPVLEAGVSQLVSKLPFLGGNLIWTTHPDSTKVTGEIHPPTAATWDTYPMLKVKYHA GKYTSMSYGRINGPCHCGVISYDHILREEFVPLPFDIVLAETCPVLRFQANVFENGII LCASFHHNVIDGKGMNTVMEALATCCRNPTNVQQDELPTDPISEAICRTRLAALASPT PDAFVVRRGTNCPIPAAPEQGLQAPITRLLVLSGEKVIQLKRMCKAFVRNEARGELPS SELGRPTPALSSNDIISALLWLCVLRSRVRSDPRSPQRAKSYFSFPTDARMIIPQDLR STYIGNSVVSATVESPFSIEDAIQSSSATISAPDEFNLSLIVRLALAVRSKFMSIGRK HVQDMISSLGTGDHAVLTAGNADFALSSLRHMNFYELKFGPVLGRAAHMDLADPRMKN QAWILSDRHPGHSEMSPWEIRITLDPMVMEYLLEDTLFQWMKVTDLCKL AFLA_063430 MMNSLLLELYTIHDGRQNPADTITVFKLDEGLINGTRDLSDELQ LDAPLNRQFSVRRRLAIVSRVRATDKVELASIQRDALEDSWLQKQMPGGYGPVFSMWA RKTDVAQRLSSRLYIFQHATSLGNVESLMKWHAMSNEGRDPKVLLVSCGVEDVDDDMK ADVLQGLESINLRFSVIFPGE AFLA_063440 MANFEERVNLLRLSFENGKKPLPRVTLGAVNKDGIERHPTLFSD SKYCLLTLINPGSLHYAKAFGEASVESTDTDAVHWVASSTKLVTTVAVMQCVERGLLD LDADIANVLPEWKNPRILTGFDENDNPTFRPATKPITLRRMLTHSSGMAYFFMDPLMT RYHELQGKPPVLQTLFQFQFLLFEPGERWMYSPGIDWAGKAVERVTSMKLGEYLQRHV FDVVSVKDATFHLDQREDLRARKVKAWVRTDQGLQEEKNPLCQDPIAEDFGGGGLYTT VNEMLKICHGILTEKLLRPETVKEMFQPQLENVLGLDKPHDYTLASRNAIWNTVPDDM FVDFGIGGLVNTSRVPGRREAYSLTWSGKPNCYWWIDIKKGVAGVYLSQLLPTGDQSA IELLSEFERWVYSQLDDLEGLAKQSVDTLSR AFLA_063450 MTTLPPIKGAESLPSRWSLTEDLGGYDMPIRLEGEIGDVMVRGT IPDSIDGTFYRVGSDHFTPTLPGHSPLLGHGVVSASRIHKGQVDFKIRYVQNDRYKLE RNLKESVWGDMRDHPLSQHPCVKAVLTSTSNTNVIYWAGRLLALQEMDPPYAMDPDTL ETTGVDPFGNQILSPTFTAHPKIDSNVNELVTWGIDHGANEIISYSIDRHGIVKNEHQ IKRAIGGLIHDIAMTENWIVFCQWPTSFVDGTTVWDTSRPAIFVVAPRHPKHPLEGSG WEPYEHRIYTQDFNSEIVHTAGAWEEGGKIFFEGTWPHESLFPFWPKTDGKKPSEKTV VDLVRLEIDVSQPSNTRIPDPVTLVDIPNEFTRIDERFYCRKYDHIFMNIYYSETEKF LVNKHVFEGLNATAMLTKSTGELKVYYPGPNCRCQEPVFIPRSDSAPEGDGHVIFAVD RLDINLTNLVILDTKDFEHPVAVIELPLRMRAQIHGNWVDARELNGQPLVVPPPLHHM TWRHRPGQSVPTGRGVVAEFSGV AFLA_063460 MFFPRVLFKEVLVGSSLGPSTQQEHKSLRGRRRNKSKLGCRECK AKRVKCDEAYPTCKRCQRHGLVCSSAPRPTQWQVETPWLSLQPKTHVNPRLLQYWLEK VSQASVIDPENNPFSFPLLEHIAQSPALLHAIQSVSASHEQYFPANTPILALEERGKA IACLRKEINQIQRASYTHLLTIMLLALTQIADSDPKDYGKQHLFGARAVINSMLRDTS TSTTNDPVVRLCLGIYLYWDMCSSFLVDTSNVGRYCRQIHDMPQSRSQVQEAILEAQL RTWATSPPNPDLGHLYEAFRNHGLVFLYRSRAHAQRGYATDPDVTEAQESLIQQYAEE TVRHLLIIPESSCSLNFQSLPLFAAGSELTESNHFLRDEVRGRLRAIYSLNRLPANLM ALQLVEELWDARDSGTPSFWLSHTLQKDWRLLLT AFLA_063470 MNSADFGITEVGLSNPGETQSSFFTKRAIQYVLLPRKTTDELVD AYWKLVYPPHPFLDRYALGNCYESVWTGSGTTADDIALLCSMNVIFALCAQLSGIIEP ERRREKAHIYFVRAESIRENRRKYEGLVLCALGGKGINEPWENGGRTAKGLIA AFLA_063480 MRPTLASFCVAAAELAINAAAASSSGCGTNPSLSNGLNYMDVNG QSREYIIQAPDNYDASTPHKLVIGYHWRDGTMNNVVENGFYGLSSLAGDVPTVFIAPQ GLSNGWANTNGDDITFTDQLLEAIESSFCIDQDRRFATGWSWGGGMSYSVACSRADVF RAVAVLSGAEISGCDGGSVPIAYFGQHGISDSVLNVELGRTLRDHYAQVNGCDAATPP EPGVGSGTHVVTDYTGCAEGYPVQWVAFDGDHEPLPSDGGSSDSFTPGLIWEFFSQF AFLA_063490 MKVTKKVLDQSLCCTALLALVGGAAAQCALPSSYSWTSTGALAE PKAGWAALKDFTNVVFNGQHIVYGSVADTSGNYGSMNFGPFSDWSEMASASQNAMNQG TVAPTLFYFAPKDVWILAYQWGPTSFSYKTSSDPTDANGWSAAQPLFSGTISDSDTGV IDQTVIGDDTNMYLFFAGDNGKIYRASMPIDNFPGDFGTQSEIILSDTKENLFEAVQL LYQGRDPNASGDYNLLPWKPGVLTLQV AFLA_063500 MFSGDRAGLSLLRHSSAWIGVVNDGGTSHIGVTTGLEMDSDWNT VSTGTESARAEFSGSEIWLRVEADITPYAGSGQFSYSLDGVTFTNLGDTYELNNNWEF FMGYRFGIFNFATTGTGGSVVLNSFVLS AFLA_063510 MVQFSSIVQCTLLATAASAYVTSRQAAESLHDAFTAAGKKYFGN IAEQALLENPQNEPIIAADFGALTCENSMKWDATEPTQGGYNFDGADYVVNYAVEKGK LLRGHTLLWHSQLPSWVSQISDPATLTGVIQDHVTTLVSRWKGQIYAWDVVNEIFAED GSLRESVFSNVLGEDFVRIAFEAARAADPDCKLYINDYNLDDASYSKTQGFVSKVGEW IAAGVPIDGIGMWLACLFKHAYAGGFPTSGAQAALEALASTGASEVAVTELDIGGATS DDWVNVVNACLNVEKCIGITVWGVSDKDSWRADESPLLFDVNYQPKDAYGAIIAAL AFLA_063520 MDLPILDLLESTRQSNLFLHPAYCSRSNWDVWARIIEQSVPGYL ELEEQGWEVEFELDHLLEIDMPPPQLLCSQTSG AFLA_063530 MTTTSQNPPWVLNKAVIVYTPDFDVSCRASLRIFHDETANRGSI TLSITADLANPRVRSQVRLNIPPERVEKCVLHRTSNDGLCSPGLLPTIPALVTNVSAV STLSLTLRTIGIVVCPSETESLSPATPGDLNLQSFAKICRSEFLRIHFARRQCGDKEL HKLERFSQALRGRSLEAEPFDHARHRTVQKDWHVFCLSPDPPPYCQESVSEQVKQVDP PPYCEQSVSEQGVRKRRRDPMPLDIKHENNAPFIPQSRGSPTEPDTPSTLPPSPPSPS SIRPTCFTHASSPGRTERNRLAYLVHEFSSLSDGQVRKVLIGSRHDHLLAIPNDVDNG LASESEKVSFAKDEPITRRSLEQYIETIIKRHISPIVDEIVDSAVSMSRDQFFDECKT NEAEFREQVDDGTSEVRTMANECIKEMQEEAQKHIHEMEEQAQQCMNDIQNQGIQVEM SAEKNTAKLKYWFNASSRFLLDSKSGSHELGTDVRRSSI AFLA_063540 MRTQEWQDWRRERQKANTSFTDVAGRRRMKKKKRRRKKTWPGRM EGRGWDLASWEGGPRDDLRSGLPPSKQQNSEGRSLLPL AFLA_063550 MIPVSATKSKIENEVYRHRDATDKEFDDINAFYRQVLDEDKELC VGAQGNLGTGVFVNGELHPDKEKGPIHFQENVKEMLMEHRKKEEQQGGREIWPAIPKL SEHMTEKLAGEERFCSQLEATTCLNRPELTW AFLA_063560 MWQFLGFSSKQPEKEPPRGLPASWYRSEAMYQLERRAIFSKRWM LLTHSSRFTEQGDFLSFTVANFSFFLIRDRDGNINGFHNVCRHRAFPVVQTRSGSTSI LSCKYHGWSYGLKGNLAKAPRFETVPGFDKSQHGLLPVHVHIDKAGFIWVNLQAGSPD VRWEDDFQRIDEQPRMQDFDFAGEYTFDHYWEMDLDANWKGVIENYNECYHCATSHPL ISGVSDLPRYRVEPTAGYMEHHIFNKEQTDAQFKRAITYFFPTTSVTVT AFLA_063570 MAPRIHIKQQQTRSQLCRLDILIVGAGLAGLGSAISCALAGHAV HILEAAQEIKEVGAGIQVLPNSSRVLQHWGLEEALTPYMTFPSVCNFIGWKGNKISHL DFHESESNYPGTWYRDFHRADLQRCLVNRALELGVRMTCNARIATVHVSDDGATATVV AADGRQWEGDLVIGADGVFGKLTEELLGRSDPPVKTGDLAYRLLLSTEEMRKDPELAP FVNHPQVNYWLGPDAHAVNYVLRGGDLFNMVLLVPDDIPEDSLASTIEGNVQEMCALF EGWDPRIQKLLKLCQSVQKWRLCIRFGEFDWSHPSGSWIMLGDAVHATLPYLASGAGM AFEDGAVLGECLSRLPDRDDVAKTSPDFLQAKRHALSVFQQCRKERTKMVVDRGNIQQ YLYHLHDGPEQEERDRKMQMTPTPEGEALAWRDPGLAPKLLGYDHIADVSLSK AFLA_063580 MSRLTDQFSLQGRTALVTGGARGCGLAFARGLAEAGANVAIFDV VDPDTAFYAIDKEYNVRTAYYRVDVSSQESLESGFSQFQKDFNDALDICVPCAGINRH LPFLEFTYKDHHDLVSINVLGLYFTAQLAAKQMIANGTKHGSIVLVASMASHIAVRSQ LCSAYCGTKGAVRAMCPAIAKELAEYGIRVNSISPGYVRTEMTAAFPHLVQGWESEAM NGRIAEPEDIMGACVFLASDASAYMTGQDIIVDGGVTRW AFLA_063590 MAPSVDNEGYSSRGPVDASTTVVVVGAGPSGLMLACNLVRFGID VTILDDRPDKTSTGKADGMQPKTIETFKQMRLADPLLRNAARVYDISFWQSTADKPLH RVGRQEHYPERLVGASDPYILLAHQGMVEEVLIDDMEARGAFVMRNSRFTSCSRVAGT WQLDIVYEDLASKTIKTIRAGYLVGCDGARSKVRECIPDAQLEGEVTNASWGVLDGVI DTDFPDLWSKVAVRSDQAGSILWIPRERNMTRLYVQLSETDGERVDRSKATPEYVMRR ARDAMHPFRIEWKTIEWFGNYVVGQRVAKRFMDSEARIFIAGDAGHCHSALAAQGANT SMHDSFNLAWKLNLVIRGLAKPSLLATYEQERRKIAYDLINFDAEHCKAFSQGEAALA KNFDENIRFISGVGAEYSHGLLNRGRTAISTPLQPGTLQLPAKVTRYIDANPVSIQLD IPMLSQFRIYFFIPDVPKALGFLGTICKGLDNATGMGKVSSRASQSYATQPQGAAPSD AFIQPQRYTSVSSVFTYAMVTQSSKSEFEIADLPKVLQDSRWTLYLDDVDSPRCTDKW FGSLQGEQVGIVIVRPDGYVGSIDTWELTAGTEAGKWIDDYFAFMM AFLA_063600 MGSIDTVGPLFQTLRLGAVSLSHRVIQAPCTRMRSTKESDGVFV PNELNVEYYAQRASPGGLMLTEATPISRLAAGYPGVPGIFTPSQIAGWKKVTDAVHAK GAYIFCQLWHVGRATVPSFIEGKQALSASDIPITGKALDGSEYSATPPRPMTVEEIQK TVQEYAAAAKRAMEAGFDGVEIHGANGYLLDQFLHDNVNNRADDYGGSIEKRSRIVLE VLKAAAEAIGADRVGIRLSPYNYFQDTRDSNPNVHWLSLCSQIANLPAEVRPAYVHMI EPRFDEVLDEDAKIDSLSLERPSLDVFRPTLKKGGIAFLAAGSFNPQNAGPKLIDDGA DAVVFGRWFISNPDLPRRLKEGLPLNPYDRSTFYGADPAEKGYTDYPFYSK AFLA_063610 MLRHEKRDEEAGGPGLGVLNTRKRTRRARDGTIIVRPSQREMRS GARSTTSSSTGQDLVVEEEEDPMDEAPISPPISGTDPSSLSIVEADPFLAPMMPGGPF EPYVEPIPGQFDAADGSFNVGLGGMGDFFSMDTATDFNLPFAATCNYNWLFDVASLDD AFHQFDFPLGFDTEPFPGTLNTEYNQAVDKYDGPSALLEVASLMNKEETSQSTLSSIM PDILETDWMSGASFLGPGPTPHLPRLSENCRRGILSLVMQVSPIGIDGRPRTLDSPLL TLGALQGYCDLFFTRFNVTYPLVHQPTFNPDTIDPIFLAAVLFMGATYSTREAHQLAV GIHDKLRSQLLCHEEFSPQPEFWVLQTMLLIDCFGKMRAGPKQRERAQLFHCVLIKLI RRSNCCSIQDTPHLARSEDLDQAWRQAMDAEQRKRLAMLCFMWDTQHAVLFSQSLCMS AFEIRSCLPCSAAAWEASSAREWAHFAARETNRPFLTVLKGYITPGSVSRPRDLNVFA RTVILHGLMSVSADLKRRDQTTLRSETPERVGAWTPRMSRSYVLWKVDFDADCLAMKL GQTADPRRFTGLKMAAHALYHASCLALNVEILDLQIVAGAMQILGRTVTPADQSRSQQ NISRWLHEDSGPSTTVARHASHLLQDAVLSLHDWDQADAFHFPWCLYLATLACWVFHR GMDPASSEPRMNTDLSSLIVMMTNCPSTTELAALSGKYDPKPLVAAMAQQLATVRWAV VHDTMKVLVGLS AFLA_063620 MYFFDCMDRSNLANAKTDGLDEDLHFQGNDYSLLILVFYIPFGL FDLPWNLLIKRYSGRIMLSSMSVVWGILALCQCAAKNFGSLLAIRIILGIFEAGFFAG ATFYLTLFYTRGEMGFRLAIVQSFAVLASAFSGLISFGVFQINSPSVKGWQYLFIIEG GMILLIGVLGFLILPDNPQTAWFLSSRERGAATARLLRDSSSEVETEFNLKACFQSWG DWQFPIWCIITFTYPVAYATAMNFFPLIVQRLGYSVVKTNLWTVAPNLVGAVVLLCVA KSSDYFRERTFHIVFSLTLSLVGMVILAAIDVLQHKGVAYFACFFMASGAYIPSCLVH AWHNNNNVHENSRAANTGFFVGLGNLAGVVSAATFRTEYAPKYLPTLIATCCCNTVCI IFVTGLGLWMRLENRRRDRKQGQRLREDGVDTSQVKNGSRSMEWRYFL AFLA_063630 MAAPTGPPILDFSPFYGDDNEAKARLVEEVRKCCHYNGFFQITG HRVPLDLQRRVMNCSKRFFDLPLEEKMQIDKNLNTFNRGYELLRSQMLEAGTGPELKE GLYIGEEIPEDHPYFVQKKLNSGPNQWPQTVPDKAEFQKTTMEYYHAVFELAKDVLSV VALTLGVDSTFFEPLTDGAVATMRYLHYPAQPKDQDEKLNRGIGAHTDFGCVTLLLQD DVDGLQVLDVPTGQWLDLTHVINLQVKPVEGAYVVNLGDLFMRMANDKYKSNIHRVIN KSGRERYSIPFFFSGNPDYLCECLPNCREPGESAKYPPITVQDRVTEAYKESYGRAEK YKKELDMQGLASTPAVASVGA AFLA_063640 MDTAHLEKKHSQAGHGASPDKAAGNVIALDDNEAEQFYGSSTTH AYRLKSELVGRCMEEIGMGKFQWKLFIVTGFGWIVDNFASQGIGSVQPPIEQELSGIV HVSYSSIAYYIGLILGASCWGISSDLIGRRPAFNGTVLIAGIFLCAAAGSMNFVAFSA LWAVIGTAAGGNVPVGSMMFLEFIPMSHQYLLTALTAWWSLGQLIVSLVAWVFLANFS CPTNATPATCPRRENMGWRYTLITLGGMSLVFTLIRLLAFKLPETPRYLLSQGRDQDA VEAVNYVARQNGRPEPLTIGMLREIDTRLGTTPSEDGAHARISTKDTIAENMRAFKGK HYRALFATSKLSRHTMIIWVIWLTIGIGYPLYFNFLPSYLETKFTDGSSLYLTYRNYC ITSAVGIVGPLSAAVGVNTTLGRRYMMGISSIVTAVFLFAYVGVNNSTASLAFSCVTS ILANFEYAVMFAFTPESFPAPHRGTGTGTAAALLRLGGLVAGLVSSQTGFTSAPIYAS AAMWVAVGILSFGLPFETHGHDAL AFLA_063650 MNKIFTSAEAKVAHHNKENSCWVVLYGKVYDVTHFLSSHPGGAQ AILRVSGRDATDDFDPIHPPETMDSIQSALIGSLSLDEKSSCATQTTDTSDEIDVSTL LNLDEIEKAATNVISKKAWAYYYSAADDKITKDFNTQVYRSLLLRPRVFVDCRKCDVE TELLGWKVGLPIYVSPTAMARLGHPRGEAGIAEACGAFGALQIIASNSSLSPEQVVAK ALPTQVFGWQLYVQLDRRASEAMLARVNRLDEIKFVILTLDAPVSGKREDDERINVKS NPAGSVSAQLFAGTDPSLTWNETLEWLSRHTKKPIILKGLQTHEDVAIAARYTPLVQA VILSNHGGRSLDTAPPAVHTLLEAQKYCPHVFKKMEVWVDGGIRRGTDVVKALCLGAK AVGIGRPALWGLGAGGVKGVERTLQILLDETKTCMRLLGATTVHDLGPHYVTGAFFPF PHTY AFLA_063660 MGKKRILVGYGVDIDAVAGWLGSYGGEDSVSDISRGLWAGHIGT PRLLKLFEKYNIKASWFIPGHTLETFPEECAQVRDAGHEIGLHGYSHENPASMTLEQQ RDILDKTYKMLRDFCGKPPRGIVAPWWEASAEMVELLLAYGIEYDHSMSHEDCQMYWL RTGDSWTKIDYSQKAETWMKPLVRGQTTGLVEIPGSWYIDDLPPMMFIKNSANSHGWV NPRDVEAIWKDHFDYFYREYDEFVFPMTIHPDVSGRPHVLLMHERIIEHINKHEGVEW VTFEQMCDEFKKKNQPPPGAPMPAAADSVL AFLA_063670 MSRRIHYERRMEDLRLEINRHLVDDGYDARKERYELETRGRNGH YPFGRRCRICNNLSPYGHHPFHFKNDDQWLTLHVYDWVVEDDCQFCVFLDRVFQHYFG SSASPRRFNLNMKPGYPLFLTDIHDSHHNRWIDCIEVFKDIGVFLFSVLDHQDLWLII TDNDIPAWSETPFIGSGAMIFNHSNDQGVYDFISNCISECEKAHASCQAVRPLPLPKR LLYVNETKHSCRLVEAPQDQPGTYTALSYCWGKGNPDPLLLTQDNYHSFKDNISWDRL PRLFQDAIKITSHLGVDYIWIDSLCIVQDDKQDWEIESQNMASIFENAHITIVAASAP NPETPILRRRAQSEEKVKFHFTEKDHSQSYLFSRLITPEDMFNLYPIKVKQVSSRGWR EVLYQRGWTFQEDLLARRTIHYLPQRVVWECRMLHDDERQLLGNRRFPKSLDQTVWTW REFVKAYTYRDLTYISDKLPAISGIANMVSQQTGDEYLAGLWRCSLVSDLGWQFAYSS TAERTCPPRQYIAPSWSWASVATRQAIGYSGETILNTLATVIDADVTLKGRNRFGEVS DGFVQLRGFIREIKLYRKDITQTWEYVLETDSWNQAL AFLA_063680 MRVDRGMHHMVHLCIIGLALLACTLALPSPTEQQQTSTLVDGVP GLEARDAKPFALRIMPLGASITTGLKSSDKNGYRIWIREQLRHAGWEVNMVGSLKSGT MRDNDNEGWSGWIIDEVAKEAEKTIPKAPNLILINAGTNDAVRGVDVDRAGERMNVLL TRLYEGIPGTTIILSTLLSNADPKAQANVLKINEQYRNIAAARRKNGDKIVLAEMSDF IKVSELVDGTHPTDFGYKKMASVWWAAIQEAESAKFLSKPKDIGESDWAYTTCEKKFG SGNDGGKIQTQRGSGWDDGDYKHNSQSMGRIMQIGSSDSKDDTYGGINYAQLVNLGGA HREGALDELVWTEDGVGTWMYLNNNNGNFGKRVAIDVKDNCLARGVRWGDLNNDGLDD FICISREGAMYASINQGGNPPQFKYIGLVREAPGGGLAQANVRLGDIDGDGRIDYCLV KGNGDIQCWRNGGQKDAPTKEFNGYWQDLGTVFTGKGMGDIAGVRLVDINGDFRSDWL WLDDEGKVTTYINQRGTGKGSLAPDWRRIGVTHAGMGVKGARDRIKFGQVYPHGGADY VYVESLENGKSYNHHTAVWKNIGKGGTTLKGDGDFYCDWRGTGADDYIWISPTGRALL YGNIHKPPTWVPEGPQIFDLGRERKGIHLADFNGDGKCDVWAVNRETGAAEVWINHWN EDTSSGFLDYRGVVTGDVKCTEGWGVGYRDIGVRMADLDGDGRADYLCMEPNGRTVGW LNKGENKFEYKSQVKRTHGYDRANHVWADVDGDGLVDFLWVDKFNGNTKVWINKGAIP TAGSSYKWELLDGPRYQGSDRGANLYFPNLGGLGRADMHNVIPRSNIVSSPAPWYSLH TVNLDIKGFRRIPGSIPVQEVIALL AFLA_063690 MARTPRELTPELIRLSSEHWPLNDKDRMESVCNHLDELDKALWN KNDMGNWVTTTVRADCEVKHLEDVDPCKQGRMYRVQALTAVHNLAQYLKVMYSMMAEI WTNAGFENAGLVLRYSHKGDDNIEFGEDAGMTIGAGFASIMGAFFLTPMAGVAAGAMT IGAGAVAALGGPTPADLKFNTYADLGRKSAAMHDAMTKSLDTFYNSLFFKKPPTDPNW VTSPTALPRILNTGNFASHKSVVAPTDEETRKYSMVPEKDGLVKYVVAPLINMLWEKD LYFIVKIDNNSIRSSDGRKYHPCDKNGDMSENTDTTDRKWCNPDGTAFFFYRLGSNDH VPKGMDKLPDDYGFNGYDVARSSYLVQRTTNEWQSKVNTDSYFSWVPQTSGTDIPAWQ MMRWNLPVCDLAEYGVEEDCGSGLLWDVCMYAAVKEVCGRKDGWPKDYDFPNGISGRP LPHEEEGTPYSKASSAAEVVQSLMG AFLA_063700 MTTMFRFLPFLALVTTSVAVNSDATCYFVNGNIATADVPCNTSA EITTCCNKNDICLSNGLCYLQSQGNHPVMSRGSCTDQNWGGACSSAEPCARWNQGTGY RVVNAIDDQYCCGNVVSIDNTSIECAVDRAFTVPIGTVMPGVAALANYTKSSTSSSSS LGSSSSGNSSSDASGDTPGDHNDQSARLAIGLGLGIPLGLIAGSALIWGAWERKQRSA SMRELEGLKASLAAAGPPNVMGYNYQHGHGYAPVPAAPPTELGQYPVPVSELDSAGAK DSTRR AFLA_063710 MGDSLYAFEIGNEVDGWGNDTHRPGDWTVQSYVDQWNEYATAIS RNLTGKDAAKLFQGCAFQAPRHLNDRTDWNVQNAELDAIGGLLTGISQYMGANCHYTG AGPTIETTLFDRTNMLSRVWYHDYLGNATANSGIDYVIGETNSISCQGAFNISDVMAS AVWAVDYVMYLSSLKVSRVHFHMGTRYRYSPWQPIFYNDSAAHVKLLYYGNLFNAAVF AGGNKQTEVLVNETNFGAYAVYTSGKLDAIVAVNLNMWNSTFDPISRPYTALALPDGW NDAKVSRLTSPGVDIADNITFAGQYIDDQAQVVGRKTYDKVTGGKVLVGAGEAVLVHK AFLA_063720 MSSMPQEIESSQSSRLPVVTVATANCAVPRKHPHVNTAAKLTRL TDSPLDRLEDFVLDRLGDDTPDGYNQRTRRSANESKECSVLSALARELQILNDKPSPG AADRPAKRQRVDDYAGPSRSSLPDVDRRLVLDLLNPDTIEDVLQTYFKCIHPWIPLIH ENSLRRRLPDPKHRSKLDVLVRAMILVSARFIQRHEAVSDISLAGLTTEEARSVVVST SMDCLCVENLQALVICVFDDIGNGCGEKAWSLVGSLTRTVEYLKLTVEDDDCRGRTSI SRPFISLSPPESWVEAEERRRVFWTVFNLDRFCSVAMGWNTSLTSDDVHRRLPCDGVY WRRDKPNVTAFFGIWDKSAVRIGNPIAFHPAHYVSPQGTMPNETPDQSPVDADGSASS LEDPLMEAAGAFAYRIEATESLSRVTTYFLQQKVDTHRPENVTSWLTRFKELDLRLVH WKMLLPKKWKAANPTNTTVSAYDTINQDGPPSSSGGRTGLDVDTTRQTVVMDPNLTLA HITHNASMILLHQPIAFPPHDWAFRSRLPSSCSAETCQQAAFGIATITEQYLKVSPAT FPIYPQFSFCVYVAARLLLAYRIHYSGESTALYSGSDVDDRFWTLVRSLDEMSRRWNG NVIVMPETTTLTEDLAAKYASKLREMQDMCMQGLGYKINVLDYTQDIDHCNRKIDPII EDQAPGSTPNDATRQSYLNLQTQSEHNSQGARKPAENQTNGNLINAAPAMALPCPVTS IQLPRNPNNQHQTMYGQEIYSPEEDQAPCDLGAISQVLLGHQFMDMDRIISFDNGMFS ANLDQSW AFLA_063730 MSVTKTITEPHGLMSGPEASGGLWSKSIPSPHASLAKDVIPVGE ITKAPCLDVDLSRSVPALRKHLAELFAENILAKVWRVACESLPGADATPEERAMKFPC VFPEYVPQNPQHGERPNLYHLREADFWTCGFFPGTLYELLERTVRYPQSAAYFIDSSS QARRDQLRAMCEKWSEPLHDMASRTDTHDIGFIVMPALRQDWELFGNSRSLASIVRAA NSLATRYVASAKAIRSWDLLIRKDTQITDMSTDLIVIIDSLCNLDLLFYAAAHSSSRH LAEIATVHARTMIRTHLRPEPHLSQSTFGRYQGQLYSTNHVTCIDPKTGDIKRRLTAQ GYGDGSTWARGQAWAILGYAQTYMWTGDKEFLDVACGTAEYFLHRLETHPVVWGLGGG KMHYTSPPWDFDAPMEENEGQGVVRDSSAAAIAANGLLVLTQALIAKRETSLATRFFD AAVQLMRGTLDYSLARETARFRFVPDGDGEELKEDLAVEDLVQGKRFDAILKHGTANN NENARRRYANHGLVYGDYYLVRFGNELMRMGLV AFLA_063740 MAGGSRAASPPRTADESSDAEKGPVVSSTTNRPAAPSLWKAWQY IFDWYPSHYSKEERRLLRKLDCFIMPLCCLMFFIKWLDHGNINNAYVSGMKEELHLNG NQYSLFGTFYNIGYLLFEIPSMMLISRPTLSRYYPPTMELLWGIVTFCQARLRNEHDI FGIRFLLGVLETPASSGSLYILTSWYRADEVFKRAGVWYVSSNAGSMFGGYLQAAAYN NLNGVLGMSGWRWLFIIDGIISVPIAIAGFFLFPGIPQSPRVWWLTERDQQLARARMG DDGVKESTKIGKRMLKRVFMHWHFYIAIATYVCFQCTSYVGGQMALWLKYETQQHGTY TIPQINTIPTGVQGVAIVTGILSTSLCMVYPIWTTFTAASAVLLFCNVVLLVWDIPVG LHFTAYYLLGMTSCITPILFPWVNMIMKDDAEARAFTTGAMVRVGLSHFPPLLYFGLR FS AFLA_063750 MTCGWIFFSFYPITAFPVLEAPKWRKGFIVNTVLTLAYWCLFML GQYLWTRELKRRQSQEVVDKDMVETEKETELGTSSTHVETTTNDEIKIERL AFLA_063760 MGYKSPGVPPLLKSSIPLRLCNSKSKPALGILRKTPTMPALPGF TDNPFETRSDLVRATGALLSPLEQYKSPQKAFIKLSTDTAAGFDEVSAQLEGFARPLW AIASLLAPASSADSVGLDLKSWACGLRAGTNPASSEYWGDLGDFDQRMVEMESIAYAL LMAPAAFLSGMDAVARENLETWLCQINGRQMPQNNWRWFRVLVNLALGSQEEDVVVQD LNLLDSFDLGEGWSSDGLWGDERKQADYYSGSFAIQFARMLYVRFMEDRGLASNDWSR IQKYKLEARQFASGFWRYFDVDGGAIPFGRSLTYRFAFAAFWSAVAIAGVQLDEPLNN IGVVKGLLLRHLRWWGRQPGIFNTDGTINIGYTYPNMFLSENYTSPQSVYWCLKSFAI LCLPESHPFWIAKELPHPLAARMLLTQPLLDEAKLLWQPRHILCGGRSHHFLLSSGQG TTKSHRAREAKYGKMAYSSFFGFSVPSGALLEQMAPDSTLTVSLDDGEAWIVRANPVN VQGRQIPMCNSNTAAGMDTGATTVPALVSTWRPKKAIEFEVRTTLIPALELWSGWHFR IHKVRWSPAAIQPGPIRLVDAGFAASGVARTGLLYTENELRMLLDQDAAVVEAWGKDE QSCLILSNGSASGVVDLQTDVIGDGILSTRSPLLLRADANTNLIMQRTVIPAVQHTLV ADVVSKSAAEMWLATGVFAVSKTSGLEVNEIRKLWLKRPKIQVAAKLGEEDEIRIMLH AFLA_063770 MENYSVKQPHNPAEIGNEPMRVVGGHRKPLSHFNQIAKSITNAL DRKLNVGGPSRRWTFLGSLVILYLSTSGEIWIGDEG AFLA_063780 MSISSFLLQRRTGGICAELTFSNLLPMMAVSYMFQFLDKFAKRL TSILGLTDDQHLQGEDYSWASRVYYFGYLAAFYVAAVLLARFSVGKMISASICVLVSS FPYMFSEKALTSVSALFEVPC